diff --git a/def/digital_pll.def b/def/digital_pll.def
index 49cbd52d..5a8b4e3f 100644
--- a/def/digital_pll.def
+++ b/def/digital_pll.def
@@ -40,237 +40,210 @@ TRACKS Y 460 DO 82 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 22 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 22 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 10 STEP 6900 ;
-GCELLGRID Y 0 DO 11 STEP 6900 ;
+GCELLGRID Y 0 DO 10 STEP 6900 ;
VIAS 4 ;
- - via4_1600x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ;
- - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
+ - via2_3_1600_480_1_5_320_320 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 85 + ROWCOL 1 5 ;
+ - via3_4_1600_480_1_4_400_400 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 85 65 65 + ROWCOL 1 4 ;
+ - via4_5_1600_480_1_4_400_400 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 90 60 100 65 + ROWCOL 1 4 ;
+ - via5_6_1600_1600_1_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 190 310 400 ;
END VIAS
-COMPONENTS 631 ;
- - ANTENNA__177__A sky130_fd_sc_hd__diode_2 + PLACED ( 16560 13600 ) S ;
- - ANTENNA__181__A sky130_fd_sc_hd__diode_2 + PLACED ( 21160 32640 ) FN ;
- - ANTENNA__181__B sky130_fd_sc_hd__diode_2 + PLACED ( 17020 32640 ) FN ;
- - ANTENNA__182__A sky130_fd_sc_hd__diode_2 + PLACED ( 17020 35360 ) FS ;
- - ANTENNA__201__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 19040 ) S ;
- - ANTENNA__201__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 7820 19040 ) FS ;
- - ANTENNA__202__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 27200 ) FN ;
- - ANTENNA__204__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 16320 ) N ;
- - ANTENNA__207__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 10880 ) N ;
- - ANTENNA__210__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 8160 ) S ;
- - ANTENNA__210__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 9200 5440 ) FN ;
- - ANTENNA__211__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 13600 ) S ;
- - ANTENNA__216__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 29920 ) FS ;
- - ANTENNA__218__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 9200 32640 ) FN ;
- - ANTENNA__330__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28060 46240 ) S ;
- - ANTENNA__330__S sky130_fd_sc_hd__diode_2 + PLACED ( 23000 48960 ) N ;
- - ANTENNA__331__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 35360 ) FS ;
- - ANTENNA__331__S sky130_fd_sc_hd__diode_2 + PLACED ( 58880 35360 ) FS ;
- - ANTENNA__332__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 36340 54400 ) N ;
- - ANTENNA__332__S sky130_fd_sc_hd__diode_2 + PLACED ( 37260 54400 ) N ;
- - ANTENNA__333__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 38080 ) FN ;
- - ANTENNA__333__S sky130_fd_sc_hd__diode_2 + PLACED ( 57500 32640 ) FN ;
- - ANTENNA__334__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 38180 54400 ) FN ;
- - ANTENNA__334__S sky130_fd_sc_hd__diode_2 + PLACED ( 39100 54400 ) N ;
- - ANTENNA__335__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 46240 ) FS ;
- - ANTENNA__335__S sky130_fd_sc_hd__diode_2 + PLACED ( 60720 48960 ) N ;
- - ANTENNA__336__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 65280 ) N ;
- - ANTENNA__336__S sky130_fd_sc_hd__diode_2 + PLACED ( 32660 65280 ) N ;
- - ANTENNA__337__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59800 48960 ) FN ;
- - ANTENNA__337__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 51680 ) FS ;
- - ANTENNA__338__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 33580 65280 ) N ;
- - ANTENNA__338__S sky130_fd_sc_hd__diode_2 + PLACED ( 30360 65280 ) N ;
- - ANTENNA__339__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 51060 59840 ) N ;
- - ANTENNA__339__S sky130_fd_sc_hd__diode_2 + PLACED ( 44620 59840 ) N ;
- - ANTENNA__340__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 26220 59840 ) N ;
- - ANTENNA__340__S sky130_fd_sc_hd__diode_2 + PLACED ( 23460 59840 ) N ;
- - ANTENNA__341__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 56120 59840 ) N ;
- - ANTENNA__341__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 57120 ) FS ;
- - ANTENNA__342__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 57120 ) S ;
- - ANTENNA__342__S sky130_fd_sc_hd__diode_2 + PLACED ( 18860 54400 ) N ;
- - ANTENNA__343__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 48760 54400 ) N ;
- - ANTENNA__343__S sky130_fd_sc_hd__diode_2 + PLACED ( 44160 51680 ) FS ;
- - ANTENNA__344__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 59840 ) N ;
- - ANTENNA__344__S sky130_fd_sc_hd__diode_2 + PLACED ( 18860 65280 ) N ;
- - ANTENNA__345__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 55200 65280 ) N ;
- - ANTENNA__345__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 65280 ) N ;
- - ANTENNA__346__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 54400 ) FN ;
- - ANTENNA__346__S sky130_fd_sc_hd__diode_2 + PLACED ( 6900 59840 ) N ;
- - ANTENNA__347__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 64860 65280 ) FN ;
- - ANTENNA__347__S sky130_fd_sc_hd__diode_2 + PLACED ( 65780 65280 ) FN ;
- - ANTENNA__348__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 48960 ) FN ;
- - ANTENNA__348__S sky130_fd_sc_hd__diode_2 + PLACED ( 7820 48960 ) N ;
- - ANTENNA__349__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59800 57120 ) S ;
- - ANTENNA__349__S sky130_fd_sc_hd__diode_2 + PLACED ( 45080 51680 ) FS ;
- - ANTENNA__350__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 40800 ) S ;
- - ANTENNA__350__S sky130_fd_sc_hd__diode_2 + PLACED ( 6900 46240 ) FS ;
- - ANTENNA__351__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 46000 51680 ) S ;
- - ANTENNA__351__S sky130_fd_sc_hd__diode_2 + PLACED ( 39100 48960 ) N ;
- - ANTENNA__352__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 32640 ) FN ;
- - ANTENNA__352__S sky130_fd_sc_hd__diode_2 + PLACED ( 7820 32640 ) FN ;
- - ANTENNA__353__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 41860 43520 ) FN ;
- - ANTENNA__353__S sky130_fd_sc_hd__diode_2 + PLACED ( 33120 40800 ) FS ;
- - ANTENNA__354__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28980 46240 ) S ;
- - ANTENNA__354__S sky130_fd_sc_hd__diode_2 + PLACED ( 22080 48960 ) N ;
- - ANTENNA__355__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 51060 38080 ) FN ;
- - ANTENNA__355__S sky130_fd_sc_hd__diode_2 + PLACED ( 45080 40800 ) FS ;
- - ANTENNA__356__D sky130_fd_sc_hd__diode_2 + PLACED ( 57500 5440 ) N ;
- - FILLER_0_10 sky130_fd_sc_hd__decap_6 + PLACED ( 10120 5440 ) N ;
- - FILLER_0_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 5440 ) N ;
- - FILLER_0_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 5440 ) N ;
- - FILLER_0_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 5440 ) N ;
- - FILLER_0_37 sky130_fd_sc_hd__decap_6 + PLACED ( 22540 5440 ) N ;
- - FILLER_0_52 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 5440 ) N ;
- - FILLER_0_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 5440 ) N ;
- - FILLER_0_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 5440 ) N ;
- - FILLER_0_70 sky130_fd_sc_hd__decap_12 + PLACED ( 37720 5440 ) N ;
- - FILLER_0_82 sky130_fd_sc_hd__fill_2 + PLACED ( 43240 5440 ) N ;
- - FILLER_0_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 5440 ) N ;
- - FILLER_10_10 sky130_fd_sc_hd__decap_6 + PLACED ( 10120 32640 ) N ;
- - FILLER_10_104 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 32640 ) N ;
- - FILLER_10_19 sky130_fd_sc_hd__decap_3 + PLACED ( 14260 32640 ) N ;
- - FILLER_10_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 32640 ) N ;
- - FILLER_10_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 32640 ) N ;
- - FILLER_10_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 32640 ) N ;
- - FILLER_10_94 sky130_fd_sc_hd__fill_2 + PLACED ( 48760 32640 ) N ;
- - FILLER_11_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 35360 ) FS ;
- - FILLER_11_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 35360 ) FS ;
- - FILLER_11_130 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 35360 ) FS ;
- - FILLER_11_23 sky130_fd_sc_hd__fill_2 + PLACED ( 16100 35360 ) FS ;
- - FILLER_11_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 35360 ) FS ;
- - FILLER_11_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 35360 ) FS ;
- - FILLER_11_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 35360 ) FS ;
- - FILLER_11_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 35360 ) FS ;
- - FILLER_11_77 sky130_fd_sc_hd__fill_2 + PLACED ( 40940 35360 ) FS ;
- - FILLER_12_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 38080 ) N ;
- - FILLER_12_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 38080 ) N ;
- - FILLER_12_19 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 38080 ) N ;
- - FILLER_12_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 38080 ) N ;
- - FILLER_12_39 sky130_fd_sc_hd__fill_2 + PLACED ( 23460 38080 ) N ;
- - FILLER_12_48 sky130_fd_sc_hd__fill_2 + PLACED ( 27600 38080 ) N ;
- - FILLER_12_68 sky130_fd_sc_hd__fill_2 + PLACED ( 36800 38080 ) N ;
- - FILLER_12_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 38080 ) N ;
- - FILLER_13_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 40800 ) FS ;
- - FILLER_13_34 sky130_fd_sc_hd__fill_2 + PLACED ( 21160 40800 ) FS ;
- - FILLER_13_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 40800 ) FS ;
- - FILLER_14_112 sky130_fd_sc_hd__fill_2 + PLACED ( 57040 43520 ) N ;
- - FILLER_14_13 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 43520 ) N ;
- - FILLER_14_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 43520 ) N ;
- - FILLER_14_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 43520 ) N ;
- - FILLER_14_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 43520 ) N ;
- - FILLER_14_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 43520 ) N ;
- - FILLER_14_85 sky130_fd_sc_hd__fill_2 + PLACED ( 44620 43520 ) N ;
- - FILLER_15_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 46240 ) FS ;
- - FILLER_15_24 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 46240 ) FS ;
- - FILLER_15_39 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 46240 ) FS ;
- - FILLER_15_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 46240 ) FS ;
- - FILLER_15_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 46240 ) FS ;
- - FILLER_15_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 46240 ) FS ;
- - FILLER_15_76 sky130_fd_sc_hd__fill_2 + PLACED ( 40480 46240 ) FS ;
- - FILLER_15_93 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 46240 ) FS ;
- - FILLER_16_115 sky130_fd_sc_hd__decap_3 + PLACED ( 58420 48960 ) N ;
- - FILLER_16_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 48960 ) N ;
- - FILLER_16_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 48960 ) N ;
- - FILLER_16_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 48960 ) N ;
- - FILLER_16_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 48960 ) N ;
- - FILLER_16_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 48960 ) N ;
- - FILLER_16_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 48960 ) N ;
- - FILLER_16_72 sky130_fd_sc_hd__fill_1 + PLACED ( 38640 48960 ) N ;
- - FILLER_16_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 48960 ) N ;
- - FILLER_16_98 sky130_fd_sc_hd__fill_1 + PLACED ( 50600 48960 ) N ;
- - FILLER_17_100 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 51680 ) FS ;
- - FILLER_17_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 51680 ) FS ;
- - FILLER_17_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 51680 ) FS ;
- - FILLER_18_112 sky130_fd_sc_hd__fill_1 + PLACED ( 57040 54400 ) N ;
- - FILLER_18_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 54400 ) N ;
- - FILLER_18_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 54400 ) N ;
- - FILLER_18_49 sky130_fd_sc_hd__fill_1 + PLACED ( 28060 54400 ) N ;
- - FILLER_18_75 sky130_fd_sc_hd__fill_1 + PLACED ( 40020 54400 ) N ;
- - FILLER_18_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 54400 ) N ;
- - FILLER_19_100 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 57120 ) FS ;
- - FILLER_19_120 sky130_fd_sc_hd__fill_1 + PLACED ( 60720 57120 ) FS ;
- - FILLER_19_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 57120 ) FS ;
- - FILLER_19_28 sky130_fd_sc_hd__fill_1 + PLACED ( 18400 57120 ) FS ;
- - FILLER_19_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 57120 ) FS ;
- - FILLER_19_68 sky130_fd_sc_hd__fill_1 + PLACED ( 36800 57120 ) FS ;
- - FILLER_19_87 sky130_fd_sc_hd__fill_1 + PLACED ( 45540 57120 ) FS ;
- - FILLER_1_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 8160 ) FS ;
- - FILLER_1_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 8160 ) FS ;
- - FILLER_1_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 8160 ) FS ;
- - FILLER_1_78 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 8160 ) FS ;
- - FILLER_20_112 sky130_fd_sc_hd__fill_1 + PLACED ( 57040 59840 ) N ;
- - FILLER_20_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 59840 ) N ;
- - FILLER_20_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 59840 ) N ;
- - FILLER_20_5 sky130_fd_sc_hd__decap_6 + PLACED ( 7820 59840 ) N ;
- - FILLER_21_104 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 62560 ) FS ;
- - FILLER_21_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 62560 ) FS ;
- - FILLER_21_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 62560 ) FS ;
- - FILLER_21_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 62560 ) FS ;
- - FILLER_21_69 sky130_fd_sc_hd__fill_2 + PLACED ( 37260 62560 ) FS ;
- - FILLER_22_133 sky130_fd_sc_hd__decap_3 + PLACED ( 66700 65280 ) N ;
- - FILLER_22_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 65280 ) N ;
- - FILLER_22_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 65280 ) N ;
- - FILLER_22_42 sky130_fd_sc_hd__fill_2 + PLACED ( 24840 65280 ) N ;
- - FILLER_22_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 65280 ) N ;
- - FILLER_22_72 sky130_fd_sc_hd__decap_12 + PLACED ( 38640 65280 ) N ;
- - FILLER_2_120 sky130_fd_sc_hd__fill_2 + PLACED ( 60720 10880 ) N ;
- - FILLER_2_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 10880 ) N ;
- - FILLER_2_18 sky130_fd_sc_hd__fill_1 + PLACED ( 13800 10880 ) N ;
- - FILLER_2_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 10880 ) N ;
- - FILLER_2_78 sky130_fd_sc_hd__decap_3 + PLACED ( 41400 10880 ) N ;
- - FILLER_3_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 13600 ) FS ;
- - FILLER_3_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 13600 ) FS ;
- - FILLER_3_28 sky130_fd_sc_hd__fill_2 + PLACED ( 18400 13600 ) FS ;
- - FILLER_3_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 13600 ) FS ;
- - FILLER_3_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 13600 ) FS ;
- - FILLER_3_37 sky130_fd_sc_hd__fill_1 + PLACED ( 22540 13600 ) FS ;
- - FILLER_3_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 13600 ) FS ;
- - FILLER_3_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 13600 ) FS ;
- - FILLER_3_71 sky130_fd_sc_hd__fill_2 + PLACED ( 38180 13600 ) FS ;
- - FILLER_3_94 sky130_fd_sc_hd__fill_1 + PLACED ( 48760 13600 ) FS ;
- - FILLER_4_120 sky130_fd_sc_hd__decap_3 + PLACED ( 60720 16320 ) N ;
- - FILLER_4_129 sky130_fd_sc_hd__decap_6 + PLACED ( 64860 16320 ) N ;
- - FILLER_4_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 16320 ) N ;
- - FILLER_4_58 sky130_fd_sc_hd__fill_2 + PLACED ( 32200 16320 ) N ;
- - FILLER_4_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 16320 ) N ;
- - FILLER_5_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 19040 ) FS ;
- - FILLER_5_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 19040 ) FS ;
- - FILLER_5_19 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 19040 ) FS ;
- - FILLER_5_32 sky130_fd_sc_hd__decap_3 + PLACED ( 20240 19040 ) FS ;
- - FILLER_5_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 19040 ) FS ;
- - FILLER_5_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 19040 ) FS ;
- - FILLER_6_100 sky130_fd_sc_hd__decap_3 + PLACED ( 51520 21760 ) N ;
- - FILLER_6_122 sky130_fd_sc_hd__decap_12 + PLACED ( 61640 21760 ) N ;
- - FILLER_6_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 21760 ) N ;
- - FILLER_6_17 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 21760 ) N ;
- - FILLER_6_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 21760 ) N ;
- - FILLER_6_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 21760 ) N ;
- - FILLER_6_38 sky130_fd_sc_hd__decap_3 + PLACED ( 23000 21760 ) N ;
- - FILLER_6_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 21760 ) N ;
- - FILLER_6_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 21760 ) N ;
- - FILLER_6_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 21760 ) N ;
- - FILLER_6_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 21760 ) N ;
- - FILLER_6_88 sky130_fd_sc_hd__fill_1 + PLACED ( 46000 21760 ) N ;
- - FILLER_7_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 24480 ) FS ;
- - FILLER_7_116 sky130_fd_sc_hd__decap_8 + PLACED ( 58880 24480 ) FS ;
- - FILLER_7_127 sky130_fd_sc_hd__decap_8 + PLACED ( 63940 24480 ) FS ;
- - FILLER_7_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 24480 ) FS ;
- - FILLER_7_39 sky130_fd_sc_hd__decap_3 + PLACED ( 23460 24480 ) FS ;
- - FILLER_7_81 sky130_fd_sc_hd__fill_2 + PLACED ( 42780 24480 ) FS ;
- - FILLER_7_90 sky130_fd_sc_hd__decap_6 + PLACED ( 46920 24480 ) FS ;
- - FILLER_7_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 24480 ) FS ;
- - FILLER_8_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 27200 ) N ;
- - FILLER_8_29 sky130_fd_sc_hd__fill_1 + PLACED ( 18860 27200 ) N ;
- - FILLER_8_51 sky130_fd_sc_hd__decap_12 + PLACED ( 28980 27200 ) N ;
- - FILLER_8_63 sky130_fd_sc_hd__decap_6 + PLACED ( 34500 27200 ) N ;
- - FILLER_8_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 27200 ) N ;
- - FILLER_9_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 29920 ) FS ;
- - FILLER_9_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 29920 ) FS ;
- - FILLER_9_47 sky130_fd_sc_hd__fill_2 + PLACED ( 27140 29920 ) FS ;
- - FILLER_9_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 29920 ) FS ;
- - FILLER_9_52 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 29920 ) FS ;
- - FILLER_9_78 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 29920 ) FS ;
- - FILLER_9_87 sky130_fd_sc_hd__decap_3 + PLACED ( 45540 29920 ) FS ;
+COMPONENTS 617 ;
+ - ANTENNA__207__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 7820 29920 ) FS ;
+ - ANTENNA__213__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 23920 16320 ) FN ;
+ - ANTENNA__213__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 16320 ) FN ;
+ - ANTENNA__214__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 21760 ) N ;
+ - ANTENNA__215__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 12420 27200 ) FN ;
+ - ANTENNA__216__A sky130_fd_sc_hd__diode_2 + PLACED ( 17480 21760 ) FN ;
+ - ANTENNA__219__A sky130_fd_sc_hd__diode_2 + PLACED ( 32200 16320 ) FN ;
+ - ANTENNA__222__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 33120 16320 ) FN ;
+ - ANTENNA__222__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 24840 16320 ) FN ;
+ - ANTENNA__225__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 29920 ) FS ;
+ - ANTENNA__235__A sky130_fd_sc_hd__diode_2 + PLACED ( 7360 8160 ) S ;
+ - ANTENNA__288__A sky130_fd_sc_hd__diode_2 + PLACED ( 36340 43520 ) N ;
+ - ANTENNA__289__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 10580 43520 ) FN ;
+ - ANTENNA__289__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 17020 40800 ) FS ;
+ - ANTENNA__293__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 32660 57120 ) FS ;
+ - ANTENNA__295__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 13340 51680 ) FS ;
+ - ANTENNA__295__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 10580 51680 ) S ;
+ - ANTENNA__296__B sky130_fd_sc_hd__diode_2 + PLACED ( 53820 46240 ) FS ;
+ - ANTENNA__299__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 48960 ) N ;
+ - ANTENNA__299__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 43520 ) FN ;
+ - ANTENNA__300__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 57120 ) FS ;
+ - ANTENNA__300__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 46240 ) S ;
+ - ANTENNA__302__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 19780 38080 ) N ;
+ - ANTENNA__302__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 17020 32640 ) FN ;
+ - ANTENNA__305__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 15640 57120 ) FS ;
+ - ANTENNA__305__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 7820 48960 ) FN ;
+ - ANTENNA__306__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 62560 ) FS ;
+ - ANTENNA__306__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 65280 ) N ;
+ - ANTENNA__309__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 22080 65280 ) N ;
+ - ANTENNA__309__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 11040 65280 ) N ;
+ - ANTENNA__310__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28520 65280 ) N ;
+ - ANTENNA__310__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 23000 65280 ) N ;
+ - ANTENNA__312__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 32660 59840 ) N ;
+ - ANTENNA__312__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 62560 ) FS ;
+ - ANTENNA__313__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 16560 57120 ) S ;
+ - ANTENNA__313__B sky130_fd_sc_hd__diode_2 + PLACED ( 17480 57120 ) FS ;
+ - ANTENNA__314__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 57120 ) FS ;
+ - ANTENNA__316__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 17940 51680 ) S ;
+ - ANTENNA__316__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 20240 57120 ) FS ;
+ - ANTENNA__317__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 24380 57120 ) FS ;
+ - ANTENNA__317__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 23460 48960 ) FN ;
+ - ANTENNA__319__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28520 43520 ) N ;
+ - ANTENNA__319__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 35420 46240 ) S ;
+ - ANTENNA__326__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 36800 48960 ) N ;
+ - ANTENNA__326__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 46920 51680 ) S ;
+ - ANTENNA__334__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 50600 54400 ) N ;
+ - ANTENNA__334__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 47840 46240 ) FS ;
+ - ANTENNA__337__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 65280 ) N ;
+ - ANTENNA__337__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 44160 46240 ) S ;
+ - ANTENNA__341__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 45540 57120 ) S ;
+ - ANTENNA__341__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 46460 57120 ) FS ;
+ - ANTENNA__342__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59800 57120 ) FS ;
+ - ANTENNA__342__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 57120 ) S ;
+ - ANTENNA__343__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 51520 65280 ) N ;
+ - ANTENNA__343__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 50600 65280 ) N ;
+ - ANTENNA__346__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 44160 62560 ) FS ;
+ - ANTENNA__346__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 39100 54400 ) N ;
+ - ANTENNA__348__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59340 54400 ) N ;
+ - ANTENNA__348__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 54400 ) N ;
+ - ANTENNA__350__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 44620 54400 ) FN ;
+ - ANTENNA__350__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 45540 54400 ) N ;
+ - ANTENNA__351__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 56120 40800 ) FS ;
+ - ANTENNA__351__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 38080 ) N ;
+ - ANTENNA__352__A_N sky130_fd_sc_hd__diode_2 + PLACED ( 57960 24480 ) FS ;
+ - ANTENNA__353__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 65320 19040 ) FS ;
+ - ANTENNA__353__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 66240 19040 ) FS ;
+ - ANTENNA__354__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 55200 43520 ) N ;
+ - ANTENNA__354__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 55200 40800 ) S ;
+ - ANTENNA__355__A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 5440 ) FN ;
+ - ANTENNA__355__B sky130_fd_sc_hd__diode_2 + PLACED ( 32660 5440 ) FN ;
+ - ANTENNA__356__A sky130_fd_sc_hd__diode_2 + PLACED ( 43240 5440 ) N ;
+ - ANTENNA__357__A sky130_fd_sc_hd__diode_2 + PLACED ( 43240 10880 ) N ;
+ - ANTENNA__358__A sky130_fd_sc_hd__diode_2 + PLACED ( 64400 16320 ) N ;
+ - ANTENNA__359__A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 8160 ) FS ;
+ - ANTENNA__360__A sky130_fd_sc_hd__diode_2 + PLACED ( 41860 5440 ) N ;
+ - ANTENNA__361__A sky130_fd_sc_hd__diode_2 + PLACED ( 42320 19040 ) FS ;
+ - ANTENNA__362__A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 19040 ) FS ;
+ - ANTENNA__363__A sky130_fd_sc_hd__diode_2 + PLACED ( 29900 35360 ) FS ;
+ - ANTENNA__364__A sky130_fd_sc_hd__diode_2 + PLACED ( 55200 19040 ) FS ;
+ - ANTENNA__365__A sky130_fd_sc_hd__diode_2 + PLACED ( 31280 16320 ) N ;
+ - ANTENNA__366__A sky130_fd_sc_hd__diode_2 + PLACED ( 63480 27200 ) N ;
+ - ANTENNA__367__A sky130_fd_sc_hd__diode_2 + PLACED ( 62100 29920 ) FS ;
+ - ANTENNA__368__A sky130_fd_sc_hd__diode_2 + PLACED ( 66700 29920 ) FS ;
+ - ANTENNA__369__A sky130_fd_sc_hd__diode_2 + PLACED ( 56120 19040 ) FS ;
+ - ANTENNA__370__A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 29920 ) FS ;
+ - ANTENNA__371__A sky130_fd_sc_hd__diode_2 + PLACED ( 67160 8160 ) FS ;
+ - ANTENNA__372__A sky130_fd_sc_hd__diode_2 + PLACED ( 65320 16320 ) N ;
+ - ANTENNA__373__A sky130_fd_sc_hd__diode_2 + PLACED ( 56120 13600 ) FS ;
+ - ANTENNA__374__A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 10880 ) N ;
+ - ANTENNA__375__A sky130_fd_sc_hd__diode_2 + PLACED ( 17940 8160 ) FS ;
+ - ANTENNA__376__A sky130_fd_sc_hd__diode_2 + PLACED ( 18400 19040 ) FS ;
+ - ANTENNA__377__A sky130_fd_sc_hd__diode_2 + PLACED ( 21160 32640 ) N ;
+ - ANTENNA__378__A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 38080 ) N ;
+ - ANTENNA__394__D sky130_fd_sc_hd__diode_2 + PLACED ( 67160 5440 ) FN ;
+ - FILLER_0_81 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 42780 5440 ) N ;
+ - FILLER_0_90 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 46920 5440 ) N ;
+ - FILLER_10_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 32640 ) N ;
+ - FILLER_10_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 32640 ) N ;
+ - FILLER_10_70 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 37720 32640 ) N ;
+ - FILLER_10_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 44620 32640 ) N ;
+ - FILLER_11_100 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 51520 35360 ) FS ;
+ - FILLER_11_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 57500 35360 ) FS ;
+ - FILLER_11_131 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 65780 35360 ) FS ;
+ - FILLER_11_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 35360 ) FS ;
+ - FILLER_11_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 35360 ) FS ;
+ - FILLER_11_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 44620 35360 ) FS ;
+ - FILLER_12_107 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 54740 38080 ) N ;
+ - FILLER_12_16 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 12880 38080 ) N ;
+ - FILLER_12_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 38080 ) N ;
+ - FILLER_12_75 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 40020 38080 ) N ;
+ - FILLER_12_85 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 44620 38080 ) N ;
+ - FILLER_13_118 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 59800 40800 ) FS ;
+ - FILLER_13_24 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16560 40800 ) FS ;
+ - FILLER_13_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 40800 ) FS ;
+ - FILLER_13_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 37260 40800 ) FS ;
+ - FILLER_13_94 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 48760 40800 ) FS ;
+ - FILLER_14_10 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10120 43520 ) N ;
+ - FILLER_14_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 43520 ) N ;
+ - FILLER_14_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 43520 ) N ;
+ - FILLER_14_5 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 7820 43520 ) N ;
+ - FILLER_14_69 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 37260 43520 ) N ;
+ - FILLER_14_79 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 41860 43520 ) N ;
+ - FILLER_14_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 43520 ) N ;
+ - FILLER_15_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 46240 ) FS ;
+ - FILLER_15_23 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16100 46240 ) FS ;
+ - FILLER_15_67 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 36340 46240 ) FS ;
+ - FILLER_15_75 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 40020 46240 ) FS ;
+ - FILLER_15_99 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 51060 46240 ) FS ;
+ - FILLER_16_116 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 58880 48960 ) N ;
+ - FILLER_16_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 48960 ) N ;
+ - FILLER_16_45 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 26220 48960 ) N ;
+ - FILLER_16_67 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 36340 48960 ) N ;
+ - FILLER_16_78 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 41400 48960 ) N ;
+ - FILLER_17_108 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 55200 51680 ) FS ;
+ - FILLER_17_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 51680 ) FS ;
+ - FILLER_17_26 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17480 51680 ) FS ;
+ - FILLER_17_49 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 28060 51680 ) FS ;
+ - FILLER_17_69 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 37260 51680 ) FS ;
+ - FILLER_17_84 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 44160 51680 ) FS ;
+ - FILLER_18_72 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 38640 54400 ) N ;
+ - FILLER_18_75 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 40020 54400 ) N ;
+ - FILLER_18_97 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 50140 54400 ) N ;
+ - FILLER_19_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 57120 ) FS ;
+ - FILLER_19_47 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 27140 57120 ) FS ;
+ - FILLER_1_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 8160 ) FS ;
+ - FILLER_1_50 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 28520 8160 ) FS ;
+ - FILLER_1_59 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 32660 8160 ) FS ;
+ - FILLER_20_33 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 20700 59840 ) N ;
+ - FILLER_20_97 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 50140 59840 ) N ;
+ - FILLER_21_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 62560 ) FS ;
+ - FILLER_21_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 62560 ) FS ;
+ - FILLER_21_72 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 38640 62560 ) FS ;
+ - FILLER_22_127 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 63940 65280 ) N ;
+ - FILLER_22_35 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 21620 65280 ) N ;
+ - FILLER_22_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 65280 ) N ;
+ - FILLER_22_88 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 46000 65280 ) N ;
+ - FILLER_2_134 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 67160 10880 ) N ;
+ - FILLER_2_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 10880 ) N ;
+ - FILLER_2_35 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 21620 10880 ) N ;
+ - FILLER_2_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 41860 10880 ) N ;
+ - FILLER_2_99 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 51060 10880 ) N ;
+ - FILLER_3_109 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 55660 13600 ) FS ;
+ - FILLER_3_118 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 59800 13600 ) FS ;
+ - FILLER_3_132 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 66240 13600 ) FS ;
+ - FILLER_3_3 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 6900 13600 ) FS ;
+ - FILLER_3_49 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 28060 13600 ) FS ;
+ - FILLER_3_76 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 40480 13600 ) FS ;
+ - FILLER_3_99 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 51060 13600 ) FS ;
+ - FILLER_4_132 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 66240 16320 ) N ;
+ - FILLER_4_31 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 19780 16320 ) N ;
+ - FILLER_4_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 16320 ) N ;
+ - FILLER_4_62 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 34040 16320 ) N ;
+ - FILLER_4_90 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 46920 16320 ) N ;
+ - FILLER_5_134 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 67160 19040 ) FS ;
+ - FILLER_5_78 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 41400 19040 ) FS ;
+ - FILLER_5_87 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 45540 19040 ) FS ;
+ - FILLER_6_120 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 60720 21760 ) N ;
+ - FILLER_6_95 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 49220 21760 ) N ;
+ - FILLER_7_113 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 57500 24480 ) FS ;
+ - FILLER_7_124 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 62560 24480 ) FS ;
+ - FILLER_7_41 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 24380 24480 ) FS ;
+ - FILLER_7_47 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 27140 24480 ) FS ;
+ - FILLER_7_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 24480 ) FS ;
+ - FILLER_7_89 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 46460 24480 ) FS ;
+ - FILLER_8_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 64400 27200 ) N ;
+ - FILLER_8_134 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 67160 27200 ) N ;
+ - FILLER_8_24 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16560 27200 ) N ;
+ - FILLER_8_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 24840 27200 ) N ;
+ - FILLER_8_58 sky130_ef_sc_hd__decap_12 + SOURCE DIST + PLACED ( 32200 27200 ) N ;
+ - FILLER_8_70 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 37720 27200 ) N ;
+ - FILLER_8_90 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 46920 27200 ) N ;
+ - FILLER_9_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 29920 ) FS ;
+ - FILLER_9_125 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 63020 29920 ) FS ;
+ - FILLER_9_135 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 67620 29920 ) FS ;
+ - FILLER_9_57 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 31740 29920 ) FS ;
+ - FILLER_9_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 41400 29920 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 5440 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 5440 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
@@ -367,332 +340,345 @@ COMPONENTS 631 ;
- TAP_93 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 65280 ) N ;
- TAP_94 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_95 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 65280 ) N ;
- - _164_ sky130_fd_sc_hd__inv_2 + PLACED ( 18400 29920 ) S ;
- - _165_ sky130_fd_sc_hd__inv_2 + PLACED ( 15640 32640 ) FN ;
- - _166_ sky130_fd_sc_hd__inv_2 + PLACED ( 23000 10880 ) N ;
- - _167_ sky130_fd_sc_hd__inv_2 + PLACED ( 29900 5440 ) FN ;
- - _168_ sky130_fd_sc_hd__inv_2 + PLACED ( 31280 21760 ) FN ;
- - _169_ sky130_fd_sc_hd__inv_2 + PLACED ( 35880 19040 ) S ;
- - _170_ sky130_fd_sc_hd__inv_2 + PLACED ( 52440 38080 ) N ;
- - _171_ sky130_fd_sc_hd__inv_2 + PLACED ( 40940 38080 ) N ;
- - _172_ sky130_fd_sc_hd__inv_2 + PLACED ( 43700 40800 ) FS ;
- - _173_ sky130_fd_sc_hd__inv_2 + PLACED ( 54280 46240 ) FS ;
- - _174_ sky130_fd_sc_hd__inv_2 + PLACED ( 57500 35360 ) FS ;
- - _175_ sky130_fd_sc_hd__inv_2 + PLACED ( 57500 24480 ) S ;
- - _176_ sky130_fd_sc_hd__inv_2 + PLACED ( 46460 21760 ) FN ;
- - _177_ sky130_fd_sc_hd__inv_2 + PLACED ( 15180 13600 ) S ;
- - _178_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 63020 10880 ) N ;
- - _179_ sky130_fd_sc_hd__inv_2 + PLACED ( 48300 10880 ) N ;
- - _180_ sky130_fd_sc_hd__a22o_2 + PLACED ( 16560 24480 ) S ;
- - _181_ sky130_fd_sc_hd__nand2_2 + PLACED ( 18860 32640 ) N ;
- - _182_ sky130_fd_sc_hd__nor2_2 + PLACED ( 17940 35360 ) FS ;
- - _183_ sky130_fd_sc_hd__a22o_2 + PLACED ( 14720 16320 ) N ;
- - _184_ sky130_fd_sc_hd__a22o_2 + PLACED ( 18860 5440 ) FN ;
- - _185_ sky130_fd_sc_hd__a22o_2 + PLACED ( 44620 10880 ) FN ;
- - _186_ sky130_fd_sc_hd__a22o_2 + PLACED ( 32200 19040 ) FS ;
- - _187_ sky130_fd_sc_hd__a22o_2 + PLACED ( 49220 13600 ) FS ;
- - _188_ sky130_fd_sc_hd__a22o_2 + PLACED ( 51520 8160 ) S ;
- - _189_ sky130_fd_sc_hd__or2_2 + PLACED ( 44620 5440 ) FN ;
- - _190_ sky130_fd_sc_hd__nor2_2 + PLACED ( 14720 19040 ) FS ;
- - _191_ sky130_fd_sc_hd__a21o_2 + PLACED ( 17020 19040 ) FS ;
- - _192_ sky130_fd_sc_hd__nor2_2 + PLACED ( 16100 5440 ) FN ;
- - _193_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32200 13600 ) FS ;
- - _194_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 37260 10880 ) N ;
- - _195_ sky130_fd_sc_hd__a22o_2 + PLACED ( 34500 13600 ) S ;
- - _196_ sky130_fd_sc_hd__inv_2 + PLACED ( 19320 13600 ) FS ;
- - _197_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 18860 10880 ) FN ;
- - _198_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 13800 21760 ) N ;
- - _199_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 17480 8160 ) S ;
- - _200_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 14260 10880 ) N ;
- - _201_ sky130_fd_sc_hd__a22oi_2 + PLACED ( 7360 21760 ) FN ;
- - _202_ sky130_fd_sc_hd__or2_2 + PLACED ( 6900 24480 ) FS ;
- - _203_ sky130_fd_sc_hd__inv_2 + PLACED ( 11960 21760 ) N ;
- - _204_ sky130_fd_sc_hd__o211a_2 + PLACED ( 7820 16320 ) FN ;
- - _205_ sky130_fd_sc_hd__inv_2 + PLACED ( 12880 19040 ) FS ;
- - _206_ sky130_fd_sc_hd__o2bb2ai_2 + PLACED ( 31740 10880 ) FN ;
- - _207_ sky130_fd_sc_hd__nand2_2 + PLACED ( 7360 13600 ) S ;
- - _208_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 28060 13600 ) FS ;
- - _209_ sky130_fd_sc_hd__inv_2 + PLACED ( 12420 10880 ) FN ;
- - _210_ sky130_fd_sc_hd__o221a_2 + PLACED ( 8280 10880 ) N ;
- - _211_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 11500 16320 ) FN ;
- - _212_ sky130_fd_sc_hd__a22o_2 + PLACED ( 12880 24480 ) S ;
- - _213_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 18860 21760 ) FN ;
- - _214_ sky130_fd_sc_hd__or2_2 + PLACED ( 15640 27200 ) FN ;
- - _215_ sky130_fd_sc_hd__a21bo_2 + PLACED ( 9200 24480 ) FS ;
- - _216_ sky130_fd_sc_hd__nand2_2 + PLACED ( 7820 27200 ) FN ;
- - _217_ sky130_fd_sc_hd__o221a_2 + PLACED ( 8740 19040 ) FS ;
- - _218_ sky130_fd_sc_hd__o221ai_2 + PLACED ( 10120 27200 ) N ;
- - _219_ sky130_fd_sc_hd__or2_2 + PLACED ( 35880 21760 ) N ;
- - _220_ sky130_fd_sc_hd__inv_2 + PLACED ( 41400 24480 ) FS ;
- - _221_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 43520 ) FN ;
- - _222_ sky130_fd_sc_hd__inv_2 + PLACED ( 50600 43520 ) N ;
- - _223_ sky130_fd_sc_hd__or3_2 + PLACED ( 45540 43520 ) N ;
- - _224_ sky130_fd_sc_hd__or3_2 + PLACED ( 50140 24480 ) S ;
- - _225_ sky130_fd_sc_hd__o2111ai_2 + PLACED ( 9660 13600 ) FS ;
- - _226_ sky130_fd_sc_hd__and4_2 + PLACED ( 52900 13600 ) S ;
- - _227_ sky130_fd_sc_hd__or2_2 + PLACED ( 54740 43520 ) N ;
- - _228_ sky130_fd_sc_hd__inv_2 + PLACED ( 52440 40800 ) S ;
- - _229_ sky130_fd_sc_hd__or2_2 + PLACED ( 41400 40800 ) FS ;
- - _230_ sky130_fd_sc_hd__inv_2 + PLACED ( 42780 38080 ) FN ;
- - _231_ sky130_fd_sc_hd__or2_2 + PLACED ( 51980 46240 ) FS ;
- - _232_ sky130_fd_sc_hd__or2_2 + PLACED ( 50140 40800 ) S ;
- - _233_ sky130_fd_sc_hd__or3_2 + PLACED ( 48300 16320 ) N ;
- - _234_ sky130_fd_sc_hd__o221a_2 + PLACED ( 48760 19040 ) S ;
- - _235_ sky130_fd_sc_hd__o31a_2 + PLACED ( 47840 21760 ) N ;
- - _236_ sky130_fd_sc_hd__inv_2 + PLACED ( 37720 27200 ) N ;
- - _237_ sky130_fd_sc_hd__a22o_2 + PLACED ( 53360 19040 ) FS ;
- - _238_ sky130_fd_sc_hd__o22a_2 + PLACED ( 53360 24480 ) FS ;
- - _239_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48760 38080 ) FN ;
- - _240_ sky130_fd_sc_hd__a22o_2 + PLACED ( 49680 32640 ) FN ;
- - _241_ sky130_fd_sc_hd__o32a_2 + PLACED ( 47840 35360 ) FS ;
- - _242_ sky130_fd_sc_hd__a22o_2 + PLACED ( 41860 35360 ) FS ;
- - _243_ sky130_fd_sc_hd__o22a_2 + PLACED ( 40480 32640 ) N ;
- - _244_ sky130_fd_sc_hd__inv_2 + PLACED ( 34960 32640 ) FN ;
- - _245_ sky130_fd_sc_hd__o32a_2 + PLACED ( 44620 32640 ) N ;
- - _246_ sky130_fd_sc_hd__inv_2 + PLACED ( 39100 27200 ) FN ;
- - _247_ sky130_fd_sc_hd__o22a_2 + PLACED ( 40480 27200 ) FN ;
- - _248_ sky130_fd_sc_hd__inv_2 + PLACED ( 41860 29920 ) FS ;
- - _249_ sky130_fd_sc_hd__a221o_2 + PLACED ( 44620 27200 ) N ;
- - _250_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 43700 24480 ) FS ;
- - _251_ sky130_fd_sc_hd__or2_2 + PLACED ( 45540 35360 ) FS ;
- - _252_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 37720 38080 ) N ;
- - _253_ sky130_fd_sc_hd__inv_2 + PLACED ( 33580 32640 ) FN ;
- - _254_ sky130_fd_sc_hd__a221o_2 + PLACED ( 36340 32640 ) FN ;
- - _255_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 33580 35360 ) FS ;
- - _256_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43240 29920 ) S ;
- - _257_ sky130_fd_sc_hd__a32o_2 + PLACED ( 36800 35360 ) FS ;
- - _258_ sky130_fd_sc_hd__or2_2 + PLACED ( 53360 29920 ) FS ;
- - _259_ sky130_fd_sc_hd__mux2_1 + PLACED ( 52440 35360 ) FS ;
- - _260_ sky130_fd_sc_hd__o211a_2 + PLACED ( 53820 32640 ) N ;
- - _261_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 58420 32640 ) FN ;
- - _262_ sky130_fd_sc_hd__nand2_2 + PLACED ( 46920 29920 ) S ;
- - _263_ sky130_fd_sc_hd__a32o_2 + PLACED ( 49220 29920 ) FS ;
- - _264_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 57500 21760 ) FN ;
- - _265_ sky130_fd_sc_hd__o22ai_2 + PLACED ( 52900 21760 ) FN ;
- - _266_ sky130_fd_sc_hd__o22a_2 + PLACED ( 44620 16320 ) N ;
- - _267_ sky130_fd_sc_hd__or3_2 + PLACED ( 25300 5440 ) FN ;
- - _268_ sky130_fd_sc_hd__inv_2 + PLACED ( 23000 13600 ) S ;
- - _269_ sky130_fd_sc_hd__nand2_2 + PLACED ( 28980 24480 ) FS ;
- - _270_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 20240 24480 ) S ;
- - _271_ sky130_fd_sc_hd__o221a_2 + PLACED ( 24840 24480 ) FS ;
- - _272_ sky130_fd_sc_hd__and4_2 + PLACED ( 28520 16320 ) N ;
- - _273_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 24380 10880 ) FN ;
- - _274_ sky130_fd_sc_hd__a31o_2 + PLACED ( 24380 13600 ) FS ;
- - _275_ sky130_fd_sc_hd__o221a_2 + PLACED ( 27600 10880 ) N ;
- - _276_ sky130_fd_sc_hd__or2_2 + PLACED ( 31740 5440 ) N ;
- - _277_ sky130_fd_sc_hd__a311o_2 + PLACED ( 24380 21760 ) N ;
- - _278_ sky130_fd_sc_hd__or2_2 + PLACED ( 38180 46240 ) S ;
- - _279_ sky130_fd_sc_hd__or2_2 + PLACED ( 31740 51680 ) S ;
- - _280_ sky130_fd_sc_hd__or2_2 + PLACED ( 39560 43520 ) FN ;
- - _281_ sky130_fd_sc_hd__o31a_2 + PLACED ( 34040 51680 ) FS ;
- - _282_ sky130_fd_sc_hd__o31a_2 + PLACED ( 27600 51680 ) FS ;
- - _283_ sky130_fd_sc_hd__o31a_2 + PLACED ( 28520 54400 ) N ;
- - _284_ sky130_fd_sc_hd__o21a_2 + PLACED ( 31740 57120 ) S ;
- - _285_ sky130_fd_sc_hd__o41a_2 + PLACED ( 34040 48960 ) N ;
- - _286_ sky130_fd_sc_hd__o41a_2 + PLACED ( 28060 48960 ) N ;
- - _287_ sky130_fd_sc_hd__o41a_2 + PLACED ( 31740 46240 ) FS ;
- - _288_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 51680 ) FS ;
- - _289_ sky130_fd_sc_hd__o31a_2 + PLACED ( 30820 43520 ) N ;
- - _290_ sky130_fd_sc_hd__a31o_2 + PLACED ( 36340 43520 ) N ;
- - _291_ sky130_fd_sc_hd__a31o_2 + PLACED ( 38180 40800 ) FS ;
- - _292_ sky130_fd_sc_hd__nand2_2 + PLACED ( 57500 57120 ) FS ;
- - _293_ sky130_fd_sc_hd__o311a_2 + PLACED ( 51980 51680 ) S ;
- - _294_ sky130_fd_sc_hd__or3_2 + PLACED ( 55660 48960 ) N ;
- - _295_ sky130_fd_sc_hd__o311a_2 + PLACED ( 50140 54400 ) N ;
- - _296_ sky130_fd_sc_hd__o41a_2 + PLACED ( 46920 51680 ) FS ;
- - _297_ sky130_fd_sc_hd__or4_2 + PLACED ( 41400 46240 ) FS ;
- - _298_ sky130_fd_sc_hd__o311a_2 + PLACED ( 44620 48960 ) N ;
- - _299_ sky130_fd_sc_hd__and2_2 + PLACED ( 54280 54400 ) N ;
- - _300_ sky130_fd_sc_hd__o41a_2 + PLACED ( 51060 48960 ) N ;
- - _301_ sky130_fd_sc_hd__nor2_2 + PLACED ( 41860 54400 ) N ;
- - _302_ sky130_fd_sc_hd__and3_2 + PLACED ( 51980 43520 ) FN ;
- - _303_ sky130_fd_sc_hd__o31a_2 + PLACED ( 44620 46240 ) FS ;
- - _304_ sky130_fd_sc_hd__o311a_2 + PLACED ( 46000 40800 ) FS ;
- - _305_ sky130_fd_sc_hd__buf_1 + PLACED ( 12880 5440 ) N ;
- - _306_ sky130_fd_sc_hd__buf_1 + PLACED ( 42780 10880 ) FN ;
- - _307_ sky130_fd_sc_hd__buf_1 + PLACED ( 37720 19040 ) FS ;
- - _308_ sky130_fd_sc_hd__buf_1 + PLACED ( 59340 10880 ) FN ;
- - _309_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 8160 ) S ;
- - _310_ sky130_fd_sc_hd__buf_1 + PLACED ( 46920 5440 ) N ;
- - _311_ sky130_fd_sc_hd__buf_1 + PLACED ( 62560 24480 ) FS ;
- - _312_ sky130_fd_sc_hd__buf_1 + PLACED ( 29900 29920 ) FS ;
- - _313_ sky130_fd_sc_hd__buf_1 + PLACED ( 28060 29920 ) FS ;
- - _314_ sky130_fd_sc_hd__buf_1 + PLACED ( 62560 32640 ) N ;
- - _315_ sky130_fd_sc_hd__buf_1 + PLACED ( 55660 29920 ) S ;
- - _316_ sky130_fd_sc_hd__buf_1 + PLACED ( 62100 16320 ) N ;
- - _317_ sky130_fd_sc_hd__buf_1 + PLACED ( 44620 21760 ) FN ;
- - _318_ sky130_fd_sc_hd__buf_1 + PLACED ( 25760 29920 ) S ;
- - _319_ sky130_fd_sc_hd__buf_1 + PLACED ( 32660 21760 ) N ;
- - _320_ sky130_fd_sc_hd__buf_1 + PLACED ( 28060 5440 ) FN ;
- - _321_ sky130_fd_sc_hd__buf_1 + PLACED ( 36340 5440 ) N ;
- - _322_ sky130_fd_sc_hd__buf_1 + PLACED ( 28520 21760 ) FN ;
- - _323_ sky130_fd_sc_hd__buf_1 + PLACED ( 63480 16320 ) N ;
- - _324_ sky130_fd_sc_hd__buf_1 + PLACED ( 61640 10880 ) N ;
- - _325_ sky130_fd_sc_hd__buf_1 + PLACED ( 55660 5440 ) N ;
- - _326_ sky130_fd_sc_hd__buf_1 + PLACED ( 65780 35360 ) S ;
- - _327_ sky130_fd_sc_hd__buf_1 + PLACED ( 12880 32640 ) N ;
- - _328_ sky130_fd_sc_hd__buf_2 + PLACED ( 7360 5440 ) FN ;
- - _329_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51980 57120 ) S ;
- - _330_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23920 46240 ) S ;
- - _331_ sky130_fd_sc_hd__mux2_1 + PLACED ( 61180 35360 ) FS ;
- - _332_ sky130_fd_sc_hd__mux2_1 + PLACED ( 37720 51680 ) S ;
- - _333_ sky130_fd_sc_hd__mux2_1 + PLACED ( 63940 32640 ) N ;
- - _334_ sky130_fd_sc_hd__mux2_1 + PLACED ( 32200 54400 ) FN ;
- - _335_ sky130_fd_sc_hd__mux2_1 + PLACED ( 63480 48960 ) N ;
- - _336_ sky130_fd_sc_hd__mux2_1 + PLACED ( 33120 62560 ) S ;
- - _337_ sky130_fd_sc_hd__mux2_1 + PLACED ( 57500 51680 ) FS ;
- - _338_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34500 65280 ) FN ;
- - _339_ sky130_fd_sc_hd__mux2_1 + PLACED ( 45540 59840 ) FN ;
- - _340_ sky130_fd_sc_hd__mux2_1 + PLACED ( 27140 59840 ) FN ;
- - _341_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51980 59840 ) N ;
- - _342_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 57120 ) S ;
- - _343_ sky130_fd_sc_hd__mux2_1 + PLACED ( 44620 54400 ) N ;
- - _344_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 59840 ) N ;
- - _345_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51060 65280 ) N ;
- - _346_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 57120 ) FS ;
- - _347_ sky130_fd_sc_hd__mux2_1 + PLACED ( 57500 65280 ) N ;
- - _348_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 51680 ) S ;
- - _349_ sky130_fd_sc_hd__mux2_1 + PLACED ( 46000 57120 ) FS ;
- - _350_ sky130_fd_sc_hd__mux2_1 + PLACED ( 7360 43520 ) FN ;
- - _351_ sky130_fd_sc_hd__mux2_1 + PLACED ( 40020 48960 ) N ;
- - _352_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 38080 ) FN ;
- - _353_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34040 40800 ) FS ;
- - _354_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23920 48960 ) N ;
- - _355_ sky130_fd_sc_hd__mux2_1 + PLACED ( 44620 38080 ) N ;
- - _356_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 8160 ) FS ;
- - _357_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 5440 ) N ;
- - _358_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 13600 ) FS ;
- - _359_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 21620 19040 ) FS ;
- - _360_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 8160 ) FS ;
- - _361_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 20700 8160 ) S ;
- - _362_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 24480 ) S ;
- - _363_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 19320 27200 ) N ;
- - _364_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 39100 19040 ) FS ;
- - _365_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 19040 ) FS ;
- - _366_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 48760 27200 ) N ;
- - _367_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 29920 ) FS ;
- - _368_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 23920 32640 ) N ;
- - _369_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 29920 ) FS ;
- - _370_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 27200 ) N ;
- - _371_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 41860 8160 ) FS ;
- - _372_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 49680 10880 ) N ;
- - _373_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 51060 16320 ) N ;
- - _374_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 33120 16320 ) N ;
- - _375_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 39100 13600 ) S ;
- - _376_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7820 8160 ) FS ;
- - _377_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 18860 16320 ) FN ;
- - _378_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 8280 29920 ) FS ;
- - ringosc.dstage\[0\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 22080 43520 ) FN ;
- - ringosc.dstage\[0\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17020 43520 ) N ;
- - ringosc.dstage\[0\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11040 38080 ) N ;
- - ringosc.dstage\[0\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 14720 38080 ) FN ;
- - ringosc.dstage\[0\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 7820 40800 ) FS ;
- - ringosc.dstage\[0\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 16100 40800 ) S ;
- - ringosc.dstage\[0\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 14720 35360 ) S ;
- - ringosc.dstage\[10\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 61640 48960 ) N ;
- - ringosc.dstage\[10\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 65780 40800 ) S ;
- - ringosc.dstage\[10\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 59800 43520 ) N ;
- - ringosc.dstage\[10\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 63940 38080 ) N ;
- - ringosc.dstage\[10\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 46240 ) FS ;
- - ringosc.dstage\[10\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 63020 43520 ) N ;
- - ringosc.dstage\[10\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 65780 46240 ) S ;
- - ringosc.dstage\[11\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 57960 43520 ) N ;
- - ringosc.dstage\[11\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 59800 35360 ) FS ;
- - ringosc.dstage\[11\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 53820 40800 ) S ;
- - ringosc.dstage\[11\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 55660 38080 ) FN ;
- - ringosc.dstage\[11\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 40800 ) FS ;
- - ringosc.dstage\[11\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 58880 38080 ) FN ;
- - ringosc.dstage\[11\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 54280 38080 ) FN ;
- - ringosc.dstage\[1\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15180 43520 ) N ;
- - ringosc.dstage\[1\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 46240 ) FS ;
- - ringosc.dstage\[1\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11960 43520 ) N ;
- - ringosc.dstage\[1\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 18860 43520 ) FN ;
- - ringosc.dstage\[1\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 8280 46240 ) FS ;
- - ringosc.dstage\[1\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 17020 46240 ) S ;
- - ringosc.dstage\[1\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 18860 48960 ) N ;
- - ringosc.dstage\[2\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 14260 48960 ) N ;
- - ringosc.dstage\[2\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 51680 ) FS ;
- - ringosc.dstage\[2\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11040 57120 ) FS ;
- - ringosc.dstage\[2\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 14260 57120 ) FS ;
- - ringosc.dstage\[2\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 7820 54400 ) N ;
- - ringosc.dstage\[2\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 12880 51680 ) FS ;
- - ringosc.dstage\[2\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 16100 54400 ) N ;
- - ringosc.dstage\[3\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15640 59840 ) N ;
- - ringosc.dstage\[3\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15640 65280 ) N ;
- - ringosc.dstage\[3\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 9200 65280 ) N ;
- - ringosc.dstage\[3\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 12420 65280 ) N ;
- - ringosc.dstage\[3\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 6900 62560 ) FS ;
- - ringosc.dstage\[3\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 10580 59840 ) N ;
- - ringosc.dstage\[3\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 17020 65280 ) N ;
- - ringosc.dstage\[4\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15640 62560 ) FS ;
- - ringosc.dstage\[4\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 62560 ) FS ;
- - ringosc.dstage\[4\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 21620 65280 ) N ;
- - ringosc.dstage\[4\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 25760 65280 ) N ;
- - ringosc.dstage\[4\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 17480 62560 ) FS ;
- - ringosc.dstage\[4\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 25760 62560 ) FS ;
- - ringosc.dstage\[4\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 28980 65280 ) N ;
- - ringosc.dstage\[5\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 24380 59840 ) N ;
- - ringosc.dstage\[5\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 29440 57120 ) FS ;
- - ringosc.dstage\[5\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 23000 57120 ) FS ;
- - ringosc.dstage\[5\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 26220 57120 ) S ;
- - ringosc.dstage\[5\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 19780 54400 ) N ;
- - ringosc.dstage\[5\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 22540 51680 ) S ;
- - ringosc.dstage\[5\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 21160 51680 ) S ;
- - ringosc.dstage\[6\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 34960 57120 ) FS ;
- - ringosc.dstage\[6\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40480 54400 ) FN ;
- - ringosc.dstage\[6\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 39560 59840 ) N ;
- - ringosc.dstage\[6\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 42320 57120 ) S ;
- - ringosc.dstage\[6\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 31280 59840 ) N ;
- - ringosc.dstage\[6\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 37260 57120 ) S ;
- - ringosc.dstage\[6\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 42780 59840 ) N ;
- - ringosc.dstage\[7\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 38180 62560 ) FS ;
- - ringosc.dstage\[7\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 49680 59840 ) FN ;
- - ringosc.dstage\[7\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 44620 65280 ) N ;
- - ringosc.dstage\[7\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 47840 65280 ) N ;
- - ringosc.dstage\[7\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 40020 62560 ) FS ;
- - ringosc.dstage\[7\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 48300 62560 ) FS ;
- - ringosc.dstage\[7\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 50140 57120 ) S ;
- - ringosc.dstage\[8\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 57500 62560 ) FS ;
- - ringosc.dstage\[8\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 62560 59840 ) N ;
- - ringosc.dstage\[8\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 61640 65280 ) N ;
- - ringosc.dstage\[8\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 53820 62560 ) FS ;
- - ringosc.dstage\[8\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 59340 62560 ) FS ;
- - ringosc.dstage\[8\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 57500 59840 ) N ;
- - ringosc.dstage\[8\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 63940 59840 ) N ;
- - ringosc.dstage\[9\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 65320 59840 ) N ;
- - ringosc.dstage\[9\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 65780 54400 ) FN ;
- - ringosc.dstage\[9\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 61640 51680 ) FS ;
- - ringosc.dstage\[9\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 64860 51680 ) FS ;
- - ringosc.dstage\[9\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 54400 ) N ;
- - ringosc.dstage\[9\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 62560 57120 ) FS ;
- - ringosc.dstage\[9\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 61180 57120 ) S ;
- - ringosc.ibufp00 sky130_fd_sc_hd__clkinv_2 + PLACED ( 21160 35360 ) FS ;
- - ringosc.ibufp01 sky130_fd_sc_hd__clkinv_8 + PLACED ( 19780 29920 ) FS ;
- - ringosc.ibufp10 sky130_fd_sc_hd__clkinv_2 + PLACED ( 12880 35360 ) S ;
- - ringosc.ibufp11 sky130_fd_sc_hd__clkinv_8 + PLACED ( 6900 35360 ) S ;
- - ringosc.iss.const1 sky130_fd_sc_hd__conb_1 + PLACED ( 23920 43520 ) N ;
- - ringosc.iss.ctrlen0 sky130_fd_sc_hd__or2_2 + PLACED ( 21160 38080 ) FN ;
- - ringosc.iss.delaybuf0 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 32200 35360 ) S ;
- - ringosc.iss.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 24380 38080 ) N ;
- - ringosc.iss.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 33580 38080 ) FN ;
- - ringosc.iss.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 22080 40800 ) FS ;
- - ringosc.iss.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 28520 38080 ) FN ;
- - ringosc.iss.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 31740 40800 ) S ;
- - ringosc.iss.reseten0 sky130_fd_sc_hd__einvp_1 + PLACED ( 18860 38080 ) N ;
+ - _176_ sky130_fd_sc_hd__inv_2 + PLACED ( 57500 48960 ) FN ;
+ - _177_ sky130_fd_sc_hd__inv_2 + PLACED ( 47380 48960 ) N ;
+ - _178_ sky130_fd_sc_hd__inv_2 + PLACED ( 47840 43520 ) FN ;
+ - _179_ sky130_fd_sc_hd__inv_2 + PLACED ( 40480 43520 ) N ;
+ - _180_ sky130_fd_sc_hd__inv_2 + PLACED ( 53820 43520 ) FN ;
+ - _181_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 60260 13600 ) S ;
+ - _182_ sky130_fd_sc_hd__xor2_2 + PLACED ( 61180 10880 ) FN ;
+ - _183_ sky130_fd_sc_hd__mux2_1 + PLACED ( 9660 35360 ) FS ;
+ - _184_ sky130_fd_sc_hd__mux2_1 + PLACED ( 17480 29920 ) FS ;
+ - _185_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23920 19040 ) S ;
+ - _186_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23460 5440 ) N ;
+ - _187_ sky130_fd_sc_hd__mux2_1 + PLACED ( 14260 10880 ) N ;
+ - _188_ sky130_fd_sc_hd__nand2_2 + PLACED ( 23460 35360 ) FS ;
+ - _189_ sky130_fd_sc_hd__or2_2 + PLACED ( 15640 38080 ) FN ;
+ - _190_ sky130_fd_sc_hd__and2_2 + PLACED ( 6900 35360 ) S ;
+ - _191_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15180 29920 ) S ;
+ - _192_ sky130_fd_sc_hd__inv_2 + PLACED ( 17020 27200 ) N ;
+ - _193_ sky130_fd_sc_hd__and2_2 + PLACED ( 22080 27200 ) FN ;
+ - _194_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 19040 ) S ;
+ - _195_ sky130_fd_sc_hd__or2_2 + PLACED ( 24840 24480 ) S ;
+ - _196_ sky130_fd_sc_hd__and2_2 + PLACED ( 25760 16320 ) N ;
+ - _197_ sky130_fd_sc_hd__and2_2 + PLACED ( 25300 13600 ) S ;
+ - _198_ sky130_fd_sc_hd__and2_2 + PLACED ( 18860 10880 ) N ;
+ - _199_ sky130_fd_sc_hd__nand2_2 + PLACED ( 11960 10880 ) FN ;
+ - _200_ sky130_fd_sc_hd__xor2_2 + PLACED ( 22080 10880 ) FN ;
+ - _201_ sky130_fd_sc_hd__a21o_2 + PLACED ( 16100 13600 ) FS ;
+ - _202_ sky130_fd_sc_hd__a211o_2 + PLACED ( 20240 16320 ) N ;
+ - _203_ sky130_fd_sc_hd__a31o_2 + PLACED ( 18860 27200 ) N ;
+ - _204_ sky130_fd_sc_hd__nor2_2 + PLACED ( 6900 27200 ) N ;
+ - _205_ sky130_fd_sc_hd__and2_2 + PLACED ( 12420 29920 ) FS ;
+ - _206_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 24480 ) S ;
+ - _207_ sky130_fd_sc_hd__o211a_2 + PLACED ( 8740 29920 ) FS ;
+ - _208_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28520 16320 ) N ;
+ - _209_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 12420 16320 ) FN ;
+ - _210_ sky130_fd_sc_hd__or2_2 + PLACED ( 22080 24480 ) FS ;
+ - _211_ sky130_fd_sc_hd__nand3_2 + PLACED ( 18400 24480 ) FS ;
+ - _212_ sky130_fd_sc_hd__a21o_2 + PLACED ( 15180 24480 ) FS ;
+ - _213_ sky130_fd_sc_hd__a32o_2 + PLACED ( 13340 21760 ) N ;
+ - _214_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 11960 24480 ) S ;
+ - _215_ sky130_fd_sc_hd__a21o_2 + PLACED ( 13340 27200 ) N ;
+ - _216_ sky130_fd_sc_hd__nor2_2 + PLACED ( 16100 19040 ) S ;
+ - _217_ sky130_fd_sc_hd__or3_2 + PLACED ( 9200 24480 ) S ;
+ - _218_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 19320 13600 ) S ;
+ - _219_ sky130_fd_sc_hd__nand2_2 + PLACED ( 9660 10880 ) FN ;
+ - _220_ sky130_fd_sc_hd__or2_2 + PLACED ( 16100 5440 ) FN ;
+ - _221_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 13600 ) S ;
+ - _222_ sky130_fd_sc_hd__o22a_2 + PLACED ( 7360 13600 ) FS ;
+ - _223_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 16320 ) N ;
+ - _224_ sky130_fd_sc_hd__a2111oi_2 + PLACED ( 7820 21760 ) FN ;
+ - _225_ sky130_fd_sc_hd__o21a_2 + PLACED ( 9200 27200 ) N ;
+ - _226_ sky130_fd_sc_hd__and2_2 + PLACED ( 13340 19040 ) FS ;
+ - _227_ sky130_fd_sc_hd__o31a_2 + PLACED ( 9660 19040 ) S ;
+ - _228_ sky130_fd_sc_hd__or2_2 + PLACED ( 51520 43520 ) FN ;
+ - _229_ sky130_fd_sc_hd__or2_2 + PLACED ( 57500 40800 ) FS ;
+ - _230_ sky130_fd_sc_hd__or2_2 + PLACED ( 38180 43520 ) N ;
+ - _231_ sky130_fd_sc_hd__nor2_2 + PLACED ( 29440 43520 ) FN ;
+ - _232_ sky130_fd_sc_hd__or2_2 + PLACED ( 39560 27200 ) FN ;
+ - _233_ sky130_fd_sc_hd__or4bb_2 + PLACED ( 44620 21760 ) N ;
+ - _234_ sky130_fd_sc_hd__and3_2 + PLACED ( 47380 16320 ) FN ;
+ - _235_ sky130_fd_sc_hd__and2_2 + PLACED ( 11040 13600 ) S ;
+ - _236_ sky130_fd_sc_hd__or3b_2 + PLACED ( 9200 16320 ) N ;
+ - _237_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 19040 ) S ;
+ - _238_ sky130_fd_sc_hd__and4_2 + PLACED ( 46000 19040 ) S ;
+ - _239_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43240 19040 ) S ;
+ - _240_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48760 46240 ) FS ;
+ - _241_ sky130_fd_sc_hd__nand2_2 + PLACED ( 49220 43520 ) FN ;
+ - _242_ sky130_fd_sc_hd__or4_2 + PLACED ( 44620 43520 ) N ;
+ - _243_ sky130_fd_sc_hd__o31a_2 + PLACED ( 52900 29920 ) S ;
+ - _244_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 35420 29920 ) S ;
+ - _245_ sky130_fd_sc_hd__nor2_2 + PLACED ( 44620 27200 ) FN ;
+ - _246_ sky130_fd_sc_hd__and2_2 + PLACED ( 38180 32640 ) FN ;
+ - _247_ sky130_fd_sc_hd__nor2_2 + PLACED ( 41860 27200 ) FN ;
+ - _248_ sky130_fd_sc_hd__nand2_2 + PLACED ( 31740 43520 ) FN ;
+ - _249_ sky130_fd_sc_hd__nor2_2 + PLACED ( 49220 35360 ) S ;
+ - _250_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 38640 40800 ) FS ;
+ - _251_ sky130_fd_sc_hd__a21bo_2 + PLACED ( 40480 38080 ) FN ;
+ - _252_ sky130_fd_sc_hd__a32o_2 + PLACED ( 44620 40800 ) FS ;
+ - _253_ sky130_fd_sc_hd__a32o_2 + PLACED ( 34500 35360 ) FS ;
+ - _254_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 30360 38080 ) FN ;
+ - _255_ sky130_fd_sc_hd__and2_2 + PLACED ( 31740 35360 ) S ;
+ - _256_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 34040 40800 ) FS ;
+ - _257_ sky130_fd_sc_hd__o22a_2 + PLACED ( 36340 38080 ) N ;
+ - _258_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 40940 32640 ) N ;
+ - _259_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 42780 29920 ) FS ;
+ - _260_ sky130_fd_sc_hd__mux2_1 + PLACED ( 48760 29920 ) FS ;
+ - _261_ sky130_fd_sc_hd__xor2_2 + PLACED ( 38640 35360 ) FS ;
+ - _262_ sky130_fd_sc_hd__mux2_1 + PLACED ( 46000 32640 ) FN ;
+ - _263_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 46000 35360 ) FS ;
+ - _264_ sky130_fd_sc_hd__o211a_2 + PLACED ( 51060 38080 ) FN ;
+ - _265_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 49220 40800 ) FS ;
+ - _266_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 45080 38080 ) N ;
+ - _267_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 47380 27200 ) N ;
+ - _268_ sky130_fd_sc_hd__nand2_2 + PLACED ( 44160 24480 ) S ;
+ - _269_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 34040 24480 ) FS ;
+ - _270_ sky130_fd_sc_hd__mux2_1 + PLACED ( 40020 24480 ) FS ;
+ - _271_ sky130_fd_sc_hd__nand2_2 + PLACED ( 52900 19040 ) S ;
+ - _272_ sky130_fd_sc_hd__o21a_2 + PLACED ( 49680 19040 ) S ;
+ - _273_ sky130_fd_sc_hd__and3_2 + PLACED ( 28520 13600 ) FS ;
+ - _274_ sky130_fd_sc_hd__and2_2 + PLACED ( 29440 27200 ) FN ;
+ - _275_ sky130_fd_sc_hd__o21a_2 + PLACED ( 26220 27200 ) N ;
+ - _276_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 27600 24480 ) FS ;
+ - _277_ sky130_fd_sc_hd__o211a_2 + PLACED ( 28520 21760 ) N ;
+ - _278_ sky130_fd_sc_hd__nand2_2 + PLACED ( 31740 24480 ) FS ;
+ - _279_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 31740 13600 ) FS ;
+ - _280_ sky130_fd_sc_hd__or2_2 + PLACED ( 38180 13600 ) FS ;
+ - _281_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 34960 13600 ) FS ;
+ - _282_ sky130_fd_sc_hd__xnor2_2 + PLACED ( 33580 5440 ) N ;
+ - _283_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 37720 10880 ) N ;
+ - _284_ sky130_fd_sc_hd__nand3_2 + PLACED ( 27600 5440 ) N ;
+ - _285_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51520 13600 ) FS ;
+ - _286_ sky130_fd_sc_hd__mux2_1 + PLACED ( 44620 10880 ) N ;
+ - _287_ sky130_fd_sc_hd__or2_2 + PLACED ( 44620 5440 ) FN ;
+ - _288_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 43520 ) FN ;
+ - _289_ sky130_fd_sc_hd__a21o_2 + PLACED ( 18860 43520 ) FN ;
+ - _290_ sky130_fd_sc_hd__or3_2 + PLACED ( 44620 48960 ) FN ;
+ - _291_ sky130_fd_sc_hd__nor2_2 + PLACED ( 44620 51680 ) S ;
+ - _292_ sky130_fd_sc_hd__nand2_2 + PLACED ( 41860 48960 ) FN ;
+ - _293_ sky130_fd_sc_hd__and2b_2 + PLACED ( 31740 51680 ) S ;
+ - _294_ sky130_fd_sc_hd__and3_2 + PLACED ( 28520 51680 ) S ;
+ - _295_ sky130_fd_sc_hd__a21o_2 + PLACED ( 15180 48960 ) FN ;
+ - _296_ sky130_fd_sc_hd__nor2_2 + PLACED ( 52900 48960 ) N ;
+ - _297_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 48960 ) N ;
+ - _298_ sky130_fd_sc_hd__or3_2 + PLACED ( 41400 51680 ) FS ;
+ - _299_ sky130_fd_sc_hd__a22o_2 + PLACED ( 6900 51680 ) S ;
+ - _300_ sky130_fd_sc_hd__a21o_2 + PLACED ( 12420 57120 ) S ;
+ - _301_ sky130_fd_sc_hd__o211a_2 + PLACED ( 27600 57120 ) S ;
+ - _302_ sky130_fd_sc_hd__a21o_2 + PLACED ( 7820 62560 ) S ;
+ - _303_ sky130_fd_sc_hd__or2_2 + PLACED ( 37260 57120 ) S ;
+ - _304_ sky130_fd_sc_hd__o211a_2 + PLACED ( 31280 54400 ) FN ;
+ - _305_ sky130_fd_sc_hd__a21o_2 + PLACED ( 14260 51680 ) S ;
+ - _306_ sky130_fd_sc_hd__a22o_2 + PLACED ( 19320 62560 ) S ;
+ - _307_ sky130_fd_sc_hd__or3_2 + PLACED ( 45080 46240 ) S ;
+ - _308_ sky130_fd_sc_hd__or2_2 + PLACED ( 36340 62560 ) S ;
+ - _309_ sky130_fd_sc_hd__a22o_2 + PLACED ( 33120 65280 ) FN ;
+ - _310_ sky130_fd_sc_hd__a22o_2 + PLACED ( 32660 62560 ) FS ;
+ - _311_ sky130_fd_sc_hd__o22a_2 + PLACED ( 33580 57120 ) FS ;
+ - _312_ sky130_fd_sc_hd__a22o_2 + PLACED ( 33580 59840 ) N ;
+ - _313_ sky130_fd_sc_hd__nand2b_2 + PLACED ( 21160 59840 ) N ;
+ - _314_ sky130_fd_sc_hd__o31a_2 + PLACED ( 32660 48960 ) FN ;
+ - _315_ sky130_fd_sc_hd__or2_2 + PLACED ( 34960 51680 ) S ;
+ - _316_ sky130_fd_sc_hd__a32o_2 + PLACED ( 27140 54400 ) N ;
+ - _317_ sky130_fd_sc_hd__a22o_2 + PLACED ( 28980 48960 ) N ;
+ - _318_ sky130_fd_sc_hd__or2_2 + PLACED ( 31740 40800 ) S ;
+ - _319_ sky130_fd_sc_hd__a22o_2 + PLACED ( 31740 46240 ) S ;
+ - _320_ sky130_fd_sc_hd__a211o_2 + PLACED ( 40480 46240 ) FS ;
+ - _321_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 36800 46240 ) FS ;
+ - _322_ sky130_fd_sc_hd__o211a_2 + PLACED ( 37720 48960 ) N ;
+ - _323_ sky130_fd_sc_hd__nand2_2 + PLACED ( 55200 48960 ) N ;
+ - _324_ sky130_fd_sc_hd__or2_2 + PLACED ( 47840 51680 ) FS ;
+ - _325_ sky130_fd_sc_hd__or2_2 + PLACED ( 54280 57120 ) S ;
+ - _326_ sky130_fd_sc_hd__a22o_2 + PLACED ( 37720 51680 ) S ;
+ - _327_ sky130_fd_sc_hd__or2_2 + PLACED ( 51520 46240 ) FS ;
+ - _328_ sky130_fd_sc_hd__or2_2 + PLACED ( 44620 59840 ) FN ;
+ - _329_ sky130_fd_sc_hd__a21o_2 + PLACED ( 39560 57120 ) FS ;
+ - _330_ sky130_fd_sc_hd__o211a_2 + PLACED ( 34960 54400 ) N ;
+ - _331_ sky130_fd_sc_hd__o22a_2 + PLACED ( 40480 54400 ) FN ;
+ - _332_ sky130_fd_sc_hd__and3_2 + PLACED ( 37260 59840 ) N ;
+ - _333_ sky130_fd_sc_hd__and3_2 + PLACED ( 42780 57120 ) FS ;
+ - _334_ sky130_fd_sc_hd__a32o_2 + PLACED ( 48760 48960 ) N ;
+ - _335_ sky130_fd_sc_hd__o22a_2 + PLACED ( 46460 54400 ) FN ;
+ - _336_ sky130_fd_sc_hd__and3_2 + PLACED ( 46460 65280 ) N ;
+ - _337_ sky130_fd_sc_hd__a21o_2 + PLACED ( 53360 62560 ) S ;
+ - _338_ sky130_fd_sc_hd__o221a_2 + PLACED ( 47380 57120 ) FS ;
+ - _339_ sky130_fd_sc_hd__or2_2 + PLACED ( 52900 51680 ) FS ;
+ - _340_ sky130_fd_sc_hd__and3_2 + PLACED ( 51520 57120 ) FS ;
+ - _341_ sky130_fd_sc_hd__a32o_2 + PLACED ( 50600 59840 ) N ;
+ - _342_ sky130_fd_sc_hd__a22o_2 + PLACED ( 57500 51680 ) S ;
+ - _343_ sky130_fd_sc_hd__a22o_2 + PLACED ( 40020 65280 ) FN ;
+ - _344_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 57500 46240 ) S ;
+ - _345_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54740 46240 ) S ;
+ - _346_ sky130_fd_sc_hd__a32o_2 + PLACED ( 40020 59840 ) N ;
+ - _347_ sky130_fd_sc_hd__or3_2 + PLACED ( 50140 51680 ) FS ;
+ - _348_ sky130_fd_sc_hd__a22o_2 + PLACED ( 51520 54400 ) N ;
+ - _349_ sky130_fd_sc_hd__or2_2 + PLACED ( 57500 57120 ) FS ;
+ - _350_ sky130_fd_sc_hd__a32o_2 + PLACED ( 55200 54400 ) FN ;
+ - _351_ sky130_fd_sc_hd__a21o_2 + PLACED ( 59800 43520 ) N ;
+ - _352_ sky130_fd_sc_hd__and3b_2 + PLACED ( 58880 24480 ) FS ;
+ - _353_ sky130_fd_sc_hd__a21o_2 + PLACED ( 62100 19040 ) FS ;
+ - _354_ sky130_fd_sc_hd__a22o_2 + PLACED ( 56120 43520 ) N ;
+ - _355_ sky130_fd_sc_hd__nand2_2 + PLACED ( 18860 5440 ) FN ;
+ - _356_ sky130_fd_sc_hd__nor2_2 + PLACED ( 52440 8160 ) S ;
+ - _357_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48760 10880 ) FN ;
+ - _358_ sky130_fd_sc_hd__nor2_2 + PLACED ( 59800 16320 ) FN ;
+ - _359_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28980 8160 ) FS ;
+ - _360_ sky130_fd_sc_hd__nor2_2 + PLACED ( 39560 5440 ) FN ;
+ - _361_ sky130_fd_sc_hd__nor2_2 + PLACED ( 44620 16320 ) FN ;
+ - _362_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28980 19040 ) FS ;
+ - _363_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 35360 ) S ;
+ - _364_ sky130_fd_sc_hd__nor2_2 + PLACED ( 59800 19040 ) S ;
+ - _365_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32200 21760 ) N ;
+ - _366_ sky130_fd_sc_hd__nor2_2 + PLACED ( 61180 27200 ) FN ;
+ - _367_ sky130_fd_sc_hd__nor2_2 + PLACED ( 57500 29920 ) S ;
+ - _368_ sky130_fd_sc_hd__nor2_2 + PLACED ( 59800 29920 ) S ;
+ - _369_ sky130_fd_sc_hd__nor2_2 + PLACED ( 57500 19040 ) S ;
+ - _370_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32200 29920 ) FS ;
+ - _371_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54740 8160 ) S ;
+ - _372_ sky130_fd_sc_hd__nor2_2 + PLACED ( 62100 16320 ) N ;
+ - _373_ sky130_fd_sc_hd__nor2_2 + PLACED ( 57500 13600 ) FS ;
+ - _374_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7360 10880 ) N ;
+ - _375_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 5440 ) N ;
+ - _376_ sky130_fd_sc_hd__nor2_2 + PLACED ( 19320 19040 ) FS ;
+ - _377_ sky130_fd_sc_hd__nor2_2 + PLACED ( 18860 32640 ) FN ;
+ - _378_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13340 38080 ) FN ;
+ - _379_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 42780 8160 ) FS ;
+ - _380_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 41400 13600 ) FS ;
+ - _381_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 51520 10880 ) N ;
+ - _382_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 28060 10880 ) N ;
+ - _383_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 33120 8160 ) S ;
+ - _384_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 34500 16320 ) FN ;
+ - _385_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 19040 ) S ;
+ - _386_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 21620 29920 ) FS ;
+ - _387_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 50140 16320 ) N ;
+ - _388_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 34500 21760 ) N ;
+ - _389_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 51060 21760 ) N ;
+ - _390_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 51520 27200 ) N ;
+ - _391_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 50140 32640 ) N ;
+ - _392_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 47380 24480 ) FS ;
+ - _393_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 28060 32640 ) N ;
+ - _394_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 47380 5440 ) N ;
+ - _395_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 5440 ) N ;
+ - _396_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 8160 ) FS ;
+ - _397_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 8280 8160 ) S ;
+ - _398_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 18860 8160 ) FS ;
+ - _399_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 18860 21760 ) FN ;
+ - _400_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 13800 35360 ) FS ;
+ - _401_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7360 32640 ) N ;
+ - clockp_buffer_0 sky130_fd_sc_hd__clkbuf_16 + PLACED ( 6900 5440 ) FN ;
+ - clockp_buffer_1 sky130_fd_sc_hd__clkbuf_16 + PLACED ( 7360 40800 ) FS ;
+ - ringosc.dstage\[0\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 28520 38080 ) N ;
+ - ringosc.dstage\[0\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23920 38080 ) FN ;
+ - ringosc.dstage\[0\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 20700 38080 ) N ;
+ - ringosc.dstage\[0\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 25300 38080 ) FN ;
+ - ringosc.dstage\[0\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 17940 40800 ) FS ;
+ - ringosc.dstage\[0\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 26220 40800 ) S ;
+ - ringosc.dstage\[0\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 22080 43520 ) FN ;
+ - ringosc.dstage\[10\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 65320 54400 ) FN ;
+ - ringosc.dstage\[10\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 60260 40800 ) S ;
+ - ringosc.dstage\[10\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 64400 51680 ) FS ;
+ - ringosc.dstage\[10\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 61180 51680 ) FS ;
+ - ringosc.dstage\[10\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 59340 48960 ) N ;
+ - ringosc.dstage\[10\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 60720 46240 ) FS ;
+ - ringosc.dstage\[10\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 55660 51680 ) S ;
+ - ringosc.dstage\[11\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 65780 46240 ) FS ;
+ - ringosc.dstage\[11\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 66700 21760 ) N ;
+ - ringosc.dstage\[11\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 63480 29920 ) FS ;
+ - ringosc.dstage\[11\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 63480 21760 ) N ;
+ - ringosc.dstage\[11\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 59800 32640 ) N ;
+ - ringosc.dstage\[11\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 63020 24480 ) FS ;
+ - ringosc.dstage\[11\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 65780 27200 ) N ;
+ - ringosc.dstage\[1\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 24380 48960 ) N ;
+ - ringosc.dstage\[1\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 29900 46240 ) FS ;
+ - ringosc.dstage\[1\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 20240 48960 ) N ;
+ - ringosc.dstage\[1\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 26680 46240 ) S ;
+ - ringosc.dstage\[1\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 18400 46240 ) FS ;
+ - ringosc.dstage\[1\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 23460 43520 ) FN ;
+ - ringosc.dstage\[1\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 25300 51680 ) S ;
+ - ringosc.dstage\[2\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 16560 46240 ) S ;
+ - ringosc.dstage\[2\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 43520 ) N ;
+ - ringosc.dstage\[2\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 8740 48960 ) N ;
+ - ringosc.dstage\[2\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 11960 48960 ) N ;
+ - ringosc.dstage\[2\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 7820 46240 ) FS ;
+ - ringosc.dstage\[2\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 11500 43520 ) N ;
+ - ringosc.dstage\[2\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 18860 48960 ) N ;
+ - ringosc.dstage\[3\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 11500 51680 ) S ;
+ - ringosc.dstage\[3\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 59840 ) N ;
+ - ringosc.dstage\[3\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 9200 57120 ) S ;
+ - ringosc.dstage\[3\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 7820 65280 ) N ;
+ - ringosc.dstage\[3\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 6900 54400 ) N ;
+ - ringosc.dstage\[3\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 6900 59840 ) N ;
+ - ringosc.dstage\[3\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 7820 57120 ) S ;
+ - ringosc.dstage\[4\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 18860 59840 ) N ;
+ - ringosc.dstage\[4\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 65280 ) N ;
+ - ringosc.dstage\[4\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11960 65280 ) N ;
+ - ringosc.dstage\[4\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 15180 65280 ) N ;
+ - ringosc.dstage\[4\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 11040 62560 ) FS ;
+ - ringosc.dstage\[4\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 13340 59840 ) N ;
+ - ringosc.dstage\[4\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 20240 65280 ) N ;
+ - ringosc.dstage\[5\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 18400 57120 ) FS ;
+ - ringosc.dstage\[5\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 26680 51680 ) FS ;
+ - ringosc.dstage\[5\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 15180 54400 ) N ;
+ - ringosc.dstage\[5\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 21160 57120 ) S ;
+ - ringosc.dstage\[5\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 18860 54400 ) N ;
+ - ringosc.dstage\[5\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 20240 51680 ) S ;
+ - ringosc.dstage\[5\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 18860 51680 ) S ;
+ - ringosc.dstage\[6\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 25300 57120 ) FS ;
+ - ringosc.dstage\[6\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 65280 ) N ;
+ - ringosc.dstage\[6\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 29440 59840 ) FN ;
+ - ringosc.dstage\[6\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 25300 65280 ) N ;
+ - ringosc.dstage\[6\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 23000 62560 ) FS ;
+ - ringosc.dstage\[6\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 24380 59840 ) N ;
+ - ringosc.dstage\[6\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 23920 65280 ) FN ;
+ - ringosc.dstage\[7\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 29440 65280 ) N ;
+ - ringosc.dstage\[7\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 65280 ) N ;
+ - ringosc.dstage\[7\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 46920 59840 ) N ;
+ - ringosc.dstage\[7\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 36800 65280 ) N ;
+ - ringosc.dstage\[7\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 45080 62560 ) FS ;
+ - ringosc.dstage\[7\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 39100 62560 ) FS ;
+ - ringosc.dstage\[7\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 49220 65280 ) N ;
+ - ringosc.dstage\[8\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 53820 65280 ) N ;
+ - ringosc.dstage\[8\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 55660 65280 ) FN ;
+ - ringosc.dstage\[8\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 60720 65280 ) N ;
+ - ringosc.dstage\[8\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 57500 65280 ) FN ;
+ - ringosc.dstage\[8\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 62560 ) FS ;
+ - ringosc.dstage\[8\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 54740 59840 ) N ;
+ - ringosc.dstage\[8\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 52440 65280 ) FN ;
+ - ringosc.dstage\[9\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 65780 62560 ) S ;
+ - ringosc.dstage\[9\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 64400 65280 ) N ;
+ - ringosc.dstage\[9\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 63940 57120 ) FS ;
+ - ringosc.dstage\[9\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 60720 57120 ) FS ;
+ - ringosc.dstage\[9\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 59800 59840 ) N ;
+ - ringosc.dstage\[9\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 60260 54400 ) N ;
+ - ringosc.dstage\[9\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 65780 65280 ) N ;
+ - ringosc.ibufp00 sky130_fd_sc_hd__clkinv_2 + PLACED ( 25760 35360 ) S ;
+ - ringosc.ibufp01 sky130_fd_sc_hd__clkinv_8 + PLACED ( 22080 32640 ) FN ;
+ - ringosc.ibufp10 sky130_fd_sc_hd__clkinv_2 + PLACED ( 8280 43520 ) FN ;
+ - ringosc.ibufp11 sky130_fd_sc_hd__clkinv_8 + PLACED ( 6900 38080 ) N ;
+ - ringosc.iss.const1 sky130_fd_sc_hd__conb_1 + PLACED ( 64400 35360 ) FS ;
+ - ringosc.iss.ctrlen0 sky130_fd_sc_hd__or2_2 + PLACED ( 56580 38080 ) N ;
+ - ringosc.iss.delaybuf0 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 66240 43520 ) N ;
+ - ringosc.iss.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 58880 35360 ) FS ;
+ - ringosc.iss.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 63020 43520 ) N ;
+ - ringosc.iss.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 58880 38080 ) N ;
+ - ringosc.iss.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 61640 40800 ) FS ;
+ - ringosc.iss.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 66700 40800 ) FS ;
+ - ringosc.iss.reseten0 sky130_fd_sc_hd__einvp_1 + PLACED ( 62100 35360 ) FS ;
END COMPONENTS
PINS 39 ;
- - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE GROUND
+ - VGND + NET VGND + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
- + LAYER met4 ( -800 -31520 ) ( 800 31520 )
- + LAYER met5 ( -36320 4330 ) ( 27620 5930 )
- + FIXED ( 41840 36720 ) N ;
- - VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE POWER
+ + LAYER met5 ( -32210 -800 ) ( 32210 800 )
+ + LAYER met4 ( 3550 -36650 ) ( 5150 26390 )
+ + FIXED ( 37490 41850 ) N ;
+ - VPWR + NET VPWR + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
- + LAYER met4 ( -800 -31520 ) ( 800 31520 )
- + LAYER met4 ( -40800 -31520 ) ( -39200 31520 )
- + LAYER met5 ( -56320 24330 ) ( 7620 25930 )
- + LAYER met5 ( -56320 -15670 ) ( 7620 -14070 )
- + FIXED ( 61840 36720 ) N ;
+ + LAYER met5 ( -32210 -800 ) ( 32210 800 )
+ + LAYER met5 ( -32210 -40800 ) ( 32210 -39200 )
+ + LAYER met4 ( 23550 -56650 ) ( 25150 6390 )
+ + LAYER met4 ( -16450 -56650 ) ( -14850 6390 )
+ + FIXED ( 37490 61850 ) N ;
- clockp[0] + NET clockp[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
@@ -844,46 +830,7 @@ PINS 39 ;
END PINS
SPECIALNETS 2 ;
- VGND ( PIN VGND ) ( * VNB ) ( * VGND ) + USE GROUND
- + ROUTED met3 0 + SHAPE STRIPE ( 41840 65280 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 65280 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 65280 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 59840 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 59840 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 59840 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 54400 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 54400 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 54400 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 48960 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 48960 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 48960 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 43520 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 43520 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 43520 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 38080 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 38080 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 38080 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 32640 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 32640 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 32640 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 27200 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 27200 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 27200 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 21760 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 21760 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 21760 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 16320 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 16320 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 16320 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 10880 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 10880 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 10880 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 41840 5440 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 41840 5440 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 41840 5440 ) via_1600x480
- NEW met4 0 + SHAPE STRIPE ( 41840 41850 ) via4_1600x1600
- NEW met5 1600 + SHAPE STRIPE ( 5520 41850 ) ( 69460 41850 )
- NEW met4 1600 + SHAPE STRIPE ( 41840 5200 ) ( 41840 68240 )
- NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 69460 65280 )
+ + ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 69460 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 69460 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 69460 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 69460 48960 )
@@ -894,89 +841,72 @@ SPECIALNETS 2 ;
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 69460 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 69460 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 69460 10880 )
- NEW met1 480 + SHAPE FOLLOWPIN ( 5520 5440 ) ( 69460 5440 ) ;
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 5440 ) ( 69460 5440 )
+ NEW met5 1600 + SHAPE STRIPE ( 5280 41850 ) ( 69700 41850 )
+ NEW met4 1600 + SHAPE STRIPE ( 41840 5200 ) ( 41840 68240 )
+ NEW met4 0 + SHAPE STRIPE ( 41840 41850 ) via5_6_1600_1600_1_1_1600_1600
+ NEW met3 330 + SHAPE STRIPE ( 41050 65280 ) ( 42630 65280 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 65280 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 65280 ) ( 42610 65280 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 65280 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 65280 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 59840 ) ( 42630 59840 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 59840 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 59840 ) ( 42610 59840 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 59840 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 59840 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 54400 ) ( 42630 54400 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 54400 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 54400 ) ( 42610 54400 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 54400 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 54400 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 48960 ) ( 42630 48960 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 48960 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 48960 ) ( 42610 48960 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 48960 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 48960 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 43520 ) ( 42630 43520 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 43520 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 43520 ) ( 42610 43520 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 43520 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 43520 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 38080 ) ( 42630 38080 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 38080 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 38080 ) ( 42610 38080 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 38080 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 38080 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 32640 ) ( 42630 32640 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 32640 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 32640 ) ( 42610 32640 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 32640 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 32640 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 27200 ) ( 42630 27200 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 27200 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 27200 ) ( 42610 27200 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 27200 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 27200 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 21760 ) ( 42630 21760 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 21760 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 21760 ) ( 42610 21760 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 21760 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 21760 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 16320 ) ( 42630 16320 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 16320 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 16320 ) ( 42610 16320 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 16320 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 16320 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 10880 ) ( 42630 10880 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 10880 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 10880 ) ( 42610 10880 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 10880 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 10880 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 41050 5440 ) ( 42630 5440 )
+ NEW met3 0 + SHAPE STRIPE ( 41840 5440 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 41070 5440 ) ( 42610 5440 )
+ NEW met2 0 + SHAPE STRIPE ( 41840 5440 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 41840 5440 ) via2_3_1600_480_1_5_320_320 ;
- VPWR ( PIN VPWR ) ( * VPB ) ( * VPWR ) + USE POWER
- + ROUTED met3 0 + SHAPE STRIPE ( 61840 68000 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 68000 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 68000 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 62560 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 62560 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 62560 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 57120 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 57120 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 57120 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 51680 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 51680 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 51680 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 46240 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 46240 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 46240 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 40800 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 40800 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 40800 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 35360 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 35360 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 35360 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 29920 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 29920 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 29920 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 24480 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 24480 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 24480 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 19040 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 19040 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 19040 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 13600 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 13600 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 13600 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 61840 8160 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 61840 8160 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 61840 8160 ) via_1600x480
- NEW met3 0 + SHAPE STRIPE ( 21840 8160 ) via3_1600x480
- NEW met2 0 + SHAPE STRIPE ( 21840 8160 ) via2_1600x480
- NEW met1 0 + SHAPE STRIPE ( 21840 8160 ) via_1600x480
- NEW met4 0 + SHAPE STRIPE ( 61840 61850 ) via4_1600x1600
- NEW met4 0 + SHAPE STRIPE ( 21840 61850 ) via4_1600x1600
- NEW met4 0 + SHAPE STRIPE ( 61840 21850 ) via4_1600x1600
- NEW met4 0 + SHAPE STRIPE ( 21840 21850 ) via4_1600x1600
- NEW met5 1600 + SHAPE STRIPE ( 5520 61850 ) ( 69460 61850 )
- NEW met5 1600 + SHAPE STRIPE ( 5520 21850 ) ( 69460 21850 )
- NEW met4 1600 + SHAPE STRIPE ( 61840 5200 ) ( 61840 68240 )
- NEW met4 1600 + SHAPE STRIPE ( 21840 5200 ) ( 21840 68240 )
- NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 69460 68000 )
+ + ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 69460 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 69460 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 69460 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 69460 51680 )
@@ -987,5069 +917,5664 @@ SPECIALNETS 2 ;
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 69460 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 69460 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 69460 13600 )
- NEW met1 480 + SHAPE FOLLOWPIN ( 5520 8160 ) ( 69460 8160 ) ;
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 8160 ) ( 69460 8160 )
+ NEW met5 1600 + SHAPE STRIPE ( 5280 61850 ) ( 69700 61850 )
+ NEW met5 1600 + SHAPE STRIPE ( 5280 21850 ) ( 69700 21850 )
+ NEW met4 1600 + SHAPE STRIPE ( 61840 5200 ) ( 61840 68240 )
+ NEW met4 1600 + SHAPE STRIPE ( 21840 5200 ) ( 21840 68240 )
+ NEW met4 0 + SHAPE STRIPE ( 61840 61850 ) via5_6_1600_1600_1_1_1600_1600
+ NEW met4 0 + SHAPE STRIPE ( 61840 21850 ) via5_6_1600_1600_1_1_1600_1600
+ NEW met4 0 + SHAPE STRIPE ( 21840 61850 ) via5_6_1600_1600_1_1_1600_1600
+ NEW met4 0 + SHAPE STRIPE ( 21840 21850 ) via5_6_1600_1600_1_1_1600_1600
+ NEW met3 330 + SHAPE STRIPE ( 61050 68000 ) ( 62630 68000 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 68000 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 68000 ) ( 62610 68000 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 68000 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 68000 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 62560 ) ( 62630 62560 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 62560 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 62560 ) ( 62610 62560 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 62560 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 62560 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 57120 ) ( 62630 57120 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 57120 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 57120 ) ( 62610 57120 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 57120 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 57120 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 51680 ) ( 62630 51680 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 51680 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 51680 ) ( 62610 51680 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 51680 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 51680 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 46240 ) ( 62630 46240 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 46240 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 46240 ) ( 62610 46240 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 46240 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 46240 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 40800 ) ( 62630 40800 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 40800 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 40800 ) ( 62610 40800 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 40800 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 40800 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 35360 ) ( 62630 35360 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 35360 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 35360 ) ( 62610 35360 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 35360 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 35360 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 29920 ) ( 62630 29920 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 29920 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 29920 ) ( 62610 29920 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 29920 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 29920 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 24480 ) ( 62630 24480 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 24480 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 24480 ) ( 62610 24480 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 24480 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 24480 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 19040 ) ( 62630 19040 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 19040 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 19040 ) ( 62610 19040 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 19040 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 19040 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 13600 ) ( 62630 13600 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 13600 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 13600 ) ( 62610 13600 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 13600 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 13600 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 61050 8160 ) ( 62630 8160 )
+ NEW met3 0 + SHAPE STRIPE ( 61840 8160 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 61070 8160 ) ( 62610 8160 )
+ NEW met2 0 + SHAPE STRIPE ( 61840 8160 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 61840 8160 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 68000 ) ( 22630 68000 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 68000 ) ( 22610 68000 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 62560 ) ( 22630 62560 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 62560 ) ( 22610 62560 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 57120 ) ( 22630 57120 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 57120 ) ( 22610 57120 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 51680 ) ( 22630 51680 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 51680 ) ( 22610 51680 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 46240 ) ( 22630 46240 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 46240 ) ( 22610 46240 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 40800 ) ( 22630 40800 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 40800 ) ( 22610 40800 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 35360 ) ( 22630 35360 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 35360 ) ( 22610 35360 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 29920 ) ( 22630 29920 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 29920 ) ( 22610 29920 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 24480 ) ( 22630 24480 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 24480 ) ( 22610 24480 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 19040 ) ( 22630 19040 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 19040 ) ( 22610 19040 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 13600 ) ( 22630 13600 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 13600 ) ( 22610 13600 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via2_3_1600_480_1_5_320_320
+ NEW met3 330 + SHAPE STRIPE ( 21050 8160 ) ( 22630 8160 )
+ NEW met3 0 + SHAPE STRIPE ( 21840 8160 ) via4_5_1600_480_1_4_400_400
+ NEW met2 370 + SHAPE STRIPE ( 21070 8160 ) ( 22610 8160 )
+ NEW met2 0 + SHAPE STRIPE ( 21840 8160 ) via3_4_1600_480_1_4_400_400
+ NEW met1 0 + SHAPE STRIPE ( 21840 8160 ) via2_3_1600_480_1_5_320_320 ;
END SPECIALNETS
-NETS 320 ;
- - _000_ ( _329_ A1 ) ( _304_ C1 ) ( _293_ C1 ) ( _232_ B ) ( _231_ X ) + USE SIGNAL
- + ROUTED met2 ( 52210 53210 ) ( * 58650 )
- NEW met1 ( 52210 58650 ) ( 53590 * )
- NEW met1 ( 54050 48110 ) ( * 48450 )
- NEW met1 ( 52210 48450 ) ( 54050 * )
- NEW met2 ( 52210 48450 ) ( * 53210 )
- NEW met2 ( 52210 42670 ) ( * 48450 )
- NEW met1 ( 49910 42330 ) ( 50370 * )
- NEW met1 ( 50370 42330 ) ( * 42670 )
- NEW met1 ( 50370 42670 ) ( 52210 * )
- NEW li1 ( 52210 53210 ) L1M1_PR_MR
- NEW met1 ( 52210 53210 ) M1M2_PR
- NEW met1 ( 52210 58650 ) M1M2_PR
- NEW li1 ( 53590 58650 ) L1M1_PR_MR
- NEW li1 ( 54050 48110 ) L1M1_PR_MR
- NEW met1 ( 52210 48450 ) M1M2_PR
- NEW li1 ( 52210 42670 ) L1M1_PR_MR
- NEW met1 ( 52210 42670 ) M1M2_PR
- NEW li1 ( 49910 42330 ) L1M1_PR_MR
- NEW met1 ( 52210 53210 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 52210 42670 ) RECT ( -355 -70 0 70 ) ;
- - _001_ ( _352_ A0 ) ( _233_ C ) ( _232_ X ) + USE SIGNAL
- + ROUTED met2 ( 50830 38930 ) ( * 41310 )
- NEW met2 ( 48530 17510 ) ( * 38930 )
- NEW met2 ( 42090 38930 ) ( * 39100 )
- NEW met3 ( 8970 39100 ) ( 42090 * )
- NEW met2 ( 8970 38930 ) ( * 39100 )
- NEW met1 ( 42090 38930 ) ( 50830 * )
- NEW li1 ( 50830 41310 ) L1M1_PR_MR
- NEW met1 ( 50830 41310 ) M1M2_PR
- NEW met1 ( 50830 38930 ) M1M2_PR
- NEW li1 ( 48530 17510 ) L1M1_PR_MR
- NEW met1 ( 48530 17510 ) M1M2_PR
- NEW met1 ( 48530 38930 ) M1M2_PR
- NEW met1 ( 42090 38930 ) M1M2_PR
- NEW met2 ( 42090 39100 ) M2M3_PR_M
- NEW met2 ( 8970 39100 ) M2M3_PR_M
- NEW li1 ( 8970 38930 ) L1M1_PR_MR
- NEW met1 ( 8970 38930 ) M1M2_PR
- NEW met1 ( 50830 41310 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 48530 17510 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 48530 38930 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 8970 38930 ) RECT ( -355 -70 0 70 ) ;
- - _002_ ( _350_ A0 ) ( _289_ X ) + USE SIGNAL
- + ROUTED met1 ( 9430 44710 ) ( 13800 * )
- NEW met1 ( 13800 44710 ) ( * 45730 )
- NEW met1 ( 13800 45730 ) ( 31510 * )
- NEW li1 ( 9430 44710 ) L1M1_PR_MR
- NEW li1 ( 31510 45730 ) L1M1_PR_MR ;
- - _003_ ( _348_ A0 ) ( _289_ B1 ) ( _287_ B1 ) ( _286_ B1 ) ( _285_ B1 ) ( _284_ X ) + USE SIGNAL
- + ROUTED met1 ( 8970 52870 ) ( * 53210 )
- NEW met1 ( 8970 52870 ) ( 13800 * )
- NEW met1 ( 29670 50150 ) ( 30130 * )
- NEW met2 ( 29670 50150 ) ( * 52530 )
- NEW met1 ( 13800 52530 ) ( 29670 * )
- NEW met1 ( 13800 52530 ) ( * 52870 )
- NEW met1 ( 36110 49810 ) ( * 50150 )
- NEW met1 ( 30130 49810 ) ( 36110 * )
- NEW met1 ( 30130 49810 ) ( * 50150 )
- NEW met2 ( 33810 47770 ) ( * 49810 )
- NEW met2 ( 33810 44710 ) ( * 47770 )
- NEW met1 ( 33810 57630 ) ( 34270 * )
- NEW met2 ( 33810 49810 ) ( * 57630 )
- NEW li1 ( 8970 53210 ) L1M1_PR_MR
- NEW li1 ( 30130 50150 ) L1M1_PR_MR
- NEW met1 ( 29670 50150 ) M1M2_PR
- NEW met1 ( 29670 52530 ) M1M2_PR
- NEW li1 ( 36110 50150 ) L1M1_PR_MR
- NEW li1 ( 33810 47770 ) L1M1_PR_MR
- NEW met1 ( 33810 47770 ) M1M2_PR
- NEW met1 ( 33810 49810 ) M1M2_PR
- NEW li1 ( 33810 44710 ) L1M1_PR_MR
- NEW met1 ( 33810 44710 ) M1M2_PR
- NEW li1 ( 34270 57630 ) L1M1_PR_MR
- NEW met1 ( 33810 57630 ) M1M2_PR
- NEW met1 ( 33810 47770 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 33810 49810 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 33810 44710 ) RECT ( 0 -70 355 70 ) ;
- - _004_ ( _346_ A0 ) ( _288_ B ) ( _284_ B1 ) ( _283_ B1 ) ( _282_ B1 ) ( _281_ B1 ) ( _279_ B )
- ( _278_ X ) + USE SIGNAL
- + ROUTED met1 ( 38410 48110 ) ( 38870 * )
- NEW met1 ( 32890 58650 ) ( 33350 * )
- NEW met2 ( 32890 58650 ) ( * 58820 )
- NEW met3 ( 8970 58820 ) ( 32890 * )
- NEW met2 ( 8970 58820 ) ( * 58990 )
- NEW met1 ( 31510 55590 ) ( * 55600 )
- NEW met1 ( 31510 55600 ) ( 31970 * )
- NEW met1 ( 31970 55590 ) ( * 55600 )
- NEW met1 ( 31970 55590 ) ( 32430 * )
- NEW met2 ( 32430 55590 ) ( * 58650 )
- NEW met2 ( 32430 58650 ) ( 32890 * )
- NEW met1 ( 30590 53210 ) ( 32430 * )
- NEW met2 ( 32430 53210 ) ( * 55590 )
- NEW met1 ( 32430 53550 ) ( 33810 * )
- NEW met1 ( 32430 53210 ) ( * 53550 )
- NEW met1 ( 37030 53210 ) ( * 53230 )
- NEW met1 ( 37030 53230 ) ( 37490 * )
- NEW met1 ( 37490 52870 ) ( * 53230 )
- NEW met1 ( 33810 52870 ) ( 37490 * )
- NEW met1 ( 33810 52870 ) ( * 53550 )
- NEW met1 ( 39330 53210 ) ( 42090 * )
- NEW met1 ( 39330 53210 ) ( * 53550 )
- NEW met1 ( 37490 53550 ) ( 39330 * )
- NEW met1 ( 37490 53230 ) ( * 53550 )
- NEW met2 ( 38870 48110 ) ( * 53550 )
- NEW li1 ( 38410 48110 ) L1M1_PR_MR
- NEW met1 ( 38870 48110 ) M1M2_PR
- NEW li1 ( 33350 58650 ) L1M1_PR_MR
- NEW met1 ( 32890 58650 ) M1M2_PR
- NEW met2 ( 32890 58820 ) M2M3_PR_M
- NEW met2 ( 8970 58820 ) M2M3_PR_M
- NEW li1 ( 8970 58990 ) L1M1_PR_MR
- NEW met1 ( 8970 58990 ) M1M2_PR
- NEW li1 ( 31510 55590 ) L1M1_PR_MR
- NEW met1 ( 32430 55590 ) M1M2_PR
- NEW li1 ( 30590 53210 ) L1M1_PR_MR
- NEW met1 ( 32430 53210 ) M1M2_PR
- NEW li1 ( 33810 53550 ) L1M1_PR_MR
- NEW li1 ( 37030 53210 ) L1M1_PR_MR
- NEW li1 ( 42090 53210 ) L1M1_PR_MR
- NEW met1 ( 38870 53550 ) M1M2_PR
- NEW met1 ( 8970 58990 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 38870 53550 ) RECT ( -595 -70 0 70 ) ;
- - _005_ ( _344_ A0 ) ( _286_ X ) + USE SIGNAL
- + ROUTED met2 ( 28750 51170 ) ( * 60690 )
- NEW met1 ( 20930 60690 ) ( 28750 * )
- NEW li1 ( 28750 51170 ) L1M1_PR_MR
- NEW met1 ( 28750 51170 ) M1M2_PR
- NEW met1 ( 28750 60690 ) M1M2_PR
- NEW li1 ( 20930 60690 ) L1M1_PR_MR
- NEW met1 ( 28750 51170 ) RECT ( -355 -70 0 70 ) ;
- - _006_ ( _342_ A0 ) ( _283_ X ) + USE SIGNAL
- + ROUTED met1 ( 28290 56610 ) ( 29210 * )
- NEW met2 ( 28290 56610 ) ( * 58650 )
- NEW met1 ( 20930 58650 ) ( 28290 * )
- NEW li1 ( 29210 56610 ) L1M1_PR_MR
- NEW met1 ( 28290 56610 ) M1M2_PR
- NEW met1 ( 28290 58650 ) M1M2_PR
- NEW li1 ( 20930 58650 ) L1M1_PR_MR ;
- - _007_ ( _340_ A0 ) ( _279_ X ) + USE SIGNAL
- + ROUTED met2 ( 31970 53550 ) ( * 60350 )
- NEW met1 ( 29210 60350 ) ( 31970 * )
- NEW li1 ( 31970 53550 ) L1M1_PR_MR
- NEW met1 ( 31970 53550 ) M1M2_PR
- NEW met1 ( 31970 60350 ) M1M2_PR
- NEW li1 ( 29210 60350 ) L1M1_PR_MR
- NEW met1 ( 31970 53550 ) RECT ( -355 -70 0 70 ) ;
- - _008_ ( _338_ A0 ) ( _290_ X ) + USE SIGNAL
- + ROUTED met2 ( 36570 45390 ) ( * 65790 )
- NEW li1 ( 36570 65790 ) L1M1_PR_MR
- NEW met1 ( 36570 65790 ) M1M2_PR
- NEW li1 ( 36570 45390 ) L1M1_PR_MR
- NEW met1 ( 36570 45390 ) M1M2_PR
- NEW met1 ( 36570 65790 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 36570 45390 ) RECT ( -355 -70 0 70 ) ;
- - _009_ ( _336_ A0 ) ( _281_ X ) + USE SIGNAL
- + ROUTED met1 ( 34730 53890 ) ( 35190 * )
- NEW met2 ( 35190 53890 ) ( * 64090 )
- NEW li1 ( 35190 64090 ) L1M1_PR_MR
- NEW met1 ( 35190 64090 ) M1M2_PR
- NEW li1 ( 34730 53890 ) L1M1_PR_MR
- NEW met1 ( 35190 53890 ) M1M2_PR
- NEW met1 ( 35190 64090 ) RECT ( -355 -70 0 70 ) ;
- - _010_ ( _334_ A0 ) ( _285_ X ) + USE SIGNAL
- + ROUTED met2 ( 34730 51170 ) ( * 55250 )
- NEW met1 ( 34270 55250 ) ( 34730 * )
- NEW li1 ( 34730 51170 ) L1M1_PR_MR
- NEW met1 ( 34730 51170 ) M1M2_PR
- NEW met1 ( 34730 55250 ) M1M2_PR
- NEW li1 ( 34270 55250 ) L1M1_PR_MR
- NEW met1 ( 34730 51170 ) RECT ( -355 -70 0 70 ) ;
- - _011_ ( _332_ A0 ) ( _288_ X ) + USE SIGNAL
- + ROUTED met1 ( 39790 53550 ) ( 43930 * )
- NEW li1 ( 39790 53550 ) L1M1_PR_MR
- NEW li1 ( 43930 53550 ) L1M1_PR_MR ;
- - _012_ ( _330_ A0 ) ( _287_ X ) + USE SIGNAL
- + ROUTED met1 ( 25990 47770 ) ( 32430 * )
- NEW li1 ( 32430 47770 ) L1M1_PR_MR
- NEW li1 ( 25990 47770 ) L1M1_PR_MR ;
- - _013_ ( _354_ A0 ) ( _282_ X ) + USE SIGNAL
- + ROUTED met2 ( 25990 50150 ) ( * 52190 )
- NEW met1 ( 25990 52190 ) ( 28290 * )
- NEW li1 ( 25990 50150 ) L1M1_PR_MR
- NEW met1 ( 25990 50150 ) M1M2_PR
- NEW met1 ( 25990 52190 ) M1M2_PR
- NEW li1 ( 28290 52190 ) L1M1_PR_MR
- NEW met1 ( 25990 50150 ) RECT ( -355 -70 0 70 ) ;
- - _014_ ( _353_ A0 ) ( _291_ X ) + USE SIGNAL
- + ROUTED met1 ( 36110 42330 ) ( 38410 * )
- NEW li1 ( 36110 42330 ) L1M1_PR_MR
- NEW li1 ( 38410 42330 ) L1M1_PR_MR ;
- - _015_ ( _329_ A0 ) ( _292_ B ) ( _224_ C ) ( _223_ X ) + USE SIGNAL
- + ROUTED met1 ( 47610 44030 ) ( 48990 * )
- NEW met2 ( 48990 26010 ) ( * 44030 )
- NEW met1 ( 48990 26010 ) ( 52670 * )
- NEW met1 ( 51750 58990 ) ( 54050 * )
- NEW li1 ( 51750 57630 ) ( * 58990 )
- NEW met1 ( 48990 57630 ) ( 51750 * )
- NEW met2 ( 48990 44030 ) ( * 57630 )
- NEW met1 ( 54050 58650 ) ( 57730 * )
- NEW met1 ( 54050 58650 ) ( * 58990 )
- NEW li1 ( 47610 44030 ) L1M1_PR_MR
- NEW met1 ( 48990 44030 ) M1M2_PR
- NEW met1 ( 48990 26010 ) M1M2_PR
- NEW li1 ( 52670 26010 ) L1M1_PR_MR
- NEW li1 ( 54050 58990 ) L1M1_PR_MR
- NEW li1 ( 51750 58990 ) L1M1_PR_MR
- NEW li1 ( 51750 57630 ) L1M1_PR_MR
- NEW met1 ( 48990 57630 ) M1M2_PR
- NEW li1 ( 57730 58650 ) L1M1_PR_MR ;
- - _016_ ( _351_ A0 ) ( _298_ X ) + USE SIGNAL
- + ROUTED met1 ( 42090 50150 ) ( 44850 * )
- NEW li1 ( 42090 50150 ) L1M1_PR_MR
- NEW li1 ( 44850 50150 ) L1M1_PR_MR ;
- - _017_ ( _349_ A0 ) ( _296_ B1 ) ( _295_ X ) + USE SIGNAL
- + ROUTED met2 ( 50830 56610 ) ( * 58990 )
- NEW met1 ( 48070 58990 ) ( 50830 * )
- NEW met1 ( 48530 53210 ) ( 48990 * )
- NEW met2 ( 48530 53210 ) ( * 56610 )
- NEW met1 ( 48530 56610 ) ( 50830 * )
- NEW li1 ( 50830 56610 ) L1M1_PR_MR
- NEW met1 ( 50830 56610 ) M1M2_PR
- NEW met1 ( 50830 58990 ) M1M2_PR
- NEW li1 ( 48070 58990 ) L1M1_PR_MR
- NEW li1 ( 48990 53210 ) L1M1_PR_MR
- NEW met1 ( 48530 53210 ) M1M2_PR
- NEW met1 ( 48530 56610 ) M1M2_PR
- NEW met1 ( 50830 56610 ) RECT ( -355 -70 0 70 ) ;
- - _018_ ( _329_ X ) ( _299_ A ) + USE SIGNAL
- + ROUTED met2 ( 54510 55930 ) ( * 57630 )
- NEW met1 ( 54510 57630 ) ( 55890 * )
- NEW li1 ( 54510 55930 ) L1M1_PR_MR
- NEW met1 ( 54510 55930 ) M1M2_PR
- NEW met1 ( 54510 57630 ) M1M2_PR
- NEW li1 ( 55890 57630 ) L1M1_PR_MR
- NEW met1 ( 54510 55930 ) RECT ( -355 -70 0 70 ) ;
- - _019_ ( _347_ A0 ) ( _299_ X ) + USE SIGNAL
- + ROUTED met1 ( 56350 56610 ) ( 59570 * )
- NEW met2 ( 59570 56610 ) ( * 65790 )
- NEW li1 ( 59570 65790 ) L1M1_PR_MR
- NEW met1 ( 59570 65790 ) M1M2_PR
- NEW li1 ( 56350 56610 ) L1M1_PR_MR
- NEW met1 ( 59570 56610 ) M1M2_PR
- NEW met1 ( 59570 65790 ) RECT ( -355 -70 0 70 ) ;
- - _020_ ( _345_ A0 ) ( _300_ X ) + USE SIGNAL
- + ROUTED met1 ( 51750 51170 ) ( 53130 * )
- NEW met2 ( 53130 51170 ) ( * 65790 )
- NEW li1 ( 51750 51170 ) L1M1_PR_MR
- NEW met1 ( 53130 51170 ) M1M2_PR
- NEW li1 ( 53130 65790 ) L1M1_PR_MR
- NEW met1 ( 53130 65790 ) M1M2_PR
- NEW met1 ( 53130 65790 ) RECT ( -355 -70 0 70 ) ;
- - _021_ ( _343_ A0 ) ( _301_ Y ) + USE SIGNAL
- + ROUTED met1 ( 43930 55250 ) ( 46690 * )
- NEW li1 ( 43930 55250 ) L1M1_PR_MR
- NEW li1 ( 46690 55250 ) L1M1_PR_MR ;
- - _022_ ( _341_ A0 ) ( _299_ B ) ( _292_ Y ) + USE SIGNAL
- + ROUTED met2 ( 55430 55590 ) ( * 60350 )
- NEW met1 ( 54050 60350 ) ( 55430 * )
- NEW met1 ( 55430 57970 ) ( 58190 * )
- NEW li1 ( 55430 55590 ) L1M1_PR_MR
- NEW met1 ( 55430 55590 ) M1M2_PR
- NEW met1 ( 55430 60350 ) M1M2_PR
- NEW li1 ( 54050 60350 ) L1M1_PR_MR
- NEW li1 ( 58190 57970 ) L1M1_PR_MR
- NEW met1 ( 55430 57970 ) M1M2_PR
- NEW met1 ( 55430 55590 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 55430 57970 ) RECT ( -70 -485 70 0 ) ;
- - _023_ ( _339_ A0 ) ( _303_ X ) + USE SIGNAL
- + ROUTED met2 ( 45310 48110 ) ( * 60350 )
- NEW met1 ( 45310 60350 ) ( 47610 * )
- NEW li1 ( 45310 48110 ) L1M1_PR_MR
- NEW met1 ( 45310 48110 ) M1M2_PR
- NEW met1 ( 45310 60350 ) M1M2_PR
- NEW li1 ( 47610 60350 ) L1M1_PR_MR
- NEW met1 ( 45310 48110 ) RECT ( -355 -70 0 70 ) ;
- - _024_ ( _337_ A0 ) ( _295_ C1 ) ( _293_ X ) + USE SIGNAL
- + ROUTED met2 ( 55430 53890 ) ( * 54910 )
- NEW met1 ( 55430 54910 ) ( * 55250 )
- NEW met1 ( 54050 55250 ) ( 55430 * )
- NEW met1 ( 54050 55250 ) ( * 55590 )
- NEW met1 ( 55430 53210 ) ( 59570 * )
- NEW met2 ( 55430 53210 ) ( * 53890 )
- NEW li1 ( 55430 53890 ) L1M1_PR_MR
- NEW met1 ( 55430 53890 ) M1M2_PR
- NEW met1 ( 55430 54910 ) M1M2_PR
- NEW li1 ( 54050 55590 ) L1M1_PR_MR
- NEW li1 ( 59570 53210 ) L1M1_PR_MR
- NEW met1 ( 55430 53210 ) M1M2_PR
- NEW met1 ( 55430 53890 ) RECT ( -355 -70 0 70 ) ;
- - _025_ ( _335_ A0 ) ( _300_ B1 ) ( _298_ C1 ) ( _296_ X ) + USE SIGNAL
- + ROUTED met2 ( 53130 49980 ) ( * 50150 )
- NEW met3 ( 53130 49980 ) ( 65550 * )
- NEW met2 ( 65550 49810 ) ( * 49980 )
- NEW met1 ( 48530 50150 ) ( 53130 * )
- NEW met2 ( 48530 50150 ) ( * 52190 )
- NEW met1 ( 47610 52190 ) ( 48530 * )
- NEW li1 ( 47610 52190 ) L1M1_PR_MR
- NEW li1 ( 53130 50150 ) L1M1_PR_MR
- NEW met1 ( 53130 50150 ) M1M2_PR
- NEW met2 ( 53130 49980 ) M2M3_PR_M
- NEW met2 ( 65550 49980 ) M2M3_PR_M
- NEW li1 ( 65550 49810 ) L1M1_PR_MR
- NEW met1 ( 65550 49810 ) M1M2_PR
- NEW li1 ( 48530 50150 ) L1M1_PR_MR
- NEW met1 ( 48530 52190 ) M1M2_PR
- NEW met1 ( 48530 50150 ) M1M2_PR
- NEW met1 ( 53130 50150 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 65550 49810 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 48530 50150 ) RECT ( 0 -70 595 70 ) ;
- - _026_ ( _333_ A0 ) ( _326_ X ) + USE SIGNAL
- + ROUTED met2 ( 66010 33830 ) ( * 35870 )
- NEW li1 ( 66010 33830 ) L1M1_PR_MR
- NEW met1 ( 66010 33830 ) M1M2_PR
- NEW li1 ( 66010 35870 ) L1M1_PR_MR
- NEW met1 ( 66010 35870 ) M1M2_PR
- NEW met1 ( 66010 33830 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 66010 35870 ) RECT ( -355 -70 0 70 ) ;
- - _027_ ( _331_ A0 ) ( _303_ B1 ) ( _302_ X ) + USE SIGNAL
- + ROUTED met2 ( 52670 37230 ) ( * 44030 )
- NEW met1 ( 47610 47430 ) ( * 47770 )
- NEW met1 ( 47610 47430 ) ( 52670 * )
- NEW met2 ( 52670 44030 ) ( * 47430 )
- NEW met1 ( 52670 37230 ) ( 63250 * )
- NEW li1 ( 63250 37230 ) L1M1_PR_MR
- NEW li1 ( 52670 44030 ) L1M1_PR_MR
- NEW met1 ( 52670 44030 ) M1M2_PR
- NEW met1 ( 52670 37230 ) M1M2_PR
- NEW li1 ( 47610 47770 ) L1M1_PR_MR
- NEW met1 ( 52670 47430 ) M1M2_PR
- NEW met1 ( 52670 44030 ) RECT ( -355 -70 0 70 ) ;
- - _028_ ( _355_ A0 ) ( _304_ X ) + USE SIGNAL
- + ROUTED met2 ( 46690 39270 ) ( * 41310 )
- NEW li1 ( 46690 39270 ) L1M1_PR_MR
- NEW met1 ( 46690 39270 ) M1M2_PR
- NEW li1 ( 46690 41310 ) L1M1_PR_MR
- NEW met1 ( 46690 41310 ) M1M2_PR
- NEW met1 ( 46690 39270 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 46690 41310 ) RECT ( -355 -70 0 70 ) ;
- - _029_ ( _356_ RESET_B ) ( _325_ X ) + USE SIGNAL
- + ROUTED met2 ( 56810 7650 ) ( * 10030 )
- NEW met1 ( 56810 10030 ) ( 61410 * 0 )
- NEW li1 ( 56810 7650 ) L1M1_PR_MR
- NEW met1 ( 56810 7650 ) M1M2_PR
- NEW met1 ( 56810 10030 ) M1M2_PR
- NEW met1 ( 56810 7650 ) RECT ( -355 -70 0 70 ) ;
- - _030_ ( _357_ RESET_B ) ( _324_ X ) + USE SIGNAL
- + ROUTED met1 ( 62790 11390 ) ( 63250 * )
- NEW met2 ( 63250 6290 ) ( * 11390 )
- NEW li1 ( 62790 11390 ) L1M1_PR_MR
- NEW met1 ( 63250 11390 ) M1M2_PR
- NEW met1 ( 63250 6290 ) M1M2_PR ;
- - _031_ ( _358_ RESET_B ) ( _323_ X ) + USE SIGNAL
- + ROUTED met1 ( 64630 16830 ) ( 65090 * )
- NEW met2 ( 65090 15470 ) ( * 16830 )
- NEW li1 ( 64630 16830 ) L1M1_PR_MR
- NEW met1 ( 65090 16830 ) M1M2_PR
- NEW met1 ( 65090 15470 ) M1M2_PR ;
- - _032_ ( _359_ RESET_B ) ( _322_ X ) + USE SIGNAL
- + ROUTED met1 ( 28750 22270 ) ( 30590 * )
- NEW met2 ( 30590 20910 ) ( * 22270 )
- NEW met1 ( 29210 20910 0 ) ( 30590 * )
- NEW li1 ( 28750 22270 ) L1M1_PR_MR
- NEW met1 ( 30590 22270 ) M1M2_PR
- NEW met1 ( 30590 20910 ) M1M2_PR ;
- - _033_ ( _360_ RESET_B ) ( _321_ X ) + USE SIGNAL
- + ROUTED met1 ( 37030 7650 ) ( 37490 * )
- NEW met2 ( 37030 7650 ) ( * 10030 )
- NEW li1 ( 37490 7650 ) L1M1_PR_MR
- NEW met1 ( 37030 7650 ) M1M2_PR
- NEW met1 ( 37030 10030 ) M1M2_PR ;
- - _034_ ( _361_ RESET_B ) ( _320_ X ) + USE SIGNAL
- + ROUTED met1 ( 26450 5950 ) ( 28290 * )
- NEW met2 ( 26450 5950 ) ( * 10030 )
- NEW li1 ( 28290 5950 ) L1M1_PR_MR
- NEW met1 ( 26450 5950 ) M1M2_PR
- NEW met1 ( 26450 10030 ) M1M2_PR ;
- - _035_ ( _362_ RESET_B ) ( _319_ X ) + USE SIGNAL
- + ROUTED met1 ( 33810 23970 ) ( 34270 * )
- NEW met2 ( 34270 23970 ) ( * 26010 )
- NEW li1 ( 33810 23970 ) L1M1_PR_MR
- NEW met1 ( 34270 23970 ) M1M2_PR
- NEW met1 ( 34270 26010 ) M1M2_PR ;
- - _036_ ( _363_ RESET_B ) ( _318_ X ) + USE SIGNAL
- + ROUTED met2 ( 25990 28050 ) ( * 30430 )
- NEW li1 ( 25990 30430 ) L1M1_PR_MR
- NEW met1 ( 25990 30430 ) M1M2_PR
- NEW met1 ( 25990 28050 ) M1M2_PR
- NEW met1 ( 25990 30430 ) RECT ( -355 -70 0 70 ) ;
- - _037_ ( _364_ RESET_B ) ( _317_ X ) + USE SIGNAL
- + ROUTED met1 ( 44390 22270 ) ( 44850 * )
- NEW met2 ( 44390 20910 ) ( * 22270 )
- NEW li1 ( 44850 22270 ) L1M1_PR_MR
- NEW met1 ( 44390 22270 ) M1M2_PR
- NEW met1 ( 44390 20910 ) M1M2_PR ;
- - _038_ ( _365_ RESET_B ) ( _316_ X ) + USE SIGNAL
- + ROUTED met1 ( 63250 18530 ) ( 64170 * )
- NEW met2 ( 64170 18530 ) ( * 20910 )
- NEW li1 ( 63250 18530 ) L1M1_PR_MR
- NEW met1 ( 64170 18530 ) M1M2_PR
- NEW met1 ( 64170 20910 ) M1M2_PR ;
- - _039_ ( _366_ RESET_B ) ( _315_ X ) + USE SIGNAL
- + ROUTED met2 ( 55890 28390 ) ( * 30430 )
- NEW li1 ( 55890 30430 ) L1M1_PR_MR
- NEW met1 ( 55890 30430 ) M1M2_PR
- NEW met1 ( 55890 28390 ) M1M2_PR
- NEW met1 ( 55890 30430 ) RECT ( -355 -70 0 70 ) ;
- - _040_ ( _367_ RESET_B ) ( _314_ X ) + USE SIGNAL
- + ROUTED met2 ( 63710 31790 ) ( * 33150 )
- NEW li1 ( 63710 33150 ) L1M1_PR_MR
- NEW met1 ( 63710 33150 ) M1M2_PR
- NEW met1 ( 63710 31790 ) M1M2_PR
- NEW met1 ( 63710 33150 ) RECT ( -355 -70 0 70 ) ;
- - _041_ ( _368_ RESET_B ) ( _313_ X ) + USE SIGNAL
- + ROUTED met2 ( 29210 32130 ) ( * 33490 )
- NEW li1 ( 29210 32130 ) L1M1_PR_MR
- NEW met1 ( 29210 32130 ) M1M2_PR
- NEW met1 ( 29210 33490 ) M1M2_PR
- NEW met1 ( 29210 32130 ) RECT ( -355 -70 0 70 ) ;
- - _042_ ( _369_ RESET_B ) ( _312_ X ) + USE SIGNAL
- + ROUTED met1 ( 31050 31790 ) ( * 32130 )
- NEW met1 ( 31050 31790 ) ( 35650 * 0 )
- NEW li1 ( 31050 32130 ) L1M1_PR_MR ;
- - _043_ ( _370_ RESET_B ) ( _311_ X ) + USE SIGNAL
- + ROUTED met2 ( 63710 26690 ) ( * 28050 )
- NEW li1 ( 63710 26690 ) L1M1_PR_MR
- NEW met1 ( 63710 26690 ) M1M2_PR
- NEW met1 ( 63710 28050 ) M1M2_PR
- NEW met1 ( 63710 26690 ) RECT ( -355 -70 0 70 ) ;
- - _044_ ( _371_ RESET_B ) ( _310_ X ) + USE SIGNAL
- + ROUTED met1 ( 47150 7650 ) ( 48070 * )
- NEW met2 ( 47150 7650 ) ( * 10030 )
- NEW li1 ( 48070 7650 ) L1M1_PR_MR
- NEW met1 ( 47150 7650 ) M1M2_PR
- NEW met1 ( 47150 10030 ) M1M2_PR ;
- - _045_ ( _372_ RESET_B ) ( _309_ X ) + USE SIGNAL
- + ROUTED met2 ( 55430 10370 ) ( * 11390 )
- NEW met1 ( 55430 11390 ) ( * 11730 0 )
- NEW li1 ( 55430 10370 ) L1M1_PR_MR
- NEW met1 ( 55430 10370 ) M1M2_PR
- NEW met1 ( 55430 11390 ) M1M2_PR
- NEW met1 ( 55430 10370 ) RECT ( -355 -70 0 70 ) ;
- - _046_ ( _373_ RESET_B ) ( _308_ X ) + USE SIGNAL
- + ROUTED met1 ( 58650 17170 0 ) ( 59570 * )
- NEW met2 ( 59570 13090 ) ( * 17170 )
- NEW li1 ( 59570 13090 ) L1M1_PR_MR
- NEW met1 ( 59570 13090 ) M1M2_PR
+NETS 333 ;
+ - _000_ ( _379_ RESET_B ) ( _356_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 50370 10030 0 ) ( 52670 * )
+ NEW li1 ( 52670 10030 ) L1M1_PR ;
+ - _001_ ( _380_ RESET_B ) ( _357_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 48990 15470 0 ) ( 49450 * )
+ NEW met2 ( 49450 12750 ) ( * 15470 )
+ NEW li1 ( 49450 12750 ) L1M1_PR
+ NEW met1 ( 49450 12750 ) M1M2_PR
+ NEW met1 ( 49450 15470 ) M1M2_PR
+ NEW met1 ( 49450 12750 ) RECT ( -355 -70 0 70 ) ;
+ - _002_ ( _381_ RESET_B ) ( _358_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 59110 11730 0 ) ( 59570 * )
+ NEW met1 ( 59570 17170 ) ( 60030 * )
+ NEW met2 ( 59570 11730 ) ( * 17170 )
+ NEW met1 ( 59570 11730 ) M1M2_PR
NEW met1 ( 59570 17170 ) M1M2_PR
- NEW met1 ( 59570 13090 ) RECT ( -355 -70 0 70 ) ;
- - _047_ ( _374_ RESET_B ) ( _307_ X ) + USE SIGNAL
- + ROUTED met1 ( 38410 19550 ) ( 38870 * )
- NEW met2 ( 38410 17170 ) ( * 19550 )
- NEW li1 ( 38870 19550 ) L1M1_PR_MR
- NEW met1 ( 38410 19550 ) M1M2_PR
- NEW met1 ( 38410 17170 ) M1M2_PR ;
- - _048_ ( _375_ RESET_B ) ( _306_ X ) + USE SIGNAL
- + ROUTED met1 ( 43010 13090 ) ( 43470 * )
- NEW met2 ( 43470 13090 ) ( * 15470 )
- NEW li1 ( 43010 13090 ) L1M1_PR_MR
- NEW met1 ( 43470 13090 ) M1M2_PR
- NEW met1 ( 43470 15470 ) M1M2_PR ;
- - _049_ ( _376_ RESET_B ) ( _305_ X ) + USE SIGNAL
- + ROUTED met1 ( 14030 7650 ) ( 14490 * )
- NEW met2 ( 14490 7650 ) ( * 10030 )
- NEW li1 ( 14030 7650 ) L1M1_PR_MR
- NEW met1 ( 14490 7650 ) M1M2_PR
- NEW met1 ( 14490 10030 ) M1M2_PR ;
- - _050_ ( _377_ RESET_B ) ( _327_ A ) ( _325_ A ) ( _324_ A ) ( _323_ A ) ( _322_ A ) ( _321_ A )
- ( _320_ A ) ( _319_ A ) ( _318_ A ) ( _317_ A ) ( _316_ A ) ( _315_ A ) ( _314_ A ) ( _313_ A )
- ( _312_ A ) ( _311_ A ) ( _310_ A ) ( _309_ A ) ( _308_ A ) ( _307_ A ) ( _306_ A ) ( _305_ A )
- ( _182_ Y ) + USE SIGNAL
- + ROUTED met2 ( 13570 6630 ) ( * 11390 )
- NEW met1 ( 13110 6630 ) ( 13570 * )
- NEW met1 ( 63250 17510 ) ( 63710 * )
- NEW met1 ( 62330 17510 ) ( 63250 * )
- NEW met1 ( 62790 26010 ) ( 63250 * )
- NEW met2 ( 63250 17510 ) ( * 26010 )
- NEW met2 ( 63250 26010 ) ( * 30430 )
- NEW met1 ( 62790 33830 ) ( 63250 * )
- NEW met2 ( 63250 30430 ) ( * 33830 )
- NEW met2 ( 63250 13090 ) ( * 17510 )
- NEW met1 ( 36570 5950 ) ( * 6630 )
- NEW met1 ( 29670 5950 ) ( 36570 * )
- NEW li1 ( 29670 5950 ) ( * 6630 )
- NEW met1 ( 29210 6630 ) ( 29670 * )
- NEW met1 ( 36570 6630 ) ( 47150 * )
- NEW met2 ( 43930 6630 ) ( * 12070 )
- NEW met1 ( 43930 11390 ) ( 46690 * )
- NEW met1 ( 55890 6630 ) ( * 6970 )
- NEW met1 ( 47150 6970 ) ( 55890 * )
- NEW met1 ( 47150 6630 ) ( * 6970 )
- NEW met2 ( 56350 6970 ) ( * 9690 )
- NEW met1 ( 55890 6970 ) ( 56350 * )
- NEW met2 ( 60490 10370 ) ( * 12070 )
- NEW met1 ( 56350 10370 ) ( 60490 * )
- NEW met1 ( 56350 9690 ) ( * 10370 )
- NEW met1 ( 60490 12070 ) ( 61870 * )
- NEW met2 ( 61870 12070 ) ( * 13090 )
- NEW met2 ( 13570 11390 ) ( 14030 * )
- NEW met2 ( 61870 13090 ) ( 63250 * )
- NEW met2 ( 46690 11390 ) ( * 13800 )
- NEW met1 ( 56810 30430 ) ( * 31450 )
- NEW met2 ( 45770 13800 ) ( * 22950 )
- NEW met2 ( 45770 13800 ) ( 46690 * )
- NEW met1 ( 37950 20570 ) ( * 20910 )
- NEW met1 ( 37950 20910 ) ( 42090 * )
- NEW met2 ( 42090 20910 ) ( 43010 * )
- NEW met2 ( 43010 20910 ) ( * 22950 )
- NEW met1 ( 43010 22950 ) ( 45770 * )
- NEW met1 ( 32890 22950 ) ( * 23290 )
- NEW met1 ( 32890 23290 ) ( 41170 * )
- NEW met1 ( 41170 22950 ) ( * 23290 )
- NEW met1 ( 41170 22950 ) ( 43010 * )
- NEW met2 ( 29670 22950 ) ( * 23970 )
- NEW met1 ( 29670 23970 ) ( 32890 * )
- NEW met1 ( 32890 23290 ) ( * 23970 )
- NEW met1 ( 24610 17170 0 ) ( 25530 * )
- NEW met2 ( 25530 17170 ) ( * 23970 )
- NEW met1 ( 25530 23970 ) ( 29670 * )
- NEW met1 ( 25530 31450 ) ( 26910 * )
- NEW met2 ( 25530 23970 ) ( * 31450 )
- NEW met1 ( 26910 31450 ) ( 28290 * )
- NEW met1 ( 28290 31450 ) ( 30130 * )
- NEW met1 ( 20010 36550 ) ( 25530 * )
- NEW met2 ( 25530 31450 ) ( * 36550 )
- NEW met1 ( 14030 15470 ) ( 23690 * )
- NEW met1 ( 23690 15470 ) ( * 15810 )
- NEW met1 ( 23690 15810 ) ( 24610 * )
- NEW met2 ( 24610 15810 ) ( * 17170 )
- NEW met2 ( 15870 33830 ) ( * 36210 )
- NEW met1 ( 15870 36210 ) ( 20010 * )
- NEW met1 ( 20010 36210 ) ( * 36550 )
- NEW met1 ( 13110 33830 ) ( 15870 * )
- NEW met2 ( 14030 11390 ) ( * 15470 )
- NEW met1 ( 56810 30430 ) ( 63250 * )
- NEW met1 ( 13570 6630 ) M1M2_PR
- NEW li1 ( 13110 6630 ) L1M1_PR_MR
- NEW li1 ( 13110 33830 ) L1M1_PR_MR
- NEW li1 ( 63710 17510 ) L1M1_PR_MR
- NEW met1 ( 63250 17510 ) M1M2_PR
- NEW li1 ( 62330 17510 ) L1M1_PR_MR
- NEW li1 ( 62790 26010 ) L1M1_PR_MR
- NEW met1 ( 63250 26010 ) M1M2_PR
- NEW met1 ( 63250 30430 ) M1M2_PR
- NEW li1 ( 62790 33830 ) L1M1_PR_MR
- NEW met1 ( 63250 33830 ) M1M2_PR
- NEW li1 ( 36570 6630 ) L1M1_PR_MR
- NEW li1 ( 29670 5950 ) L1M1_PR_MR
- NEW li1 ( 29670 6630 ) L1M1_PR_MR
- NEW li1 ( 29210 6630 ) L1M1_PR_MR
- NEW li1 ( 47150 6630 ) L1M1_PR_MR
- NEW li1 ( 43930 12070 ) L1M1_PR_MR
- NEW met1 ( 43930 12070 ) M1M2_PR
- NEW met1 ( 43930 6630 ) M1M2_PR
- NEW met1 ( 46690 11390 ) M1M2_PR
- NEW met1 ( 43930 11390 ) M1M2_PR
- NEW li1 ( 55890 6630 ) L1M1_PR_MR
- NEW li1 ( 56350 9690 ) L1M1_PR_MR
- NEW met1 ( 56350 9690 ) M1M2_PR
- NEW met1 ( 56350 6970 ) M1M2_PR
- NEW li1 ( 60490 12070 ) L1M1_PR_MR
- NEW met1 ( 60490 12070 ) M1M2_PR
- NEW met1 ( 60490 10370 ) M1M2_PR
- NEW li1 ( 61870 12070 ) L1M1_PR_MR
- NEW met1 ( 61870 12070 ) M1M2_PR
- NEW li1 ( 56810 31450 ) L1M1_PR_MR
- NEW li1 ( 45770 22950 ) L1M1_PR_MR
- NEW met1 ( 45770 22950 ) M1M2_PR
- NEW li1 ( 37950 20570 ) L1M1_PR_MR
- NEW met1 ( 42090 20910 ) M1M2_PR
- NEW met1 ( 43010 22950 ) M1M2_PR
- NEW li1 ( 32890 22950 ) L1M1_PR_MR
- NEW li1 ( 29670 22950 ) L1M1_PR_MR
- NEW met1 ( 29670 22950 ) M1M2_PR
- NEW met1 ( 29670 23970 ) M1M2_PR
- NEW met1 ( 25530 17170 ) M1M2_PR
- NEW met1 ( 25530 23970 ) M1M2_PR
- NEW li1 ( 26910 31450 ) L1M1_PR_MR
- NEW met1 ( 25530 31450 ) M1M2_PR
- NEW li1 ( 28290 31450 ) L1M1_PR_MR
- NEW li1 ( 30130 31450 ) L1M1_PR_MR
- NEW li1 ( 20010 36550 ) L1M1_PR_MR
- NEW met1 ( 25530 36550 ) M1M2_PR
- NEW met1 ( 14030 15470 ) M1M2_PR
- NEW met1 ( 24610 15810 ) M1M2_PR
- NEW met1 ( 24610 17170 ) M1M2_PR
- NEW met1 ( 15870 33830 ) M1M2_PR
- NEW met1 ( 15870 36210 ) M1M2_PR
- NEW met1 ( 43930 12070 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 43930 6630 ) RECT ( -595 -70 0 70 )
- NEW met2 ( 43930 11390 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 56350 9690 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 60490 12070 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 61870 12070 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 45770 22950 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 29670 22950 ) RECT ( -355 -70 0 70 ) ;
- - _051_ ( _378_ RESET_B ) ( _327_ X ) + USE SIGNAL
- + ROUTED met1 ( 14030 31790 0 ) ( * 32130 )
- NEW met2 ( 14030 32130 ) ( * 33150 )
- NEW met1 ( 14030 32130 ) M1M2_PR
- NEW li1 ( 14030 33150 ) L1M1_PR_MR
- NEW met1 ( 14030 33150 ) M1M2_PR
- NEW met1 ( 14030 33150 ) RECT ( 0 -70 355 70 ) ;
- - _052_ ( _359_ D ) ( _277_ X ) + USE SIGNAL
- + ROUTED met2 ( 23230 20910 ) ( * 22270 )
- NEW met1 ( 23230 22270 ) ( 25070 * )
- NEW li1 ( 23230 20910 ) L1M1_PR_MR
- NEW met1 ( 23230 20910 ) M1M2_PR
- NEW met1 ( 23230 22270 ) M1M2_PR
- NEW li1 ( 25070 22270 ) L1M1_PR_MR
- NEW met1 ( 23230 20910 ) RECT ( -355 -70 0 70 ) ;
- - _053_ ( _360_ D ) ( _276_ X ) + USE SIGNAL
- + ROUTED met2 ( 33350 7650 ) ( * 9350 )
- NEW li1 ( 33350 7650 ) L1M1_PR_MR
- NEW met1 ( 33350 7650 ) M1M2_PR
- NEW li1 ( 33350 9350 ) L1M1_PR_MR
- NEW met1 ( 33350 9350 ) M1M2_PR
- NEW met1 ( 33350 7650 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 33350 9350 ) RECT ( -355 -70 0 70 ) ;
- - _054_ ( _361_ D ) ( _274_ X ) + USE SIGNAL
- + ROUTED met1 ( 25070 14110 ) ( 28750 * )
- NEW met2 ( 25070 14110 ) ( * 15810 )
- NEW met2 ( 28750 10030 ) ( * 14110 )
- NEW li1 ( 28750 10030 ) L1M1_PR_MR
- NEW met1 ( 28750 10030 ) M1M2_PR
- NEW met1 ( 28750 14110 ) M1M2_PR
- NEW met1 ( 25070 14110 ) M1M2_PR
- NEW li1 ( 25070 15810 ) L1M1_PR_MR
- NEW met1 ( 25070 15810 ) M1M2_PR
- NEW met1 ( 28750 10030 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 25070 15810 ) RECT ( 0 -70 355 70 ) ;
- - _055_ ( _362_ D ) ( _271_ X ) + USE SIGNAL
- + ROUTED met1 ( 28750 25670 ) ( 39790 * )
- NEW li1 ( 39790 25670 ) L1M1_PR_MR
- NEW li1 ( 28750 25670 ) L1M1_PR_MR ;
- - _056_ ( _363_ D ) ( _270_ Y ) + USE SIGNAL
- + ROUTED met2 ( 20930 26690 ) ( * 28050 )
- NEW li1 ( 20930 26690 ) L1M1_PR_MR
- NEW met1 ( 20930 26690 ) M1M2_PR
- NEW li1 ( 20930 28050 ) L1M1_PR_MR
- NEW met1 ( 20930 28050 ) M1M2_PR
- NEW met1 ( 20930 26690 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 20930 28050 ) RECT ( -355 -70 0 70 ) ;
- - _057_ ( _364_ D ) ( _266_ X ) + USE SIGNAL
- + ROUTED met1 ( 40710 18530 ) ( 45310 * )
- NEW met2 ( 40710 18530 ) ( * 20230 )
- NEW li1 ( 45310 18530 ) L1M1_PR_MR
- NEW met1 ( 40710 18530 ) M1M2_PR
- NEW li1 ( 40710 20230 ) L1M1_PR_MR
- NEW met1 ( 40710 20230 ) M1M2_PR
- NEW met1 ( 40710 20230 ) RECT ( -355 -70 0 70 ) ;
- - _058_ ( _365_ D ) ( _265_ Y ) + USE SIGNAL
- + ROUTED met2 ( 59110 20910 ) ( * 23290 )
- NEW met1 ( 55430 23290 ) ( 59110 * )
- NEW li1 ( 59110 20910 ) L1M1_PR_MR
- NEW met1 ( 59110 20910 ) M1M2_PR
- NEW met1 ( 59110 23290 ) M1M2_PR
- NEW li1 ( 55430 23290 ) L1M1_PR_MR
- NEW met1 ( 59110 20910 ) RECT ( -355 -70 0 70 ) ;
- - _059_ ( _366_ D ) ( _263_ X ) + USE SIGNAL
- + ROUTED met2 ( 50370 28730 ) ( * 30430 )
- NEW li1 ( 50370 28730 ) L1M1_PR_MR
- NEW met1 ( 50370 28730 ) M1M2_PR
- NEW li1 ( 50370 30430 ) L1M1_PR_MR
- NEW met1 ( 50370 30430 ) M1M2_PR
- NEW met1 ( 50370 28730 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 50370 30430 ) RECT ( -355 -70 0 70 ) ;
- - _060_ ( _367_ D ) ( _261_ X ) + USE SIGNAL
- + ROUTED met1 ( 60030 31790 ) ( 61410 * )
- NEW met2 ( 61410 31790 ) ( * 33150 )
- NEW met1 ( 61410 33150 ) ( 61870 * )
- NEW li1 ( 60030 31790 ) L1M1_PR_MR
- NEW met1 ( 61410 31790 ) M1M2_PR
- NEW met1 ( 61410 33150 ) M1M2_PR
- NEW li1 ( 61870 33150 ) L1M1_PR_MR ;
- - _061_ ( _368_ D ) ( _257_ X ) + USE SIGNAL
- + ROUTED met1 ( 25465 34850 ) ( 25990 * )
- NEW met2 ( 25990 34850 ) ( * 35870 )
- NEW met1 ( 25990 35870 ) ( 37030 * )
- NEW li1 ( 25465 34850 ) L1M1_PR_MR
- NEW met1 ( 25990 34850 ) M1M2_PR
- NEW met1 ( 25990 35870 ) M1M2_PR
- NEW li1 ( 37030 35870 ) L1M1_PR_MR ;
- - _062_ ( _369_ D ) ( _255_ Y ) + USE SIGNAL
- + ROUTED met1 ( 33350 31110 ) ( 35190 * )
- NEW met2 ( 35190 31110 ) ( * 36210 )
- NEW li1 ( 33350 31110 ) L1M1_PR_MR
- NEW met1 ( 35190 31110 ) M1M2_PR
- NEW li1 ( 35190 36210 ) L1M1_PR_MR
- NEW met1 ( 35190 36210 ) M1M2_PR
- NEW met1 ( 35190 36210 ) RECT ( -355 -70 0 70 ) ;
- - _063_ ( _370_ D ) ( _250_ Y ) + USE SIGNAL
- + ROUTED met1 ( 46230 26350 ) ( * 26690 )
- NEW met1 ( 46230 26690 ) ( 60030 * )
- NEW met2 ( 60030 26690 ) ( * 28050 )
- NEW li1 ( 46230 26350 ) L1M1_PR_MR
- NEW met1 ( 60030 26690 ) M1M2_PR
- NEW li1 ( 60030 28050 ) L1M1_PR_MR
- NEW met1 ( 60030 28050 ) M1M2_PR
- NEW met1 ( 60030 28050 ) RECT ( -355 -70 0 70 ) ;
- - _064_ ( _371_ D ) ( _189_ X ) + USE SIGNAL
- + ROUTED met1 ( 43470 7310 ) ( 44850 * )
- NEW met2 ( 43470 7310 ) ( * 9350 )
- NEW li1 ( 44850 7310 ) L1M1_PR_MR
- NEW met1 ( 43470 7310 ) M1M2_PR
- NEW li1 ( 43470 9350 ) L1M1_PR_MR
- NEW met1 ( 43470 9350 ) M1M2_PR
- NEW met1 ( 43470 9350 ) RECT ( -355 -70 0 70 ) ;
- - _065_ ( _372_ D ) ( _188_ X ) + USE SIGNAL
- + ROUTED met1 ( 51290 10370 ) ( 52125 * )
- NEW met2 ( 51290 10370 ) ( * 11730 )
- NEW li1 ( 52125 10370 ) L1M1_PR_MR
- NEW met1 ( 51290 10370 ) M1M2_PR
- NEW li1 ( 51290 11730 ) L1M1_PR_MR
- NEW met1 ( 51290 11730 ) M1M2_PR
- NEW met1 ( 51290 11730 ) RECT ( -355 -70 0 70 ) ;
- - _066_ ( _373_ D ) ( _187_ X ) + USE SIGNAL
- + ROUTED met2 ( 52210 14450 ) ( * 17170 )
- NEW met1 ( 52210 17170 ) ( 52670 * )
- NEW li1 ( 52210 14450 ) L1M1_PR_MR
- NEW met1 ( 52210 14450 ) M1M2_PR
- NEW met1 ( 52210 17170 ) M1M2_PR
- NEW li1 ( 52670 17170 ) L1M1_PR_MR
- NEW met1 ( 52210 14450 ) RECT ( -355 -70 0 70 ) ;
- - _067_ ( _374_ D ) ( _186_ X ) + USE SIGNAL
- + ROUTED met2 ( 34730 17850 ) ( * 19550 )
- NEW met1 ( 34730 19550 ) ( 35190 * )
- NEW li1 ( 34730 17850 ) L1M1_PR_MR
- NEW met1 ( 34730 17850 ) M1M2_PR
- NEW met1 ( 34730 19550 ) M1M2_PR
- NEW li1 ( 35190 19550 ) L1M1_PR_MR
- NEW met1 ( 34730 17850 ) RECT ( -355 -70 0 70 ) ;
- - _068_ ( _375_ D ) ( _185_ X ) + USE SIGNAL
- + ROUTED met1 ( 45310 13090 ) ( 47150 * )
- NEW met2 ( 47150 13090 ) ( * 14790 )
- NEW met1 ( 47150 13090 ) M1M2_PR
- NEW li1 ( 45310 13090 ) L1M1_PR_MR
- NEW li1 ( 47150 14790 ) L1M1_PR_MR
- NEW met1 ( 47150 14790 ) M1M2_PR
- NEW met1 ( 47150 14790 ) RECT ( -355 -70 0 70 ) ;
- - _069_ ( _376_ D ) ( _184_ X ) + USE SIGNAL
- + ROUTED met2 ( 16790 7650 ) ( * 9350 )
- NEW met1 ( 16790 7650 ) ( 19550 * )
- NEW met1 ( 9430 9350 ) ( 16790 * )
- NEW li1 ( 9430 9350 ) L1M1_PR_MR
- NEW met1 ( 16790 9350 ) M1M2_PR
- NEW met1 ( 16790 7650 ) M1M2_PR
- NEW li1 ( 19550 7650 ) L1M1_PR_MR ;
- - _070_ ( _377_ D ) ( _183_ X ) + USE SIGNAL
- + ROUTED met1 ( 17710 17850 ) ( * 18190 )
- NEW met1 ( 17710 17850 ) ( 26910 * )
- NEW li1 ( 17710 18190 ) L1M1_PR_MR
- NEW li1 ( 26910 17850 ) L1M1_PR_MR ;
- - _071_ ( _378_ D ) ( _180_ X ) + USE SIGNAL
- + ROUTED met2 ( 17250 25330 ) ( * 31110 )
- NEW met1 ( 9890 31110 ) ( 17250 * )
- NEW li1 ( 9890 31110 ) L1M1_PR_MR
- NEW li1 ( 17250 25330 ) L1M1_PR_MR
- NEW met1 ( 17250 25330 ) M1M2_PR
- NEW met1 ( 17250 31110 ) M1M2_PR
- NEW met1 ( 17250 25330 ) RECT ( 0 -70 355 70 ) ;
- - _072_ ( _270_ A1 ) ( _218_ A1 ) ( _212_ B1 ) ( _164_ Y ) + USE SIGNAL
- + ROUTED met1 ( 15410 28390 ) ( 18145 * )
- NEW met1 ( 18145 27710 ) ( * 28390 )
- NEW met1 ( 18145 27710 ) ( 22310 * )
- NEW met2 ( 22310 26010 ) ( * 27710 )
- NEW met2 ( 19090 27710 ) ( * 30430 )
- NEW met1 ( 15640 26010 ) ( 15870 * )
- NEW met2 ( 15870 26010 ) ( * 28390 )
- NEW li1 ( 15410 28390 ) L1M1_PR_MR
- NEW met1 ( 22310 27710 ) M1M2_PR
- NEW li1 ( 22310 26010 ) L1M1_PR_MR
- NEW met1 ( 22310 26010 ) M1M2_PR
- NEW li1 ( 19090 30430 ) L1M1_PR_MR
- NEW met1 ( 19090 30430 ) M1M2_PR
- NEW met1 ( 19090 27710 ) M1M2_PR
- NEW li1 ( 15640 26010 ) L1M1_PR_MR
- NEW met1 ( 15870 26010 ) M1M2_PR
- NEW met1 ( 15870 28390 ) M1M2_PR
- NEW met1 ( 22310 26010 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 19090 30430 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 19090 27710 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 15870 28390 ) RECT ( -595 -70 0 70 ) ;
- - _073_ ( _218_ A2 ) ( _212_ B2 ) ( _165_ Y ) + USE SIGNAL
- + ROUTED met2 ( 14490 28390 ) ( * 33490 )
- NEW met1 ( 14490 33490 ) ( 16330 * )
- NEW met1 ( 16330 26010 ) ( * 26350 )
- NEW met1 ( 14490 26350 ) ( 16330 * )
- NEW met1 ( 14490 26350 ) ( * 26690 )
- NEW met2 ( 14490 26690 ) ( * 28390 )
- NEW li1 ( 14490 28390 ) L1M1_PR_MR
- NEW met1 ( 14490 28390 ) M1M2_PR
- NEW met1 ( 14490 33490 ) M1M2_PR
- NEW li1 ( 16330 33490 ) L1M1_PR_MR
- NEW li1 ( 16330 26010 ) L1M1_PR_MR
- NEW met1 ( 14490 26690 ) M1M2_PR
- NEW met1 ( 14490 28390 ) RECT ( -355 -70 0 70 ) ;
- - _074_ ( _273_ B1 ) ( _267_ C ) ( _166_ Y ) + USE SIGNAL
- + ROUTED met2 ( 24610 6970 ) ( * 11730 )
- NEW met1 ( 24610 6970 ) ( 27830 * )
- NEW met1 ( 27830 6630 ) ( * 6970 )
- NEW met1 ( 23690 11730 ) ( 24610 * )
- NEW li1 ( 24610 11730 ) L1M1_PR_MR
- NEW met1 ( 24610 11730 ) M1M2_PR
- NEW met1 ( 24610 6970 ) M1M2_PR
- NEW li1 ( 27830 6630 ) L1M1_PR_MR
- NEW li1 ( 23690 11730 ) L1M1_PR_MR
- NEW met1 ( 24610 11730 ) RECT ( -355 -70 0 70 ) ;
- - _075_ ( _275_ A1 ) ( _273_ A1 ) ( _267_ A ) ( _167_ Y ) + USE SIGNAL
- + ROUTED met1 ( 29210 12070 ) ( 30360 * )
- NEW met1 ( 29210 11730 ) ( * 12070 )
- NEW met1 ( 25530 11730 ) ( 29210 * )
- NEW met1 ( 25530 11730 ) ( * 12070 )
- NEW met2 ( 30590 7650 ) ( * 12070 )
- NEW met1 ( 30590 12070 ) ( * 12080 )
- NEW met1 ( 30455 12080 ) ( 30590 * )
- NEW met1 ( 30455 12070 ) ( * 12080 )
- NEW met1 ( 30360 12070 ) ( 30455 * )
- NEW met1 ( 27370 6290 ) ( * 6630 )
- NEW met1 ( 27370 6290 ) ( 30590 * )
- NEW met2 ( 30590 6290 ) ( * 7650 )
- NEW li1 ( 30360 12070 ) L1M1_PR_MR
- NEW li1 ( 25530 12070 ) L1M1_PR_MR
- NEW li1 ( 30590 7650 ) L1M1_PR_MR
- NEW met1 ( 30590 7650 ) M1M2_PR
- NEW met1 ( 30590 12070 ) M1M2_PR
- NEW li1 ( 27370 6630 ) L1M1_PR_MR
- NEW met1 ( 30590 6290 ) M1M2_PR
- NEW met1 ( 30590 7650 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 30360 12070 ) RECT ( -345 -70 0 70 ) ;
- - _076_ ( _277_ B1 ) ( _275_ A2 ) ( _273_ A2 ) ( _267_ B ) ( _208_ A1 ) ( _193_ A ) ( _168_ Y ) + USE SIGNAL
- + ROUTED met1 ( 27370 22950 ) ( * 23290 )
- NEW met1 ( 27370 23290 ) ( 31970 * )
- NEW met1 ( 28750 15130 ) ( 29210 * )
- NEW met2 ( 28750 15130 ) ( * 23290 )
- NEW met1 ( 29210 15130 ) ( 32430 * )
- NEW met1 ( 29670 12410 ) ( * 12750 )
- NEW met1 ( 29670 12750 ) ( 30130 * )
- NEW met2 ( 30130 12750 ) ( * 15130 )
- NEW met1 ( 26450 12070 ) ( * 12410 )
- NEW met1 ( 26450 12410 ) ( 28290 * )
- NEW met2 ( 28290 12410 ) ( * 15130 )
- NEW met2 ( 28290 15130 ) ( 28750 * )
- NEW met1 ( 27830 7650 ) ( 28290 * )
- NEW met2 ( 28290 7650 ) ( * 12410 )
- NEW li1 ( 27370 22950 ) L1M1_PR_MR
- NEW li1 ( 31970 23290 ) L1M1_PR_MR
- NEW li1 ( 29210 15130 ) L1M1_PR_MR
- NEW met1 ( 28750 15130 ) M1M2_PR
- NEW met1 ( 28750 23290 ) M1M2_PR
- NEW li1 ( 32430 15130 ) L1M1_PR_MR
- NEW li1 ( 29670 12410 ) L1M1_PR_MR
- NEW met1 ( 30130 12750 ) M1M2_PR
- NEW met1 ( 30130 15130 ) M1M2_PR
- NEW li1 ( 26450 12070 ) L1M1_PR_MR
- NEW met1 ( 28290 12410 ) M1M2_PR
- NEW li1 ( 27830 7650 ) L1M1_PR_MR
- NEW met1 ( 28290 7650 ) M1M2_PR
- NEW met1 ( 28750 23290 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 30130 15130 ) RECT ( -595 -70 0 70 ) ;
- - _077_ ( _208_ A2 ) ( _193_ B ) ( _169_ Y ) + USE SIGNAL
- + ROUTED met2 ( 33810 15130 ) ( * 19890 )
- NEW met1 ( 33810 19890 ) ( 36570 * )
- NEW met1 ( 27830 15130 ) ( 28290 * )
- NEW li1 ( 27830 15130 ) ( * 15810 )
- NEW met1 ( 27830 15810 ) ( 33810 * )
- NEW li1 ( 33810 15130 ) L1M1_PR_MR
- NEW met1 ( 33810 15130 ) M1M2_PR
- NEW met1 ( 33810 19890 ) M1M2_PR
- NEW li1 ( 36570 19890 ) L1M1_PR_MR
- NEW li1 ( 28290 15130 ) L1M1_PR_MR
- NEW li1 ( 27830 15130 ) L1M1_PR_MR
- NEW li1 ( 27830 15810 ) L1M1_PR_MR
- NEW met1 ( 33810 15810 ) M1M2_PR
- NEW met1 ( 33810 15130 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 33810 15810 ) RECT ( -70 -485 70 0 ) ;
- - _078_ ( _301_ A ) ( _300_ A3 ) ( _298_ A1 ) ( _297_ D ) ( _296_ A4 ) ( _295_ A1 ) ( _294_ C )
- ( _292_ A ) ( _250_ A1 ) ( _247_ B1 ) ( _235_ A1 ) ( _170_ Y ) + USE SIGNAL
- + ROUTED met2 ( 49450 22950 ) ( * 25670 )
- NEW met1 ( 52210 38590 ) ( 53130 * )
- NEW met2 ( 52210 35870 ) ( * 38590 )
- NEW met1 ( 49450 35870 ) ( 52210 * )
- NEW met2 ( 49450 25670 ) ( * 35870 )
- NEW met1 ( 46230 49810 ) ( * 50150 )
- NEW met2 ( 51750 41820 ) ( 52210 * )
- NEW met2 ( 52210 38590 ) ( * 41820 )
- NEW met1 ( 43930 49810 ) ( 46230 * )
- NEW met1 ( 42090 55250 ) ( * 55590 )
- NEW met1 ( 42090 55250 ) ( 43470 * )
- NEW met1 ( 43470 55250 ) ( * 55590 )
- NEW met1 ( 42550 28390 ) ( 43470 * )
- NEW met2 ( 43470 25670 ) ( * 28390 )
- NEW met1 ( 43470 25670 ) ( 45770 * )
- NEW met3 ( 41630 47940 ) ( 43930 * )
- NEW met2 ( 41630 47770 ) ( * 47940 )
- NEW met2 ( 43930 47940 ) ( * 49810 )
- NEW met1 ( 45770 25670 ) ( 49450 * )
- NEW met2 ( 51750 55590 ) ( * 56100 )
- NEW met3 ( 51750 56100 ) ( 58650 * )
- NEW met2 ( 58650 56100 ) ( * 58650 )
- NEW met1 ( 49450 53210 ) ( * 53890 )
- NEW met1 ( 49450 53890 ) ( 50370 * )
- NEW met2 ( 50370 53890 ) ( * 55590 )
- NEW met1 ( 54050 49810 ) ( * 50150 )
- NEW met1 ( 51750 49810 ) ( 54050 * )
- NEW met2 ( 51750 49810 ) ( * 55590 )
- NEW met1 ( 55890 50150 ) ( 56350 * )
- NEW met1 ( 56350 49470 ) ( * 50150 )
- NEW met1 ( 54050 49470 ) ( 56350 * )
- NEW met1 ( 54050 49470 ) ( * 49810 )
- NEW met1 ( 46230 49810 ) ( 51750 * )
- NEW met1 ( 43470 55590 ) ( 51750 * )
- NEW met2 ( 51750 41820 ) ( * 49810 )
- NEW li1 ( 49450 22950 ) L1M1_PR_MR
- NEW met1 ( 49450 22950 ) M1M2_PR
- NEW met1 ( 49450 25670 ) M1M2_PR
- NEW li1 ( 53130 38590 ) L1M1_PR_MR
- NEW met1 ( 52210 38590 ) M1M2_PR
- NEW met1 ( 52210 35870 ) M1M2_PR
- NEW met1 ( 49450 35870 ) M1M2_PR
- NEW li1 ( 46230 50150 ) L1M1_PR_MR
- NEW met1 ( 43930 49810 ) M1M2_PR
- NEW li1 ( 42090 55590 ) L1M1_PR_MR
- NEW li1 ( 45770 25670 ) L1M1_PR_MR
- NEW li1 ( 42550 28390 ) L1M1_PR_MR
- NEW met1 ( 43470 28390 ) M1M2_PR
- NEW met1 ( 43470 25670 ) M1M2_PR
- NEW met2 ( 43930 47940 ) M2M3_PR_M
- NEW met2 ( 41630 47940 ) M2M3_PR_M
- NEW li1 ( 41630 47770 ) L1M1_PR_MR
- NEW met1 ( 41630 47770 ) M1M2_PR
- NEW li1 ( 51750 55590 ) L1M1_PR_MR
- NEW met1 ( 51750 55590 ) M1M2_PR
- NEW met2 ( 51750 56100 ) M2M3_PR_M
- NEW met2 ( 58650 56100 ) M2M3_PR_M
- NEW li1 ( 58650 58650 ) L1M1_PR_MR
- NEW met1 ( 58650 58650 ) M1M2_PR
- NEW li1 ( 49450 53210 ) L1M1_PR_MR
- NEW met1 ( 50370 53890 ) M1M2_PR
- NEW met1 ( 50370 55590 ) M1M2_PR
- NEW li1 ( 54050 50150 ) L1M1_PR_MR
- NEW met1 ( 51750 49810 ) M1M2_PR
- NEW li1 ( 55890 50150 ) L1M1_PR_MR
- NEW met1 ( 49450 22950 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 41630 47770 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 51750 55590 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 58650 58650 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 50370 55590 ) RECT ( -595 -70 0 70 ) ;
- - _079_ ( _303_ A1 ) ( _297_ A ) ( _289_ A1 ) ( _287_ A1 ) ( _286_ A1 ) ( _285_ A1 ) ( _255_ A1 )
- ( _243_ A1 ) ( _223_ A ) ( _171_ Y ) + USE SIGNAL
- + ROUTED met1 ( 37950 49470 ) ( * 50150 )
- NEW met1 ( 35650 49470 ) ( 37950 * )
- NEW met1 ( 32430 50150 ) ( 35650 * )
- NEW met2 ( 35650 49470 ) ( * 50150 )
- NEW met1 ( 41630 38590 ) ( 43470 * )
- NEW met2 ( 43470 33830 ) ( * 38590 )
- NEW met2 ( 43470 33830 ) ( 43930 * )
- NEW met1 ( 35650 36210 ) ( * 36550 )
- NEW met1 ( 35650 36210 ) ( 43470 * )
- NEW met2 ( 46230 38590 ) ( * 44710 )
- NEW met1 ( 43470 38590 ) ( 46230 * )
- NEW met2 ( 35650 36550 ) ( * 47770 )
- NEW met2 ( 46230 44710 ) ( * 47770 )
- NEW met1 ( 42975 47770 ) ( 43010 * )
- NEW met1 ( 43010 47770 ) ( * 47790 )
- NEW met1 ( 43010 47790 ) ( 43470 * )
- NEW met1 ( 43470 47430 ) ( * 47790 )
- NEW met1 ( 43470 47430 ) ( 46230 * )
- NEW met1 ( 46230 47430 ) ( * 47770 )
- NEW met1 ( 32430 44710 ) ( 32910 * )
- NEW met1 ( 32910 44030 ) ( * 44710 )
- NEW met1 ( 32910 44030 ) ( 35650 * )
- NEW met2 ( 35650 47770 ) ( * 49470 )
- NEW li1 ( 37950 50150 ) L1M1_PR_MR
- NEW met1 ( 35650 49470 ) M1M2_PR
- NEW li1 ( 32430 50150 ) L1M1_PR_MR
- NEW met1 ( 35650 50150 ) M1M2_PR
- NEW li1 ( 41630 38590 ) L1M1_PR_MR
- NEW met1 ( 43470 38590 ) M1M2_PR
- NEW li1 ( 43930 33830 ) L1M1_PR_MR
- NEW met1 ( 43930 33830 ) M1M2_PR
- NEW li1 ( 35650 36550 ) L1M1_PR_MR
- NEW met1 ( 43470 36210 ) M1M2_PR
- NEW li1 ( 46230 44710 ) L1M1_PR_MR
- NEW met1 ( 46230 44710 ) M1M2_PR
- NEW met1 ( 46230 38590 ) M1M2_PR
- NEW li1 ( 35650 47770 ) L1M1_PR_MR
- NEW met1 ( 35650 47770 ) M1M2_PR
- NEW met1 ( 35650 36550 ) M1M2_PR
- NEW li1 ( 46230 47770 ) L1M1_PR_MR
- NEW met1 ( 46230 47770 ) M1M2_PR
- NEW li1 ( 42975 47770 ) L1M1_PR_MR
- NEW li1 ( 32430 44710 ) L1M1_PR_MR
- NEW met1 ( 35650 44030 ) M1M2_PR
- NEW met1 ( 43930 33830 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 43470 36210 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 46230 44710 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 35650 47770 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 35650 36550 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 46230 47770 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 35650 44030 ) RECT ( -70 -485 70 0 ) ;
- - _080_ ( _280_ B ) ( _252_ A1 ) ( _242_ B1 ) ( _223_ B ) ( _172_ Y ) + USE SIGNAL
- + ROUTED met1 ( 44390 43010 ) ( 45770 * )
- NEW met2 ( 45770 43010 ) ( * 45730 )
- NEW met1 ( 41630 44370 ) ( 45310 * )
- NEW met2 ( 45310 44370 ) ( 45770 * )
- NEW met2 ( 38410 39610 ) ( * 40290 )
- NEW met1 ( 38410 40290 ) ( 45770 * )
- NEW met2 ( 45770 40290 ) ( * 43010 )
- NEW met1 ( 42780 36890 ) ( 43010 * )
- NEW met1 ( 43010 36890 ) ( * 37060 )
- NEW met2 ( 43010 37060 ) ( * 40290 )
- NEW li1 ( 44390 43010 ) L1M1_PR_MR
- NEW met1 ( 45770 43010 ) M1M2_PR
- NEW li1 ( 45770 45730 ) L1M1_PR_MR
- NEW met1 ( 45770 45730 ) M1M2_PR
- NEW li1 ( 41630 44370 ) L1M1_PR_MR
- NEW met1 ( 45310 44370 ) M1M2_PR
- NEW li1 ( 38410 39610 ) L1M1_PR_MR
- NEW met1 ( 38410 39610 ) M1M2_PR
- NEW met1 ( 38410 40290 ) M1M2_PR
- NEW met1 ( 45770 40290 ) M1M2_PR
- NEW li1 ( 42780 36890 ) L1M1_PR_MR
- NEW met1 ( 43010 37060 ) M1M2_PR
- NEW met1 ( 43010 40290 ) M1M2_PR
- NEW met1 ( 45770 45730 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 38410 39610 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 43010 40290 ) RECT ( -595 -70 0 70 ) ;
- - _081_ ( _300_ A1 ) ( _298_ A3 ) ( _295_ A3 ) ( _221_ A ) ( _173_ Y ) + USE SIGNAL
- + ROUTED met1 ( 54510 50150 ) ( 54970 * )
- NEW met2 ( 54510 50150 ) ( * 52020 )
- NEW met2 ( 54050 52020 ) ( 54510 * )
- NEW met2 ( 54050 52020 ) ( * 54910 )
- NEW met1 ( 52670 54910 ) ( 54050 * )
- NEW met1 ( 52670 54910 ) ( * 55590 )
- NEW met1 ( 54510 48450 ) ( 54970 * )
- NEW met2 ( 54510 48450 ) ( * 50150 )
- NEW met1 ( 47150 50490 ) ( 54510 * )
- NEW met1 ( 54510 50150 ) ( * 50490 )
- NEW met2 ( 49450 44370 ) ( * 50490 )
- NEW li1 ( 54970 50150 ) L1M1_PR_MR
- NEW met1 ( 54510 50150 ) M1M2_PR
- NEW met1 ( 54050 54910 ) M1M2_PR
- NEW li1 ( 52670 55590 ) L1M1_PR_MR
- NEW li1 ( 54970 48450 ) L1M1_PR_MR
- NEW met1 ( 54510 48450 ) M1M2_PR
- NEW li1 ( 47150 50490 ) L1M1_PR_MR
- NEW li1 ( 49450 44370 ) L1M1_PR_MR
- NEW met1 ( 49450 44370 ) M1M2_PR
- NEW met1 ( 49450 50490 ) M1M2_PR
- NEW met1 ( 49450 44370 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 49450 50490 ) RECT ( -595 -70 0 70 ) ;
- - _082_ ( _296_ A2 ) ( _293_ A2 ) ( _260_ A1 ) ( _240_ B1 ) ( _221_ B ) ( _174_ Y ) + USE SIGNAL
- + ROUTED met1 ( 52210 33490 ) ( 54510 * )
- NEW met2 ( 54510 33490 ) ( * 33660 )
- NEW met2 ( 54510 33660 ) ( 55430 * )
- NEW met2 ( 55430 33660 ) ( * 43010 )
- NEW met1 ( 50370 43010 ) ( 55430 * )
- NEW met2 ( 50370 43010 ) ( * 44370 )
- NEW met1 ( 55890 33830 ) ( 55895 * )
- NEW met2 ( 55430 33830 ) ( 55890 * )
- NEW met1 ( 55430 35870 ) ( 58190 * )
- NEW met1 ( 53130 52870 ) ( 54050 * )
- NEW met1 ( 53130 52190 ) ( * 52870 )
- NEW met1 ( 50370 52190 ) ( 53130 * )
- NEW met2 ( 50370 44370 ) ( * 52190 )
- NEW li1 ( 50370 44370 ) L1M1_PR_MR
- NEW met1 ( 50370 44370 ) M1M2_PR
- NEW li1 ( 52210 33490 ) L1M1_PR_MR
- NEW met1 ( 54510 33490 ) M1M2_PR
- NEW met1 ( 55430 43010 ) M1M2_PR
- NEW met1 ( 50370 43010 ) M1M2_PR
- NEW li1 ( 55895 33830 ) L1M1_PR_MR
- NEW met1 ( 55890 33830 ) M1M2_PR
- NEW li1 ( 58190 35870 ) L1M1_PR_MR
- NEW met1 ( 55430 35870 ) M1M2_PR
- NEW li1 ( 50370 52190 ) L1M1_PR_MR
- NEW met1 ( 50370 52190 ) M1M2_PR
- NEW li1 ( 54050 52870 ) L1M1_PR_MR
- NEW met1 ( 50370 44370 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 55895 33830 ) RECT ( 0 -70 350 70 )
- NEW met2 ( 55430 35870 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 50370 52190 ) RECT ( 0 -70 355 70 ) ;
- - _083_ ( _265_ A1 ) ( _238_ A1 ) ( _237_ B1 ) ( _224_ A ) ( _175_ Y ) + USE SIGNAL
- + ROUTED met1 ( 56810 25330 ) ( * 25670 )
- NEW met1 ( 56810 25330 ) ( 58190 * )
- NEW met1 ( 52210 25670 ) ( 56810 * )
- NEW met2 ( 53590 22950 ) ( * 25670 )
- NEW met1 ( 54510 20910 ) ( * 21250 )
- NEW met1 ( 53590 21250 ) ( 54510 * )
- NEW met2 ( 53590 21250 ) ( * 22950 )
- NEW li1 ( 56810 25670 ) L1M1_PR_MR
- NEW li1 ( 58190 25330 ) L1M1_PR_MR
- NEW li1 ( 52210 25670 ) L1M1_PR_MR
- NEW li1 ( 53590 22950 ) L1M1_PR_MR
- NEW met1 ( 53590 22950 ) M1M2_PR
- NEW met1 ( 53590 25670 ) M1M2_PR
- NEW li1 ( 54510 20910 ) L1M1_PR_MR
- NEW met1 ( 53590 21250 ) M1M2_PR
- NEW met1 ( 53590 22950 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 53590 25670 ) RECT ( -595 -70 0 70 ) ;
- - _084_ ( _266_ B1 ) ( _264_ B1 ) ( _264_ A1_N ) ( _238_ B1 ) ( _224_ B ) ( _176_ Y ) + USE SIGNAL
- + ROUTED met1 ( 46230 22270 ) ( 47150 * )
- NEW met2 ( 46230 17510 ) ( * 22270 )
- NEW met1 ( 51750 24990 ) ( * 25330 )
- NEW met1 ( 46230 25330 ) ( 51750 * )
- NEW met2 ( 46230 22270 ) ( * 25330 )
- NEW met1 ( 53130 26010 ) ( 54970 * )
- NEW li1 ( 53130 25330 ) ( * 26010 )
- NEW met1 ( 51750 25330 ) ( 53130 * )
- NEW met1 ( 47150 22610 ) ( 57730 * )
- NEW met1 ( 47150 22270 ) ( * 22610 )
- NEW met1 ( 60030 22950 ) ( 60130 * )
- NEW met1 ( 60030 22610 ) ( * 22950 )
- NEW met1 ( 57730 22610 ) ( 60030 * )
- NEW li1 ( 47150 22270 ) L1M1_PR_MR
- NEW met1 ( 46230 22270 ) M1M2_PR
- NEW li1 ( 46230 17510 ) L1M1_PR_MR
- NEW met1 ( 46230 17510 ) M1M2_PR
- NEW li1 ( 51750 24990 ) L1M1_PR_MR
- NEW met1 ( 46230 25330 ) M1M2_PR
- NEW li1 ( 54970 26010 ) L1M1_PR_MR
- NEW li1 ( 53130 26010 ) L1M1_PR_MR
- NEW li1 ( 53130 25330 ) L1M1_PR_MR
- NEW li1 ( 57730 22610 ) L1M1_PR_MR
- NEW li1 ( 60130 22950 ) L1M1_PR_MR
- NEW met1 ( 46230 17510 ) RECT ( -355 -70 0 70 ) ;
- - _085_ ( _225_ A1 ) ( _177_ Y ) + USE SIGNAL
- + ROUTED met1 ( 14950 15130 ) ( 15870 * )
- NEW li1 ( 14950 15130 ) L1M1_PR_MR
- NEW li1 ( 15870 15130 ) L1M1_PR_MR ;
- - _086_ ( _275_ C1 ) ( _274_ A1 ) ( _272_ D ) ( _271_ C1 ) ( _188_ A2 ) ( _187_ B2 ) ( _186_ A2 )
- ( _185_ A2 ) ( _184_ A2 ) ( _183_ A2 ) ( _180_ A2 ) ( _179_ A ) ( _178_ X ) + USE SIGNAL
- + ROUTED met1 ( 62100 12750 ) ( 63710 * )
- NEW met1 ( 34270 8670 ) ( 49450 * )
- NEW met1 ( 48530 12070 ) ( 49450 * )
- NEW met1 ( 46205 12070 ) ( 46230 * )
- NEW met2 ( 46230 8670 ) ( * 12070 )
- NEW met1 ( 49450 9690 ) ( 52870 * )
- NEW met1 ( 49450 9350 ) ( * 9690 )
- NEW met1 ( 62100 12410 ) ( * 12750 )
- NEW met1 ( 49450 12410 ) ( 62100 * )
- NEW met1 ( 49450 12070 ) ( * 12410 )
- NEW met1 ( 19550 6630 ) ( 20210 * )
- NEW met1 ( 22770 26010 ) ( 25070 * )
- NEW met1 ( 22770 25330 ) ( * 26010 )
- NEW met1 ( 20010 25330 ) ( 22770 * )
- NEW met2 ( 20010 25330 ) ( * 26690 )
- NEW met1 ( 18170 26690 ) ( 20010 * )
- NEW met1 ( 18170 26010 ) ( * 26690 )
- NEW met1 ( 18145 26010 ) ( 18170 * )
- NEW met1 ( 17050 17510 ) ( 20470 * )
- NEW met2 ( 20470 17510 ) ( * 24820 )
- NEW met2 ( 20010 24820 ) ( 20470 * )
- NEW met2 ( 20010 24820 ) ( * 25330 )
- NEW met1 ( 26450 15810 ) ( 26910 * )
- NEW met2 ( 26910 15810 ) ( * 18530 )
- NEW met1 ( 20470 18530 ) ( 26910 * )
- NEW met2 ( 26910 15810 ) ( 27830 * )
- NEW met1 ( 26910 17170 ) ( 30590 * )
- NEW met1 ( 30590 17170 ) ( 34270 * )
- NEW met1 ( 34270 20570 ) ( 34295 * )
- NEW met2 ( 34270 17170 ) ( * 20570 )
- NEW met2 ( 19550 6630 ) ( * 17510 )
- NEW met2 ( 27830 12070 ) ( * 15810 )
- NEW met2 ( 34270 8670 ) ( * 17170 )
- NEW met2 ( 49450 8670 ) ( * 15130 )
- NEW li1 ( 63710 12750 ) L1M1_PR_MR
- NEW li1 ( 27830 12070 ) L1M1_PR_MR
- NEW met1 ( 27830 12070 ) M1M2_PR
- NEW met1 ( 49450 8670 ) M1M2_PR
- NEW met1 ( 34270 8670 ) M1M2_PR
- NEW li1 ( 48530 12070 ) L1M1_PR_MR
- NEW met1 ( 49450 12070 ) M1M2_PR
- NEW li1 ( 46205 12070 ) L1M1_PR_MR
- NEW met1 ( 46230 12070 ) M1M2_PR
- NEW met1 ( 46230 8670 ) M1M2_PR
- NEW li1 ( 52870 9690 ) L1M1_PR_MR
- NEW met1 ( 49450 9350 ) M1M2_PR
- NEW met1 ( 19550 6630 ) M1M2_PR
- NEW li1 ( 20210 6630 ) L1M1_PR_MR
- NEW li1 ( 25070 26010 ) L1M1_PR_MR
- NEW met1 ( 20010 25330 ) M1M2_PR
- NEW met1 ( 20010 26690 ) M1M2_PR
- NEW li1 ( 18145 26010 ) L1M1_PR_MR
- NEW li1 ( 17050 17510 ) L1M1_PR_MR
- NEW met1 ( 20470 17510 ) M1M2_PR
- NEW met1 ( 19550 17510 ) M1M2_PR
- NEW li1 ( 26450 15810 ) L1M1_PR_MR
- NEW met1 ( 26910 15810 ) M1M2_PR
- NEW met1 ( 26910 18530 ) M1M2_PR
- NEW met1 ( 20470 18530 ) M1M2_PR
- NEW li1 ( 30590 17170 ) L1M1_PR_MR
- NEW met1 ( 26910 17170 ) M1M2_PR
- NEW met1 ( 34270 17170 ) M1M2_PR
- NEW li1 ( 34295 20570 ) L1M1_PR_MR
- NEW met1 ( 34270 20570 ) M1M2_PR
- NEW li1 ( 49450 15130 ) L1M1_PR_MR
- NEW met1 ( 49450 15130 ) M1M2_PR
- NEW met1 ( 27830 12070 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 49450 12070 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 46205 12070 ) RECT ( -330 -70 0 70 )
- NEW met1 ( 46230 8670 ) RECT ( -595 -70 0 70 )
- NEW met2 ( 49450 9350 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 19550 17510 ) RECT ( -595 -70 0 70 )
- NEW met2 ( 20470 18530 ) RECT ( -70 -485 70 0 )
- NEW met2 ( 26910 17170 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 34295 20570 ) RECT ( 0 -70 330 70 )
- NEW met1 ( 49450 15130 ) RECT ( -355 -70 0 70 ) ;
- - _087_ ( _277_ C1 ) ( _270_ B1 ) ( _226_ B ) ( _189_ B ) ( _188_ B2 ) ( _187_ A2 ) ( _186_ B2 )
- ( _185_ B2 ) ( _184_ B2 ) ( _183_ B2 ) ( _180_ B2 ) ( _179_ Y ) + USE SIGNAL
- + ROUTED met2 ( 48070 6290 ) ( * 12070 )
- NEW met1 ( 46690 6290 ) ( 48070 * )
- NEW met1 ( 48070 11730 ) ( 48990 * )
- NEW met1 ( 48070 11730 ) ( * 12070 )
- NEW met1 ( 21850 6630 ) ( 22310 * )
- NEW met1 ( 21850 6630 ) ( * 6970 )
- NEW met1 ( 18170 6970 ) ( 21850 * )
- NEW met1 ( 54970 15130 ) ( 55430 * )
- NEW met1 ( 51550 15130 ) ( 53590 * )
- NEW met2 ( 53590 15130 ) ( * 15810 )
- NEW met2 ( 53590 15810 ) ( 54970 * )
- NEW met2 ( 54970 15130 ) ( * 15810 )
- NEW met1 ( 48990 14450 ) ( 51290 * )
- NEW met2 ( 51290 14450 ) ( * 15130 )
- NEW met1 ( 51290 15130 ) ( 51550 * )
- NEW met2 ( 32430 14110 ) ( * 20570 )
- NEW met1 ( 32430 14110 ) ( 48990 * )
- NEW met1 ( 48990 14110 ) ( * 14450 )
- NEW met1 ( 28290 22610 ) ( * 22950 )
- NEW met1 ( 28290 22610 ) ( 32430 * )
- NEW met1 ( 32430 22270 ) ( * 22610 )
- NEW met2 ( 32430 20570 ) ( * 22270 )
- NEW met1 ( 18170 22610 ) ( 25530 * )
- NEW met1 ( 25530 22270 ) ( * 22610 )
- NEW met1 ( 25530 22270 ) ( 28290 * )
- NEW met1 ( 28290 22270 ) ( * 22610 )
- NEW met1 ( 19090 25670 ) ( 20470 * )
- NEW met1 ( 19090 25330 ) ( * 25670 )
- NEW met2 ( 19090 23630 ) ( * 25330 )
- NEW met1 ( 18170 23630 ) ( 19090 * )
- NEW met2 ( 18170 22610 ) ( * 23630 )
- NEW met1 ( 20010 25670 ) ( * 26010 )
- NEW met1 ( 14950 17170 ) ( * 17510 )
- NEW met1 ( 14950 17170 ) ( 18170 * )
- NEW met2 ( 18170 6970 ) ( * 22610 )
- NEW met2 ( 48990 11730 ) ( * 14450 )
- NEW met2 ( 54970 9690 ) ( * 15130 )
- NEW li1 ( 48070 12070 ) L1M1_PR_MR
- NEW met1 ( 48070 12070 ) M1M2_PR
- NEW met1 ( 48070 6290 ) M1M2_PR
- NEW li1 ( 46690 6290 ) L1M1_PR_MR
- NEW li1 ( 48990 11730 ) L1M1_PR_MR
- NEW met1 ( 48990 11730 ) M1M2_PR
- NEW li1 ( 22310 6630 ) L1M1_PR_MR
- NEW met1 ( 18170 6970 ) M1M2_PR
- NEW li1 ( 54970 9690 ) L1M1_PR_MR
- NEW met1 ( 54970 9690 ) M1M2_PR
- NEW li1 ( 55430 15130 ) L1M1_PR_MR
- NEW met1 ( 54970 15130 ) M1M2_PR
- NEW li1 ( 51550 15130 ) L1M1_PR_MR
- NEW met1 ( 53590 15130 ) M1M2_PR
- NEW met1 ( 48990 14450 ) M1M2_PR
- NEW met1 ( 51290 14450 ) M1M2_PR
- NEW met1 ( 51290 15130 ) M1M2_PR
- NEW li1 ( 32430 20570 ) L1M1_PR_MR
- NEW met1 ( 32430 20570 ) M1M2_PR
- NEW met1 ( 32430 14110 ) M1M2_PR
- NEW li1 ( 28290 22950 ) L1M1_PR_MR
- NEW met1 ( 32430 22270 ) M1M2_PR
- NEW met1 ( 18170 22610 ) M1M2_PR
- NEW li1 ( 20470 25670 ) L1M1_PR_MR
- NEW met1 ( 19090 25330 ) M1M2_PR
- NEW met1 ( 19090 23630 ) M1M2_PR
- NEW met1 ( 18170 23630 ) M1M2_PR
- NEW li1 ( 20010 26010 ) L1M1_PR_MR
- NEW li1 ( 14950 17510 ) L1M1_PR_MR
- NEW met1 ( 18170 17170 ) M1M2_PR
- NEW met1 ( 48070 12070 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 48990 11730 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 54970 9690 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 51550 15130 ) RECT ( 0 -70 335 70 )
- NEW met1 ( 32430 20570 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 20010 26010 ) RECT ( 0 -70 255 70 )
- NEW met2 ( 18170 17170 ) RECT ( -70 -485 70 0 ) ;
- - _088_ ( _213_ B1 ) ( _191_ B1 ) ( _190_ Y ) + USE SIGNAL
- + ROUTED met1 ( 18630 20570 ) ( 19090 * )
- NEW met2 ( 19090 20570 ) ( * 22950 )
- NEW met1 ( 16790 20570 ) ( 18630 * )
- NEW li1 ( 18630 20570 ) L1M1_PR_MR
- NEW met1 ( 19090 20570 ) M1M2_PR
- NEW li1 ( 19090 22950 ) L1M1_PR_MR
- NEW met1 ( 19090 22950 ) M1M2_PR
- NEW li1 ( 16790 20570 ) L1M1_PR_MR
- NEW met1 ( 19090 22950 ) RECT ( -355 -70 0 70 ) ;
- - _089_ ( _198_ B1 ) ( _198_ A1_N ) ( _191_ X ) + USE SIGNAL
- + ROUTED met1 ( 15310 23290 ) ( 17710 * )
- NEW met2 ( 17710 20910 ) ( * 23290 )
- NEW li1 ( 17710 23290 ) L1M1_PR_MR
- NEW li1 ( 15310 23290 ) L1M1_PR_MR
- NEW li1 ( 17710 20910 ) L1M1_PR_MR
- NEW met1 ( 17710 20910 ) M1M2_PR
- NEW met1 ( 17710 23290 ) M1M2_PR
- NEW met1 ( 17710 20910 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 17710 23290 ) RECT ( -595 -70 0 70 ) ;
- - _090_ ( _199_ B1 ) ( _197_ B1 ) ( _192_ Y ) + USE SIGNAL
- + ROUTED met1 ( 17710 9690 ) ( 19090 * )
- NEW met2 ( 19090 9690 ) ( * 12070 )
- NEW met1 ( 17710 5950 ) ( 19090 * )
- NEW met2 ( 19090 5950 ) ( * 9690 )
- NEW li1 ( 17710 9690 ) L1M1_PR_MR
- NEW met1 ( 19090 9690 ) M1M2_PR
- NEW li1 ( 19090 12070 ) L1M1_PR_MR
- NEW met1 ( 19090 12070 ) M1M2_PR
- NEW li1 ( 17710 5950 ) L1M1_PR_MR
- NEW met1 ( 19090 5950 ) M1M2_PR
- NEW met1 ( 19090 12070 ) RECT ( -355 -70 0 70 ) ;
- - _091_ ( _208_ B1 ) ( _206_ B1 ) ( _206_ A1_N ) ( _195_ B1 ) ( _193_ Y ) + USE SIGNAL
- + ROUTED met1 ( 33350 12410 ) ( 35650 * )
- NEW met1 ( 35650 12410 ) ( 37030 * )
- NEW met1 ( 34270 14790 ) ( 34730 * )
- NEW met1 ( 31050 14790 ) ( 34270 * )
- NEW met2 ( 34730 12410 ) ( * 14790 )
- NEW met2 ( 37030 12410 ) ( * 15130 )
- NEW li1 ( 35650 12410 ) L1M1_PR_MR
- NEW li1 ( 33350 12410 ) L1M1_PR_MR
- NEW met1 ( 34730 12410 ) M1M2_PR
- NEW met1 ( 37030 12410 ) M1M2_PR
- NEW li1 ( 37030 15130 ) L1M1_PR_MR
- NEW met1 ( 37030 15130 ) M1M2_PR
- NEW li1 ( 34270 14790 ) L1M1_PR_MR
- NEW met1 ( 34730 14790 ) M1M2_PR
- NEW li1 ( 31050 14790 ) L1M1_PR_MR
- NEW met1 ( 34730 12410 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 37030 15130 ) RECT ( 0 -70 355 70 ) ;
- - _092_ ( _206_ B2 ) ( _206_ A2_N ) ( _195_ B2 ) ( _194_ X ) + USE SIGNAL
- + ROUTED met1 ( 36110 12070 ) ( 37950 * )
- NEW met2 ( 37950 12070 ) ( * 13090 )
- NEW met1 ( 32890 12070 ) ( 36110 * )
- NEW met2 ( 37950 13090 ) ( * 15130 )
- NEW li1 ( 37950 13090 ) L1M1_PR_MR
- NEW met1 ( 37950 13090 ) M1M2_PR
- NEW li1 ( 36110 12070 ) L1M1_PR_MR
- NEW met1 ( 37950 12070 ) M1M2_PR
- NEW li1 ( 32890 12070 ) L1M1_PR_MR
- NEW li1 ( 37950 15130 ) L1M1_PR_MR
- NEW met1 ( 37950 15130 ) M1M2_PR
- NEW met1 ( 37950 13090 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 37950 15130 ) RECT ( 0 -70 355 70 ) ;
- - _093_ ( _200_ B1 ) ( _200_ A1_N ) ( _196_ A ) ( _195_ X ) + USE SIGNAL
- + ROUTED met1 ( 19550 15130 ) ( 20010 * )
- NEW met2 ( 20010 14620 ) ( * 15130 )
- NEW met3 ( 20010 14620 ) ( 35190 * )
- NEW met2 ( 35190 14450 ) ( * 14620 )
- NEW met1 ( 18170 12410 ) ( 20470 * )
- NEW met2 ( 20470 12410 ) ( * 14620 )
- NEW met2 ( 20010 14620 ) ( 20470 * )
- NEW met1 ( 15770 12410 ) ( 18170 * )
- NEW li1 ( 19550 15130 ) L1M1_PR_MR
- NEW met1 ( 20010 15130 ) M1M2_PR
- NEW met2 ( 20010 14620 ) M2M3_PR_M
- NEW met2 ( 35190 14620 ) M2M3_PR_M
- NEW li1 ( 35190 14450 ) L1M1_PR_MR
- NEW met1 ( 35190 14450 ) M1M2_PR
- NEW li1 ( 18170 12410 ) L1M1_PR_MR
- NEW met1 ( 20470 12410 ) M1M2_PR
- NEW li1 ( 15770 12410 ) L1M1_PR_MR
- NEW met1 ( 35190 14450 ) RECT ( -355 -70 0 70 ) ;
- - _094_ ( _197_ B2 ) ( _196_ Y ) + USE SIGNAL
- + ROUTED met1 ( 19550 13090 ) ( 20010 * )
- NEW met2 ( 20010 13090 ) ( * 14110 )
- NEW met1 ( 20010 13090 ) M1M2_PR
- NEW li1 ( 19550 13090 ) L1M1_PR_MR
- NEW li1 ( 20010 14110 ) L1M1_PR_MR
- NEW met1 ( 20010 14110 ) M1M2_PR
- NEW met1 ( 20010 14110 ) RECT ( -355 -70 0 70 ) ;
- - _095_ ( _213_ B2 ) ( _198_ B2 ) ( _198_ A2_N ) ( _197_ X ) + USE SIGNAL
- + ROUTED met1 ( 22310 13090 ) ( 24150 * )
- NEW met1 ( 19550 23630 ) ( 24150 * )
- NEW met1 ( 17250 22950 ) ( 18170 * )
- NEW met1 ( 18170 22950 ) ( * 23290 )
- NEW met1 ( 18170 23290 ) ( 19550 * )
- NEW met1 ( 19550 23290 ) ( * 23630 )
- NEW met1 ( 15870 22950 ) ( 17250 * )
- NEW met2 ( 24150 13090 ) ( * 23630 )
- NEW li1 ( 22310 13090 ) L1M1_PR_MR
- NEW met1 ( 24150 13090 ) M1M2_PR
- NEW li1 ( 19550 23630 ) L1M1_PR_MR
- NEW met1 ( 24150 23630 ) M1M2_PR
- NEW li1 ( 17250 22950 ) L1M1_PR_MR
- NEW li1 ( 15870 22950 ) L1M1_PR_MR ;
- - _096_ ( _202_ B ) ( _201_ A2 ) ( _198_ X ) + USE SIGNAL
- + ROUTED met1 ( 7590 22950 ) ( * 23630 )
- NEW met2 ( 7130 23630 ) ( * 26010 )
- NEW met1 ( 7130 23630 ) ( 7590 * )
- NEW met1 ( 7590 23630 ) ( 14490 * )
- NEW li1 ( 7590 22950 ) L1M1_PR_MR
- NEW li1 ( 7130 26010 ) L1M1_PR_MR
- NEW met1 ( 7130 26010 ) M1M2_PR
- NEW met1 ( 7130 23630 ) M1M2_PR
- NEW li1 ( 14490 23630 ) L1M1_PR_MR
- NEW met1 ( 7130 26010 ) RECT ( -355 -70 0 70 ) ;
- - _097_ ( _200_ B2 ) ( _200_ A2_N ) ( _199_ Y ) + USE SIGNAL
- + ROUTED met2 ( 17710 10370 ) ( * 11730 )
- NEW met1 ( 17710 10370 ) ( 18170 * )
- NEW met1 ( 16330 11730 ) ( * 12070 )
- NEW met1 ( 16330 11730 ) ( 17710 * )
- NEW li1 ( 17710 11730 ) L1M1_PR_MR
- NEW met1 ( 17710 11730 ) M1M2_PR
- NEW met1 ( 17710 10370 ) M1M2_PR
- NEW li1 ( 18170 10370 ) L1M1_PR_MR
- NEW li1 ( 16330 12070 ) L1M1_PR_MR
- NEW met1 ( 17710 11730 ) RECT ( -355 -70 0 70 ) ;
- - _098_ ( _204_ A2 ) ( _201_ B2 ) ( _200_ X ) + USE SIGNAL
- + ROUTED met1 ( 9890 17510 ) ( * 18530 )
- NEW met1 ( 11270 22610 ) ( * 22950 )
- NEW met2 ( 14950 18530 ) ( * 22610 )
- NEW met1 ( 9890 18530 ) ( 14950 * )
- NEW met1 ( 11270 22610 ) ( 14950 * )
- NEW met2 ( 14950 13090 ) ( * 18530 )
- NEW li1 ( 9890 17510 ) L1M1_PR_MR
- NEW li1 ( 11270 22950 ) L1M1_PR_MR
- NEW li1 ( 14950 13090 ) L1M1_PR_MR
- NEW met1 ( 14950 13090 ) M1M2_PR
- NEW met1 ( 14950 18530 ) M1M2_PR
- NEW met1 ( 14950 22610 ) M1M2_PR
- NEW met1 ( 14950 13090 ) RECT ( -355 -70 0 70 ) ;
- - _099_ ( _217_ A1 ) ( _204_ C1 ) ( _201_ Y ) + USE SIGNAL
- + ROUTED met2 ( 11730 20570 ) ( * 23290 )
- NEW met2 ( 11270 17510 ) ( * 20060 )
- NEW met2 ( 11270 20060 ) ( 11730 * )
- NEW met2 ( 11730 20060 ) ( * 20570 )
- NEW li1 ( 11730 20570 ) L1M1_PR_MR
- NEW met1 ( 11730 20570 ) M1M2_PR
- NEW li1 ( 11730 23290 ) L1M1_PR_MR
- NEW met1 ( 11730 23290 ) M1M2_PR
- NEW li1 ( 11270 17510 ) L1M1_PR_MR
- NEW met1 ( 11270 17510 ) M1M2_PR
- NEW met1 ( 11730 20570 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 11730 23290 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 11270 17510 ) RECT ( -355 -70 0 70 ) ;
- - _100_ ( _204_ B1 ) ( _203_ A ) ( _202_ X ) + USE SIGNAL
- + ROUTED met2 ( 12190 22950 ) ( * 24990 )
- NEW met1 ( 8510 24990 ) ( 12190 * )
- NEW met1 ( 10350 17170 ) ( * 17510 )
- NEW met1 ( 10350 17170 ) ( 12650 * )
- NEW met2 ( 12650 17170 ) ( * 22950 )
- NEW met2 ( 12190 22950 ) ( 12650 * )
- NEW li1 ( 12190 22950 ) L1M1_PR_MR
- NEW met1 ( 12190 22950 ) M1M2_PR
- NEW met1 ( 12190 24990 ) M1M2_PR
- NEW li1 ( 8510 24990 ) L1M1_PR_MR
- NEW li1 ( 10350 17510 ) L1M1_PR_MR
- NEW met1 ( 12650 17170 ) M1M2_PR
- NEW met1 ( 12190 22950 ) RECT ( -355 -70 0 70 ) ;
- - _101_ ( _217_ A2 ) ( _203_ Y ) + USE SIGNAL
- + ROUTED met2 ( 10810 20570 ) ( * 22270 )
- NEW met1 ( 10810 22270 ) ( 12650 * )
- NEW li1 ( 10810 20570 ) L1M1_PR_MR
- NEW met1 ( 10810 20570 ) M1M2_PR
- NEW met1 ( 10810 22270 ) M1M2_PR
- NEW li1 ( 12650 22270 ) L1M1_PR_MR
- NEW met1 ( 10810 20570 ) RECT ( -355 -70 0 70 ) ;
- - _102_ ( _225_ C1 ) ( _205_ A ) ( _204_ X ) + USE SIGNAL
- + ROUTED met1 ( 11270 15130 ) ( * 15470 )
- NEW met1 ( 11270 15470 ) ( 13110 * )
- NEW met1 ( 13110 15470 ) ( * 15810 )
- NEW met2 ( 13110 15810 ) ( * 20570 )
- NEW met1 ( 8050 16830 ) ( * 17170 )
- NEW met1 ( 8050 16830 ) ( 13110 * )
- NEW li1 ( 11270 15130 ) L1M1_PR_MR
- NEW met1 ( 13110 15810 ) M1M2_PR
- NEW li1 ( 13110 20570 ) L1M1_PR_MR
- NEW met1 ( 13110 20570 ) M1M2_PR
- NEW li1 ( 8050 17170 ) L1M1_PR_MR
- NEW met1 ( 13110 16830 ) M1M2_PR
- NEW met1 ( 13110 20570 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 13110 16830 ) RECT ( -70 -485 70 0 ) ;
- - _103_ ( _217_ B1 ) ( _205_ Y ) + USE SIGNAL
- + ROUTED met1 ( 9885 20570 ) ( 9890 * )
- NEW met1 ( 9890 19550 ) ( * 20570 )
- NEW met1 ( 9890 19550 ) ( 13570 * )
- NEW li1 ( 9885 20570 ) L1M1_PR_MR
- NEW li1 ( 13570 19550 ) L1M1_PR_MR ;
- - _104_ ( _211_ A2 ) ( _210_ A2 ) ( _207_ B ) ( _206_ Y ) + USE SIGNAL
- + ROUTED met2 ( 10350 11390 ) ( * 12070 )
- NEW met1 ( 8970 15130 ) ( * 15470 )
- NEW met1 ( 8970 15470 ) ( 10350 * )
- NEW met2 ( 10350 12070 ) ( * 15470 )
- NEW met1 ( 10810 17850 ) ( 12880 * )
- NEW met2 ( 10810 15470 ) ( * 17850 )
- NEW met2 ( 10350 15470 ) ( 10810 * )
- NEW met1 ( 20470 11390 ) ( * 11730 )
- NEW met1 ( 20470 11730 ) ( 21850 * )
- NEW met1 ( 21850 11390 ) ( * 11730 )
- NEW met1 ( 21850 11390 ) ( 34270 * )
- NEW met1 ( 34270 11390 ) ( * 11730 )
- NEW met1 ( 10350 11390 ) ( 20470 * )
- NEW li1 ( 10350 12070 ) L1M1_PR_MR
- NEW met1 ( 10350 12070 ) M1M2_PR
- NEW met1 ( 10350 11390 ) M1M2_PR
- NEW li1 ( 8970 15130 ) L1M1_PR_MR
- NEW met1 ( 10350 15470 ) M1M2_PR
- NEW li1 ( 12880 17850 ) L1M1_PR_MR
- NEW met1 ( 10810 17850 ) M1M2_PR
- NEW li1 ( 34270 11730 ) L1M1_PR_MR
- NEW met1 ( 10350 12070 ) RECT ( 0 -70 355 70 ) ;
- - _105_ ( _210_ C1 ) ( _207_ Y ) + USE SIGNAL
- + ROUTED met2 ( 8510 12070 ) ( * 14110 )
- NEW met1 ( 8510 14110 ) ( 8970 * )
- NEW li1 ( 8510 12070 ) L1M1_PR_MR
- NEW met1 ( 8510 12070 ) M1M2_PR
- NEW met1 ( 8510 14110 ) M1M2_PR
- NEW li1 ( 8970 14110 ) L1M1_PR_MR
- NEW met1 ( 8510 12070 ) RECT ( -355 -70 0 70 ) ;
- - _106_ ( _225_ A2 ) ( _209_ A ) ( _208_ Y ) + USE SIGNAL
- + ROUTED met1 ( 13110 14790 ) ( * 15130 )
- NEW met1 ( 13110 14790 ) ( 30590 * )
- NEW met2 ( 13570 12070 ) ( * 14790 )
- NEW li1 ( 13110 15130 ) L1M1_PR_MR
- NEW li1 ( 30590 14790 ) L1M1_PR_MR
- NEW li1 ( 13570 12070 ) L1M1_PR_MR
- NEW met1 ( 13570 12070 ) M1M2_PR
- NEW met1 ( 13570 14790 ) M1M2_PR
- NEW met1 ( 13570 12070 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 13570 14790 ) RECT ( -595 -70 0 70 ) ;
- - _107_ ( _210_ B2 ) ( _209_ Y ) + USE SIGNAL
- + ROUTED met1 ( 9890 12410 ) ( 13110 * )
- NEW li1 ( 9890 12410 ) L1M1_PR_MR
- NEW li1 ( 13110 12410 ) L1M1_PR_MR ;
- - _108_ ( _225_ B1 ) ( _211_ B1 ) ( _210_ X ) + USE SIGNAL
- + ROUTED met2 ( 12190 12750 ) ( * 15130 )
- NEW met1 ( 11730 17510 ) ( 12190 * )
- NEW met2 ( 12190 15130 ) ( * 17510 )
- NEW li1 ( 12190 15130 ) L1M1_PR_MR
- NEW met1 ( 12190 15130 ) M1M2_PR
- NEW li1 ( 12190 12750 ) L1M1_PR_MR
- NEW met1 ( 12190 12750 ) M1M2_PR
- NEW li1 ( 11730 17510 ) L1M1_PR_MR
- NEW met1 ( 12190 17510 ) M1M2_PR
- NEW met1 ( 12190 15130 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 12190 12750 ) RECT ( -355 -70 0 70 ) ;
- - _109_ ( _217_ B2 ) ( _211_ Y ) + USE SIGNAL
- + ROUTED met2 ( 10350 18190 ) ( * 20230 )
- NEW met1 ( 10350 18190 ) ( 12190 * )
- NEW li1 ( 10350 20230 ) L1M1_PR_MR
- NEW met1 ( 10350 20230 ) M1M2_PR
- NEW met1 ( 10350 18190 ) M1M2_PR
- NEW li1 ( 12190 18190 ) L1M1_PR_MR
- NEW met1 ( 10350 20230 ) RECT ( 0 -70 355 70 ) ;
- - _110_ ( _215_ A1 ) ( _214_ A ) ( _212_ X ) + USE SIGNAL
- + ROUTED met1 ( 12190 25330 ) ( * 25670 )
- NEW met1 ( 12190 25330 ) ( 13570 * )
- NEW met2 ( 14490 25330 ) ( * 26180 )
- NEW met2 ( 14030 26180 ) ( 14490 * )
- NEW met2 ( 14030 26180 ) ( * 28050 )
- NEW met1 ( 14030 28050 ) ( 16790 * )
- NEW met1 ( 13570 25330 ) ( 14490 * )
- NEW li1 ( 13570 25330 ) L1M1_PR_MR
- NEW li1 ( 12190 25670 ) L1M1_PR_MR
- NEW met1 ( 14490 25330 ) M1M2_PR
- NEW met1 ( 14030 28050 ) M1M2_PR
- NEW li1 ( 16790 28050 ) L1M1_PR_MR ;
- - _111_ ( _215_ A2 ) ( _214_ B ) ( _213_ X ) + USE SIGNAL
- + ROUTED met2 ( 17710 23970 ) ( * 28050 )
- NEW met1 ( 17710 23970 ) ( 22310 * )
- NEW met2 ( 14030 23970 ) ( * 25670 )
- NEW met1 ( 14030 23970 ) ( 17710 * )
- NEW met1 ( 12650 25670 ) ( 14030 * )
- NEW li1 ( 12650 25670 ) L1M1_PR_MR
- NEW li1 ( 17710 28050 ) L1M1_PR_MR
- NEW met1 ( 17710 28050 ) M1M2_PR
- NEW met1 ( 17710 23970 ) M1M2_PR
- NEW li1 ( 22310 23970 ) L1M1_PR_MR
- NEW met1 ( 14030 25670 ) M1M2_PR
- NEW met1 ( 14030 23970 ) M1M2_PR
- NEW met1 ( 17710 28050 ) RECT ( -355 -70 0 70 ) ;
- - _112_ ( _218_ C1 ) ( _215_ B1_N ) ( _214_ X ) + USE SIGNAL
- + ROUTED met2 ( 10350 28390 ) ( * 29070 )
- NEW met1 ( 10350 26010 ) ( 10355 * )
- NEW met2 ( 10350 26010 ) ( * 28390 )
- NEW met1 ( 10350 29070 ) ( 15870 * )
- NEW li1 ( 10350 28390 ) L1M1_PR_MR
- NEW met1 ( 10350 28390 ) M1M2_PR
- NEW met1 ( 10350 29070 ) M1M2_PR
- NEW li1 ( 10355 26010 ) L1M1_PR_MR
- NEW met1 ( 10350 26010 ) M1M2_PR
- NEW li1 ( 15870 29070 ) L1M1_PR_MR
- NEW met1 ( 10350 28390 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 10355 26010 ) RECT ( 0 -70 350 70 ) ;
- - _113_ ( _218_ B2 ) ( _216_ B ) ( _215_ X ) + USE SIGNAL
- + ROUTED met1 ( 9890 28390 ) ( * 28730 )
- NEW met1 ( 9890 28730 ) ( 12650 * )
- NEW met1 ( 12650 28390 ) ( * 28730 )
- NEW met2 ( 9890 26690 ) ( * 28390 )
- NEW li1 ( 9890 28390 ) L1M1_PR_MR
- NEW li1 ( 12650 28390 ) L1M1_PR_MR
- NEW li1 ( 9890 26690 ) L1M1_PR_MR
- NEW met1 ( 9890 26690 ) M1M2_PR
- NEW met1 ( 9890 28390 ) M1M2_PR
- NEW met1 ( 9890 26690 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 9890 28390 ) RECT ( -595 -70 0 70 ) ;
- - _114_ ( _225_ D1 ) ( _217_ C1 ) ( _216_ Y ) + USE SIGNAL
- + ROUTED met2 ( 8970 20570 ) ( * 29070 )
- NEW met1 ( 8970 29070 ) ( 9430 * )
- NEW met1 ( 9430 15130 ) ( 9890 * )
- NEW met2 ( 8970 15130 ) ( 9430 * )
- NEW met2 ( 8970 15130 ) ( * 20570 )
- NEW li1 ( 8970 20570 ) L1M1_PR_MR
- NEW met1 ( 8970 20570 ) M1M2_PR
- NEW met1 ( 8970 29070 ) M1M2_PR
- NEW li1 ( 9430 29070 ) L1M1_PR_MR
- NEW li1 ( 9890 15130 ) L1M1_PR_MR
- NEW met1 ( 9430 15130 ) M1M2_PR
- NEW met1 ( 8970 20570 ) RECT ( -355 -70 0 70 ) ;
- - _115_ ( _219_ A ) ( _217_ X ) + USE SIGNAL
- + ROUTED met1 ( 22310 19890 ) ( * 20230 )
- NEW met1 ( 22310 20230 ) ( 29210 * )
- NEW met1 ( 29210 20230 ) ( * 20570 )
- NEW met1 ( 29210 20570 ) ( 31970 * )
- NEW met1 ( 31970 20570 ) ( * 21250 )
- NEW met1 ( 31970 21250 ) ( 37030 * )
- NEW met2 ( 37030 21250 ) ( * 22610 )
- NEW met1 ( 12650 19890 ) ( 22310 * )
- NEW li1 ( 12650 19890 ) L1M1_PR_MR
- NEW met1 ( 37030 21250 ) M1M2_PR
- NEW li1 ( 37030 22610 ) L1M1_PR_MR
- NEW met1 ( 37030 22610 ) M1M2_PR
- NEW met1 ( 37030 22610 ) RECT ( -355 -70 0 70 ) ;
- - _116_ ( _234_ A1 ) ( _219_ B ) ( _218_ Y ) + USE SIGNAL
- + ROUTED met2 ( 36110 22950 ) ( * 29410 )
- NEW met1 ( 49910 20570 ) ( * 20910 )
- NEW met1 ( 47150 20910 ) ( 49910 * )
- NEW met1 ( 47150 20910 ) ( * 21250 )
- NEW met1 ( 40710 21250 ) ( 47150 * )
- NEW met2 ( 40710 21250 ) ( * 22950 )
- NEW met1 ( 36110 22950 ) ( 40710 * )
- NEW met1 ( 10810 29410 ) ( 36110 * )
- NEW li1 ( 10810 29410 ) L1M1_PR_MR
- NEW li1 ( 36110 22950 ) L1M1_PR_MR
- NEW met1 ( 36110 22950 ) M1M2_PR
- NEW met1 ( 36110 29410 ) M1M2_PR
- NEW li1 ( 49910 20570 ) L1M1_PR_MR
- NEW met1 ( 40710 21250 ) M1M2_PR
- NEW met1 ( 40710 22950 ) M1M2_PR
- NEW met1 ( 36110 22950 ) RECT ( -355 -70 0 70 ) ;
- - _117_ ( _260_ A2 ) ( _252_ A2 ) ( _247_ B2 ) ( _245_ B1 ) ( _243_ B2 ) ( _242_ B2 ) ( _241_ B1 )
- ( _240_ B2 ) ( _238_ A2 ) ( _237_ B2 ) ( _234_ B1 ) ( _220_ A ) ( _219_ X ) + USE SIGNAL
- + ROUTED met2 ( 40710 26010 ) ( * 28220 )
- NEW met2 ( 40250 28220 ) ( * 33150 )
- NEW met2 ( 40250 28220 ) ( 40710 * )
- NEW met2 ( 38870 35870 ) ( * 39270 )
- NEW met1 ( 51755 20230 ) ( * 20570 )
- NEW met1 ( 51755 20230 ) ( 53590 * )
- NEW met1 ( 53590 20230 ) ( * 20570 )
- NEW met1 ( 54510 24990 ) ( 56350 * )
- NEW met2 ( 54510 19890 ) ( * 24990 )
- NEW met1 ( 53590 19890 ) ( 54510 * )
- NEW met1 ( 53590 19890 ) ( * 20230 )
- NEW met1 ( 55430 33150 ) ( * 33830 )
- NEW met2 ( 55430 24990 ) ( * 33150 )
- NEW met1 ( 52670 33830 ) ( 53130 * )
- NEW met2 ( 52670 33830 ) ( * 34510 )
- NEW met1 ( 52670 34510 ) ( 55430 * )
- NEW met1 ( 55430 33830 ) ( * 34510 )
- NEW met1 ( 51750 36550 ) ( * 36890 )
- NEW met1 ( 51750 36550 ) ( 52670 * )
- NEW met2 ( 52670 34510 ) ( * 36550 )
- NEW met1 ( 48530 33490 ) ( * 33830 )
- NEW met1 ( 48530 33490 ) ( 49450 * )
- NEW li1 ( 49450 33490 ) ( * 34170 )
- NEW met1 ( 49450 34170 ) ( 50830 * )
- NEW met1 ( 50830 34170 ) ( * 34510 )
- NEW met1 ( 50830 34510 ) ( 52670 * )
- NEW met1 ( 42550 33490 ) ( * 33830 )
- NEW met1 ( 42550 33490 ) ( 48530 * )
- NEW met1 ( 42550 33150 ) ( * 33490 )
- NEW met2 ( 42550 33830 ) ( * 35870 )
- NEW met1 ( 41630 36890 ) ( 42090 * )
- NEW li1 ( 41630 35870 ) ( * 36890 )
- NEW met2 ( 41630 23630 ) ( * 26010 )
- NEW met1 ( 41630 28390 ) ( 42090 * )
- NEW met2 ( 41630 28220 ) ( * 28390 )
- NEW met1 ( 37950 23630 ) ( 41630 * )
- NEW met2 ( 40710 26010 ) ( 41630 * )
- NEW met2 ( 40710 28220 ) ( 41630 * )
- NEW met1 ( 40250 33150 ) ( 42550 * )
- NEW met1 ( 38870 35870 ) ( 42550 * )
- NEW li1 ( 37950 23630 ) L1M1_PR_MR
- NEW met1 ( 40250 33150 ) M1M2_PR
- NEW li1 ( 38870 39270 ) L1M1_PR_MR
- NEW met1 ( 38870 39270 ) M1M2_PR
- NEW met1 ( 38870 35870 ) M1M2_PR
- NEW li1 ( 51755 20570 ) L1M1_PR_MR
- NEW li1 ( 53590 20570 ) L1M1_PR_MR
- NEW li1 ( 56350 24990 ) L1M1_PR_MR
- NEW met1 ( 54510 24990 ) M1M2_PR
- NEW met1 ( 54510 19890 ) M1M2_PR
- NEW li1 ( 55430 33830 ) L1M1_PR_MR
- NEW met1 ( 55430 33150 ) M1M2_PR
- NEW met1 ( 55430 24990 ) M1M2_PR
- NEW li1 ( 53130 33830 ) L1M1_PR_MR
- NEW met1 ( 52670 33830 ) M1M2_PR
- NEW met1 ( 52670 34510 ) M1M2_PR
- NEW li1 ( 51750 36890 ) L1M1_PR_MR
- NEW met1 ( 52670 36550 ) M1M2_PR
- NEW li1 ( 48530 33830 ) L1M1_PR_MR
- NEW li1 ( 49450 33490 ) L1M1_PR_MR
- NEW li1 ( 49450 34170 ) L1M1_PR_MR
- NEW li1 ( 42550 33830 ) L1M1_PR_MR
- NEW met1 ( 42550 35870 ) M1M2_PR
- NEW met1 ( 42550 33830 ) M1M2_PR
- NEW li1 ( 42090 36890 ) L1M1_PR_MR
- NEW li1 ( 41630 36890 ) L1M1_PR_MR
- NEW li1 ( 41630 35870 ) L1M1_PR_MR
- NEW li1 ( 41630 26010 ) L1M1_PR_MR
- NEW met1 ( 41630 26010 ) M1M2_PR
- NEW met1 ( 41630 23630 ) M1M2_PR
- NEW li1 ( 42090 28390 ) L1M1_PR_MR
- NEW met1 ( 41630 28390 ) M1M2_PR
- NEW met1 ( 38870 39270 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 55430 24990 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 42550 33830 ) RECT ( 0 -70 595 70 )
- NEW met1 ( 41630 35870 ) RECT ( 0 -70 595 70 )
- NEW met1 ( 41630 26010 ) RECT ( 0 -70 355 70 ) ;
- - _118_ ( _259_ A1 ) ( _247_ A2 ) ( _243_ A2 ) ( _242_ A2 ) ( _240_ A2 ) ( _237_ A2 ) ( _235_ A2 )
- ( _220_ Y ) + USE SIGNAL
- + ROUTED met1 ( 49910 22950 ) ( * 23290 )
- NEW met1 ( 49910 23290 ) ( 54050 * )
- NEW met2 ( 54050 20230 ) ( * 23290 )
- NEW met1 ( 54050 20230 ) ( 55430 * )
- NEW met1 ( 55430 20230 ) ( * 20570 )
- NEW met1 ( 55430 20570 ) ( 55455 * )
- NEW met2 ( 42090 23290 ) ( * 24990 )
- NEW met1 ( 42090 23290 ) ( 49910 * )
- NEW met1 ( 41170 28730 ) ( 43010 * )
- NEW met2 ( 43010 24990 ) ( * 28730 )
- NEW met2 ( 42090 24990 ) ( 43010 * )
- NEW met1 ( 43010 34170 ) ( 43470 * )
- NEW met2 ( 43010 28730 ) ( * 34170 )
- NEW met1 ( 48990 33830 ) ( 51030 * )
- NEW met1 ( 48990 33830 ) ( * 34850 )
- NEW met1 ( 43930 34850 ) ( 48990 * )
- NEW met1 ( 43930 34170 ) ( * 34850 )
- NEW met1 ( 43470 34170 ) ( 43930 * )
- NEW met2 ( 54970 34850 ) ( * 36550 )
- NEW met1 ( 48990 34850 ) ( 54970 * )
- NEW met1 ( 43930 36890 ) ( 43955 * )
- NEW met2 ( 43930 34850 ) ( * 36890 )
- NEW li1 ( 49910 22950 ) L1M1_PR_MR
- NEW met1 ( 54050 23290 ) M1M2_PR
- NEW met1 ( 54050 20230 ) M1M2_PR
- NEW li1 ( 55455 20570 ) L1M1_PR_MR
- NEW li1 ( 42090 24990 ) L1M1_PR_MR
- NEW met1 ( 42090 24990 ) M1M2_PR
- NEW met1 ( 42090 23290 ) M1M2_PR
- NEW li1 ( 41170 28730 ) L1M1_PR_MR
- NEW met1 ( 43010 28730 ) M1M2_PR
- NEW li1 ( 43470 34170 ) L1M1_PR_MR
- NEW met1 ( 43010 34170 ) M1M2_PR
- NEW li1 ( 51030 33830 ) L1M1_PR_MR
- NEW li1 ( 54970 36550 ) L1M1_PR_MR
- NEW met1 ( 54970 36550 ) M1M2_PR
- NEW met1 ( 54970 34850 ) M1M2_PR
- NEW li1 ( 43955 36890 ) L1M1_PR_MR
- NEW met1 ( 43930 36890 ) M1M2_PR
- NEW met1 ( 43930 34850 ) M1M2_PR
- NEW met1 ( 42090 24990 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 54970 36550 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 43955 36890 ) RECT ( 0 -70 330 70 )
- NEW met1 ( 43930 34850 ) RECT ( 0 -70 595 70 ) ;
- - _119_ ( _223_ C ) ( _222_ A ) ( _221_ X ) + USE SIGNAL
- + ROUTED met1 ( 45770 44370 ) ( 48530 * )
- NEW met1 ( 45770 44370 ) ( * 44710 )
- NEW met1 ( 48530 44710 ) ( 50830 * )
- NEW met1 ( 48530 44370 ) ( * 44710 )
- NEW li1 ( 48530 44370 ) L1M1_PR_MR
- NEW li1 ( 45770 44710 ) L1M1_PR_MR
- NEW li1 ( 50830 44710 ) L1M1_PR_MR ;
- - _120_ ( _304_ A1 ) ( _288_ A ) ( _287_ A4 ) ( _283_ A3 ) ( _239_ A ) ( _222_ Y ) + USE SIGNAL
- + ROUTED met2 ( 51290 45390 ) ( * 52870 )
- NEW met1 ( 50370 39270 ) ( 51290 * )
- NEW met2 ( 51290 39270 ) ( * 45390 )
- NEW met2 ( 47610 41820 ) ( * 42330 )
- NEW met2 ( 47610 41820 ) ( 48530 * )
- NEW met2 ( 48530 40290 ) ( * 41820 )
- NEW met1 ( 48530 40290 ) ( 51290 * )
- NEW met1 ( 32890 53890 ) ( 34270 * )
- NEW met2 ( 32890 53890 ) ( * 55930 )
- NEW met1 ( 31050 55930 ) ( 32890 * )
- NEW met1 ( 43010 52870 ) ( * 53210 )
- NEW met1 ( 41170 52870 ) ( 43010 * )
- NEW met1 ( 41170 52190 ) ( * 52870 )
- NEW met1 ( 34270 52190 ) ( 41170 * )
- NEW met2 ( 34270 47430 ) ( * 53890 )
- NEW met1 ( 43010 52870 ) ( 51290 * )
- NEW li1 ( 34270 47430 ) L1M1_PR_MR
- NEW met1 ( 34270 47430 ) M1M2_PR
- NEW li1 ( 51290 45390 ) L1M1_PR_MR
- NEW met1 ( 51290 45390 ) M1M2_PR
- NEW met1 ( 51290 52870 ) M1M2_PR
- NEW li1 ( 50370 39270 ) L1M1_PR_MR
- NEW met1 ( 51290 39270 ) M1M2_PR
- NEW li1 ( 47610 42330 ) L1M1_PR_MR
- NEW met1 ( 47610 42330 ) M1M2_PR
- NEW met1 ( 48530 40290 ) M1M2_PR
- NEW met1 ( 51290 40290 ) M1M2_PR
- NEW met1 ( 34270 53890 ) M1M2_PR
- NEW met1 ( 32890 53890 ) M1M2_PR
- NEW met1 ( 32890 55930 ) M1M2_PR
- NEW li1 ( 31050 55930 ) L1M1_PR_MR
- NEW li1 ( 43010 53210 ) L1M1_PR_MR
- NEW met1 ( 34270 52190 ) M1M2_PR
- NEW met1 ( 34270 47430 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 51290 45390 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 47610 42330 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 51290 40290 ) RECT ( -70 -485 70 0 )
- NEW met2 ( 34270 52190 ) RECT ( -70 -485 70 0 ) ;
- - _121_ ( _235_ A3 ) ( _224_ X ) + USE SIGNAL
- + ROUTED met2 ( 50370 23630 ) ( * 24990 )
- NEW met1 ( 50370 24990 ) ( 50830 * )
- NEW li1 ( 50370 23630 ) L1M1_PR_MR
- NEW met1 ( 50370 23630 ) M1M2_PR
- NEW met1 ( 50370 24990 ) M1M2_PR
- NEW li1 ( 50830 24990 ) L1M1_PR_MR
- NEW met1 ( 50370 23630 ) RECT ( -355 -70 0 70 ) ;
- - _122_ ( _234_ A2 ) ( _225_ Y ) + USE SIGNAL
- + ROUTED met2 ( 23230 14450 ) ( * 19550 )
- NEW met1 ( 23230 19550 ) ( 28290 * )
- NEW met1 ( 28290 19550 ) ( * 19890 )
- NEW met1 ( 28290 19890 ) ( 33350 * )
- NEW met1 ( 33350 19890 ) ( * 20230 )
- NEW met1 ( 33350 20230 ) ( 37030 * )
- NEW met1 ( 37030 19890 ) ( * 20230 )
- NEW met1 ( 37030 19890 ) ( 39330 * )
- NEW met1 ( 39330 19550 ) ( * 19890 )
- NEW met1 ( 39330 19550 ) ( 50830 * )
- NEW met1 ( 50830 19550 ) ( * 20230 )
- NEW met1 ( 10350 14450 ) ( 23230 * )
- NEW li1 ( 10350 14450 ) L1M1_PR_MR
- NEW met1 ( 23230 14450 ) M1M2_PR
- NEW met1 ( 23230 19550 ) M1M2_PR
- NEW li1 ( 50830 20230 ) L1M1_PR_MR ;
- - _123_ ( _234_ C1 ) ( _226_ X ) + USE SIGNAL
- + ROUTED met1 ( 52670 15810 ) ( 53590 * )
- NEW met2 ( 52670 15810 ) ( * 20570 )
- NEW li1 ( 53590 15810 ) L1M1_PR_MR
- NEW met1 ( 52670 15810 ) M1M2_PR
- NEW li1 ( 52670 20570 ) L1M1_PR_MR
- NEW met1 ( 52670 20570 ) M1M2_PR
- NEW met1 ( 52670 20570 ) RECT ( -355 -70 0 70 ) ;
- - _124_ ( _297_ C ) ( _294_ A ) ( _290_ A3 ) ( _285_ A4 ) ( _281_ A1 ) ( _231_ A ) ( _228_ A )
- ( _227_ X ) + USE SIGNAL
- + ROUTED met1 ( 36110 51170 ) ( 36570 * )
- NEW met2 ( 36110 51170 ) ( * 53210 )
- NEW met1 ( 35650 53210 ) ( 36110 * )
- NEW met1 ( 36110 44710 ) ( 37490 * )
- NEW met2 ( 36110 44710 ) ( * 51170 )
- NEW met1 ( 36110 47430 ) ( 42090 * )
- NEW met1 ( 53130 46750 ) ( * 47770 )
- NEW met1 ( 43470 46750 ) ( 53130 * )
- NEW met1 ( 43470 46750 ) ( * 47090 )
- NEW met1 ( 42090 47090 ) ( 43470 * )
- NEW met1 ( 42090 47090 ) ( * 47430 )
- NEW met1 ( 53590 42330 ) ( 55890 * )
- NEW met2 ( 55890 42330 ) ( * 46750 )
- NEW met1 ( 53130 46750 ) ( 55890 * )
- NEW met1 ( 55890 45730 ) ( 56350 * )
- NEW met2 ( 56350 47260 ) ( * 50490 )
- NEW met2 ( 55890 47260 ) ( 56350 * )
- NEW met2 ( 55890 46750 ) ( * 47260 )
- NEW li1 ( 36570 51170 ) L1M1_PR_MR
- NEW met1 ( 36110 51170 ) M1M2_PR
- NEW met1 ( 36110 53210 ) M1M2_PR
- NEW li1 ( 35650 53210 ) L1M1_PR_MR
- NEW li1 ( 37490 44710 ) L1M1_PR_MR
- NEW met1 ( 36110 44710 ) M1M2_PR
- NEW li1 ( 42090 47430 ) L1M1_PR_MR
- NEW met1 ( 36110 47430 ) M1M2_PR
- NEW li1 ( 53130 47770 ) L1M1_PR_MR
- NEW li1 ( 53590 42330 ) L1M1_PR_MR
- NEW met1 ( 55890 42330 ) M1M2_PR
- NEW met1 ( 55890 46750 ) M1M2_PR
- NEW li1 ( 56350 45730 ) L1M1_PR_MR
- NEW met1 ( 55890 45730 ) M1M2_PR
- NEW li1 ( 56350 50490 ) L1M1_PR_MR
- NEW met1 ( 56350 50490 ) M1M2_PR
- NEW met2 ( 36110 47430 ) RECT ( -70 -485 70 0 )
- NEW met2 ( 55890 45730 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 56350 50490 ) RECT ( 0 -70 355 70 ) ;
- - _125_ ( _304_ A2 ) ( _241_ B2 ) ( _239_ B ) ( _228_ Y ) + USE SIGNAL
- + ROUTED met1 ( 48070 41990 ) ( 53130 * )
- NEW met2 ( 49450 39270 ) ( * 41990 )
- NEW met1 ( 50830 36890 ) ( * 37230 )
- NEW met1 ( 49910 37230 ) ( 50830 * )
- NEW met2 ( 49910 37230 ) ( * 39100 )
- NEW met2 ( 49450 39100 ) ( 49910 * )
- NEW met2 ( 49450 39100 ) ( * 39270 )
- NEW li1 ( 48070 41990 ) L1M1_PR_MR
- NEW li1 ( 53130 41990 ) L1M1_PR_MR
- NEW li1 ( 49450 39270 ) L1M1_PR_MR
- NEW met1 ( 49450 39270 ) M1M2_PR
- NEW met1 ( 49450 41990 ) M1M2_PR
- NEW li1 ( 50830 36890 ) L1M1_PR_MR
- NEW met1 ( 49910 37230 ) M1M2_PR
- NEW met1 ( 49450 39270 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 49450 41990 ) RECT ( -595 -70 0 70 ) ;
- - _126_ ( _304_ A3 ) ( _302_ B ) ( _295_ A2 ) ( _293_ A3 ) ( _278_ B ) ( _231_ B ) ( _230_ A )
- ( _229_ X ) + USE SIGNAL
- + ROUTED met1 ( 52210 47770 ) ( * 48110 )
- NEW met1 ( 52210 48110 ) ( 53590 * )
- NEW met2 ( 53590 45730 ) ( * 48110 )
- NEW met2 ( 48530 42330 ) ( * 45730 )
- NEW met1 ( 48530 45730 ) ( 53590 * )
- NEW met1 ( 43470 42670 ) ( 48530 * )
- NEW met1 ( 48530 42330 ) ( * 42670 )
- NEW met1 ( 43930 39270 ) ( 44850 * )
- NEW met2 ( 44850 39270 ) ( * 42670 )
- NEW met1 ( 40250 48110 ) ( 44390 * )
- NEW met1 ( 44390 47770 ) ( * 48110 )
- NEW met1 ( 44390 47770 ) ( 44850 * )
- NEW met2 ( 44850 42670 ) ( * 47770 )
- NEW met1 ( 51290 55930 ) ( 52210 * )
- NEW met2 ( 51290 55420 ) ( * 55930 )
- NEW met3 ( 51290 55420 ) ( 53590 * )
- NEW met2 ( 53590 52530 ) ( * 55420 )
- NEW met2 ( 53590 48110 ) ( * 52530 )
- NEW li1 ( 52210 47770 ) L1M1_PR_MR
- NEW met1 ( 53590 48110 ) M1M2_PR
- NEW li1 ( 53590 45730 ) L1M1_PR_MR
- NEW met1 ( 53590 45730 ) M1M2_PR
- NEW li1 ( 48530 42330 ) L1M1_PR_MR
- NEW met1 ( 48530 42330 ) M1M2_PR
- NEW met1 ( 48530 45730 ) M1M2_PR
- NEW li1 ( 43470 42670 ) L1M1_PR_MR
- NEW li1 ( 43930 39270 ) L1M1_PR_MR
- NEW met1 ( 44850 39270 ) M1M2_PR
- NEW met1 ( 44850 42670 ) M1M2_PR
- NEW li1 ( 40250 48110 ) L1M1_PR_MR
- NEW met1 ( 44850 47770 ) M1M2_PR
- NEW li1 ( 53590 52530 ) L1M1_PR_MR
- NEW met1 ( 53590 52530 ) M1M2_PR
- NEW li1 ( 52210 55930 ) L1M1_PR_MR
- NEW met1 ( 51290 55930 ) M1M2_PR
- NEW met2 ( 51290 55420 ) M2M3_PR_M
- NEW met2 ( 53590 55420 ) M2M3_PR_M
- NEW met1 ( 53590 45730 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 48530 42330 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 44850 42670 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 53590 52530 ) RECT ( 0 -70 355 70 ) ;
- - _127_ ( _301_ B ) ( _245_ B2 ) ( _230_ Y ) + USE SIGNAL
- + ROUTED met2 ( 43470 39610 ) ( * 42500 )
- NEW met2 ( 43010 42500 ) ( 43470 * )
- NEW met2 ( 43010 42500 ) ( * 55590 )
- NEW met1 ( 47610 34170 ) ( * 34510 )
- NEW met1 ( 45770 34510 ) ( 47610 * )
- NEW met2 ( 45770 34510 ) ( * 39610 )
- NEW met2 ( 45310 39610 ) ( 45770 * )
- NEW met1 ( 43470 39610 ) ( 45310 * )
- NEW li1 ( 43470 39610 ) L1M1_PR_MR
- NEW met1 ( 43470 39610 ) M1M2_PR
- NEW li1 ( 43010 55590 ) L1M1_PR_MR
- NEW met1 ( 43010 55590 ) M1M2_PR
- NEW li1 ( 47610 34170 ) L1M1_PR_MR
- NEW met1 ( 45770 34510 ) M1M2_PR
- NEW met1 ( 45310 39610 ) M1M2_PR
- NEW met1 ( 43470 39610 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 43010 55590 ) RECT ( -355 -70 0 70 ) ;
- - _128_ ( _234_ B2 ) ( _233_ X ) + USE SIGNAL
- + ROUTED met1 ( 50370 18530 ) ( 51290 * )
- NEW met2 ( 51290 18530 ) ( * 20570 )
- NEW li1 ( 50370 18530 ) L1M1_PR_MR
- NEW met1 ( 51290 18530 ) M1M2_PR
- NEW li1 ( 51290 20570 ) L1M1_PR_MR
- NEW met1 ( 51290 20570 ) M1M2_PR
- NEW met1 ( 51290 20570 ) RECT ( -355 -70 0 70 ) ;
- - _129_ ( _235_ B1 ) ( _234_ X ) + USE SIGNAL
- + ROUTED met1 ( 49450 21250 ) ( 50830 * )
- NEW met2 ( 50830 21250 ) ( * 22950 )
- NEW li1 ( 49450 21250 ) L1M1_PR_MR
- NEW met1 ( 50830 21250 ) M1M2_PR
- NEW li1 ( 50830 22950 ) L1M1_PR_MR
- NEW met1 ( 50830 22950 ) M1M2_PR
- NEW met1 ( 50830 22950 ) RECT ( -355 -70 0 70 ) ;
- - _130_ ( _266_ A2 ) ( _265_ A2 ) ( _263_ A1 ) ( _260_ B1 ) ( _257_ A1 ) ( _255_ A2 ) ( _250_ A2 )
- ( _236_ A ) ( _235_ X ) + USE SIGNAL
- + ROUTED met1 ( 34730 37570 ) ( 39790 * )
- NEW met1 ( 34730 36890 ) ( * 37570 )
- NEW met1 ( 37490 28390 ) ( 37950 * )
- NEW met2 ( 37490 28390 ) ( * 37570 )
- NEW met1 ( 37950 27710 ) ( * 28390 )
- NEW met1 ( 51290 32130 ) ( 52210 * )
- NEW met2 ( 51290 32130 ) ( * 33150 )
- NEW met1 ( 51290 33150 ) ( 54970 * )
- NEW met1 ( 54970 33150 ) ( * 33830 )
- NEW met1 ( 54510 22950 ) ( * 23630 )
- NEW met1 ( 51290 23630 ) ( 54510 * )
- NEW met2 ( 51290 23630 ) ( * 32130 )
- NEW met1 ( 48530 23970 ) ( 51290 * )
- NEW met1 ( 51290 23630 ) ( * 23970 )
- NEW met2 ( 44850 23970 ) ( * 26010 )
- NEW met1 ( 44850 23970 ) ( 48530 * )
- NEW met2 ( 44850 26010 ) ( * 27710 )
- NEW met1 ( 47150 17850 ) ( 47610 * )
- NEW met2 ( 47150 17850 ) ( * 23970 )
- NEW met1 ( 37950 27710 ) ( 44850 * )
- NEW li1 ( 39790 37570 ) L1M1_PR_MR
- NEW li1 ( 34730 36890 ) L1M1_PR_MR
- NEW li1 ( 37950 28390 ) L1M1_PR_MR
- NEW met1 ( 37490 28390 ) M1M2_PR
- NEW met1 ( 37490 37570 ) M1M2_PR
- NEW li1 ( 52210 32130 ) L1M1_PR_MR
- NEW met1 ( 51290 32130 ) M1M2_PR
- NEW met1 ( 51290 33150 ) M1M2_PR
- NEW li1 ( 54970 33830 ) L1M1_PR_MR
- NEW li1 ( 54510 22950 ) L1M1_PR_MR
- NEW met1 ( 51290 23630 ) M1M2_PR
- NEW li1 ( 48530 23970 ) L1M1_PR_MR
- NEW li1 ( 44850 26010 ) L1M1_PR_MR
- NEW met1 ( 44850 26010 ) M1M2_PR
- NEW met1 ( 44850 23970 ) M1M2_PR
- NEW met1 ( 44850 27710 ) M1M2_PR
- NEW li1 ( 47610 17850 ) L1M1_PR_MR
- NEW met1 ( 47150 17850 ) M1M2_PR
- NEW met1 ( 47150 23970 ) M1M2_PR
- NEW met1 ( 37490 37570 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 44850 26010 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 47150 23970 ) RECT ( -595 -70 0 70 ) ;
- - _131_ ( _266_ B2 ) ( _265_ B1 ) ( _263_ B2 ) ( _257_ B2 ) ( _254_ C1 ) ( _249_ C1 ) ( _236_ Y ) + USE SIGNAL
- + ROUTED met1 ( 50370 31450 ) ( 50830 * )
- NEW met2 ( 50830 28730 ) ( * 31450 )
- NEW met1 ( 50830 28730 ) ( 56350 * )
- NEW met2 ( 56350 22950 ) ( * 28730 )
- NEW met1 ( 56350 22950 ) ( 56810 * )
- NEW met2 ( 44850 28220 ) ( * 28390 )
- NEW met2 ( 44850 28220 ) ( 45310 * )
- NEW met2 ( 45310 27710 ) ( * 28220 )
- NEW met1 ( 45310 27710 ) ( 46690 * )
- NEW met1 ( 46690 27710 ) ( * 28050 )
- NEW met1 ( 46690 28050 ) ( 47610 * )
- NEW met1 ( 47610 28050 ) ( * 29410 )
- NEW met1 ( 47610 29410 ) ( 50830 * )
- NEW met2 ( 46690 17510 ) ( * 27710 )
- NEW met2 ( 38410 29410 ) ( * 30770 )
- NEW met1 ( 38410 30770 ) ( 43930 * )
- NEW met2 ( 43930 28390 ) ( * 30770 )
- NEW met1 ( 43930 28390 ) ( 44850 * )
- NEW met1 ( 40250 33830 ) ( * 34170 )
- NEW met1 ( 38870 34170 ) ( 40250 * )
- NEW met2 ( 38870 34170 ) ( * 34340 )
- NEW met2 ( 38410 34340 ) ( 38870 * )
- NEW met2 ( 38410 30770 ) ( * 34340 )
- NEW met1 ( 37950 36890 ) ( 38410 * )
- NEW met2 ( 38410 34340 ) ( * 36890 )
- NEW li1 ( 50370 31450 ) L1M1_PR_MR
- NEW met1 ( 50830 31450 ) M1M2_PR
- NEW met1 ( 50830 28730 ) M1M2_PR
- NEW met1 ( 56350 28730 ) M1M2_PR
- NEW met1 ( 56350 22950 ) M1M2_PR
- NEW li1 ( 56810 22950 ) L1M1_PR_MR
- NEW li1 ( 44850 28390 ) L1M1_PR_MR
- NEW met1 ( 44850 28390 ) M1M2_PR
- NEW met1 ( 45310 27710 ) M1M2_PR
- NEW met1 ( 50830 29410 ) M1M2_PR
- NEW li1 ( 46690 17510 ) L1M1_PR_MR
- NEW met1 ( 46690 17510 ) M1M2_PR
- NEW met1 ( 46690 27710 ) M1M2_PR
- NEW li1 ( 38410 29410 ) L1M1_PR_MR
- NEW met1 ( 38410 29410 ) M1M2_PR
- NEW met1 ( 38410 30770 ) M1M2_PR
- NEW met1 ( 43930 30770 ) M1M2_PR
- NEW met1 ( 43930 28390 ) M1M2_PR
- NEW li1 ( 40250 33830 ) L1M1_PR_MR
- NEW met1 ( 38870 34170 ) M1M2_PR
- NEW li1 ( 37950 36890 ) L1M1_PR_MR
- NEW met1 ( 38410 36890 ) M1M2_PR
- NEW met1 ( 44850 28390 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 50830 29410 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 46690 17510 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 46690 27710 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 38410 29410 ) RECT ( -355 -70 0 70 ) ;
- - _132_ ( _264_ B2 ) ( _264_ A2_N ) ( _238_ B2 ) ( _237_ X ) + USE SIGNAL
- + ROUTED met2 ( 58190 22950 ) ( * 26010 )
- NEW met1 ( 55430 26010 ) ( 58190 * )
- NEW met1 ( 58190 22950 ) ( 59570 * )
- NEW met1 ( 56350 19550 ) ( 58190 * )
- NEW met2 ( 58190 19550 ) ( * 22950 )
- NEW li1 ( 58190 22950 ) L1M1_PR_MR
- NEW met1 ( 58190 22950 ) M1M2_PR
+ NEW li1 ( 60030 17170 ) L1M1_PR ;
+ - _003_ ( _382_ RESET_B ) ( _359_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 30590 10370 ) ( 31970 * )
+ NEW met2 ( 31970 10370 ) ( * 11730 )
+ NEW li1 ( 30590 10370 ) L1M1_PR
+ NEW met1 ( 31970 10370 ) M1M2_PR
+ NEW met1 ( 31970 11730 ) M1M2_PR ;
+ - _004_ ( _383_ RESET_B ) ( _360_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 38870 10030 0 ) ( 39790 * )
+ NEW met2 ( 39790 6970 ) ( * 10030 )
+ NEW met1 ( 39790 10030 ) M1M2_PR
+ NEW li1 ( 39790 6970 ) L1M1_PR
+ NEW met1 ( 39790 6970 ) M1M2_PR
+ NEW met1 ( 39790 6970 ) RECT ( -355 -70 0 70 ) ;
+ - _005_ ( _384_ RESET_B ) ( _361_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 40250 17170 0 ) ( 40710 * )
+ NEW met1 ( 40710 16830 ) ( * 17170 )
+ NEW met1 ( 40710 16830 ) ( 45310 * )
+ NEW li1 ( 45310 16830 ) L1M1_PR ;
+ - _006_ ( _385_ RESET_B ) ( _362_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 31050 20910 ) ( 33810 * 0 )
+ NEW li1 ( 31050 20910 ) L1M1_PR ;
+ - _007_ ( _386_ RESET_B ) ( _363_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 28290 36210 ) ( 28750 * )
+ NEW met2 ( 28750 31450 ) ( * 36210 )
+ NEW li1 ( 28290 36210 ) L1M1_PR
+ NEW met1 ( 28750 36210 ) M1M2_PR
+ NEW met1 ( 28750 31450 ) M1M2_PR ;
+ - _008_ ( _387_ RESET_B ) ( _364_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 57730 17170 0 ) ( 58650 * )
+ NEW met2 ( 58650 17170 ) ( * 20230 )
+ NEW met1 ( 58650 20230 ) ( 60030 * )
+ NEW met1 ( 58650 17170 ) M1M2_PR
+ NEW met1 ( 58650 20230 ) M1M2_PR
+ NEW li1 ( 60030 20230 ) L1M1_PR ;
+ - _009_ ( _388_ RESET_B ) ( _365_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 33810 22270 ) ( 36570 * )
+ NEW met1 ( 36570 22270 ) ( * 22610 )
+ NEW met1 ( 36570 22610 ) ( 38410 * 0 )
+ NEW li1 ( 33810 22270 ) L1M1_PR ;
+ - _010_ ( _389_ RESET_B ) ( _366_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 58650 22610 0 ) ( 59570 * )
+ NEW met2 ( 59570 22610 ) ( * 28050 )
+ NEW met1 ( 59570 28050 ) ( 61410 * )
+ NEW met1 ( 59570 22610 ) M1M2_PR
+ NEW met1 ( 59570 28050 ) M1M2_PR
+ NEW li1 ( 61410 28050 ) L1M1_PR ;
+ - _011_ ( _390_ RESET_B ) ( _367_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 58190 28050 ) ( * 30770 )
+ NEW met1 ( 58190 28050 ) M1M2_PR
+ NEW li1 ( 58190 30770 ) L1M1_PR
+ NEW met1 ( 58190 30770 ) M1M2_PR
+ NEW met1 ( 58190 30770 ) RECT ( -355 -70 0 70 ) ;
+ - _012_ ( _391_ RESET_B ) ( _368_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 59570 31790 ) ( 60030 * )
+ NEW met2 ( 59570 31790 ) ( * 33490 )
+ NEW met1 ( 57730 33490 0 ) ( 59570 * )
+ NEW li1 ( 60030 31790 ) L1M1_PR
+ NEW met1 ( 59570 31790 ) M1M2_PR
+ NEW met1 ( 59570 33490 ) M1M2_PR ;
+ - _013_ ( _392_ RESET_B ) ( _369_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 58190 21250 ) ( * 26010 )
+ NEW met1 ( 54510 26010 0 ) ( 58190 * )
+ NEW li1 ( 58190 21250 ) L1M1_PR
+ NEW met1 ( 58190 21250 ) M1M2_PR
NEW met1 ( 58190 26010 ) M1M2_PR
- NEW li1 ( 55430 26010 ) L1M1_PR_MR
- NEW li1 ( 59570 22950 ) L1M1_PR_MR
- NEW li1 ( 56350 19550 ) L1M1_PR_MR
- NEW met1 ( 58190 19550 ) M1M2_PR
- NEW met1 ( 58190 22950 ) RECT ( -355 -70 0 70 ) ;
- - _133_ ( _262_ A ) ( _259_ S ) ( _258_ A ) ( _241_ A3 ) ( _238_ X ) + USE SIGNAL
- + ROUTED met1 ( 54050 31450 ) ( 54510 * )
- NEW met2 ( 54050 26350 ) ( * 31450 )
- NEW met1 ( 48070 31450 ) ( * 31790 )
- NEW met1 ( 48070 31790 ) ( 54050 * )
- NEW met1 ( 54050 31450 ) ( * 31790 )
- NEW met1 ( 50370 36210 ) ( * 36550 )
- NEW met1 ( 50370 36210 ) ( 50830 * )
- NEW met2 ( 50830 32130 ) ( * 36210 )
- NEW met1 ( 50830 31790 ) ( * 32130 )
- NEW met1 ( 55430 36210 ) ( * 36550 )
- NEW met1 ( 50830 36210 ) ( 55430 * )
- NEW li1 ( 54510 31450 ) L1M1_PR_MR
- NEW met1 ( 54050 31450 ) M1M2_PR
- NEW li1 ( 54050 26350 ) L1M1_PR_MR
- NEW met1 ( 54050 26350 ) M1M2_PR
- NEW li1 ( 48070 31450 ) L1M1_PR_MR
- NEW li1 ( 50370 36550 ) L1M1_PR_MR
- NEW met1 ( 50830 36210 ) M1M2_PR
- NEW met1 ( 50830 32130 ) M1M2_PR
- NEW li1 ( 55430 36550 ) L1M1_PR_MR
- NEW met1 ( 54050 26350 ) RECT ( -355 -70 0 70 ) ;
- - _134_ ( _241_ A1 ) ( _239_ Y ) + USE SIGNAL
- + ROUTED met2 ( 49450 36890 ) ( * 38590 )
- NEW li1 ( 49450 36890 ) L1M1_PR_MR
- NEW met1 ( 49450 36890 ) M1M2_PR
- NEW li1 ( 49450 38590 ) L1M1_PR_MR
- NEW met1 ( 49450 38590 ) M1M2_PR
- NEW met1 ( 49450 36890 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 49450 38590 ) RECT ( -355 -70 0 70 ) ;
- - _135_ ( _262_ B ) ( _258_ B ) ( _241_ A2 ) ( _240_ X ) + USE SIGNAL
- + ROUTED met1 ( 48990 31110 ) ( * 31450 )
- NEW met1 ( 48990 31110 ) ( 52210 * )
- NEW met1 ( 52210 31110 ) ( * 31450 )
- NEW met1 ( 52210 31450 ) ( 53590 * )
- NEW met1 ( 49910 34510 ) ( 50370 * )
- NEW met2 ( 49910 31110 ) ( * 34510 )
- NEW met2 ( 49910 34510 ) ( * 36550 )
- NEW li1 ( 48990 31450 ) L1M1_PR_MR
- NEW li1 ( 53590 31450 ) L1M1_PR_MR
- NEW li1 ( 50370 34510 ) L1M1_PR_MR
- NEW met1 ( 49910 34510 ) M1M2_PR
- NEW met1 ( 49910 31110 ) M1M2_PR
- NEW li1 ( 49910 36550 ) L1M1_PR_MR
- NEW met1 ( 49910 36550 ) M1M2_PR
- NEW met1 ( 49910 31110 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 49910 36550 ) RECT ( -355 -70 0 70 ) ;
- - _136_ ( _256_ A ) ( _251_ A ) ( _245_ A3 ) ( _241_ X ) + USE SIGNAL
- + ROUTED met1 ( 44390 34170 ) ( 47150 * )
- NEW met1 ( 44390 33830 ) ( * 34170 )
- NEW met2 ( 44390 31450 ) ( * 33830 )
- NEW met1 ( 46690 36890 ) ( 47150 * )
- NEW met2 ( 47150 34170 ) ( * 36890 )
- NEW met1 ( 47150 36890 ) ( 48530 * )
- NEW li1 ( 47150 34170 ) L1M1_PR_MR
- NEW met1 ( 44390 33830 ) M1M2_PR
- NEW li1 ( 44390 31450 ) L1M1_PR_MR
- NEW met1 ( 44390 31450 ) M1M2_PR
- NEW li1 ( 46690 36890 ) L1M1_PR_MR
- NEW met1 ( 47150 36890 ) M1M2_PR
- NEW met1 ( 47150 34170 ) M1M2_PR
- NEW li1 ( 48530 36890 ) L1M1_PR_MR
- NEW met1 ( 44390 31450 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 47150 34170 ) RECT ( -595 -70 0 70 ) ;
- - _137_ ( _256_ B ) ( _251_ B ) ( _245_ A1 ) ( _242_ X ) + USE SIGNAL
- + ROUTED met1 ( 45310 33830 ) ( 46230 * )
- NEW met2 ( 45310 31450 ) ( * 33830 )
- NEW met1 ( 44850 35870 ) ( 45310 * )
- NEW met2 ( 45310 33830 ) ( * 35870 )
- NEW met1 ( 45310 36890 ) ( 45770 * )
- NEW met2 ( 45310 35870 ) ( * 36890 )
- NEW li1 ( 46230 33830 ) L1M1_PR_MR
- NEW met1 ( 45310 33830 ) M1M2_PR
- NEW li1 ( 45310 31450 ) L1M1_PR_MR
- NEW met1 ( 45310 31450 ) M1M2_PR
- NEW li1 ( 44850 35870 ) L1M1_PR_MR
- NEW met1 ( 45310 35870 ) M1M2_PR
- NEW li1 ( 45770 36890 ) L1M1_PR_MR
- NEW met1 ( 45310 36890 ) M1M2_PR
- NEW met1 ( 45310 31450 ) RECT ( -355 -70 0 70 ) ;
- - _138_ ( _254_ B1 ) ( _245_ A2 ) ( _244_ A ) ( _243_ X ) + USE SIGNAL
- + ROUTED met2 ( 41170 33490 ) ( * 33660 )
- NEW met3 ( 41170 33660 ) ( 46690 * )
- NEW met2 ( 46690 33660 ) ( * 33830 )
- NEW met1 ( 38870 33490 ) ( 41170 * )
- NEW met1 ( 35190 33830 ) ( 36110 * )
- NEW met1 ( 35190 33150 ) ( * 33830 )
- NEW met1 ( 35190 33150 ) ( 38870 * )
- NEW met1 ( 38870 33150 ) ( * 33490 )
- NEW li1 ( 41170 33490 ) L1M1_PR_MR
- NEW met1 ( 41170 33490 ) M1M2_PR
- NEW met2 ( 41170 33660 ) M2M3_PR_M
- NEW met2 ( 46690 33660 ) M2M3_PR_M
- NEW li1 ( 46690 33830 ) L1M1_PR_MR
- NEW met1 ( 46690 33830 ) M1M2_PR
- NEW li1 ( 38870 33490 ) L1M1_PR_MR
- NEW li1 ( 36110 33830 ) L1M1_PR_MR
- NEW met1 ( 41170 33490 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 46690 33830 ) RECT ( 0 -70 355 70 ) ;
- - _139_ ( _254_ A1 ) ( _244_ Y ) + USE SIGNAL
- + ROUTED met1 ( 35650 33490 ) ( 38410 * )
- NEW li1 ( 38410 33490 ) L1M1_PR_MR
- NEW li1 ( 35650 33490 ) L1M1_PR_MR ;
- - _140_ ( _249_ B1 ) ( _246_ A ) ( _245_ X ) + USE SIGNAL
- + ROUTED met2 ( 46230 28390 ) ( * 33150 )
- NEW met1 ( 45310 33150 ) ( 46230 * )
- NEW met1 ( 40250 28050 ) ( * 28390 )
- NEW met1 ( 40250 28050 ) ( 46230 * )
- NEW met1 ( 46230 28050 ) ( * 28390 )
- NEW li1 ( 46230 28390 ) L1M1_PR_MR
- NEW met1 ( 46230 28390 ) M1M2_PR
- NEW met1 ( 46230 33150 ) M1M2_PR
- NEW li1 ( 45310 33150 ) L1M1_PR_MR
- NEW li1 ( 40250 28390 ) L1M1_PR_MR
- NEW met1 ( 46230 28390 ) RECT ( -355 -70 0 70 ) ;
- - _141_ ( _249_ A1 ) ( _246_ Y ) + USE SIGNAL
- + ROUTED met1 ( 46690 28390 ) ( * 29070 )
- NEW met1 ( 39790 29070 ) ( 46690 * )
- NEW li1 ( 46690 28390 ) L1M1_PR_MR
- NEW li1 ( 39790 29070 ) L1M1_PR_MR ;
- - _142_ ( _249_ A2 ) ( _248_ A ) ( _247_ X ) + USE SIGNAL
- + ROUTED met2 ( 43470 29410 ) ( * 31450 )
- NEW met1 ( 42090 31450 ) ( 43470 * )
- NEW met1 ( 47150 28390 ) ( 47175 * )
- NEW met1 ( 47150 28390 ) ( * 29410 )
- NEW met1 ( 43470 29410 ) ( 47150 * )
- NEW li1 ( 43470 29410 ) L1M1_PR_MR
- NEW met1 ( 43470 29410 ) M1M2_PR
- NEW met1 ( 43470 31450 ) M1M2_PR
- NEW li1 ( 42090 31450 ) L1M1_PR_MR
- NEW li1 ( 47175 28390 ) L1M1_PR_MR
- NEW met1 ( 43470 29410 ) RECT ( -355 -70 0 70 ) ;
- - _143_ ( _249_ B2 ) ( _248_ Y ) + USE SIGNAL
- + ROUTED met1 ( 45310 28390 ) ( 45315 * )
- NEW met1 ( 45310 28390 ) ( * 28730 )
- NEW met1 ( 44390 28730 ) ( 45310 * )
- NEW met2 ( 44390 28730 ) ( * 30430 )
- NEW met1 ( 42550 30430 ) ( 44390 * )
- NEW li1 ( 45315 28390 ) L1M1_PR_MR
- NEW met1 ( 44390 28730 ) M1M2_PR
- NEW met1 ( 44390 30430 ) M1M2_PR
- NEW li1 ( 42550 30430 ) L1M1_PR_MR ;
- - _144_ ( _250_ B1 ) ( _249_ X ) + USE SIGNAL
- + ROUTED met1 ( 46690 26350 ) ( 48070 * )
- NEW met2 ( 48070 26350 ) ( * 27710 )
- NEW li1 ( 46690 26350 ) L1M1_PR_MR
- NEW met1 ( 48070 26350 ) M1M2_PR
- NEW li1 ( 48070 27710 ) L1M1_PR_MR
- NEW met1 ( 48070 27710 ) M1M2_PR
- NEW met1 ( 48070 27710 ) RECT ( -355 -70 0 70 ) ;
- - _145_ ( _257_ A2 ) ( _252_ B1 ) ( _251_ X ) + USE SIGNAL
- + ROUTED met1 ( 40250 37570 ) ( 47610 * )
- NEW met1 ( 47610 37230 ) ( * 37570 )
- NEW met2 ( 40710 37570 ) ( * 38930 )
- NEW li1 ( 40250 37570 ) L1M1_PR_MR
- NEW li1 ( 47610 37230 ) L1M1_PR_MR
- NEW li1 ( 40710 38930 ) L1M1_PR_MR
- NEW met1 ( 40710 38930 ) M1M2_PR
- NEW met1 ( 40710 37570 ) M1M2_PR
- NEW met1 ( 40710 38930 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 40710 37570 ) RECT ( 0 -70 595 70 ) ;
- - _146_ ( _254_ A2 ) ( _253_ A ) ( _252_ Y ) + USE SIGNAL
- + ROUTED met1 ( 37925 33830 ) ( 37950 * )
- NEW met2 ( 37950 33830 ) ( * 38590 )
- NEW met1 ( 37950 38590 ) ( 40250 * )
- NEW met1 ( 40250 38590 ) ( * 38930 )
- NEW met1 ( 33810 33830 ) ( 34730 * )
- NEW met1 ( 33810 33830 ) ( * 34510 )
- NEW met1 ( 33810 34510 ) ( 37950 * )
- NEW li1 ( 37925 33830 ) L1M1_PR_MR
- NEW met1 ( 37950 33830 ) M1M2_PR
- NEW met1 ( 37950 38590 ) M1M2_PR
- NEW li1 ( 40250 38930 ) L1M1_PR_MR
- NEW li1 ( 34730 33830 ) L1M1_PR_MR
- NEW met1 ( 37950 34510 ) M1M2_PR
- NEW met1 ( 37925 33830 ) RECT ( -330 -70 0 70 )
- NEW met2 ( 37950 34510 ) RECT ( -70 -485 70 0 ) ;
- - _147_ ( _254_ B2 ) ( _253_ Y ) + USE SIGNAL
- + ROUTED met1 ( 38410 33830 ) ( 39510 * )
- NEW met1 ( 38410 33830 ) ( * 34170 )
- NEW met1 ( 34270 34170 ) ( 38410 * )
- NEW li1 ( 39510 33830 ) L1M1_PR_MR
- NEW li1 ( 34270 34170 ) L1M1_PR_MR ;
- - _148_ ( _255_ B1 ) ( _254_ X ) + USE SIGNAL
- + ROUTED met1 ( 36570 34850 ) ( 37030 * )
- NEW met2 ( 36570 34850 ) ( * 36890 )
- NEW li1 ( 37030 34850 ) L1M1_PR_MR
- NEW met1 ( 36570 34850 ) M1M2_PR
- NEW li1 ( 36570 36890 ) L1M1_PR_MR
- NEW met1 ( 36570 36890 ) M1M2_PR
- NEW met1 ( 36570 36890 ) RECT ( -355 -70 0 70 ) ;
- - _149_ ( _257_ A3 ) ( _256_ Y ) + USE SIGNAL
- + ROUTED met2 ( 44850 30770 ) ( * 36550 )
- NEW met1 ( 40710 36550 ) ( 44850 * )
- NEW li1 ( 44850 30770 ) L1M1_PR_MR
- NEW met1 ( 44850 30770 ) M1M2_PR
- NEW met1 ( 44850 36550 ) M1M2_PR
- NEW li1 ( 40710 36550 ) L1M1_PR_MR
- NEW met1 ( 44850 30770 ) RECT ( -355 -70 0 70 ) ;
- - _150_ ( _263_ A2 ) ( _258_ X ) + USE SIGNAL
- + ROUTED met1 ( 52670 32130 ) ( 55430 * )
- NEW met1 ( 55430 31790 ) ( * 32130 )
- NEW li1 ( 52670 32130 ) L1M1_PR_MR
- NEW li1 ( 55430 31790 ) L1M1_PR_MR ;
- - _151_ ( _260_ C1 ) ( _259_ X ) + USE SIGNAL
- + ROUTED met2 ( 54050 33830 ) ( * 35870 )
- NEW met1 ( 52670 35870 ) ( 54050 * )
- NEW li1 ( 54050 33830 ) L1M1_PR_MR
- NEW met1 ( 54050 33830 ) M1M2_PR
- NEW met1 ( 54050 35870 ) M1M2_PR
- NEW li1 ( 52670 35870 ) L1M1_PR_MR
- NEW met1 ( 54050 33830 ) RECT ( -355 -70 0 70 ) ;
- - _152_ ( _261_ B2 ) ( _261_ A2_N ) ( _260_ X ) + USE SIGNAL
- + ROUTED met1 ( 59110 34170 ) ( * 34510 )
- NEW met1 ( 57270 34170 ) ( 59110 * )
- NEW met1 ( 57270 33150 ) ( 60950 * )
- NEW met1 ( 57270 33150 ) ( * 34170 )
- NEW li1 ( 59110 34510 ) L1M1_PR_MR
- NEW li1 ( 57270 34170 ) L1M1_PR_MR
- NEW li1 ( 60950 33150 ) L1M1_PR_MR ;
- - _153_ ( _263_ A3 ) ( _262_ Y ) + USE SIGNAL
- + ROUTED met1 ( 52670 31110 ) ( 53130 * )
- NEW met1 ( 52670 30770 ) ( * 31110 )
- NEW met1 ( 48530 30770 ) ( 52670 * )
- NEW li1 ( 53130 31110 ) L1M1_PR_MR
- NEW li1 ( 48530 30770 ) L1M1_PR_MR ;
- - _154_ ( _265_ B2 ) ( _264_ X ) + USE SIGNAL
- + ROUTED met1 ( 54970 22950 ) ( 55890 * )
- NEW met1 ( 54970 22950 ) ( * 23630 )
- NEW met1 ( 54970 23630 ) ( 60950 * )
- NEW li1 ( 55890 22950 ) L1M1_PR_MR
- NEW li1 ( 60950 23630 ) L1M1_PR_MR ;
- - _155_ ( _274_ A2 ) ( _268_ A ) ( _267_ X ) + USE SIGNAL
- + ROUTED met1 ( 25990 15470 ) ( * 15810 )
- NEW met1 ( 25990 15470 ) ( 26910 * )
- NEW met1 ( 26910 15130 ) ( * 15470 )
- NEW met2 ( 26910 7650 ) ( * 15130 )
- NEW met1 ( 25990 7650 ) ( 26910 * )
- NEW met1 ( 24150 15130 ) ( 25070 * )
- NEW met1 ( 25070 15130 ) ( * 15470 )
- NEW met1 ( 25070 15470 ) ( 25990 * )
- NEW li1 ( 25990 15810 ) L1M1_PR_MR
- NEW met1 ( 26910 15130 ) M1M2_PR
- NEW met1 ( 26910 7650 ) M1M2_PR
- NEW li1 ( 25990 7650 ) L1M1_PR_MR
- NEW li1 ( 24150 15130 ) L1M1_PR_MR ;
- - _156_ ( _277_ A2 ) ( _272_ B ) ( _271_ A2 ) ( _269_ B ) ( _268_ Y ) + USE SIGNAL
- + ROUTED met1 ( 23690 16830 ) ( 29670 * )
- NEW met2 ( 23690 14450 ) ( * 16830 )
- NEW met2 ( 26450 16830 ) ( * 22610 )
- NEW met2 ( 26910 22780 ) ( * 25670 )
- NEW met2 ( 26450 22780 ) ( 26910 * )
- NEW met2 ( 26450 22610 ) ( * 22780 )
- NEW met1 ( 28290 26010 ) ( 29210 * )
- NEW met1 ( 28290 25670 ) ( * 26010 )
- NEW met1 ( 26910 25670 ) ( 28290 * )
- NEW li1 ( 29670 16830 ) L1M1_PR_MR
- NEW met1 ( 23690 16830 ) M1M2_PR
- NEW li1 ( 23690 14450 ) L1M1_PR_MR
- NEW met1 ( 23690 14450 ) M1M2_PR
- NEW li1 ( 26450 22610 ) L1M1_PR_MR
- NEW met1 ( 26450 22610 ) M1M2_PR
- NEW met1 ( 26450 16830 ) M1M2_PR
- NEW li1 ( 26910 25670 ) L1M1_PR_MR
- NEW met1 ( 26910 25670 ) M1M2_PR
- NEW li1 ( 29210 26010 ) L1M1_PR_MR
- NEW met1 ( 23690 14450 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 26450 22610 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 26450 16830 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 26910 25670 ) RECT ( 0 -70 355 70 ) ;
- - _157_ ( _271_ B2 ) ( _270_ A2 ) ( _269_ Y ) + USE SIGNAL
- + ROUTED met1 ( 26450 25330 ) ( * 25670 )
- NEW met1 ( 26450 25330 ) ( 29670 * )
- NEW met1 ( 23230 25670 ) ( 26450 * )
- NEW li1 ( 26450 25670 ) L1M1_PR_MR
- NEW li1 ( 29670 25330 ) L1M1_PR_MR
- NEW li1 ( 23230 25670 ) L1M1_PR_MR ;
- - _158_ ( _276_ A ) ( _274_ B1 ) ( _272_ X ) + USE SIGNAL
- + ROUTED met1 ( 31510 16830 ) ( 32890 * )
- NEW met1 ( 27370 15470 ) ( 32890 * )
- NEW met2 ( 32890 6630 ) ( * 16830 )
- NEW li1 ( 32890 6630 ) L1M1_PR_MR
- NEW met1 ( 32890 6630 ) M1M2_PR
- NEW li1 ( 31510 16830 ) L1M1_PR_MR
- NEW met1 ( 32890 16830 ) M1M2_PR
- NEW li1 ( 27370 15470 ) L1M1_PR_MR
- NEW met1 ( 32890 15470 ) M1M2_PR
- NEW met1 ( 32890 6630 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 32890 15470 ) RECT ( -70 -485 70 0 ) ;
- - _159_ ( _274_ A3 ) ( _273_ Y ) + USE SIGNAL
- + ROUTED met2 ( 25530 15130 ) ( 26450 * )
- NEW met1 ( 25690 15130 ) ( 26450 * )
- NEW met2 ( 25530 12750 ) ( * 15130 )
- NEW li1 ( 25530 12750 ) L1M1_PR_MR
- NEW met1 ( 25530 12750 ) M1M2_PR
- NEW met1 ( 26450 15130 ) M1M2_PR
- NEW li1 ( 25690 15130 ) L1M1_PR_MR
- NEW met1 ( 25530 12750 ) RECT ( -355 -70 0 70 ) ;
- - _160_ ( _276_ B ) ( _275_ X ) + USE SIGNAL
- + ROUTED met1 ( 31510 6630 ) ( 31970 * )
- NEW met2 ( 31510 6630 ) ( * 11730 )
- NEW li1 ( 31970 6630 ) L1M1_PR_MR
- NEW met1 ( 31510 6630 ) M1M2_PR
- NEW li1 ( 31510 11730 ) L1M1_PR_MR
- NEW met1 ( 31510 11730 ) M1M2_PR
- NEW met1 ( 31510 11730 ) RECT ( -355 -70 0 70 ) ;
- - _161_ ( _302_ C ) ( _300_ A4 ) ( _298_ A2 ) ( _296_ A3 ) ( _294_ B ) ( _284_ A2 ) ( _283_ A2 )
- ( _282_ A2 ) ( _281_ A2 ) ( _280_ X ) + USE SIGNAL
- + ROUTED met1 ( 39790 45390 ) ( 40710 * )
- NEW met1 ( 36130 52530 ) ( 40710 * )
- NEW met2 ( 40710 50830 ) ( * 52530 )
- NEW met1 ( 29670 53210 ) ( * 53550 )
- NEW met1 ( 29670 53550 ) ( 31050 * )
- NEW met2 ( 31050 52530 ) ( * 53550 )
- NEW met1 ( 31050 52530 ) ( 36130 * )
- NEW met1 ( 30590 56270 ) ( 30610 * )
- NEW met2 ( 30590 56270 ) ( 31050 * )
- NEW met2 ( 31050 53550 ) ( * 56270 )
- NEW met1 ( 30590 57970 ) ( 32430 * )
- NEW met2 ( 30590 56270 ) ( * 57970 )
- NEW met2 ( 40710 45390 ) ( * 50830 )
- NEW met2 ( 49910 50830 ) ( * 52190 )
- NEW met1 ( 46690 50830 ) ( 49910 * )
- NEW met1 ( 49910 50830 ) ( 53590 * )
- NEW met1 ( 53590 51170 ) ( 55890 * )
- NEW met1 ( 53590 50830 ) ( * 51170 )
- NEW met1 ( 53590 44370 ) ( * 45390 )
- NEW met1 ( 53590 45390 ) ( 54050 * )
- NEW met2 ( 54050 45390 ) ( * 51170 )
- NEW met1 ( 40710 50830 ) ( 46690 * )
- NEW li1 ( 39790 45390 ) L1M1_PR_MR
- NEW met1 ( 40710 45390 ) M1M2_PR
- NEW met1 ( 40710 50830 ) M1M2_PR
- NEW li1 ( 36130 52530 ) L1M1_PR_MR
- NEW met1 ( 40710 52530 ) M1M2_PR
- NEW li1 ( 29670 53210 ) L1M1_PR_MR
- NEW met1 ( 31050 53550 ) M1M2_PR
- NEW met1 ( 31050 52530 ) M1M2_PR
- NEW li1 ( 30610 56270 ) L1M1_PR_MR
- NEW met1 ( 30590 56270 ) M1M2_PR
- NEW li1 ( 32430 57970 ) L1M1_PR_MR
- NEW met1 ( 30590 57970 ) M1M2_PR
- NEW li1 ( 46690 50830 ) L1M1_PR_MR
- NEW li1 ( 49910 52190 ) L1M1_PR_MR
+ NEW met1 ( 58190 21250 ) RECT ( -355 -70 0 70 ) ;
+ - _014_ ( _393_ RESET_B ) ( _370_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 32890 32130 ) ( * 33490 )
+ NEW li1 ( 32890 32130 ) L1M1_PR
+ NEW met1 ( 32890 32130 ) M1M2_PR
+ NEW met1 ( 32890 33490 ) M1M2_PR
+ NEW met1 ( 32890 32130 ) RECT ( -355 -70 0 70 ) ;
+ - _015_ ( _394_ RESET_B ) ( _371_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 54970 6290 0 ) ( 55430 * )
+ NEW met2 ( 55430 6290 ) ( * 9010 )
+ NEW met1 ( 55430 6290 ) M1M2_PR
+ NEW li1 ( 55430 9010 ) L1M1_PR
+ NEW met1 ( 55430 9010 ) M1M2_PR
+ NEW met1 ( 55430 9010 ) RECT ( -355 -70 0 70 ) ;
+ - _016_ ( _395_ RESET_B ) ( _372_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 64170 6290 ) ( * 17170 )
+ NEW met1 ( 64170 6290 ) M1M2_PR
+ NEW li1 ( 64170 17170 ) L1M1_PR
+ NEW met1 ( 64170 17170 ) M1M2_PR
+ NEW met1 ( 64170 17170 ) RECT ( -355 -70 0 70 ) ;
+ - _017_ ( _396_ RESET_B ) ( _373_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 62790 10030 ) ( * 11900 )
+ NEW met2 ( 62790 11900 ) ( 63250 * )
+ NEW met2 ( 63250 11900 ) ( * 14450 )
+ NEW met1 ( 59110 14450 ) ( 63250 * )
+ NEW met1 ( 62790 10030 ) M1M2_PR
+ NEW met1 ( 63250 14450 ) M1M2_PR
+ NEW li1 ( 59110 14450 ) L1M1_PR ;
+ - _018_ ( _397_ RESET_B ) ( _374_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 9430 10030 ) ( * 11730 )
+ NEW met1 ( 9430 10030 ) ( 10350 * 0 )
+ NEW li1 ( 9430 11730 ) L1M1_PR
+ NEW met1 ( 9430 11730 ) M1M2_PR
+ NEW met1 ( 9430 10030 ) M1M2_PR
+ NEW met1 ( 9430 11730 ) RECT ( -355 -70 0 70 ) ;
+ - _019_ ( _398_ RESET_B ) ( _375_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 23230 6630 ) ( * 10030 )
+ NEW li1 ( 23230 6630 ) L1M1_PR
+ NEW met1 ( 23230 6630 ) M1M2_PR
+ NEW met1 ( 23230 10030 ) M1M2_PR
+ NEW met1 ( 23230 6630 ) RECT ( -355 -70 0 70 ) ;
+ - _020_ ( _399_ RESET_B ) ( _376_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 21390 20570 ) ( * 22950 )
+ NEW li1 ( 21390 20570 ) L1M1_PR
+ NEW met1 ( 21390 20570 ) M1M2_PR
+ NEW met1 ( 21390 22950 ) M1M2_PR
+ NEW met1 ( 21390 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _021_ ( _400_ RESET_B ) ( _377_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 20470 33150 ) ( * 36210 )
+ NEW met2 ( 20470 36210 ) ( 20930 * )
+ NEW met2 ( 20930 36210 ) ( * 36890 )
+ NEW li1 ( 20470 33150 ) L1M1_PR
+ NEW met1 ( 20470 33150 ) M1M2_PR
+ NEW met1 ( 20930 36890 ) M1M2_PR
+ NEW met1 ( 20470 33150 ) RECT ( -355 -70 0 70 ) ;
+ - _022_ ( _401_ RESET_B ) ( _378_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 14490 33830 ) ( * 38590 )
+ NEW met1 ( 14030 38590 ) ( 14490 * )
+ NEW met1 ( 14490 33830 ) M1M2_PR
+ NEW met1 ( 14490 38590 ) M1M2_PR
+ NEW li1 ( 14030 38590 ) L1M1_PR ;
+ - _023_ ( _379_ D ) ( _287_ X ) + USE SIGNAL
+ + ROUTED met2 ( 44850 7310 ) ( * 9350 )
+ NEW met1 ( 44390 9350 ) ( 44850 * )
+ NEW li1 ( 44850 7310 ) L1M1_PR
+ NEW met1 ( 44850 7310 ) M1M2_PR
+ NEW met1 ( 44850 9350 ) M1M2_PR
+ NEW li1 ( 44390 9350 ) L1M1_PR
+ NEW met1 ( 44850 7310 ) RECT ( -355 -70 0 70 ) ;
+ - _024_ ( _380_ D ) ( _286_ X ) + USE SIGNAL
+ + ROUTED met1 ( 43010 14790 ) ( 44850 * )
+ NEW met2 ( 44850 13090 ) ( * 14790 )
+ NEW li1 ( 44850 13090 ) L1M1_PR
+ NEW met1 ( 44850 13090 ) M1M2_PR
+ NEW met1 ( 44850 14790 ) M1M2_PR
+ NEW li1 ( 43010 14790 ) L1M1_PR
+ NEW met1 ( 44850 13090 ) RECT ( -355 -70 0 70 ) ;
+ - _025_ ( _381_ D ) ( _285_ X ) + USE SIGNAL
+ + ROUTED met1 ( 51750 14110 ) ( 53130 * )
+ NEW met2 ( 53130 11730 ) ( * 14110 )
+ NEW li1 ( 53130 11730 ) L1M1_PR
+ NEW met1 ( 53130 11730 ) M1M2_PR
+ NEW met1 ( 53130 14110 ) M1M2_PR
+ NEW li1 ( 51750 14110 ) L1M1_PR
+ NEW met1 ( 53130 11730 ) RECT ( -355 -70 0 70 ) ;
+ - _026_ ( _382_ D ) ( _284_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 29670 6970 ) ( * 11730 )
+ NEW li1 ( 29670 6970 ) L1M1_PR
+ NEW met1 ( 29670 6970 ) M1M2_PR
+ NEW li1 ( 29670 11730 ) L1M1_PR
+ NEW met1 ( 29670 11730 ) M1M2_PR
+ NEW met1 ( 29670 6970 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 29670 11730 ) RECT ( -355 -70 0 70 ) ;
+ - _027_ ( _383_ D ) ( _283_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 40250 11390 ) ( 40710 * )
+ NEW met2 ( 40710 10030 ) ( * 11390 )
+ NEW met1 ( 40710 10030 ) ( 41170 * )
+ NEW li1 ( 40250 11390 ) L1M1_PR
+ NEW met1 ( 40710 11390 ) M1M2_PR
+ NEW met1 ( 40710 10030 ) M1M2_PR
+ NEW li1 ( 41170 10030 ) L1M1_PR ;
+ - _028_ ( _384_ D ) ( _281_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 37490 15810 ) ( 40710 * )
+ NEW met2 ( 40710 15810 ) ( * 17170 )
+ NEW met2 ( 40710 17170 ) ( 41170 * )
+ NEW met1 ( 41170 17170 ) ( 42550 * )
+ NEW li1 ( 37490 15810 ) L1M1_PR
+ NEW met1 ( 40710 15810 ) M1M2_PR
+ NEW met1 ( 41170 17170 ) M1M2_PR
+ NEW li1 ( 42550 17170 ) L1M1_PR ;
+ - _029_ ( _385_ D ) ( _277_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36110 20230 ) ( 39790 * )
+ NEW met2 ( 36110 20230 ) ( * 22610 )
+ NEW met1 ( 31970 22610 ) ( 36110 * )
+ NEW li1 ( 39790 20230 ) L1M1_PR
+ NEW met1 ( 36110 20230 ) M1M2_PR
+ NEW met1 ( 36110 22610 ) M1M2_PR
+ NEW li1 ( 31970 22610 ) L1M1_PR ;
+ - _030_ ( _386_ D ) ( _275_ X ) + USE SIGNAL
+ + ROUTED met2 ( 26910 29410 ) ( * 31110 )
+ NEW met1 ( 23230 31110 ) ( 26910 * )
+ NEW li1 ( 26910 29410 ) L1M1_PR
+ NEW met1 ( 26910 29410 ) M1M2_PR
+ NEW met1 ( 26910 31110 ) M1M2_PR
+ NEW li1 ( 23230 31110 ) L1M1_PR
+ NEW met1 ( 26910 29410 ) RECT ( -355 -70 0 70 ) ;
+ - _031_ ( _387_ D ) ( _272_ X ) + USE SIGNAL
+ + ROUTED met2 ( 51750 17850 ) ( * 19550 )
+ NEW met1 ( 51750 19550 ) ( 52210 * )
+ NEW li1 ( 51750 17850 ) L1M1_PR
+ NEW met1 ( 51750 17850 ) M1M2_PR
+ NEW met1 ( 51750 19550 ) M1M2_PR
+ NEW li1 ( 52210 19550 ) L1M1_PR
+ NEW met1 ( 51750 17850 ) RECT ( -355 -70 0 70 ) ;
+ - _032_ ( _388_ D ) ( _270_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36110 23290 ) ( 38410 * )
+ NEW met2 ( 38410 23290 ) ( * 24990 )
+ NEW met1 ( 38410 24990 ) ( 40250 * )
+ NEW li1 ( 36110 23290 ) L1M1_PR
+ NEW met1 ( 38410 23290 ) M1M2_PR
+ NEW met1 ( 38410 24990 ) M1M2_PR
+ NEW li1 ( 40250 24990 ) L1M1_PR ;
+ - _033_ ( _389_ D ) ( _267_ X ) + USE SIGNAL
+ + ROUTED met1 ( 51750 23290 ) ( 52670 * )
+ NEW met1 ( 51750 23290 ) ( * 23630 )
+ NEW met1 ( 48530 23630 ) ( 51750 * )
+ NEW met2 ( 48530 23630 ) ( * 27710 )
+ NEW met1 ( 48070 27710 ) ( 48530 * )
+ NEW li1 ( 52670 23290 ) L1M1_PR
+ NEW met1 ( 48530 23630 ) M1M2_PR
+ NEW met1 ( 48530 27710 ) M1M2_PR
+ NEW li1 ( 48070 27710 ) L1M1_PR ;
+ - _034_ ( _390_ D ) ( _265_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 53130 28730 ) ( * 41990 )
+ NEW met1 ( 53130 41990 ) ( 54510 * )
+ NEW li1 ( 53130 28730 ) L1M1_PR
+ NEW met1 ( 53130 28730 ) M1M2_PR
+ NEW met1 ( 53130 41990 ) M1M2_PR
+ NEW li1 ( 54510 41990 ) L1M1_PR
+ NEW met1 ( 53130 28730 ) RECT ( -355 -70 0 70 ) ;
+ - _035_ ( _391_ D ) ( _262_ X ) + USE SIGNAL
+ + ROUTED met1 ( 51750 33150 ) ( * 33490 )
+ NEW met1 ( 49910 33150 ) ( 51750 * )
+ NEW li1 ( 51750 33490 ) L1M1_PR
+ NEW li1 ( 49910 33150 ) L1M1_PR ;
+ - _036_ ( _392_ D ) ( _260_ X ) + USE SIGNAL
+ + ROUTED met2 ( 48990 25670 ) ( * 30430 )
+ NEW li1 ( 48990 25670 ) L1M1_PR
+ NEW met1 ( 48990 25670 ) M1M2_PR
+ NEW li1 ( 48990 30430 ) L1M1_PR
+ NEW met1 ( 48990 30430 ) M1M2_PR
+ NEW met1 ( 48990 25670 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48990 30430 ) RECT ( -355 -70 0 70 ) ;
+ - _037_ ( _393_ D ) ( _257_ X ) + USE SIGNAL
+ + ROUTED met1 ( 29605 34850 ) ( 37030 * )
+ NEW met2 ( 37030 34850 ) ( * 38590 )
+ NEW li1 ( 29605 34850 ) L1M1_PR
+ NEW met1 ( 37030 34850 ) M1M2_PR
+ NEW li1 ( 37030 38590 ) L1M1_PR
+ NEW met1 ( 37030 38590 ) M1M2_PR
+ NEW met1 ( 37030 38590 ) RECT ( -355 -70 0 70 ) ;
+ - _038_ ( _397_ D ) ( _187_ X ) + USE SIGNAL
+ + ROUTED met1 ( 15870 10030 ) ( 16330 * )
+ NEW met2 ( 15870 10030 ) ( * 11390 )
+ NEW met1 ( 14490 11390 ) ( 15870 * )
+ NEW li1 ( 16330 10030 ) L1M1_PR
+ NEW met1 ( 15870 10030 ) M1M2_PR
+ NEW met1 ( 15870 11390 ) M1M2_PR
+ NEW li1 ( 14490 11390 ) L1M1_PR ;
+ - _039_ ( _398_ D ) ( _186_ X ) + USE SIGNAL
+ + ROUTED met1 ( 23690 5950 ) ( * 6290 )
+ NEW met1 ( 22770 6290 ) ( 23690 * )
+ NEW met2 ( 22770 5780 ) ( * 6290 )
+ NEW met2 ( 20470 5780 ) ( 22770 * )
+ NEW met2 ( 20470 5780 ) ( * 9350 )
+ NEW li1 ( 23690 5950 ) L1M1_PR
+ NEW met1 ( 22770 6290 ) M1M2_PR
+ NEW li1 ( 20470 9350 ) L1M1_PR
+ NEW met1 ( 20470 9350 ) M1M2_PR
+ NEW met1 ( 20470 9350 ) RECT ( -355 -70 0 70 ) ;
+ - _040_ ( _399_ D ) ( _185_ X ) + USE SIGNAL
+ + ROUTED met2 ( 27830 21250 ) ( * 22270 )
+ NEW met1 ( 26910 22270 ) ( 27830 * )
+ NEW met1 ( 26910 22270 ) ( * 22610 )
+ NEW li1 ( 27830 21250 ) L1M1_PR
+ NEW met1 ( 27830 21250 ) M1M2_PR
+ NEW met1 ( 27830 22270 ) M1M2_PR
+ NEW li1 ( 26910 22610 ) L1M1_PR
+ NEW met1 ( 27830 21250 ) RECT ( -355 -70 0 70 ) ;
+ - _041_ ( _400_ D ) ( _184_ X ) + USE SIGNAL
+ + ROUTED met1 ( 15410 32130 ) ( 17710 * )
+ NEW met2 ( 15410 32130 ) ( * 36550 )
+ NEW li1 ( 17710 32130 ) L1M1_PR
+ NEW met1 ( 15410 32130 ) M1M2_PR
+ NEW li1 ( 15410 36550 ) L1M1_PR
+ NEW met1 ( 15410 36550 ) M1M2_PR
+ NEW met1 ( 15410 36550 ) RECT ( -355 -70 0 70 ) ;
+ - _042_ ( _401_ D ) ( _183_ X ) + USE SIGNAL
+ + ROUTED met1 ( 8905 34850 ) ( 9890 * )
+ NEW met2 ( 9890 34850 ) ( * 35870 )
+ NEW li1 ( 8905 34850 ) L1M1_PR
+ NEW met1 ( 9890 34850 ) M1M2_PR
+ NEW li1 ( 9890 35870 ) L1M1_PR
+ NEW met1 ( 9890 35870 ) M1M2_PR
+ NEW met1 ( 9890 35870 ) RECT ( -355 -70 0 70 ) ;
+ - _043_ ( _324_ A ) ( _320_ C1 ) ( _296_ A ) ( _292_ A ) ( _242_ A ) ( _176_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 53130 49470 ) ( * 50150 )
+ NEW met1 ( 53130 49470 ) ( 58190 * )
+ NEW met1 ( 48990 52530 ) ( * 53210 )
+ NEW met1 ( 48990 52530 ) ( 53130 * )
+ NEW met1 ( 53130 52190 ) ( * 52530 )
+ NEW met2 ( 53130 50150 ) ( * 52190 )
+ NEW met2 ( 43010 50150 ) ( * 52530 )
+ NEW met1 ( 43010 52530 ) ( 48990 * )
+ NEW met1 ( 43010 44710 ) ( 46230 * )
+ NEW met2 ( 43010 44710 ) ( * 50150 )
+ NEW met1 ( 43905 47770 ) ( 43930 * )
+ NEW met2 ( 43930 44710 ) ( * 47770 )
+ NEW li1 ( 53130 50150 ) L1M1_PR
+ NEW met1 ( 53130 50150 ) M1M2_PR
+ NEW met1 ( 53130 49470 ) M1M2_PR
+ NEW li1 ( 58190 49470 ) L1M1_PR
+ NEW li1 ( 48990 53210 ) L1M1_PR
+ NEW met1 ( 53130 52190 ) M1M2_PR
+ NEW li1 ( 43010 50150 ) L1M1_PR
+ NEW met1 ( 43010 50150 ) M1M2_PR
+ NEW met1 ( 43010 52530 ) M1M2_PR
+ NEW li1 ( 46230 44710 ) L1M1_PR
+ NEW met1 ( 43010 44710 ) M1M2_PR
+ NEW li1 ( 43905 47770 ) L1M1_PR
+ NEW met1 ( 43930 47770 ) M1M2_PR
+ NEW met1 ( 43930 44710 ) M1M2_PR
+ NEW met1 ( 53130 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43010 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43930 47770 ) RECT ( 0 -70 330 70 )
+ NEW met1 ( 43930 44710 ) RECT ( -595 -70 0 70 ) ;
+ - _044_ ( _322_ A2 ) ( _307_ B ) ( _290_ B ) ( _244_ A ) ( _243_ A1 ) ( _177_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 39790 30770 ) ( * 31450 )
+ NEW met1 ( 39790 30770 ) ( 54970 * )
+ NEW met1 ( 54970 30770 ) ( * 31450 )
+ NEW met2 ( 39790 31450 ) ( * 46750 )
+ NEW met2 ( 39330 50490 ) ( 39790 * )
+ NEW met1 ( 39330 50490 ) ( 39335 * )
+ NEW met1 ( 39335 50040 ) ( * 50490 )
+ NEW met2 ( 39790 46750 ) ( * 50490 )
+ NEW met2 ( 47150 45390 ) ( * 46750 )
+ NEW met1 ( 44390 45390 ) ( 47150 * )
+ NEW met2 ( 44390 45390 ) ( * 46750 )
+ NEW met1 ( 47610 49470 ) ( 48070 * )
+ NEW met2 ( 47610 46750 ) ( * 49470 )
+ NEW met2 ( 47150 46750 ) ( 47610 * )
+ NEW met1 ( 47150 51170 ) ( 47610 * )
+ NEW met2 ( 47610 49470 ) ( * 51170 )
+ NEW met1 ( 39790 46750 ) ( 44390 * )
+ NEW li1 ( 39790 31450 ) L1M1_PR
+ NEW li1 ( 54970 31450 ) L1M1_PR
+ NEW met1 ( 39790 46750 ) M1M2_PR
+ NEW met1 ( 39790 31450 ) M1M2_PR
+ NEW met1 ( 39330 50490 ) M1M2_PR
+ NEW li1 ( 39335 50040 ) L1M1_PR
+ NEW li1 ( 47150 46750 ) L1M1_PR
+ NEW met1 ( 47150 46750 ) M1M2_PR
+ NEW met1 ( 47150 45390 ) M1M2_PR
+ NEW met1 ( 44390 45390 ) M1M2_PR
+ NEW met1 ( 44390 46750 ) M1M2_PR
+ NEW li1 ( 48070 49470 ) L1M1_PR
+ NEW met1 ( 47610 49470 ) M1M2_PR
+ NEW li1 ( 47150 51170 ) L1M1_PR
+ NEW met1 ( 47610 51170 ) M1M2_PR
+ NEW met1 ( 39790 31450 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 47150 46750 ) RECT ( -355 -70 0 70 ) ;
+ - _045_ ( _307_ C ) ( _291_ B ) ( _242_ B ) ( _178_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 45770 45730 ) ( * 53210 )
+ NEW met1 ( 45770 45730 ) ( 48530 * )
+ NEW met1 ( 47610 47720 ) ( * 47770 )
+ NEW met1 ( 47610 47720 ) ( 47650 * )
+ NEW met1 ( 47650 47430 ) ( * 47720 )
+ NEW met1 ( 47650 47430 ) ( 48530 * )
+ NEW met2 ( 48530 45730 ) ( * 47430 )
+ NEW li1 ( 45770 45730 ) L1M1_PR
+ NEW met1 ( 45770 45730 ) M1M2_PR
+ NEW li1 ( 45770 53210 ) L1M1_PR
+ NEW met1 ( 45770 53210 ) M1M2_PR
+ NEW li1 ( 48530 45730 ) L1M1_PR
+ NEW li1 ( 47610 47770 ) L1M1_PR
+ NEW met1 ( 48530 47430 ) M1M2_PR
+ NEW met1 ( 48530 45730 ) M1M2_PR
+ NEW met1 ( 45770 45730 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 45770 53210 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48530 45730 ) RECT ( -595 -70 0 70 ) ;
+ - _046_ ( _338_ A1 ) ( _330_ A1 ) ( _265_ A ) ( _240_ A ) ( _179_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 40250 45730 ) ( 41170 * )
+ NEW met2 ( 40250 45730 ) ( * 55590 )
+ NEW met1 ( 37200 55590 ) ( 40250 * )
+ NEW met1 ( 49450 42330 ) ( 50830 * )
+ NEW met2 ( 49450 42330 ) ( * 47090 )
+ NEW met1 ( 40250 47090 ) ( 49450 * )
+ NEW met1 ( 49910 58650 ) ( 50370 * )
+ NEW met2 ( 49910 55250 ) ( * 58650 )
+ NEW met1 ( 41630 55250 ) ( 49910 * )
+ NEW met1 ( 41630 55250 ) ( * 55590 )
+ NEW met1 ( 40250 55590 ) ( 41630 * )
+ NEW met1 ( 48990 47770 ) ( * 47800 )
+ NEW met1 ( 48965 47800 ) ( 48990 * )
+ NEW met1 ( 48965 47800 ) ( * 48110 )
+ NEW met1 ( 48965 48110 ) ( 49450 * )
+ NEW met2 ( 49450 47090 ) ( * 48110 )
+ NEW li1 ( 41170 45730 ) L1M1_PR
+ NEW met1 ( 40250 45730 ) M1M2_PR
+ NEW met1 ( 40250 55590 ) M1M2_PR
+ NEW li1 ( 37200 55590 ) L1M1_PR
+ NEW li1 ( 50830 42330 ) L1M1_PR
+ NEW met1 ( 49450 42330 ) M1M2_PR
+ NEW met1 ( 49450 47090 ) M1M2_PR
+ NEW met1 ( 40250 47090 ) M1M2_PR
+ NEW li1 ( 50370 58650 ) L1M1_PR
+ NEW met1 ( 49910 58650 ) M1M2_PR
+ NEW met1 ( 49910 55250 ) M1M2_PR
+ NEW li1 ( 48990 47770 ) L1M1_PR
+ NEW met1 ( 49450 48110 ) M1M2_PR
+ NEW met2 ( 40250 47090 ) RECT ( -70 -485 70 0 ) ;
+ - _047_ ( _347_ B ) ( _298_ B ) ( _264_ A1 ) ( _250_ A ) ( _240_ B ) ( _180_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 41170 42330 ) ( * 42670 )
+ NEW met1 ( 49910 39270 ) ( 52500 * )
+ NEW met1 ( 42550 52190 ) ( 50370 * )
+ NEW met1 ( 49910 45730 ) ( 54510 * )
+ NEW met1 ( 50370 47430 ) ( * 47770 )
+ NEW met1 ( 49910 47430 ) ( 50370 * )
+ NEW met1 ( 41170 42670 ) ( 49910 * )
+ NEW met2 ( 49910 39270 ) ( * 52190 )
+ NEW li1 ( 41170 42330 ) L1M1_PR
+ NEW li1 ( 52500 39270 ) L1M1_PR
+ NEW met1 ( 49910 39270 ) M1M2_PR
+ NEW li1 ( 50370 52190 ) L1M1_PR
+ NEW li1 ( 42550 52190 ) L1M1_PR
NEW met1 ( 49910 52190 ) M1M2_PR
- NEW met1 ( 49910 50830 ) M1M2_PR
- NEW li1 ( 53590 50830 ) L1M1_PR_MR
- NEW li1 ( 55890 51170 ) L1M1_PR_MR
- NEW li1 ( 53590 44370 ) L1M1_PR_MR
- NEW met1 ( 54050 45390 ) M1M2_PR
- NEW met1 ( 54050 51170 ) M1M2_PR
- NEW met1 ( 30590 56270 ) RECT ( -335 -70 0 70 )
- NEW met1 ( 49910 52190 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 54050 51170 ) RECT ( 0 -70 595 70 ) ;
- - _162_ ( _295_ B1 ) ( _294_ X ) + USE SIGNAL
- + ROUTED met1 ( 57270 51170 ) ( 57730 * )
- NEW met2 ( 57270 51170 ) ( * 56270 )
- NEW met1 ( 53130 56270 ) ( 57270 * )
- NEW met1 ( 53130 55590 ) ( * 56270 )
- NEW met1 ( 53125 55590 ) ( 53130 * )
- NEW li1 ( 57730 51170 ) L1M1_PR_MR
- NEW met1 ( 57270 51170 ) M1M2_PR
- NEW met1 ( 57270 56270 ) M1M2_PR
- NEW li1 ( 53125 55590 ) L1M1_PR_MR ;
- - _163_ ( _298_ B1 ) ( _297_ X ) + USE SIGNAL
- + ROUTED met1 ( 43930 48450 ) ( 47610 * )
- NEW met2 ( 47610 48450 ) ( * 50150 )
- NEW li1 ( 43930 48450 ) L1M1_PR_MR
- NEW met1 ( 47610 48450 ) M1M2_PR
- NEW li1 ( 47610 50150 ) L1M1_PR_MR
- NEW met1 ( 47610 50150 ) M1M2_PR
- NEW met1 ( 47610 50150 ) RECT ( 0 -70 355 70 ) ;
- - clockp[0] ( PIN clockp[0] ) ( _328_ X ) + USE SIGNAL
- + ROUTED met3 ( 3220 2380 0 ) ( 8050 * )
- NEW met2 ( 8050 2380 ) ( * 5950 )
- NEW met2 ( 8050 2380 ) M2M3_PR_M
- NEW li1 ( 8050 5950 ) L1M1_PR_MR
- NEW met1 ( 8050 5950 ) M1M2_PR
- NEW met1 ( 8050 5950 ) RECT ( -355 -70 0 70 ) ;
- - clockp[1] ( PIN clockp[1] ) ( ringosc.ibufp11 Y ) + USE SIGNAL
- + ROUTED met3 ( 3220 6460 0 ) ( 15410 * )
- NEW met2 ( 14950 25500 ) ( * 36210 )
- NEW met2 ( 14950 25500 ) ( 15410 * )
- NEW met1 ( 12190 36210 ) ( 14950 * )
- NEW met2 ( 15410 6460 ) ( * 25500 )
- NEW li1 ( 12190 36210 ) L1M1_PR_MR
- NEW met2 ( 15410 6460 ) M2M3_PR_M
- NEW met1 ( 14950 36210 ) M1M2_PR ;
- - dco ( PIN dco ) ( ANTENNA__182__A DIODE ) ( ANTENNA__330__S DIODE ) ( ANTENNA__331__S DIODE ) ( ANTENNA__332__S DIODE ) ( ANTENNA__333__S DIODE ) ( ANTENNA__334__S DIODE )
- ( ANTENNA__335__S DIODE ) ( ANTENNA__336__S DIODE ) ( ANTENNA__337__S DIODE ) ( ANTENNA__338__S DIODE ) ( ANTENNA__339__S DIODE ) ( ANTENNA__340__S DIODE ) ( ANTENNA__341__S DIODE ) ( ANTENNA__342__S DIODE )
- ( ANTENNA__343__S DIODE ) ( ANTENNA__344__S DIODE ) ( ANTENNA__345__S DIODE ) ( ANTENNA__346__S DIODE ) ( ANTENNA__347__S DIODE ) ( ANTENNA__348__S DIODE ) ( ANTENNA__349__S DIODE ) ( ANTENNA__350__S DIODE )
- ( ANTENNA__351__S DIODE ) ( ANTENNA__352__S DIODE ) ( ANTENNA__353__S DIODE ) ( ANTENNA__354__S DIODE ) ( ANTENNA__355__S DIODE ) ( _355_ S ) ( _354_ S ) ( _353_ S )
- ( _352_ S ) ( _351_ S ) ( _350_ S ) ( _349_ S ) ( _348_ S ) ( _347_ S ) ( _346_ S ) ( _345_ S )
- ( _344_ S ) ( _343_ S ) ( _342_ S ) ( _341_ S ) ( _340_ S ) ( _339_ S ) ( _338_ S ) ( _337_ S )
- ( _336_ S ) ( _335_ S ) ( _334_ S ) ( _333_ S ) ( _332_ S ) ( _331_ S ) ( _330_ S ) ( _182_ A ) + USE SIGNAL
- + ROUTED met2 ( 64630 34170 ) ( * 36550 )
- NEW met1 ( 64630 34170 ) ( 66930 * )
- NEW met2 ( 62330 36550 ) ( * 39950 )
- NEW met1 ( 62100 34170 ) ( 64630 * )
- NEW met1 ( 62100 34170 ) ( * 34850 )
- NEW met1 ( 58190 34850 ) ( 62100 * )
- NEW met1 ( 59570 36550 ) ( 64630 * )
- NEW met1 ( 60490 39950 ) ( 62330 * )
- NEW met1 ( 25070 47430 ) ( 27370 * )
- NEW met2 ( 7590 39610 ) ( * 39780 )
- NEW met3 ( 3220 39780 0 ) ( 7590 * )
- NEW met1 ( 7590 34170 ) ( 8050 * )
- NEW met2 ( 7590 34170 ) ( * 39610 )
- NEW met1 ( 7590 45050 ) ( 8050 * )
- NEW met2 ( 7590 39780 ) ( * 45050 )
- NEW met2 ( 7590 45050 ) ( * 46750 )
- NEW met1 ( 7590 36550 ) ( 17250 * )
- NEW met1 ( 18170 36550 ) ( * 36890 )
- NEW met1 ( 17250 36550 ) ( 18170 * )
- NEW met2 ( 37030 41310 ) ( * 41990 )
- NEW met1 ( 33810 41310 ) ( 37030 * )
- NEW met1 ( 37030 41310 ) ( 45310 * )
- NEW met2 ( 47610 39610 ) ( * 40460 )
- NEW met3 ( 45310 40460 ) ( 47610 * )
- NEW met2 ( 45310 40460 ) ( * 41310 )
- NEW met1 ( 47610 39610 ) ( * 39950 )
- NEW met1 ( 47610 39950 ) ( 60490 * )
- NEW met1 ( 7590 49470 ) ( 8050 * )
- NEW met2 ( 7590 49470 ) ( * 52870 )
- NEW met1 ( 9890 57970 ) ( * 58310 )
- NEW met1 ( 7590 57970 ) ( 9890 * )
- NEW met2 ( 7590 52870 ) ( * 57970 )
- NEW met2 ( 7590 57970 ) ( * 60350 )
- NEW met1 ( 7590 55250 ) ( 19090 * )
- NEW met2 ( 19550 55250 ) ( * 58310 )
- NEW met1 ( 19090 55250 ) ( 19550 * )
- NEW met1 ( 19550 51170 ) ( 22310 * )
- NEW met2 ( 19550 51170 ) ( * 55250 )
- NEW met1 ( 22310 51170 ) ( 23230 * )
- NEW met1 ( 19550 60350 ) ( 23690 * )
- NEW met2 ( 19550 58310 ) ( * 60350 )
- NEW met1 ( 21850 61030 ) ( * 61370 )
- NEW met1 ( 20470 61030 ) ( 21850 * )
- NEW met1 ( 20470 60350 ) ( * 61030 )
- NEW met1 ( 23230 50490 ) ( 26910 * )
- NEW met1 ( 23230 50490 ) ( * 51170 )
- NEW met1 ( 26910 50490 ) ( 27370 * )
- NEW met1 ( 21850 61370 ) ( 27830 * )
- NEW met2 ( 19550 60350 ) ( * 65790 )
- NEW met1 ( 27830 65790 ) ( 30590 * )
- NEW met2 ( 27830 61370 ) ( * 65790 )
- NEW met1 ( 30590 65790 ) ( 32890 * )
- NEW met2 ( 33810 63750 ) ( * 65790 )
- NEW met1 ( 32890 65790 ) ( 33810 * )
- NEW met1 ( 33810 66810 ) ( 35190 * )
- NEW met1 ( 33810 65790 ) ( * 66810 )
- NEW met1 ( 33810 62050 ) ( 44850 * )
- NEW met2 ( 33810 62050 ) ( * 63750 )
- NEW met1 ( 44850 61370 ) ( 46230 * )
- NEW met1 ( 44850 61370 ) ( * 62050 )
- NEW met1 ( 46230 60690 ) ( * 61370 )
- NEW met1 ( 33350 56610 ) ( 37490 * )
- NEW met1 ( 33350 55930 ) ( * 56610 )
- NEW met1 ( 37490 56610 ) ( 39330 * )
- NEW met2 ( 38410 52870 ) ( * 56610 )
- NEW met1 ( 37950 50830 ) ( 39790 * )
- NEW met2 ( 37950 50830 ) ( * 51340 )
- NEW met2 ( 37950 51340 ) ( 38410 * )
- NEW met2 ( 38410 51340 ) ( * 52870 )
- NEW met1 ( 42550 50490 ) ( 43010 * )
- NEW met2 ( 42550 50490 ) ( * 51170 )
- NEW met1 ( 39790 51170 ) ( 42550 * )
- NEW met1 ( 39790 50830 ) ( * 51170 )
- NEW met2 ( 44390 51170 ) ( * 52190 )
- NEW met1 ( 42550 51170 ) ( 44390 * )
- NEW met1 ( 44390 52190 ) ( 45310 * )
- NEW met1 ( 44390 55930 ) ( 47610 * )
- NEW met2 ( 44390 52190 ) ( * 55930 )
- NEW met2 ( 7590 46750 ) ( * 49470 )
- NEW met2 ( 27370 47430 ) ( * 50490 )
- NEW met1 ( 60950 66810 ) ( 66010 * )
- NEW met1 ( 56810 66470 ) ( 60950 * )
- NEW met1 ( 60950 66470 ) ( * 66810 )
- NEW met1 ( 54050 66470 ) ( * 66810 )
- NEW met1 ( 54050 66470 ) ( 56810 * )
- NEW met2 ( 55430 61370 ) ( * 66470 )
- NEW met1 ( 55890 59330 ) ( 56350 * )
- NEW met2 ( 55890 59330 ) ( * 61370 )
- NEW met2 ( 55430 61370 ) ( 55890 * )
- NEW met2 ( 56350 53890 ) ( * 59330 )
- NEW met2 ( 55890 59330 ) ( 56350 * )
- NEW met1 ( 56350 52870 ) ( 60490 * )
- NEW met2 ( 56350 52870 ) ( * 53890 )
- NEW met1 ( 60490 51170 ) ( 60950 * )
- NEW met2 ( 60490 51170 ) ( * 52870 )
- NEW met1 ( 66470 50490 ) ( * 50830 )
- NEW met1 ( 60950 50830 ) ( 66470 * )
- NEW met1 ( 60950 50830 ) ( * 51170 )
- NEW met1 ( 49450 58310 ) ( 51750 * )
- NEW met2 ( 51750 58310 ) ( * 59330 )
- NEW met2 ( 51750 59330 ) ( 52210 * )
- NEW met1 ( 52210 59330 ) ( 55890 * )
- NEW met2 ( 49450 55930 ) ( * 58310 )
- NEW met2 ( 51750 59330 ) ( * 60690 )
- NEW met1 ( 47610 55930 ) ( 49450 * )
- NEW met1 ( 46230 60690 ) ( 51750 * )
- NEW met2 ( 60490 39950 ) ( * 51170 )
- NEW li1 ( 64630 36550 ) L1M1_PR_MR
- NEW met1 ( 64630 36550 ) M1M2_PR
- NEW met1 ( 64630 34170 ) M1M2_PR
- NEW li1 ( 66930 34170 ) L1M1_PR_MR
- NEW met1 ( 62330 39950 ) M1M2_PR
- NEW met1 ( 62330 36550 ) M1M2_PR
- NEW li1 ( 59570 36550 ) L1M1_PR_MR
- NEW li1 ( 58190 34850 ) L1M1_PR_MR
- NEW met1 ( 60490 39950 ) M1M2_PR
- NEW li1 ( 25070 47430 ) L1M1_PR_MR
- NEW met1 ( 27370 47430 ) M1M2_PR
- NEW li1 ( 7590 39610 ) L1M1_PR_MR
- NEW met1 ( 7590 39610 ) M1M2_PR
- NEW met2 ( 7590 39780 ) M2M3_PR_M
- NEW li1 ( 8050 34170 ) L1M1_PR_MR
- NEW met1 ( 7590 34170 ) M1M2_PR
- NEW li1 ( 8050 45050 ) L1M1_PR_MR
- NEW met1 ( 7590 45050 ) M1M2_PR
- NEW li1 ( 7590 46750 ) L1M1_PR_MR
- NEW met1 ( 7590 46750 ) M1M2_PR
- NEW li1 ( 17250 36550 ) L1M1_PR_MR
- NEW met1 ( 7590 36550 ) M1M2_PR
- NEW li1 ( 18170 36890 ) L1M1_PR_MR
- NEW li1 ( 37030 41990 ) L1M1_PR_MR
- NEW met1 ( 37030 41990 ) M1M2_PR
- NEW met1 ( 37030 41310 ) M1M2_PR
- NEW li1 ( 33810 41310 ) L1M1_PR_MR
- NEW li1 ( 45310 41310 ) L1M1_PR_MR
- NEW li1 ( 47610 39610 ) L1M1_PR_MR
- NEW met1 ( 47610 39610 ) M1M2_PR
- NEW met2 ( 47610 40460 ) M2M3_PR_M
- NEW met2 ( 45310 40460 ) M2M3_PR_M
- NEW met1 ( 45310 41310 ) M1M2_PR
- NEW li1 ( 8050 49470 ) L1M1_PR_MR
- NEW met1 ( 7590 49470 ) M1M2_PR
- NEW li1 ( 7590 52870 ) L1M1_PR_MR
- NEW met1 ( 7590 52870 ) M1M2_PR
- NEW li1 ( 9890 58310 ) L1M1_PR_MR
- NEW met1 ( 7590 57970 ) M1M2_PR
- NEW li1 ( 7590 60350 ) L1M1_PR_MR
- NEW met1 ( 7590 60350 ) M1M2_PR
- NEW li1 ( 19090 55250 ) L1M1_PR_MR
- NEW met1 ( 7590 55250 ) M1M2_PR
- NEW li1 ( 19550 58310 ) L1M1_PR_MR
- NEW met1 ( 19550 58310 ) M1M2_PR
- NEW met1 ( 19550 55250 ) M1M2_PR
- NEW li1 ( 22310 51170 ) L1M1_PR_MR
- NEW met1 ( 19550 51170 ) M1M2_PR
- NEW li1 ( 23230 51170 ) L1M1_PR_MR
- NEW li1 ( 23690 60350 ) L1M1_PR_MR
- NEW met1 ( 19550 60350 ) M1M2_PR
- NEW li1 ( 21850 61370 ) L1M1_PR_MR
- NEW li1 ( 26910 50490 ) L1M1_PR_MR
- NEW met1 ( 27370 50490 ) M1M2_PR
- NEW li1 ( 27830 61370 ) L1M1_PR_MR
- NEW li1 ( 19550 65790 ) L1M1_PR_MR
- NEW met1 ( 19550 65790 ) M1M2_PR
- NEW li1 ( 30590 65790 ) L1M1_PR_MR
- NEW met1 ( 27830 65790 ) M1M2_PR
- NEW met1 ( 27830 61370 ) M1M2_PR
- NEW li1 ( 32890 65790 ) L1M1_PR_MR
- NEW li1 ( 33810 63750 ) L1M1_PR_MR
- NEW met1 ( 33810 63750 ) M1M2_PR
- NEW met1 ( 33810 65790 ) M1M2_PR
- NEW li1 ( 35190 66810 ) L1M1_PR_MR
- NEW li1 ( 44850 62050 ) L1M1_PR_MR
- NEW met1 ( 33810 62050 ) M1M2_PR
- NEW li1 ( 46230 61370 ) L1M1_PR_MR
- NEW li1 ( 37490 56610 ) L1M1_PR_MR
- NEW li1 ( 33350 55930 ) L1M1_PR_MR
- NEW li1 ( 39330 56610 ) L1M1_PR_MR
- NEW li1 ( 38410 52870 ) L1M1_PR_MR
- NEW met1 ( 38410 52870 ) M1M2_PR
- NEW met1 ( 38410 56610 ) M1M2_PR
- NEW li1 ( 39790 50830 ) L1M1_PR_MR
- NEW met1 ( 37950 50830 ) M1M2_PR
- NEW li1 ( 43010 50490 ) L1M1_PR_MR
- NEW met1 ( 42550 50490 ) M1M2_PR
- NEW met1 ( 42550 51170 ) M1M2_PR
- NEW li1 ( 44390 52190 ) L1M1_PR_MR
- NEW met1 ( 44390 52190 ) M1M2_PR
- NEW met1 ( 44390 51170 ) M1M2_PR
- NEW li1 ( 45310 52190 ) L1M1_PR_MR
- NEW li1 ( 47610 55930 ) L1M1_PR_MR
- NEW met1 ( 44390 55930 ) M1M2_PR
- NEW li1 ( 60950 66810 ) L1M1_PR_MR
- NEW li1 ( 66010 66810 ) L1M1_PR_MR
- NEW li1 ( 56810 66470 ) L1M1_PR_MR
- NEW li1 ( 54050 66810 ) L1M1_PR_MR
- NEW li1 ( 55430 61370 ) L1M1_PR_MR
- NEW met1 ( 55430 61370 ) M1M2_PR
- NEW met1 ( 55430 66470 ) M1M2_PR
- NEW li1 ( 56350 59330 ) L1M1_PR_MR
- NEW met1 ( 55890 59330 ) M1M2_PR
- NEW li1 ( 56350 53890 ) L1M1_PR_MR
- NEW met1 ( 56350 53890 ) M1M2_PR
- NEW li1 ( 60490 52870 ) L1M1_PR_MR
- NEW met1 ( 56350 52870 ) M1M2_PR
- NEW li1 ( 60950 51170 ) L1M1_PR_MR
- NEW met1 ( 60490 51170 ) M1M2_PR
- NEW met1 ( 60490 52870 ) M1M2_PR
- NEW li1 ( 66470 50490 ) L1M1_PR_MR
- NEW li1 ( 49450 58310 ) L1M1_PR_MR
- NEW met1 ( 51750 58310 ) M1M2_PR
- NEW met1 ( 52210 59330 ) M1M2_PR
- NEW met1 ( 49450 55930 ) M1M2_PR
- NEW met1 ( 49450 58310 ) M1M2_PR
- NEW met1 ( 51750 60690 ) M1M2_PR
- NEW met1 ( 64630 36550 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 62330 36550 ) RECT ( 0 -70 595 70 )
- NEW met1 ( 7590 39610 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 7590 46750 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 7590 36550 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 37030 41990 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 47610 39610 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 45310 41310 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 7590 52870 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 7590 60350 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 7590 55250 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 19550 58310 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 19550 65790 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 27830 61370 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 33810 63750 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 38410 52870 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 38410 56610 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 44390 52190 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 55430 61370 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 55430 66470 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 56350 53890 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 60490 52870 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 49450 58310 ) RECT ( 0 -70 595 70 ) ;
- - div[0] ( PIN div[0] ) ( ANTENNA__177__A DIODE ) ( ANTENNA__210__B1 DIODE ) ( _210_ B1 ) ( _177_ A ) + USE SIGNAL
- + ROUTED met1 ( 8970 12070 ) ( 9425 * )
- NEW met2 ( 8970 11220 ) ( * 12070 )
- NEW met3 ( 3220 11220 0 ) ( 8970 * )
- NEW met1 ( 8970 7650 ) ( 9430 * )
- NEW met2 ( 8970 7650 ) ( * 11220 )
- NEW met1 ( 9890 11730 ) ( * 12070 )
- NEW met1 ( 9425 12070 ) ( 9890 * )
- NEW met1 ( 9890 11730 ) ( 14490 * )
- NEW met1 ( 14490 14110 ) ( 16790 * )
- NEW met2 ( 16330 14110 ) ( * 15130 )
- NEW met2 ( 14490 11730 ) ( * 14110 )
- NEW li1 ( 9425 12070 ) L1M1_PR_MR
- NEW met1 ( 8970 12070 ) M1M2_PR
- NEW met2 ( 8970 11220 ) M2M3_PR_M
- NEW li1 ( 9430 7650 ) L1M1_PR_MR
- NEW met1 ( 8970 7650 ) M1M2_PR
- NEW met1 ( 14490 11730 ) M1M2_PR
- NEW li1 ( 16790 14110 ) L1M1_PR_MR
- NEW met1 ( 14490 14110 ) M1M2_PR
- NEW li1 ( 16330 15130 ) L1M1_PR_MR
- NEW met1 ( 16330 15130 ) M1M2_PR
- NEW met1 ( 16330 14110 ) M1M2_PR
- NEW met1 ( 16330 15130 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 16330 14110 ) RECT ( -595 -70 0 70 ) ;
- - div[1] ( PIN div[1] ) ( ANTENNA__207__A DIODE ) ( ANTENNA__210__A1 DIODE ) ( ANTENNA__211__A1 DIODE ) ( _211_ A1 ) ( _210_ A1 ) ( _207_ A ) + USE SIGNAL
- + ROUTED met2 ( 8050 15130 ) ( * 15980 )
- NEW met3 ( 3220 15980 0 ) ( 8050 * )
- NEW met1 ( 7590 13090 ) ( 8050 * )
- NEW met2 ( 8050 13090 ) ( * 15130 )
- NEW met1 ( 7590 10370 ) ( 8050 * )
- NEW met2 ( 8050 10370 ) ( * 13090 )
- NEW met2 ( 11270 12070 ) ( * 13090 )
- NEW met1 ( 8050 13090 ) ( 11270 * )
- NEW met2 ( 13570 15300 ) ( * 17510 )
- NEW met2 ( 13110 15300 ) ( 13570 * )
- NEW met2 ( 13110 13090 ) ( * 15300 )
- NEW met1 ( 11270 13090 ) ( 13110 * )
- NEW met1 ( 13570 15810 ) ( 17710 * )
- NEW li1 ( 8050 15130 ) L1M1_PR_MR
- NEW met1 ( 8050 15130 ) M1M2_PR
- NEW met2 ( 8050 15980 ) M2M3_PR_M
- NEW li1 ( 7590 13090 ) L1M1_PR_MR
- NEW met1 ( 8050 13090 ) M1M2_PR
- NEW li1 ( 7590 10370 ) L1M1_PR_MR
- NEW met1 ( 8050 10370 ) M1M2_PR
- NEW li1 ( 11270 12070 ) L1M1_PR_MR
- NEW met1 ( 11270 12070 ) M1M2_PR
- NEW met1 ( 11270 13090 ) M1M2_PR
- NEW li1 ( 13570 17510 ) L1M1_PR_MR
- NEW met1 ( 13570 17510 ) M1M2_PR
- NEW met1 ( 13110 13090 ) M1M2_PR
- NEW met1 ( 13570 15810 ) M1M2_PR
- NEW li1 ( 17710 15810 ) L1M1_PR_MR
- NEW met1 ( 8050 15130 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 11270 12070 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 13570 17510 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 13570 15810 ) RECT ( -70 -485 70 0 ) ;
- - div[2] ( PIN div[2] ) ( ANTENNA__201__B1 DIODE ) ( ANTENNA__204__A1 DIODE ) ( _204_ A1 ) ( _201_ B1 ) + USE SIGNAL
- + ROUTED met2 ( 8050 20570 ) ( * 20740 )
- NEW met3 ( 3220 20740 0 ) ( 8050 * )
- NEW met1 ( 7590 18530 ) ( 8050 * )
- NEW met2 ( 8050 18530 ) ( * 20570 )
- NEW met1 ( 8050 17510 ) ( 9260 * )
- NEW met1 ( 8050 17510 ) ( * 18530 )
- NEW met1 ( 10350 22610 ) ( * 22950 )
- NEW met1 ( 8510 22610 ) ( 10350 * )
- NEW met2 ( 8510 20740 ) ( * 22610 )
- NEW met2 ( 8050 20740 ) ( 8510 * )
- NEW li1 ( 8050 20570 ) L1M1_PR_MR
- NEW met1 ( 8050 20570 ) M1M2_PR
- NEW met2 ( 8050 20740 ) M2M3_PR_M
- NEW li1 ( 7590 18530 ) L1M1_PR_MR
- NEW met1 ( 8050 18530 ) M1M2_PR
- NEW li1 ( 9260 17510 ) L1M1_PR_MR
- NEW li1 ( 10350 22950 ) L1M1_PR_MR
- NEW met1 ( 8510 22610 ) M1M2_PR
- NEW met1 ( 8050 20570 ) RECT ( -355 -70 0 70 ) ;
- - div[3] ( PIN div[3] ) ( ANTENNA__201__A1 DIODE ) ( ANTENNA__202__A DIODE ) ( _202_ A ) ( _201_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 8050 25500 ) ( * 26010 )
- NEW met3 ( 3220 25500 0 ) ( 8050 * )
- NEW met2 ( 7590 26180 ) ( * 27710 )
- NEW met2 ( 7590 26180 ) ( 8050 * )
- NEW met2 ( 8050 26010 ) ( * 26180 )
- NEW met1 ( 8050 22950 ) ( 8970 * )
- NEW met2 ( 8050 22950 ) ( * 25500 )
- NEW met1 ( 7590 21250 ) ( 8050 * )
- NEW met2 ( 8050 21250 ) ( * 22950 )
- NEW li1 ( 8050 26010 ) L1M1_PR_MR
+ NEW li1 ( 54510 45730 ) L1M1_PR
+ NEW met1 ( 49910 45730 ) M1M2_PR
+ NEW li1 ( 50370 47770 ) L1M1_PR
+ NEW met1 ( 49910 47430 ) M1M2_PR
+ NEW met1 ( 49910 42670 ) M1M2_PR
+ NEW met1 ( 49910 52190 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 49910 45730 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 49910 47430 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 49910 42670 ) RECT ( -70 -485 70 0 ) ;
+ - _048_ ( _185_ S ) ( _284_ B ) ( _187_ S ) ( _186_ S ) ( _277_ C1 ) ( _183_ S ) ( _184_ S )
+ ( _275_ B1 ) ( _285_ S ) ( _181_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 54970 14790 ) ( 60490 * )
+ NEW met1 ( 48070 14110 ) ( * 14450 )
+ NEW met1 ( 48070 14450 ) ( 54970 * )
+ NEW met1 ( 54970 14450 ) ( * 14790 )
+ NEW met2 ( 20470 31110 ) ( * 31620 )
+ NEW met3 ( 13110 31620 ) ( 20470 * )
+ NEW met2 ( 13110 31620 ) ( * 36550 )
+ NEW met1 ( 27830 28730 ) ( * 29070 )
+ NEW met1 ( 20470 29070 ) ( 27830 * )
+ NEW met2 ( 20470 29070 ) ( * 31110 )
+ NEW met2 ( 28750 22950 ) ( * 29410 )
+ NEW met1 ( 27830 29410 ) ( 28750 * )
+ NEW met1 ( 27830 29070 ) ( * 29410 )
+ NEW met2 ( 24610 20060 ) ( * 20230 )
+ NEW met3 ( 24610 20060 ) ( 28290 * )
+ NEW met2 ( 28290 20060 ) ( * 21420 )
+ NEW met2 ( 28290 21420 ) ( 28750 * )
+ NEW met2 ( 28750 21420 ) ( * 22950 )
+ NEW met1 ( 17710 12410 ) ( 18170 * )
+ NEW met2 ( 18170 12410 ) ( * 20060 )
+ NEW met3 ( 18170 20060 ) ( 24610 * )
+ NEW met1 ( 24610 6970 ) ( 26450 * )
+ NEW met2 ( 24610 6970 ) ( * 20060 )
+ NEW met1 ( 28750 6630 ) ( * 6970 )
+ NEW met1 ( 26450 6970 ) ( 28750 * )
+ NEW met2 ( 40250 14110 ) ( * 20060 )
+ NEW met3 ( 28290 20060 ) ( 40250 * )
+ NEW met1 ( 40250 14110 ) ( 48070 * )
+ NEW li1 ( 54970 14790 ) L1M1_PR
+ NEW li1 ( 60490 14790 ) L1M1_PR
+ NEW li1 ( 20470 31110 ) L1M1_PR
+ NEW met1 ( 20470 31110 ) M1M2_PR
+ NEW met2 ( 20470 31620 ) M2M3_PR
+ NEW met2 ( 13110 31620 ) M2M3_PR
+ NEW li1 ( 13110 36550 ) L1M1_PR
+ NEW met1 ( 13110 36550 ) M1M2_PR
+ NEW li1 ( 27830 28730 ) L1M1_PR
+ NEW met1 ( 20470 29070 ) M1M2_PR
+ NEW li1 ( 28750 22950 ) L1M1_PR
+ NEW met1 ( 28750 22950 ) M1M2_PR
+ NEW met1 ( 28750 29410 ) M1M2_PR
+ NEW li1 ( 24610 20230 ) L1M1_PR
+ NEW met1 ( 24610 20230 ) M1M2_PR
+ NEW met2 ( 24610 20060 ) M2M3_PR
+ NEW met2 ( 28290 20060 ) M2M3_PR
+ NEW li1 ( 17710 12410 ) L1M1_PR
+ NEW met1 ( 18170 12410 ) M1M2_PR
+ NEW met2 ( 18170 20060 ) M2M3_PR
+ NEW li1 ( 26450 6970 ) L1M1_PR
+ NEW met1 ( 24610 6970 ) M1M2_PR
+ NEW li1 ( 28750 6630 ) L1M1_PR
+ NEW met1 ( 40250 14110 ) M1M2_PR
+ NEW met2 ( 40250 20060 ) M2M3_PR
+ NEW met1 ( 20470 31110 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 13110 36550 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 28750 22950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 24610 20230 ) RECT ( -355 -70 0 70 ) ;
+ - _049_ ( _287_ B ) ( _286_ S ) ( _283_ B1 ) ( _281_ B1 ) ( _234_ C ) ( _182_ X ) + USE SIGNAL
+ + ROUTED met1 ( 48070 12410 ) ( 61410 * )
+ NEW met1 ( 46690 6290 ) ( 47610 * )
+ NEW met2 ( 47610 6290 ) ( * 6460 )
+ NEW met2 ( 47610 6460 ) ( 48070 * )
+ NEW met2 ( 48070 6460 ) ( * 12410 )
+ NEW met1 ( 40710 12070 ) ( 46230 * )
+ NEW met1 ( 46230 12070 ) ( * 12410 )
+ NEW met1 ( 46230 12410 ) ( 48070 * )
+ NEW met1 ( 39330 12070 ) ( 40710 * )
+ NEW met1 ( 48070 16830 ) ( 48990 * )
+ NEW met1 ( 37950 14450 ) ( 39330 * )
+ NEW met1 ( 37950 14450 ) ( * 14790 )
+ NEW met2 ( 39330 12070 ) ( * 14450 )
+ NEW met2 ( 48070 12410 ) ( * 16830 )
+ NEW li1 ( 48070 12410 ) L1M1_PR
+ NEW li1 ( 61410 12410 ) L1M1_PR
+ NEW met1 ( 48070 12410 ) M1M2_PR
+ NEW li1 ( 46690 6290 ) L1M1_PR
+ NEW met1 ( 47610 6290 ) M1M2_PR
+ NEW li1 ( 40710 12070 ) L1M1_PR
+ NEW met1 ( 39330 12070 ) M1M2_PR
+ NEW met1 ( 48070 16830 ) M1M2_PR
+ NEW li1 ( 48990 16830 ) L1M1_PR
+ NEW met1 ( 39330 14450 ) M1M2_PR
+ NEW li1 ( 37950 14790 ) L1M1_PR
+ NEW met1 ( 48070 12410 ) RECT ( -595 -70 0 70 ) ;
+ - _050_ ( _207_ C1 ) ( _190_ A ) ( _188_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 8970 31450 ) ( * 36890 )
+ NEW met1 ( 10810 35870 ) ( * 36210 )
+ NEW met1 ( 8970 36210 ) ( 10810 * )
+ NEW met1 ( 20470 35870 ) ( * 36210 )
+ NEW met1 ( 20470 36210 ) ( 24150 * )
+ NEW met1 ( 10810 35870 ) ( 20470 * )
+ NEW li1 ( 8970 36890 ) L1M1_PR
+ NEW met1 ( 8970 36890 ) M1M2_PR
+ NEW li1 ( 8970 31450 ) L1M1_PR
+ NEW met1 ( 8970 31450 ) M1M2_PR
+ NEW met1 ( 8970 36210 ) M1M2_PR
+ NEW li1 ( 24150 36210 ) L1M1_PR
+ NEW met1 ( 8970 36890 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 8970 31450 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 8970 36210 ) RECT ( -70 -485 70 0 ) ;
+ - _051_ ( _190_ B ) ( _189_ X ) + USE SIGNAL
+ + ROUTED met2 ( 8510 36890 ) ( * 38930 )
+ NEW met1 ( 8510 38930 ) ( 15870 * )
+ NEW met1 ( 8510 38930 ) M1M2_PR
+ NEW li1 ( 8510 36890 ) L1M1_PR
+ NEW met1 ( 8510 36890 ) M1M2_PR
+ NEW li1 ( 15870 38930 ) L1M1_PR
+ NEW met1 ( 8510 36890 ) RECT ( -355 -70 0 70 ) ;
+ - _052_ ( _206_ A ) ( _205_ A ) ( _204_ A ) ( _190_ X ) + USE SIGNAL
+ + ROUTED met2 ( 7590 28390 ) ( * 35870 )
+ NEW met2 ( 7590 26010 ) ( * 28390 )
+ NEW met2 ( 12650 31450 ) ( * 33150 )
+ NEW met1 ( 7590 33150 ) ( 12650 * )
+ NEW li1 ( 7590 28390 ) L1M1_PR
+ NEW met1 ( 7590 28390 ) M1M2_PR
+ NEW li1 ( 7590 35870 ) L1M1_PR
+ NEW met1 ( 7590 35870 ) M1M2_PR
+ NEW li1 ( 7590 26010 ) L1M1_PR
+ NEW met1 ( 7590 26010 ) M1M2_PR
+ NEW li1 ( 12650 31450 ) L1M1_PR
+ NEW met1 ( 12650 31450 ) M1M2_PR
+ NEW met1 ( 12650 33150 ) M1M2_PR
+ NEW met1 ( 7590 33150 ) M1M2_PR
+ NEW met1 ( 7590 28390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7590 35870 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7590 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 12650 31450 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 7590 33150 ) RECT ( -70 -485 70 0 ) ;
+ - _053_ ( _210_ A ) ( _192_ A ) ( _191_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 17250 28390 ) ( * 28730 )
+ NEW met1 ( 17250 28730 ) ( 23230 * )
+ NEW met2 ( 23230 26350 ) ( * 28730 )
+ NEW met2 ( 15870 28730 ) ( * 30770 )
+ NEW met1 ( 15870 28730 ) ( 17250 * )
+ NEW li1 ( 17250 28390 ) L1M1_PR
+ NEW met1 ( 23230 28730 ) M1M2_PR
+ NEW li1 ( 23230 26350 ) L1M1_PR
+ NEW met1 ( 23230 26350 ) M1M2_PR
+ NEW li1 ( 15870 30770 ) L1M1_PR
+ NEW met1 ( 15870 30770 ) M1M2_PR
+ NEW met1 ( 15870 28730 ) M1M2_PR
+ NEW met1 ( 23230 26350 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 15870 30770 ) RECT ( -355 -70 0 70 ) ;
+ - _054_ ( _203_ A1 ) ( _192_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 20930 27710 ) ( * 28390 )
+ NEW met1 ( 17710 28390 ) ( 20930 * )
+ NEW li1 ( 20930 27710 ) L1M1_PR
+ NEW li1 ( 17710 28390 ) L1M1_PR ;
+ - _055_ ( _210_ B ) ( _203_ B1 ) ( _193_ X ) + USE SIGNAL
+ + ROUTED met1 ( 21850 28050 ) ( 22770 * )
+ NEW met2 ( 22310 26350 ) ( * 28050 )
+ NEW li1 ( 21850 28050 ) L1M1_PR
+ NEW li1 ( 22770 28050 ) L1M1_PR
+ NEW li1 ( 22310 26350 ) L1M1_PR
+ NEW met1 ( 22310 26350 ) M1M2_PR
+ NEW met1 ( 22310 28050 ) M1M2_PR
+ NEW met1 ( 22310 26350 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 22310 28050 ) RECT ( -595 -70 0 70 ) ;
+ - _056_ ( _208_ A ) ( _194_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 28750 17510 ) ( * 20910 )
+ NEW met1 ( 23230 20910 ) ( 28750 * )
+ NEW met1 ( 23230 20910 ) ( * 21250 )
+ NEW li1 ( 28750 17510 ) L1M1_PR
+ NEW met1 ( 28750 17510 ) M1M2_PR
+ NEW met1 ( 28750 20910 ) M1M2_PR
+ NEW li1 ( 23230 21250 ) L1M1_PR
+ NEW met1 ( 28750 17510 ) RECT ( -355 -70 0 70 ) ;
+ - _057_ ( _212_ A1 ) ( _211_ A ) ( _203_ A2 ) ( _195_ X ) + USE SIGNAL
+ + ROUTED met2 ( 20470 26690 ) ( * 27710 )
+ NEW met1 ( 20470 26690 ) ( 25070 * )
+ NEW met1 ( 25070 26350 ) ( * 26690 )
+ NEW met1 ( 18630 26010 ) ( * 26040 )
+ NEW met1 ( 18630 26040 ) ( 19090 * )
+ NEW met1 ( 19090 26040 ) ( * 26350 )
+ NEW met1 ( 19090 26350 ) ( 20470 * )
+ NEW met1 ( 20470 26350 ) ( * 26690 )
+ NEW met1 ( 17710 25960 ) ( * 26350 )
+ NEW met1 ( 17710 25960 ) ( 18630 * )
+ NEW met1 ( 18630 25960 ) ( * 26010 )
+ NEW li1 ( 20470 27710 ) L1M1_PR
+ NEW met1 ( 20470 27710 ) M1M2_PR
+ NEW met1 ( 20470 26690 ) M1M2_PR
+ NEW li1 ( 25070 26350 ) L1M1_PR
+ NEW li1 ( 18630 26010 ) L1M1_PR
+ NEW li1 ( 17710 26350 ) L1M1_PR
+ NEW met1 ( 20470 27710 ) RECT ( -355 -70 0 70 ) ;
+ - _058_ ( _208_ B ) ( _202_ B1 ) ( _196_ X ) + USE SIGNAL
+ + ROUTED met1 ( 23230 17850 ) ( 27830 * )
+ NEW met1 ( 23230 17510 ) ( * 17850 )
+ NEW met1 ( 29670 17510 ) ( * 17850 )
+ NEW met1 ( 27830 17850 ) ( 29670 * )
+ NEW li1 ( 27830 17850 ) L1M1_PR
+ NEW li1 ( 23230 17510 ) L1M1_PR
+ NEW li1 ( 29670 17510 ) L1M1_PR ;
+ - _059_ ( _202_ C1 ) ( _201_ B1 ) ( _197_ X ) + USE SIGNAL
+ + ROUTED met1 ( 17710 15810 ) ( 25990 * )
+ NEW met1 ( 17710 15130 ) ( * 15810 )
+ NEW met2 ( 23690 15810 ) ( * 17510 )
+ NEW li1 ( 25990 15810 ) L1M1_PR
+ NEW li1 ( 17710 15130 ) L1M1_PR
+ NEW li1 ( 23690 17510 ) L1M1_PR
+ NEW met1 ( 23690 17510 ) M1M2_PR
+ NEW met1 ( 23690 15810 ) M1M2_PR
+ NEW met1 ( 23690 17510 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 23690 15810 ) RECT ( -595 -70 0 70 ) ;
+ - _060_ ( _218_ A ) ( _202_ A1 ) ( _201_ A1 ) ( _198_ X ) + USE SIGNAL
+ + ROUTED met1 ( 20930 13090 ) ( 23690 * )
+ NEW met2 ( 22310 15130 ) ( * 17510 )
+ NEW met1 ( 22310 15130 ) ( 23690 * )
+ NEW met1 ( 18630 15470 ) ( 22310 * )
+ NEW met1 ( 22310 15130 ) ( * 15470 )
+ NEW met2 ( 23690 13090 ) ( * 15130 )
+ NEW li1 ( 20930 13090 ) L1M1_PR
+ NEW met1 ( 23690 13090 ) M1M2_PR
+ NEW li1 ( 23690 15130 ) L1M1_PR
+ NEW met1 ( 23690 15130 ) M1M2_PR
+ NEW li1 ( 22310 17510 ) L1M1_PR
+ NEW met1 ( 22310 17510 ) M1M2_PR
+ NEW met1 ( 22310 15130 ) M1M2_PR
+ NEW li1 ( 18630 15470 ) L1M1_PR
+ NEW met1 ( 23690 15130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 22310 17510 ) RECT ( 0 -70 355 70 ) ;
+ - _061_ ( _221_ A ) ( _199_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 13570 13090 ) ( 14490 * )
+ NEW met2 ( 14490 13090 ) ( * 15130 )
+ NEW li1 ( 13570 13090 ) L1M1_PR
+ NEW met1 ( 14490 13090 ) M1M2_PR
+ NEW li1 ( 14490 15130 ) L1M1_PR
+ NEW met1 ( 14490 15130 ) M1M2_PR
+ NEW met1 ( 14490 15130 ) RECT ( -355 -70 0 70 ) ;
+ - _062_ ( _218_ B ) ( _202_ A2 ) ( _201_ A2 ) ( _200_ X ) + USE SIGNAL
+ + ROUTED met1 ( 22770 12410 ) ( 23230 * )
+ NEW met2 ( 21850 14790 ) ( * 17510 )
+ NEW met1 ( 21850 14790 ) ( 23230 * )
+ NEW met1 ( 19090 15130 ) ( 21850 * )
+ NEW met1 ( 21850 14790 ) ( * 15130 )
+ NEW met2 ( 23230 12410 ) ( * 14790 )
+ NEW met1 ( 23230 12410 ) M1M2_PR
+ NEW li1 ( 22770 12410 ) L1M1_PR
+ NEW li1 ( 23230 14790 ) L1M1_PR
+ NEW met1 ( 23230 14790 ) M1M2_PR
+ NEW li1 ( 21850 17510 ) L1M1_PR
+ NEW met1 ( 21850 17510 ) M1M2_PR
+ NEW met1 ( 21850 14790 ) M1M2_PR
+ NEW li1 ( 19090 15130 ) L1M1_PR
+ NEW met1 ( 23230 14790 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 21850 17510 ) RECT ( -355 -70 0 70 ) ;
+ - _063_ ( _209_ A ) ( _201_ X ) + USE SIGNAL
+ + ROUTED met2 ( 16790 15470 ) ( * 17510 )
+ NEW li1 ( 16790 15470 ) L1M1_PR
+ NEW met1 ( 16790 15470 ) M1M2_PR
+ NEW li1 ( 16790 17510 ) L1M1_PR
+ NEW met1 ( 16790 17510 ) M1M2_PR
+ NEW met1 ( 16790 15470 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16790 17510 ) RECT ( -355 -70 0 70 ) ;
+ - _064_ ( _212_ A2 ) ( _211_ B ) ( _203_ A3 ) ( _202_ X ) + USE SIGNAL
+ + ROUTED met2 ( 20470 17850 ) ( * 26010 )
+ NEW met1 ( 20470 17850 ) ( 20930 * )
+ NEW met2 ( 20010 26180 ) ( * 28050 )
+ NEW met2 ( 20010 26180 ) ( 20470 * )
+ NEW met2 ( 20470 26010 ) ( * 26180 )
+ NEW met2 ( 18170 26350 ) ( * 28050 )
+ NEW met1 ( 18170 28050 ) ( 20010 * )
+ NEW li1 ( 20470 26010 ) L1M1_PR
+ NEW met1 ( 20470 26010 ) M1M2_PR
+ NEW met1 ( 20470 17850 ) M1M2_PR
+ NEW li1 ( 20930 17850 ) L1M1_PR
+ NEW li1 ( 20010 28050 ) L1M1_PR
+ NEW met1 ( 20010 28050 ) M1M2_PR
+ NEW li1 ( 18170 26350 ) L1M1_PR
+ NEW met1 ( 18170 26350 ) M1M2_PR
+ NEW met1 ( 18170 28050 ) M1M2_PR
+ NEW met1 ( 20470 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 20010 28050 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 18170 26350 ) RECT ( 0 -70 355 70 ) ;
+ - _065_ ( _206_ B ) ( _205_ B ) ( _204_ B ) ( _203_ X ) + USE SIGNAL
+ + ROUTED met2 ( 13570 29410 ) ( * 31450 )
+ NEW met2 ( 8050 28390 ) ( * 29410 )
+ NEW met1 ( 8050 29410 ) ( 13570 * )
+ NEW met1 ( 8050 26010 ) ( 8510 * )
+ NEW met2 ( 8050 26010 ) ( * 28390 )
+ NEW met1 ( 19090 29070 ) ( * 29410 )
+ NEW met1 ( 13570 29410 ) ( 19090 * )
+ NEW met1 ( 13570 29410 ) M1M2_PR
+ NEW li1 ( 13570 31450 ) L1M1_PR
+ NEW met1 ( 13570 31450 ) M1M2_PR
+ NEW li1 ( 8050 28390 ) L1M1_PR
+ NEW met1 ( 8050 28390 ) M1M2_PR
+ NEW met1 ( 8050 29410 ) M1M2_PR
+ NEW li1 ( 8510 26010 ) L1M1_PR
NEW met1 ( 8050 26010 ) M1M2_PR
- NEW met2 ( 8050 25500 ) M2M3_PR_M
- NEW li1 ( 7590 27710 ) L1M1_PR_MR
- NEW met1 ( 7590 27710 ) M1M2_PR
- NEW li1 ( 8970 22950 ) L1M1_PR_MR
+ NEW li1 ( 19090 29070 ) L1M1_PR
+ NEW met1 ( 13570 31450 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 8050 28390 ) RECT ( 0 -70 355 70 ) ;
+ - _066_ ( _225_ A1 ) ( _207_ A2 ) ( _204_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 8970 28390 ) ( 12190 * )
+ NEW met1 ( 10355 31560 ) ( * 32130 )
+ NEW met1 ( 10350 32130 ) ( 10355 * )
+ NEW met2 ( 10350 28390 ) ( * 32130 )
+ NEW li1 ( 12190 28390 ) L1M1_PR
+ NEW li1 ( 8970 28390 ) L1M1_PR
+ NEW li1 ( 10355 31560 ) L1M1_PR
+ NEW met1 ( 10350 32130 ) M1M2_PR
+ NEW met1 ( 10350 28390 ) M1M2_PR
+ NEW met1 ( 10350 28390 ) RECT ( -595 -70 0 70 ) ;
+ - _067_ ( _225_ A2 ) ( _205_ X ) + USE SIGNAL
+ + ROUTED met2 ( 11730 29070 ) ( * 30430 )
+ NEW met1 ( 11730 30430 ) ( 14490 * )
+ NEW li1 ( 11730 29070 ) L1M1_PR
+ NEW met1 ( 11730 29070 ) M1M2_PR
+ NEW met1 ( 11730 30430 ) M1M2_PR
+ NEW li1 ( 14490 30430 ) L1M1_PR
+ NEW met1 ( 11730 29070 ) RECT ( -355 -70 0 70 ) ;
+ - _068_ ( _207_ B1 ) ( _206_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 9800 31450 ) ( 9890 * )
+ NEW met2 ( 9890 26350 ) ( * 31450 )
+ NEW met1 ( 7130 26350 ) ( 9890 * )
+ NEW li1 ( 9800 31450 ) L1M1_PR
+ NEW met1 ( 9890 31450 ) M1M2_PR
+ NEW met1 ( 9890 26350 ) M1M2_PR
+ NEW li1 ( 7130 26350 ) L1M1_PR ;
+ - _069_ ( _236_ C_N ) ( _227_ B1 ) ( _207_ X ) + USE SIGNAL
+ + ROUTED met2 ( 10350 20570 ) ( * 26860 )
+ NEW met2 ( 10350 26860 ) ( 10810 * )
+ NEW met2 ( 10810 26860 ) ( * 28220 )
+ NEW met2 ( 10810 28220 ) ( 11270 * )
+ NEW met2 ( 11270 28220 ) ( * 30770 )
+ NEW met1 ( 11270 30770 ) ( 12190 * )
+ NEW met1 ( 9430 17850 ) ( 10350 * )
+ NEW met2 ( 10350 17850 ) ( * 20570 )
+ NEW li1 ( 10350 20570 ) L1M1_PR
+ NEW met1 ( 10350 20570 ) M1M2_PR
+ NEW met1 ( 11270 30770 ) M1M2_PR
+ NEW li1 ( 12190 30770 ) L1M1_PR
+ NEW li1 ( 9430 17850 ) L1M1_PR
+ NEW met1 ( 10350 17850 ) M1M2_PR
+ NEW met1 ( 10350 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _070_ ( _209_ B ) ( _208_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 17250 17850 ) ( * 18190 )
+ NEW met1 ( 17250 18190 ) ( 30130 * )
+ NEW li1 ( 17250 17850 ) L1M1_PR
+ NEW li1 ( 30130 18190 ) L1M1_PR ;
+ - _071_ ( _216_ B ) ( _213_ B2 ) ( _209_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 14030 22610 ) ( 14490 * )
+ NEW met2 ( 14030 17850 ) ( * 22610 )
+ NEW met1 ( 16790 20570 ) ( * 20910 )
+ NEW met1 ( 14030 20910 ) ( 16790 * )
+ NEW met1 ( 13110 17850 ) ( 14030 * )
+ NEW li1 ( 13110 17850 ) L1M1_PR
+ NEW li1 ( 14490 22610 ) L1M1_PR
+ NEW met1 ( 14030 22610 ) M1M2_PR
+ NEW met1 ( 14030 17850 ) M1M2_PR
+ NEW li1 ( 16790 20570 ) L1M1_PR
+ NEW met1 ( 14030 20910 ) M1M2_PR
+ NEW met2 ( 14030 20910 ) RECT ( -70 -485 70 0 ) ;
+ - _072_ ( _212_ B1 ) ( _211_ C ) ( _210_ X ) + USE SIGNAL
+ + ROUTED met1 ( 21850 25670 ) ( * 26010 )
+ NEW met1 ( 21850 25670 ) ( 24150 * )
+ NEW met1 ( 16790 25670 ) ( * 26010 )
+ NEW met1 ( 16790 25670 ) ( 21850 * )
+ NEW li1 ( 21850 26010 ) L1M1_PR
+ NEW li1 ( 24150 25670 ) L1M1_PR
+ NEW li1 ( 16790 26010 ) L1M1_PR ;
+ - _073_ ( _215_ A1 ) ( _214_ A1 ) ( _213_ A2 ) ( _211_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 16790 22950 ) ( 19090 * )
+ NEW met2 ( 19090 22950 ) ( * 24990 )
+ NEW met1 ( 15870 27710 ) ( 16790 * )
+ NEW met2 ( 16790 22950 ) ( * 27710 )
+ NEW met1 ( 14030 26010 ) ( 16330 * )
+ NEW met2 ( 16330 26010 ) ( 16790 * )
+ NEW li1 ( 16790 22950 ) L1M1_PR
+ NEW met1 ( 19090 22950 ) M1M2_PR
+ NEW li1 ( 19090 24990 ) L1M1_PR
+ NEW met1 ( 19090 24990 ) M1M2_PR
+ NEW li1 ( 15870 27710 ) L1M1_PR
+ NEW met1 ( 16790 27710 ) M1M2_PR
+ NEW met1 ( 16790 22950 ) M1M2_PR
+ NEW li1 ( 14030 26010 ) L1M1_PR
+ NEW met1 ( 16330 26010 ) M1M2_PR
+ NEW met1 ( 19090 24990 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16790 22950 ) RECT ( -595 -70 0 70 ) ;
+ - _074_ ( _215_ A2 ) ( _214_ A2 ) ( _213_ A3 ) ( _212_ X ) + USE SIGNAL
+ + ROUTED met1 ( 15870 24990 ) ( 17250 * )
+ NEW met2 ( 17250 23290 ) ( * 24990 )
+ NEW met1 ( 14950 25670 ) ( 15870 * )
+ NEW met1 ( 15870 24990 ) ( * 25670 )
+ NEW met1 ( 16330 28050 ) ( 17250 * )
+ NEW met1 ( 17250 27710 ) ( * 28050 )
+ NEW met2 ( 17250 24990 ) ( * 27710 )
+ NEW li1 ( 15870 24990 ) L1M1_PR
+ NEW met1 ( 17250 24990 ) M1M2_PR
+ NEW li1 ( 17250 23290 ) L1M1_PR
+ NEW met1 ( 17250 23290 ) M1M2_PR
+ NEW li1 ( 14950 25670 ) L1M1_PR
+ NEW li1 ( 16330 28050 ) L1M1_PR
+ NEW met1 ( 17250 27710 ) M1M2_PR
+ NEW met1 ( 17250 23290 ) RECT ( -355 -70 0 70 ) ;
+ - _075_ ( _226_ A ) ( _224_ B1 ) ( _217_ A ) ( _213_ X ) + USE SIGNAL
+ + ROUTED met2 ( 10810 22950 ) ( * 26010 )
+ NEW met1 ( 10810 22610 ) ( 13570 * )
+ NEW met1 ( 10810 22610 ) ( * 22950 )
+ NEW met2 ( 13570 20570 ) ( * 22610 )
+ NEW li1 ( 10810 22950 ) L1M1_PR
+ NEW met1 ( 10810 22950 ) M1M2_PR
+ NEW li1 ( 10810 26010 ) L1M1_PR
+ NEW met1 ( 10810 26010 ) M1M2_PR
+ NEW li1 ( 13570 22610 ) L1M1_PR
+ NEW li1 ( 13570 20570 ) L1M1_PR
+ NEW met1 ( 13570 20570 ) M1M2_PR
+ NEW met1 ( 13570 22610 ) M1M2_PR
+ NEW met1 ( 10810 22950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 10810 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 13570 20570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 13570 22610 ) RECT ( -595 -70 0 70 ) ;
+ - _076_ ( _224_ C1 ) ( _217_ B ) ( _214_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 11730 24990 ) ( * 25330 )
+ NEW met1 ( 11730 25330 ) ( 12650 * )
+ NEW met2 ( 11730 23290 ) ( * 24990 )
+ NEW li1 ( 11730 24990 ) L1M1_PR
+ NEW li1 ( 12650 25330 ) L1M1_PR
+ NEW li1 ( 11730 23290 ) L1M1_PR
+ NEW met1 ( 11730 23290 ) M1M2_PR
+ NEW met1 ( 11730 24990 ) M1M2_PR
+ NEW met1 ( 11730 23290 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 11730 24990 ) RECT ( -595 -70 0 70 ) ;
+ - _077_ ( _226_ B ) ( _215_ X ) + USE SIGNAL
+ + ROUTED met2 ( 14490 20570 ) ( * 28050 )
+ NEW met1 ( 14030 28050 ) ( 14490 * )
+ NEW li1 ( 14490 20570 ) L1M1_PR
+ NEW met1 ( 14490 20570 ) M1M2_PR
+ NEW met1 ( 14490 28050 ) M1M2_PR
+ NEW li1 ( 14030 28050 ) L1M1_PR
+ NEW met1 ( 14490 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _078_ ( _224_ D1 ) ( _217_ C ) ( _216_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 12190 21250 ) ( * 22950 )
+ NEW met2 ( 11730 26010 ) ( 12190 * )
+ NEW met2 ( 12190 22950 ) ( * 26010 )
+ NEW met1 ( 12190 21250 ) ( 16790 * )
+ NEW li1 ( 12190 22950 ) L1M1_PR
+ NEW met1 ( 12190 22950 ) M1M2_PR
+ NEW met1 ( 12190 21250 ) M1M2_PR
+ NEW li1 ( 11730 26010 ) L1M1_PR
+ NEW met1 ( 11730 26010 ) M1M2_PR
+ NEW li1 ( 16790 21250 ) L1M1_PR
+ NEW met1 ( 12190 22950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 11730 26010 ) RECT ( -355 -70 0 70 ) ;
+ - _079_ ( _237_ A ) ( _217_ X ) + USE SIGNAL
+ + ROUTED met2 ( 8970 20230 ) ( * 24990 )
+ NEW met1 ( 8970 24990 ) ( 9890 * )
+ NEW li1 ( 8970 20230 ) L1M1_PR
+ NEW met1 ( 8970 20230 ) M1M2_PR
+ NEW met1 ( 8970 24990 ) M1M2_PR
+ NEW li1 ( 9890 24990 ) L1M1_PR
+ NEW met1 ( 8970 20230 ) RECT ( -355 -70 0 70 ) ;
+ - _080_ ( _222_ A2 ) ( _219_ B ) ( _218_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 11730 12070 ) ( * 14450 )
+ NEW met1 ( 19550 14450 ) ( * 14790 )
+ NEW met1 ( 10350 14450 ) ( 19550 * )
+ NEW li1 ( 11730 12070 ) L1M1_PR
+ NEW met1 ( 11730 12070 ) M1M2_PR
+ NEW li1 ( 10350 14450 ) L1M1_PR
+ NEW met1 ( 11730 14450 ) M1M2_PR
+ NEW li1 ( 19550 14790 ) L1M1_PR
+ NEW met1 ( 11730 12070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 11730 14450 ) RECT ( -595 -70 0 70 ) ;
+ - _081_ ( _224_ A1 ) ( _223_ A ) ( _219_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 8970 12410 ) ( 9890 * )
+ NEW met2 ( 8050 17510 ) ( * 22950 )
+ NEW met2 ( 8050 14110 ) ( 8970 * )
+ NEW met2 ( 8050 14110 ) ( * 17510 )
+ NEW met2 ( 8970 12410 ) ( * 14110 )
+ NEW met1 ( 8970 12410 ) M1M2_PR
+ NEW li1 ( 9890 12410 ) L1M1_PR
+ NEW li1 ( 8050 17510 ) L1M1_PR
+ NEW met1 ( 8050 17510 ) M1M2_PR
+ NEW li1 ( 8050 22950 ) L1M1_PR
NEW met1 ( 8050 22950 ) M1M2_PR
- NEW li1 ( 7590 21250 ) L1M1_PR_MR
- NEW met1 ( 8050 21250 ) M1M2_PR
- NEW met1 ( 8050 26010 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 7590 27710 ) RECT ( -355 -70 0 70 ) ;
- - div[4] ( PIN div[4] ) ( ANTENNA__216__A DIODE ) ( ANTENNA__218__B1 DIODE ) ( _218_ B1 ) ( _216_ A ) + USE SIGNAL
+ NEW met1 ( 8050 17510 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 8050 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _082_ ( _221_ B ) ( _220_ X ) + USE SIGNAL
+ + ROUTED met1 ( 15870 15130 ) ( 16330 * )
+ NEW met2 ( 16330 6290 ) ( * 15130 )
+ NEW li1 ( 16330 6290 ) L1M1_PR
+ NEW met1 ( 16330 6290 ) M1M2_PR
+ NEW li1 ( 15870 15130 ) L1M1_PR
+ NEW met1 ( 16330 15130 ) M1M2_PR
+ NEW met1 ( 16330 6290 ) RECT ( -355 -70 0 70 ) ;
+ - _083_ ( _235_ B ) ( _222_ B1 ) ( _221_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 12650 15130 ) ( * 15470 )
+ NEW met1 ( 8970 15470 ) ( 12650 * )
+ NEW met1 ( 8970 15130 ) ( * 15470 )
+ NEW met1 ( 12650 15470 ) ( 14030 * )
+ NEW li1 ( 12650 15130 ) L1M1_PR
+ NEW li1 ( 8970 15130 ) L1M1_PR
+ NEW li1 ( 14030 15470 ) L1M1_PR ;
+ - _084_ ( _223_ B ) ( _222_ X ) + USE SIGNAL
+ + ROUTED met1 ( 7590 15810 ) ( 8050 * )
+ NEW met2 ( 7590 15810 ) ( * 17510 )
+ NEW li1 ( 8050 15810 ) L1M1_PR
+ NEW met1 ( 7590 15810 ) M1M2_PR
+ NEW li1 ( 7590 17510 ) L1M1_PR
+ NEW met1 ( 7590 17510 ) M1M2_PR
+ NEW met1 ( 7590 17510 ) RECT ( -355 -70 0 70 ) ;
+ - _085_ ( _236_ B ) ( _224_ A2 ) ( _223_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 7590 18530 ) ( 8510 * )
+ NEW met2 ( 8510 18530 ) ( * 22950 )
+ NEW met1 ( 8510 22950 ) ( 8970 * )
+ NEW met1 ( 8510 18530 ) ( 11270 * )
+ NEW li1 ( 7590 18530 ) L1M1_PR
+ NEW met1 ( 8510 18530 ) M1M2_PR
+ NEW met1 ( 8510 22950 ) M1M2_PR
+ NEW li1 ( 8970 22950 ) L1M1_PR
+ NEW li1 ( 11270 18530 ) L1M1_PR ;
+ - _086_ ( _227_ A1 ) ( _224_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 11730 20570 ) ( * 22270 )
+ NEW met1 ( 11270 22270 ) ( 11730 * )
+ NEW li1 ( 11730 20570 ) L1M1_PR
+ NEW met1 ( 11730 20570 ) M1M2_PR
+ NEW met1 ( 11730 22270 ) M1M2_PR
+ NEW li1 ( 11270 22270 ) L1M1_PR
+ NEW met1 ( 11730 20570 ) RECT ( 0 -70 355 70 ) ;
+ - _087_ ( _237_ B ) ( _227_ A2 ) ( _225_ X ) + USE SIGNAL
+ + ROUTED met2 ( 11270 20570 ) ( * 27710 )
+ NEW met1 ( 9890 27710 ) ( 11270 * )
+ NEW met1 ( 9430 19550 ) ( 11270 * )
+ NEW met2 ( 11270 19550 ) ( * 20570 )
+ NEW li1 ( 11270 20570 ) L1M1_PR
+ NEW met1 ( 11270 20570 ) M1M2_PR
+ NEW met1 ( 11270 27710 ) M1M2_PR
+ NEW li1 ( 9890 27710 ) L1M1_PR
+ NEW li1 ( 9430 19550 ) L1M1_PR
+ NEW met1 ( 11270 19550 ) M1M2_PR
+ NEW met1 ( 11270 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _088_ ( _227_ A3 ) ( _226_ X ) + USE SIGNAL
+ + ROUTED met1 ( 10810 19890 ) ( 15410 * )
+ NEW li1 ( 10810 19890 ) L1M1_PR
+ NEW li1 ( 15410 19890 ) L1M1_PR ;
+ - _089_ ( _245_ B ) ( _246_ B ) ( _253_ B2 ) ( _251_ A1 ) ( _263_ A1 ) ( _252_ B2 ) ( _250_ B )
+ ( _254_ B ) ( _249_ B ) ( _244_ B ) ( _269_ A ) ( _233_ C_N ) ( _243_ A2 ) ( _227_ X ) + USE SIGNAL
+ + ROUTED met1 ( 45310 28390 ) ( * 28730 )
+ NEW met1 ( 42090 22950 ) ( 45310 * )
+ NEW met1 ( 42090 22950 ) ( * 23290 )
+ NEW met1 ( 41630 42330 ) ( 45770 * )
+ NEW met1 ( 41630 41990 ) ( * 42330 )
+ NEW met1 ( 40250 41990 ) ( 41630 * )
+ NEW met1 ( 47150 35870 ) ( * 36890 )
+ NEW met1 ( 47150 36890 ) ( 49910 * )
+ NEW met1 ( 52670 31110 ) ( 54490 * )
+ NEW met2 ( 52670 31110 ) ( * 36550 )
+ NEW met1 ( 49910 36550 ) ( 52670 * )
+ NEW met1 ( 49910 36550 ) ( * 36890 )
+ NEW met1 ( 33350 26010 ) ( 35650 * )
+ NEW met1 ( 33350 25330 ) ( * 26010 )
+ NEW met1 ( 16330 25330 ) ( 33350 * )
+ NEW met2 ( 15870 25330 ) ( 16330 * )
+ NEW met2 ( 15870 19550 ) ( * 25330 )
+ NEW met1 ( 12650 19550 ) ( 15870 * )
+ NEW met2 ( 38870 23290 ) ( * 25670 )
+ NEW met1 ( 35650 25670 ) ( 38870 * )
+ NEW met1 ( 35650 25670 ) ( * 26010 )
+ NEW met2 ( 38870 25670 ) ( * 28730 )
+ NEW met2 ( 38870 28730 ) ( * 31110 )
+ NEW met1 ( 38870 33830 ) ( 39790 * )
+ NEW met1 ( 38870 33490 ) ( * 33830 )
+ NEW met2 ( 38870 31110 ) ( * 33490 )
+ NEW met2 ( 40250 33830 ) ( * 35870 )
+ NEW met1 ( 39790 33830 ) ( 40250 * )
+ NEW met1 ( 35650 36890 ) ( 36110 * )
+ NEW met2 ( 36110 33830 ) ( * 36890 )
+ NEW met1 ( 36110 33830 ) ( 38870 * )
+ NEW met1 ( 35190 39610 ) ( 36110 * )
+ NEW met2 ( 36110 36890 ) ( * 39610 )
+ NEW met1 ( 40250 39610 ) ( 41170 * )
+ NEW met2 ( 40250 35870 ) ( * 39610 )
+ NEW met2 ( 40250 39610 ) ( * 41990 )
+ NEW met1 ( 38870 23290 ) ( 42090 * )
+ NEW met1 ( 38870 28730 ) ( 45310 * )
+ NEW met1 ( 40250 35870 ) ( 47150 * )
+ NEW li1 ( 40250 41990 ) L1M1_PR
+ NEW met1 ( 40250 41990 ) M1M2_PR
+ NEW li1 ( 45310 28390 ) L1M1_PR
+ NEW li1 ( 45310 22950 ) L1M1_PR
+ NEW li1 ( 45770 42330 ) L1M1_PR
+ NEW li1 ( 47150 36890 ) L1M1_PR
+ NEW li1 ( 49910 36890 ) L1M1_PR
+ NEW li1 ( 54490 31110 ) L1M1_PR
+ NEW met1 ( 52670 31110 ) M1M2_PR
+ NEW met1 ( 52670 36550 ) M1M2_PR
+ NEW li1 ( 35650 26010 ) L1M1_PR
+ NEW met1 ( 16330 25330 ) M1M2_PR
+ NEW met1 ( 15870 19550 ) M1M2_PR
+ NEW li1 ( 12650 19550 ) L1M1_PR
+ NEW met1 ( 38870 23290 ) M1M2_PR
+ NEW met1 ( 38870 25670 ) M1M2_PR
+ NEW met1 ( 38870 28730 ) M1M2_PR
+ NEW li1 ( 38870 31110 ) L1M1_PR
+ NEW met1 ( 38870 31110 ) M1M2_PR
+ NEW li1 ( 39790 33830 ) L1M1_PR
+ NEW met1 ( 38870 33490 ) M1M2_PR
+ NEW met1 ( 40250 35870 ) M1M2_PR
+ NEW met1 ( 40250 33830 ) M1M2_PR
+ NEW li1 ( 35650 36890 ) L1M1_PR
+ NEW met1 ( 36110 36890 ) M1M2_PR
+ NEW met1 ( 36110 33830 ) M1M2_PR
+ NEW li1 ( 35190 39610 ) L1M1_PR
+ NEW met1 ( 36110 39610 ) M1M2_PR
+ NEW li1 ( 41170 39610 ) L1M1_PR
+ NEW met1 ( 40250 39610 ) M1M2_PR
+ NEW met1 ( 40250 41990 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38870 31110 ) RECT ( -355 -70 0 70 ) ;
+ - _090_ ( _231_ A ) ( _248_ A ) ( _252_ B1 ) ( _320_ A2 ) ( _327_ B ) ( _303_ A ) ( _308_ A )
+ ( _335_ B2 ) ( _311_ A1 ) ( _228_ X ) + USE SIGNAL
+ + ROUTED met2 ( 32890 44710 ) ( 33350 * )
+ NEW met2 ( 33350 44710 ) ( * 46750 )
+ NEW met1 ( 33350 46750 ) ( 38410 * )
+ NEW met1 ( 38410 46750 ) ( * 47090 )
+ NEW met1 ( 38410 47090 ) ( 39790 * )
+ NEW met1 ( 39790 47090 ) ( * 47430 )
+ NEW met1 ( 39790 47430 ) ( 40710 * )
+ NEW met1 ( 40710 47430 ) ( * 47770 )
+ NEW met1 ( 31510 44710 ) ( 32890 * )
+ NEW met2 ( 37030 58650 ) ( * 64090 )
+ NEW met1 ( 37030 64090 ) ( 37490 * )
+ NEW met1 ( 37030 58650 ) ( 38410 * )
+ NEW met2 ( 38410 57460 ) ( * 58650 )
+ NEW met2 ( 40710 47770 ) ( * 57460 )
+ NEW met2 ( 48070 55590 ) ( * 57460 )
+ NEW met1 ( 51750 47090 ) ( * 47770 )
+ NEW met1 ( 50370 47090 ) ( 51750 * )
+ NEW met2 ( 50370 47090 ) ( * 55590 )
+ NEW met1 ( 50370 55590 ) ( * 55930 )
+ NEW met1 ( 48070 55930 ) ( 50370 * )
+ NEW met1 ( 48070 55590 ) ( * 55930 )
+ NEW met1 ( 50370 45050 ) ( 51750 * )
+ NEW met2 ( 50370 45050 ) ( * 47090 )
+ NEW met1 ( 47050 42330 ) ( 48965 * )
+ NEW met1 ( 48965 41990 ) ( * 42330 )
+ NEW met1 ( 48965 41990 ) ( 50370 * )
+ NEW met2 ( 50370 41990 ) ( * 45050 )
+ NEW met1 ( 41605 47770 ) ( * 47830 )
+ NEW met1 ( 41605 47830 ) ( 42045 * )
+ NEW met1 ( 40710 47770 ) ( 41605 * )
+ NEW met3 ( 38410 57460 ) ( 48070 * )
+ NEW met1 ( 40710 47770 ) M1M2_PR
+ NEW li1 ( 32890 44710 ) L1M1_PR
+ NEW met1 ( 32890 44710 ) M1M2_PR
+ NEW met1 ( 33350 46750 ) M1M2_PR
+ NEW li1 ( 31510 44710 ) L1M1_PR
+ NEW li1 ( 37030 58650 ) L1M1_PR
+ NEW met1 ( 37030 58650 ) M1M2_PR
+ NEW met1 ( 37030 64090 ) M1M2_PR
+ NEW li1 ( 37490 64090 ) L1M1_PR
+ NEW li1 ( 38410 58650 ) L1M1_PR
+ NEW met2 ( 38410 57460 ) M2M3_PR
+ NEW met1 ( 38410 58650 ) M1M2_PR
+ NEW met2 ( 40710 57460 ) M2M3_PR
+ NEW li1 ( 48070 55590 ) L1M1_PR
+ NEW met1 ( 48070 55590 ) M1M2_PR
+ NEW met2 ( 48070 57460 ) M2M3_PR
+ NEW li1 ( 51750 47770 ) L1M1_PR
+ NEW met1 ( 50370 47090 ) M1M2_PR
+ NEW met1 ( 50370 55590 ) M1M2_PR
+ NEW li1 ( 51750 45050 ) L1M1_PR
+ NEW met1 ( 50370 45050 ) M1M2_PR
+ NEW li1 ( 47050 42330 ) L1M1_PR
+ NEW met1 ( 50370 41990 ) M1M2_PR
+ NEW li1 ( 42045 47830 ) L1M1_PR
+ NEW met1 ( 32890 44710 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 37030 58650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38410 58650 ) RECT ( -595 -70 0 70 )
+ NEW met3 ( 40710 57460 ) RECT ( -800 -150 0 150 )
+ NEW met1 ( 48070 55590 ) RECT ( -355 -70 0 70 ) ;
+ - _091_ ( _342_ B1 ) ( _334_ A1 ) ( _324_ B ) ( _253_ B1 ) ( _230_ B ) ( _229_ X ) + USE SIGNAL
+ + ROUTED met1 ( 37950 44370 ) ( 38410 * )
+ NEW met2 ( 37950 37230 ) ( * 44370 )
+ NEW met1 ( 37950 36890 ) ( * 37230 )
+ NEW met1 ( 36930 36890 ) ( 37950 * )
+ NEW met2 ( 48070 47940 ) ( 48530 * )
+ NEW met2 ( 48070 45050 ) ( * 47940 )
+ NEW met1 ( 46690 45050 ) ( 48070 * )
+ NEW met2 ( 46690 43010 ) ( * 45050 )
+ NEW met1 ( 37950 43010 ) ( 46690 * )
+ NEW met1 ( 59570 42670 ) ( * 43010 )
+ NEW met1 ( 57270 43010 ) ( 59570 * )
+ NEW met1 ( 57270 42670 ) ( * 43010 )
+ NEW met1 ( 55430 42670 ) ( 57270 * )
+ NEW met1 ( 55430 42670 ) ( * 43010 )
+ NEW met1 ( 48070 43010 ) ( 55430 * )
+ NEW met2 ( 48070 43010 ) ( * 45050 )
+ NEW met2 ( 48070 52020 ) ( * 53210 )
+ NEW met3 ( 48530 52020 ) ( 51750 * )
+ NEW met2 ( 51750 49810 ) ( * 52020 )
+ NEW met1 ( 51630 49810 ) ( 51750 * )
+ NEW met3 ( 48530 48620 ) ( 51750 * )
+ NEW met2 ( 51750 48620 ) ( * 49810 )
+ NEW met1 ( 58650 52190 ) ( * 52530 )
+ NEW met1 ( 58650 52530 ) ( 60030 * )
+ NEW met1 ( 60030 52530 ) ( * 53210 )
+ NEW met2 ( 48070 52020 ) ( 48530 * )
+ NEW met2 ( 48530 47940 ) ( * 48620 )
+ NEW met2 ( 58650 43010 ) ( * 52190 )
+ NEW li1 ( 38410 44370 ) L1M1_PR
+ NEW met1 ( 37950 44370 ) M1M2_PR
+ NEW met1 ( 37950 37230 ) M1M2_PR
+ NEW li1 ( 36930 36890 ) L1M1_PR
+ NEW met1 ( 48070 45050 ) M1M2_PR
+ NEW met1 ( 46690 45050 ) M1M2_PR
+ NEW met1 ( 46690 43010 ) M1M2_PR
+ NEW met1 ( 37950 43010 ) M1M2_PR
+ NEW li1 ( 59570 42670 ) L1M1_PR
+ NEW met1 ( 48070 43010 ) M1M2_PR
+ NEW met1 ( 58650 43010 ) M1M2_PR
+ NEW li1 ( 48070 53210 ) L1M1_PR
+ NEW met1 ( 48070 53210 ) M1M2_PR
+ NEW met2 ( 48530 52020 ) M2M3_PR
+ NEW met2 ( 51750 52020 ) M2M3_PR
+ NEW met1 ( 51750 49810 ) M1M2_PR
+ NEW li1 ( 51630 49810 ) L1M1_PR
+ NEW met2 ( 48530 48620 ) M2M3_PR
+ NEW met2 ( 51750 48620 ) M2M3_PR
+ NEW met1 ( 58650 52190 ) M1M2_PR
+ NEW li1 ( 60030 53210 ) L1M1_PR
+ NEW met2 ( 37950 43010 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 58650 43010 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 48070 53210 ) RECT ( -355 -70 0 70 ) ;
+ - _092_ ( _330_ A2 ) ( _314_ A2 ) ( _298_ C ) ( _293_ B ) ( _231_ B ) ( _230_ X ) + USE SIGNAL
+ + ROUTED met1 ( 30590 45050 ) ( 32430 * )
+ NEW met1 ( 30590 44710 ) ( * 45050 )
+ NEW met1 ( 35190 45050 ) ( 40250 * )
+ NEW met1 ( 33350 52530 ) ( * 52870 )
+ NEW met1 ( 33350 52870 ) ( 41605 * )
+ NEW met1 ( 41605 52870 ) ( * 53210 )
+ NEW met1 ( 41605 53210 ) ( 41630 * )
+ NEW met2 ( 34270 50150 ) ( * 52870 )
+ NEW met2 ( 35190 52870 ) ( 35650 * )
+ NEW met1 ( 32430 48450 ) ( 35190 * )
+ NEW met1 ( 36570 55480 ) ( 36575 * )
+ NEW met1 ( 36570 55250 ) ( * 55480 )
+ NEW met2 ( 36570 52870 ) ( * 55250 )
+ NEW met2 ( 32430 45050 ) ( * 48450 )
+ NEW met2 ( 35190 45050 ) ( * 52870 )
+ NEW met1 ( 32430 45050 ) M1M2_PR
+ NEW li1 ( 30590 44710 ) L1M1_PR
+ NEW li1 ( 40250 45050 ) L1M1_PR
+ NEW met1 ( 35190 45050 ) M1M2_PR
+ NEW li1 ( 33350 52530 ) L1M1_PR
+ NEW li1 ( 41630 53210 ) L1M1_PR
+ NEW li1 ( 34270 50150 ) L1M1_PR
+ NEW met1 ( 34270 50150 ) M1M2_PR
+ NEW met1 ( 34270 52870 ) M1M2_PR
+ NEW met1 ( 35650 52870 ) M1M2_PR
+ NEW met1 ( 32430 48450 ) M1M2_PR
+ NEW met1 ( 35190 48450 ) M1M2_PR
+ NEW li1 ( 36575 55480 ) L1M1_PR
+ NEW met1 ( 36570 55250 ) M1M2_PR
+ NEW met1 ( 36570 52870 ) M1M2_PR
+ NEW met1 ( 34270 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 34270 52870 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 35650 52870 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 35190 48450 ) RECT ( -70 0 70 485 )
+ NEW met1 ( 36570 52870 ) RECT ( -595 -70 0 70 ) ;
+ - _093_ ( _288_ B ) ( _233_ D_N ) ( _231_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 34730 44710 ) ( 34755 * )
+ NEW met1 ( 34755 44370 ) ( * 44710 )
+ NEW met1 ( 34755 44370 ) ( 35650 * )
+ NEW met1 ( 35650 44030 ) ( * 44370 )
+ NEW met1 ( 35650 44030 ) ( 45770 * )
+ NEW met2 ( 45770 22950 ) ( * 44030 )
+ NEW met1 ( 31050 44030 ) ( 35650 * )
+ NEW li1 ( 34730 44710 ) L1M1_PR
+ NEW met1 ( 45770 44030 ) M1M2_PR
+ NEW li1 ( 45770 22950 ) L1M1_PR
+ NEW met1 ( 45770 22950 ) M1M2_PR
+ NEW li1 ( 31050 44030 ) L1M1_PR
+ NEW met1 ( 45770 22950 ) RECT ( 0 -70 355 70 ) ;
+ - _094_ ( _268_ A ) ( _263_ A2 ) ( _251_ A2 ) ( _232_ X ) + USE SIGNAL
+ + ROUTED met2 ( 40710 37570 ) ( * 39270 )
+ NEW met1 ( 40710 37570 ) ( 46230 * )
+ NEW met1 ( 46230 36890 ) ( * 37570 )
+ NEW met1 ( 40250 29410 ) ( 40710 * )
+ NEW met2 ( 40710 29410 ) ( * 37570 )
+ NEW met1 ( 40710 26010 ) ( 44850 * )
+ NEW met2 ( 40710 26010 ) ( * 29410 )
+ NEW li1 ( 40710 39270 ) L1M1_PR
+ NEW met1 ( 40710 39270 ) M1M2_PR
+ NEW met1 ( 40710 37570 ) M1M2_PR
+ NEW li1 ( 46230 36890 ) L1M1_PR
+ NEW li1 ( 40250 29410 ) L1M1_PR
+ NEW met1 ( 40710 29410 ) M1M2_PR
+ NEW li1 ( 44850 26010 ) L1M1_PR
+ NEW met1 ( 40710 26010 ) M1M2_PR
+ NEW met1 ( 40710 39270 ) RECT ( -355 -70 0 70 ) ;
+ - _095_ ( _238_ B ) ( _233_ X ) + USE SIGNAL
+ + ROUTED met2 ( 48530 21250 ) ( * 22270 )
+ NEW li1 ( 48530 21250 ) L1M1_PR
+ NEW met1 ( 48530 21250 ) M1M2_PR
+ NEW li1 ( 48530 22270 ) L1M1_PR
+ NEW met1 ( 48530 22270 ) M1M2_PR
+ NEW met1 ( 48530 21250 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48530 22270 ) RECT ( -355 -70 0 70 ) ;
+ - _096_ ( _238_ C ) ( _234_ X ) + USE SIGNAL
+ + ROUTED met2 ( 48070 18530 ) ( * 20570 )
+ NEW li1 ( 48070 18530 ) L1M1_PR
+ NEW met1 ( 48070 18530 ) M1M2_PR
+ NEW li1 ( 48070 20570 ) L1M1_PR
+ NEW met1 ( 48070 20570 ) M1M2_PR
+ NEW met1 ( 48070 18530 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48070 20570 ) RECT ( 0 -70 355 70 ) ;
+ - _097_ ( _236_ A ) ( _235_ X ) + USE SIGNAL
+ + ROUTED met2 ( 11730 15810 ) ( * 17510 )
+ NEW met1 ( 11270 17510 ) ( 11730 * )
+ NEW li1 ( 11730 15810 ) L1M1_PR
+ NEW met1 ( 11730 15810 ) M1M2_PR
+ NEW met1 ( 11730 17510 ) M1M2_PR
+ NEW li1 ( 11270 17510 ) L1M1_PR
+ NEW met1 ( 11730 15810 ) RECT ( -355 -70 0 70 ) ;
+ - _098_ ( _237_ C ) ( _236_ X ) + USE SIGNAL
+ + ROUTED met1 ( 9430 16830 ) ( 10350 * )
+ NEW met2 ( 9430 16830 ) ( * 20570 )
+ NEW li1 ( 10350 16830 ) L1M1_PR
+ NEW met1 ( 9430 16830 ) M1M2_PR
+ NEW li1 ( 9430 20570 ) L1M1_PR
+ NEW met1 ( 9430 20570 ) M1M2_PR
+ NEW met1 ( 9430 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _099_ ( _238_ D ) ( _237_ X ) + USE SIGNAL
+ + ROUTED met1 ( 47610 20230 ) ( * 20570 )
+ NEW met1 ( 41400 20230 ) ( 47610 * )
+ NEW met1 ( 41400 19550 ) ( * 20230 )
+ NEW met1 ( 16790 19550 ) ( 41400 * )
+ NEW met1 ( 16790 19550 ) ( * 20230 )
+ NEW met1 ( 10355 20230 ) ( 16790 * )
+ NEW met1 ( 10355 19890 ) ( * 20230 )
+ NEW met1 ( 7590 19890 ) ( 10355 * )
+ NEW li1 ( 47610 20570 ) L1M1_PR
+ NEW li1 ( 7590 19890 ) L1M1_PR ;
+ - _100_ ( _272_ A2 ) ( _267_ B2 ) ( _243_ B1 ) ( _238_ X ) + USE SIGNAL
+ + ROUTED met1 ( 50830 29410 ) ( 53590 * )
+ NEW met2 ( 53590 29410 ) ( * 31450 )
+ NEW met1 ( 50370 20570 ) ( 51750 * )
+ NEW met2 ( 51750 20570 ) ( * 29410 )
+ NEW met1 ( 46690 19890 ) ( 48990 * )
+ NEW met1 ( 48990 19890 ) ( * 20230 )
+ NEW met1 ( 48990 20230 ) ( 50370 * )
+ NEW met1 ( 50370 20230 ) ( * 20570 )
+ NEW li1 ( 50830 29410 ) L1M1_PR
+ NEW met1 ( 53590 29410 ) M1M2_PR
+ NEW li1 ( 53590 31450 ) L1M1_PR
+ NEW met1 ( 53590 31450 ) M1M2_PR
+ NEW li1 ( 50370 20570 ) L1M1_PR
+ NEW met1 ( 51750 20570 ) M1M2_PR
+ NEW met1 ( 51750 29410 ) M1M2_PR
+ NEW li1 ( 46690 19890 ) L1M1_PR
+ NEW met1 ( 53590 31450 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 51750 29410 ) RECT ( -595 -70 0 70 ) ;
+ - _101_ ( _268_ B ) ( _251_ B1_N ) ( _242_ C ) ( _239_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 43005 39270 ) ( 45310 * )
+ NEW met2 ( 45310 39270 ) ( * 45050 )
+ NEW met1 ( 45310 26010 ) ( 45770 * )
+ NEW met2 ( 45310 26010 ) ( * 39270 )
+ NEW met1 ( 44850 19550 ) ( 45310 * )
+ NEW met2 ( 45310 19550 ) ( * 26010 )
+ NEW li1 ( 43005 39270 ) L1M1_PR
+ NEW met1 ( 45310 39270 ) M1M2_PR
+ NEW li1 ( 45310 45050 ) L1M1_PR
+ NEW met1 ( 45310 45050 ) M1M2_PR
+ NEW li1 ( 45770 26010 ) L1M1_PR
+ NEW met1 ( 45310 26010 ) M1M2_PR
+ NEW li1 ( 44850 19550 ) L1M1_PR
+ NEW met1 ( 45310 19550 ) M1M2_PR
+ NEW met1 ( 45310 45050 ) RECT ( -355 -70 0 70 ) ;
+ - _102_ ( _328_ A ) ( _325_ A ) ( _321_ A2 ) ( _315_ A ) ( _314_ A3 ) ( _240_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 37950 47770 ) ( 38410 * )
+ NEW met1 ( 36110 53210 ) ( 38410 * )
+ NEW met1 ( 33810 50490 ) ( 38410 * )
+ NEW met1 ( 40250 50490 ) ( * 50830 )
+ NEW met1 ( 38410 50830 ) ( 40250 * )
+ NEW met1 ( 38410 50490 ) ( * 50830 )
+ NEW met2 ( 38410 47770 ) ( * 56780 )
+ NEW met2 ( 45770 58650 ) ( * 60690 )
+ NEW met2 ( 45770 58650 ) ( 46230 * )
+ NEW met2 ( 46230 56780 ) ( * 58650 )
+ NEW met1 ( 50830 58650 ) ( 55430 * )
+ NEW met1 ( 50830 58310 ) ( * 58650 )
+ NEW met1 ( 49910 58310 ) ( 50830 * )
+ NEW met1 ( 49910 57970 ) ( * 58310 )
+ NEW met1 ( 46230 57970 ) ( 49910 * )
+ NEW met2 ( 42550 49980 ) ( * 50490 )
+ NEW met3 ( 42550 49980 ) ( 43700 * )
+ NEW met4 ( 43700 47260 ) ( * 49980 )
+ NEW met3 ( 43700 47260 ) ( 51290 * )
+ NEW met2 ( 51290 47260 ) ( * 47430 )
+ NEW met1 ( 50830 47430 ) ( 51290 * )
+ NEW met1 ( 40250 50490 ) ( 42550 * )
+ NEW met3 ( 38410 56780 ) ( 46230 * )
+ NEW li1 ( 37950 47770 ) L1M1_PR
+ NEW met1 ( 38410 47770 ) M1M2_PR
+ NEW met2 ( 38410 56780 ) M2M3_PR
+ NEW li1 ( 36110 53210 ) L1M1_PR
+ NEW met1 ( 38410 53210 ) M1M2_PR
+ NEW li1 ( 33810 50490 ) L1M1_PR
+ NEW met1 ( 38410 50490 ) M1M2_PR
+ NEW li1 ( 45770 60690 ) L1M1_PR
+ NEW met1 ( 45770 60690 ) M1M2_PR
+ NEW met2 ( 46230 56780 ) M2M3_PR
+ NEW li1 ( 55430 58650 ) L1M1_PR
+ NEW met1 ( 46230 57970 ) M1M2_PR
+ NEW met1 ( 42550 50490 ) M1M2_PR
+ NEW met2 ( 42550 49980 ) M2M3_PR
+ NEW met3 ( 43700 49980 ) M3M4_PR
+ NEW met3 ( 43700 47260 ) M3M4_PR
+ NEW met2 ( 51290 47260 ) M2M3_PR
+ NEW met1 ( 51290 47430 ) M1M2_PR
+ NEW li1 ( 50830 47430 ) L1M1_PR
+ NEW met2 ( 38410 53210 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 38410 50490 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 45770 60690 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 46230 57970 ) RECT ( -70 -485 70 0 ) ;
+ - _103_ ( _248_ B ) ( _242_ D ) ( _338_ B2 ) ( _335_ A1 ) ( _331_ B2 ) ( _329_ B1 ) ( _311_ B2 )
+ ( _241_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 41170 57970 ) ( * 58650 )
+ NEW met1 ( 35650 57970 ) ( 41170 * )
+ NEW met1 ( 35650 57970 ) ( * 58650 )
+ NEW met1 ( 33350 44710 ) ( * 45050 )
+ NEW met1 ( 33350 45050 ) ( 34730 * )
+ NEW met1 ( 34730 45050 ) ( * 45390 )
+ NEW met1 ( 44850 44370 ) ( 49450 * )
+ NEW met1 ( 42550 44370 ) ( * 45390 )
+ NEW met1 ( 42550 44370 ) ( 44850 * )
+ NEW met1 ( 42090 55590 ) ( * 55930 )
+ NEW met1 ( 42090 55930 ) ( 43470 * )
+ NEW met2 ( 43470 45390 ) ( * 55930 )
+ NEW met1 ( 42550 45390 ) ( 43470 * )
+ NEW met2 ( 45770 55930 ) ( 46690 * )
+ NEW met1 ( 43470 55930 ) ( 45770 * )
+ NEW met2 ( 41630 55930 ) ( * 57970 )
+ NEW met1 ( 41630 55930 ) ( 42090 * )
+ NEW met1 ( 47150 58310 ) ( 48990 * )
+ NEW met2 ( 47150 55930 ) ( * 58310 )
+ NEW met2 ( 46690 55930 ) ( 47150 * )
+ NEW met1 ( 34730 45390 ) ( 42550 * )
+ NEW met2 ( 41170 57970 ) ( 41630 * )
+ NEW li1 ( 41170 58650 ) L1M1_PR
+ NEW met1 ( 41170 58650 ) M1M2_PR
+ NEW met1 ( 41170 57970 ) M1M2_PR
+ NEW li1 ( 35650 58650 ) L1M1_PR
+ NEW li1 ( 33350 44710 ) L1M1_PR
+ NEW li1 ( 44850 44370 ) L1M1_PR
+ NEW li1 ( 49450 44370 ) L1M1_PR
+ NEW li1 ( 42090 55590 ) L1M1_PR
+ NEW met1 ( 43470 55930 ) M1M2_PR
+ NEW met1 ( 43470 45390 ) M1M2_PR
+ NEW li1 ( 46690 55930 ) L1M1_PR
+ NEW met1 ( 46690 55930 ) M1M2_PR
+ NEW met1 ( 45770 55930 ) M1M2_PR
+ NEW met1 ( 41630 55930 ) M1M2_PR
+ NEW li1 ( 48990 58310 ) L1M1_PR
+ NEW met1 ( 47150 58310 ) M1M2_PR
+ NEW met1 ( 41170 58650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 46690 55930 ) RECT ( 0 -70 355 70 ) ;
+ - _104_ ( _243_ A3 ) ( _242_ X ) + USE SIGNAL
+ + ROUTED met2 ( 54050 31450 ) ( * 39780 )
+ NEW met2 ( 53590 39780 ) ( 54050 * )
+ NEW met2 ( 53590 39780 ) ( * 44030 )
+ NEW met1 ( 47150 44030 ) ( 53590 * )
+ NEW li1 ( 54050 31450 ) L1M1_PR
+ NEW met1 ( 54050 31450 ) M1M2_PR
+ NEW met1 ( 53590 44030 ) M1M2_PR
+ NEW li1 ( 47150 44030 ) L1M1_PR
+ NEW met1 ( 54050 31450 ) RECT ( 0 -70 355 70 ) ;
+ - _105_ ( _256_ B1 ) ( _270_ S ) ( _257_ A2 ) ( _260_ S ) ( _264_ C1 ) ( _271_ B ) ( _267_ A1_N )
+ ( _262_ S ) ( _243_ X ) + USE SIGNAL
+ + ROUTED met2 ( 39330 40290 ) ( * 42330 )
+ NEW met1 ( 37030 42330 ) ( 39330 * )
+ NEW met1 ( 46690 34170 ) ( * 34510 )
+ NEW met1 ( 39330 34510 ) ( 46690 * )
+ NEW met2 ( 39330 34510 ) ( * 40290 )
+ NEW met1 ( 48530 28390 ) ( 48680 * )
+ NEW met2 ( 48530 28390 ) ( * 34510 )
+ NEW met1 ( 46690 34510 ) ( 48530 * )
+ NEW met1 ( 43010 24990 ) ( * 25670 )
+ NEW met1 ( 43010 24990 ) ( 49450 * )
+ NEW met2 ( 49450 24990 ) ( * 28390 )
+ NEW met1 ( 48680 28390 ) ( 49450 * )
+ NEW met1 ( 51750 31110 ) ( * 31450 )
+ NEW met1 ( 51290 31450 ) ( 51750 * )
+ NEW met1 ( 51290 31450 ) ( * 31790 )
+ NEW met1 ( 48530 31790 ) ( 51290 * )
+ NEW met1 ( 51290 31790 ) ( 55890 * )
+ NEW met2 ( 54510 32130 ) ( * 39270 )
+ NEW met1 ( 54510 31790 ) ( * 32130 )
+ NEW met1 ( 54510 20570 ) ( * 20910 )
+ NEW met1 ( 54050 20910 ) ( 54510 * )
+ NEW met1 ( 54050 20910 ) ( * 21250 )
+ NEW met1 ( 49450 21250 ) ( 54050 * )
+ NEW met2 ( 49450 21250 ) ( * 24990 )
+ NEW li1 ( 39330 40290 ) L1M1_PR
+ NEW met1 ( 39330 40290 ) M1M2_PR
+ NEW met1 ( 39330 42330 ) M1M2_PR
+ NEW li1 ( 37030 42330 ) L1M1_PR
+ NEW li1 ( 46690 34170 ) L1M1_PR
+ NEW met1 ( 39330 34510 ) M1M2_PR
+ NEW li1 ( 48680 28390 ) L1M1_PR
+ NEW met1 ( 48530 28390 ) M1M2_PR
+ NEW met1 ( 48530 34510 ) M1M2_PR
+ NEW li1 ( 43010 25670 ) L1M1_PR
+ NEW met1 ( 49450 24990 ) M1M2_PR
+ NEW met1 ( 49450 28390 ) M1M2_PR
+ NEW li1 ( 51750 31110 ) L1M1_PR
+ NEW met1 ( 48530 31790 ) M1M2_PR
+ NEW li1 ( 55890 31790 ) L1M1_PR
+ NEW li1 ( 54510 39270 ) L1M1_PR
+ NEW met1 ( 54510 39270 ) M1M2_PR
+ NEW met1 ( 54510 32130 ) M1M2_PR
+ NEW li1 ( 54510 20570 ) L1M1_PR
+ NEW met1 ( 49450 21250 ) M1M2_PR
+ NEW met1 ( 39330 40290 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 48530 31790 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 54510 39270 ) RECT ( 0 -70 355 70 ) ;
+ - _106_ ( _259_ A ) ( _253_ A1 ) ( _244_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 35650 31790 ) ( 44390 * )
+ NEW met1 ( 44390 31450 ) ( * 31790 )
+ NEW met2 ( 37490 31790 ) ( * 37570 )
+ NEW li1 ( 35650 31790 ) L1M1_PR
+ NEW li1 ( 44390 31450 ) L1M1_PR
+ NEW li1 ( 37490 37570 ) L1M1_PR
+ NEW met1 ( 37490 37570 ) M1M2_PR
+ NEW met1 ( 37490 31790 ) M1M2_PR
+ NEW met1 ( 37490 37570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 37490 31790 ) RECT ( -595 -70 0 70 ) ;
+ - _107_ ( _247_ A ) ( _245_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 43930 28390 ) ( 44850 * )
+ NEW li1 ( 43930 28390 ) L1M1_PR
+ NEW li1 ( 44850 28390 ) L1M1_PR ;
+ - _108_ ( _258_ B1 ) ( _247_ B ) ( _246_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38870 34170 ) ( 43930 * )
+ NEW met2 ( 43010 28390 ) ( * 34170 )
+ NEW li1 ( 43930 34170 ) L1M1_PR
+ NEW li1 ( 38870 34170 ) L1M1_PR
+ NEW li1 ( 43010 28390 ) L1M1_PR
+ NEW met1 ( 43010 28390 ) M1M2_PR
+ NEW met1 ( 43010 34170 ) M1M2_PR
+ NEW met1 ( 43010 28390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43010 34170 ) RECT ( -595 -70 0 70 ) ;
+ - _109_ ( _261_ A ) ( _258_ A1 ) ( _253_ A2 ) ( _247_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 42090 33830 ) ( 43930 * )
+ NEW met1 ( 43930 33490 ) ( * 33830 )
+ NEW met2 ( 43930 29070 ) ( * 33490 )
+ NEW met1 ( 42550 29070 ) ( 43930 * )
+ NEW met1 ( 40250 36550 ) ( 42090 * )
+ NEW met2 ( 42090 33830 ) ( * 36550 )
+ NEW met1 ( 37950 36210 ) ( * 36550 )
+ NEW met1 ( 37950 36210 ) ( 40250 * )
+ NEW met1 ( 40250 36210 ) ( * 36550 )
+ NEW li1 ( 42090 33830 ) L1M1_PR
+ NEW met1 ( 43930 33490 ) M1M2_PR
+ NEW met1 ( 43930 29070 ) M1M2_PR
+ NEW li1 ( 42550 29070 ) L1M1_PR
+ NEW li1 ( 40250 36550 ) L1M1_PR
+ NEW met1 ( 42090 36550 ) M1M2_PR
+ NEW met1 ( 42090 33830 ) M1M2_PR
+ NEW li1 ( 37950 36550 ) L1M1_PR
+ NEW met1 ( 42090 33830 ) RECT ( 0 -70 595 70 ) ;
+ - _110_ ( _339_ A ) ( _331_ A1 ) ( _304_ A1 ) ( _252_ A1 ) ( _248_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 32885 55480 ) ( 32890 * )
+ NEW met1 ( 32890 55480 ) ( * 55590 )
+ NEW met2 ( 32890 45730 ) ( * 55590 )
+ NEW met1 ( 32890 45730 ) ( 33350 * )
+ NEW met1 ( 37950 55930 ) ( 40710 * )
+ NEW met2 ( 37950 55420 ) ( * 55930 )
+ NEW met3 ( 32890 55420 ) ( 37950 * )
+ NEW met1 ( 40250 54910 ) ( * 55250 )
+ NEW met1 ( 37950 55250 ) ( 40250 * )
+ NEW met2 ( 37950 55250 ) ( * 55420 )
+ NEW met1 ( 53590 53550 ) ( 54050 * )
+ NEW met2 ( 53590 53550 ) ( * 54910 )
+ NEW met2 ( 47610 43010 ) ( * 45900 )
+ NEW met3 ( 45310 45900 ) ( 47610 * )
+ NEW met2 ( 45310 45900 ) ( * 54910 )
+ NEW met1 ( 40250 54910 ) ( 53590 * )
+ NEW li1 ( 32885 55480 ) L1M1_PR
+ NEW met1 ( 32890 55590 ) M1M2_PR
+ NEW met1 ( 32890 45730 ) M1M2_PR
+ NEW li1 ( 33350 45730 ) L1M1_PR
+ NEW li1 ( 40710 55930 ) L1M1_PR
+ NEW met1 ( 37950 55930 ) M1M2_PR
+ NEW met2 ( 37950 55420 ) M2M3_PR
+ NEW met2 ( 32890 55420 ) M2M3_PR
+ NEW met1 ( 37950 55250 ) M1M2_PR
+ NEW li1 ( 54050 53550 ) L1M1_PR
+ NEW met1 ( 53590 53550 ) M1M2_PR
+ NEW met1 ( 53590 54910 ) M1M2_PR
+ NEW li1 ( 47610 43010 ) L1M1_PR
+ NEW met1 ( 47610 43010 ) M1M2_PR
+ NEW met2 ( 47610 45900 ) M2M3_PR
+ NEW met2 ( 45310 45900 ) M2M3_PR
+ NEW met1 ( 45310 54910 ) M1M2_PR
+ NEW met2 ( 32890 55420 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 37950 55250 ) RECT ( -70 -315 70 0 )
+ NEW met1 ( 47610 43010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 45310 54910 ) RECT ( -595 -70 0 70 ) ;
+ - _111_ ( _263_ B1 ) ( _249_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 48990 36550 ) ( 49450 * )
+ NEW li1 ( 48990 36550 ) L1M1_PR
+ NEW li1 ( 49450 36550 ) L1M1_PR ;
+ - _112_ ( _266_ A ) ( _252_ A2 ) ( _250_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 47610 39270 ) ( * 41990 )
+ NEW met1 ( 47610 41990 ) ( 48070 * )
+ NEW met1 ( 44390 41990 ) ( 47610 * )
+ NEW li1 ( 47610 39270 ) L1M1_PR
+ NEW met1 ( 47610 39270 ) M1M2_PR
+ NEW met1 ( 47610 41990 ) M1M2_PR
+ NEW li1 ( 48070 41990 ) L1M1_PR
+ NEW li1 ( 44390 41990 ) L1M1_PR
+ NEW met1 ( 47610 39270 ) RECT ( -355 -70 0 70 ) ;
+ - _113_ ( _266_ B ) ( _264_ A2 ) ( _252_ A3 ) ( _251_ X ) + USE SIGNAL
+ + ROUTED met1 ( 48070 39610 ) ( 53130 * )
+ NEW met1 ( 53130 39270 ) ( * 39610 )
+ NEW met1 ( 43930 39610 ) ( 48070 * )
+ NEW met1 ( 48530 41310 ) ( * 41990 )
+ NEW met2 ( 48530 39610 ) ( * 41310 )
+ NEW li1 ( 48070 39610 ) L1M1_PR
+ NEW li1 ( 53130 39270 ) L1M1_PR
+ NEW li1 ( 43930 39610 ) L1M1_PR
+ NEW li1 ( 48530 41990 ) L1M1_PR
+ NEW met1 ( 48530 41310 ) M1M2_PR
+ NEW met1 ( 48530 39610 ) M1M2_PR
+ NEW met1 ( 48530 39610 ) RECT ( -595 -70 0 70 ) ;
+ - _114_ ( _261_ B ) ( _258_ A2 ) ( _253_ A3 ) ( _252_ X ) + USE SIGNAL
+ + ROUTED met1 ( 42550 36890 0 ) ( 44850 * )
+ NEW met2 ( 44850 36890 ) ( * 41310 )
+ NEW met1 ( 41170 33830 ) ( 41630 * )
+ NEW met2 ( 41630 33830 ) ( * 36210 )
+ NEW met1 ( 41630 36210 ) ( 42550 * )
+ NEW met1 ( 42550 36210 ) ( * 36890 0 )
+ NEW met1 ( 38410 36890 ) ( * 37230 )
+ NEW met1 ( 38410 37230 ) ( 42550 * )
+ NEW met1 ( 42550 36890 0 ) ( * 37230 )
+ NEW met1 ( 44850 36890 ) M1M2_PR
+ NEW li1 ( 44850 41310 ) L1M1_PR
+ NEW met1 ( 44850 41310 ) M1M2_PR
+ NEW li1 ( 41170 33830 ) L1M1_PR
+ NEW met1 ( 41630 33830 ) M1M2_PR
+ NEW met1 ( 41630 36210 ) M1M2_PR
+ NEW li1 ( 38410 36890 ) L1M1_PR
+ NEW met1 ( 44850 41310 ) RECT ( -355 -70 0 70 ) ;
+ - _115_ ( _256_ A1 ) ( _255_ A ) ( _253_ X ) + USE SIGNAL
+ + ROUTED met2 ( 34730 37230 ) ( * 41990 )
+ NEW met1 ( 34270 36890 ) ( * 37230 )
+ NEW met1 ( 34270 37230 ) ( 34730 * )
+ NEW li1 ( 34730 37230 ) L1M1_PR
+ NEW met1 ( 34730 37230 ) M1M2_PR
+ NEW li1 ( 34730 41990 ) L1M1_PR
+ NEW met1 ( 34730 41990 ) M1M2_PR
+ NEW li1 ( 34270 36890 ) L1M1_PR
+ NEW met1 ( 34730 37230 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 34730 41990 ) RECT ( -355 -70 0 70 ) ;
+ - _116_ ( _256_ A2 ) ( _255_ B ) ( _254_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 33350 36550 ) ( * 36890 )
+ NEW met1 ( 33350 36550 ) ( 35190 * )
+ NEW met2 ( 35190 36550 ) ( * 42330 )
+ NEW met1 ( 30590 38590 ) ( 31510 * )
+ NEW met2 ( 31510 36890 ) ( * 38590 )
+ NEW met1 ( 31510 36890 ) ( 33350 * )
+ NEW li1 ( 33350 36890 ) L1M1_PR
+ NEW met1 ( 35190 36550 ) M1M2_PR
+ NEW li1 ( 35190 42330 ) L1M1_PR
+ NEW met1 ( 35190 42330 ) M1M2_PR
+ NEW li1 ( 30590 38590 ) L1M1_PR
+ NEW met1 ( 31510 38590 ) M1M2_PR
+ NEW met1 ( 31510 36890 ) M1M2_PR
+ NEW met1 ( 35190 42330 ) RECT ( -355 -70 0 70 ) ;
+ - _117_ ( _257_ B1 ) ( _255_ X ) + USE SIGNAL
+ + ROUTED met1 ( 32430 37570 ) ( 34270 * )
+ NEW met2 ( 34270 37570 ) ( * 39270 )
+ NEW met1 ( 34270 39270 ) ( 37950 * )
+ NEW li1 ( 32430 37570 ) L1M1_PR
+ NEW met1 ( 34270 37570 ) M1M2_PR
+ NEW met1 ( 34270 39270 ) M1M2_PR
+ NEW li1 ( 37950 39270 ) L1M1_PR ;
+ - _118_ ( _257_ B2 ) ( _256_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 36570 41310 ) ( 38410 * )
+ NEW met2 ( 38410 39270 ) ( * 41310 )
+ NEW li1 ( 36570 41310 ) L1M1_PR
+ NEW met1 ( 38410 41310 ) M1M2_PR
+ NEW li1 ( 38410 39270 ) L1M1_PR
+ NEW met1 ( 38410 39270 ) M1M2_PR
+ NEW met1 ( 38410 39270 ) RECT ( 0 -70 355 70 ) ;
+ - _119_ ( _259_ B ) ( _258_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 43470 31450 ) ( * 33490 )
+ NEW li1 ( 43470 33490 ) L1M1_PR
+ NEW met1 ( 43470 33490 ) M1M2_PR
+ NEW li1 ( 43470 31450 ) L1M1_PR
+ NEW met1 ( 43470 31450 ) M1M2_PR
+ NEW met1 ( 43470 33490 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43470 31450 ) RECT ( -355 -70 0 70 ) ;
+ - _120_ ( _260_ A1 ) ( _259_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 48530 31110 ) ( 51290 * )
+ NEW li1 ( 48530 31110 ) L1M1_PR
+ NEW li1 ( 51290 31110 ) L1M1_PR ;
+ - _121_ ( _262_ A1 ) ( _261_ X ) + USE SIGNAL
+ + ROUTED met1 ( 44390 33830 ) ( 47610 * )
+ NEW met2 ( 44390 33830 ) ( * 36550 )
+ NEW li1 ( 47610 33830 ) L1M1_PR
+ NEW met1 ( 44390 33830 ) M1M2_PR
+ NEW li1 ( 44390 36550 ) L1M1_PR
+ NEW met1 ( 44390 36550 ) M1M2_PR
+ NEW met1 ( 44390 36550 ) RECT ( -355 -70 0 70 ) ;
+ - _122_ ( _264_ B1 ) ( _263_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 48530 37570 ) ( 53590 * )
+ NEW met2 ( 53590 37570 ) ( * 39270 )
+ NEW li1 ( 48530 37570 ) L1M1_PR
+ NEW met1 ( 53590 37570 ) M1M2_PR
+ NEW li1 ( 53590 39270 ) L1M1_PR
+ NEW met1 ( 53590 39270 ) M1M2_PR
+ NEW met1 ( 53590 39270 ) RECT ( 0 -70 355 70 ) ;
+ - _123_ ( _265_ B ) ( _264_ X ) + USE SIGNAL
+ + ROUTED met2 ( 51290 39950 ) ( * 41990 )
+ NEW li1 ( 51290 39950 ) L1M1_PR
+ NEW met1 ( 51290 39950 ) M1M2_PR
+ NEW li1 ( 51290 41990 ) L1M1_PR
+ NEW met1 ( 51290 41990 ) M1M2_PR
+ NEW met1 ( 51290 39950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 51290 41990 ) RECT ( -355 -70 0 70 ) ;
+ - _124_ ( _267_ A2_N ) ( _266_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 48990 27710 ) ( 50830 * )
+ NEW met2 ( 50830 27710 ) ( * 38590 )
+ NEW li1 ( 48990 27710 ) L1M1_PR
+ NEW met1 ( 50830 27710 ) M1M2_PR
+ NEW li1 ( 50830 38590 ) L1M1_PR
+ NEW met1 ( 50830 38590 ) M1M2_PR
+ NEW met1 ( 50830 38590 ) RECT ( -355 -70 0 70 ) ;
+ - _125_ ( _269_ B ) ( _268_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 37950 26350 ) ( 44390 * )
+ NEW met1 ( 37950 26010 ) ( * 26350 )
+ NEW li1 ( 44390 26350 ) L1M1_PR
+ NEW li1 ( 37950 26010 ) L1M1_PR ;
+ - _126_ ( _270_ A1 ) ( _269_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 39790 25670 ) ( 42550 * )
+ NEW li1 ( 39790 25670 ) L1M1_PR
+ NEW li1 ( 42550 25670 ) L1M1_PR ;
+ - _127_ ( _272_ B1 ) ( _271_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 51290 20230 ) ( 53130 * )
+ NEW li1 ( 53130 20230 ) L1M1_PR
+ NEW li1 ( 51290 20230 ) L1M1_PR ;
+ - _128_ ( _280_ A ) ( _277_ A2 ) ( _274_ B ) ( _273_ X ) + USE SIGNAL
+ + ROUTED met1 ( 30590 14110 ) ( 39790 * )
+ NEW met1 ( 39790 14110 ) ( * 14790 )
+ NEW met1 ( 39330 14790 ) ( 39790 * )
+ NEW met1 ( 39330 14790 ) ( * 15130 )
+ NEW met2 ( 31050 14110 ) ( * 28390 )
+ NEW met1 ( 30130 22950 ) ( * 23630 )
+ NEW met1 ( 30130 23630 ) ( 31050 * )
+ NEW li1 ( 30590 14110 ) L1M1_PR
+ NEW li1 ( 39330 15130 ) L1M1_PR
+ NEW li1 ( 31050 28390 ) L1M1_PR
+ NEW met1 ( 31050 28390 ) M1M2_PR
+ NEW met1 ( 31050 14110 ) M1M2_PR
+ NEW li1 ( 30130 22950 ) L1M1_PR
+ NEW met1 ( 31050 23630 ) M1M2_PR
+ NEW met1 ( 31050 28390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 31050 14110 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 31050 23630 ) RECT ( -70 -485 70 0 ) ;
+ - _129_ ( _278_ B ) ( _276_ B ) ( _275_ A2 ) ( _274_ X ) + USE SIGNAL
+ + ROUTED met1 ( 28750 28730 ) ( 30130 * )
+ NEW met2 ( 30130 26010 ) ( * 28730 )
+ NEW met1 ( 30130 26010 ) ( 31970 * )
+ NEW li1 ( 30130 28730 ) L1M1_PR
+ NEW li1 ( 28750 28730 ) L1M1_PR
+ NEW li1 ( 30130 26010 ) L1M1_PR
+ NEW met1 ( 30130 26010 ) M1M2_PR
+ NEW met1 ( 30130 28730 ) M1M2_PR
+ NEW li1 ( 31970 26010 ) L1M1_PR
+ NEW met1 ( 30130 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 30130 28730 ) RECT ( -595 -70 0 70 ) ;
+ - _130_ ( _277_ B1 ) ( _276_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 29670 22950 ) ( * 24990 )
+ NEW met1 ( 29670 24990 ) ( 30130 * )
+ NEW li1 ( 29670 22950 ) L1M1_PR
+ NEW met1 ( 29670 22950 ) M1M2_PR
+ NEW met1 ( 29670 24990 ) M1M2_PR
+ NEW li1 ( 30130 24990 ) L1M1_PR
+ NEW met1 ( 29670 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _131_ ( _284_ C ) ( _283_ A1 ) ( _281_ A1 ) ( _278_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 31050 6290 ) ( * 6630 )
+ NEW met1 ( 38870 11730 ) ( * 12070 )
+ NEW met1 ( 36110 11730 ) ( 38870 * )
+ NEW met2 ( 36110 11730 ) ( * 11900 )
+ NEW met2 ( 35650 11900 ) ( 36110 * )
+ NEW met2 ( 35650 6290 ) ( * 11900 )
+ NEW met1 ( 31050 6290 ) ( 35650 * )
+ NEW met1 ( 35650 15130 ) ( 36110 * )
+ NEW met2 ( 35650 15130 ) ( * 25330 )
+ NEW met1 ( 33810 25330 ) ( 35650 * )
+ NEW met1 ( 33810 25330 ) ( * 25670 )
+ NEW met2 ( 35650 11900 ) ( * 15130 )
+ NEW li1 ( 31050 6630 ) L1M1_PR
+ NEW li1 ( 38870 12070 ) L1M1_PR
+ NEW met1 ( 36110 11730 ) M1M2_PR
+ NEW met1 ( 35650 6290 ) M1M2_PR
+ NEW li1 ( 36110 15130 ) L1M1_PR
+ NEW met1 ( 35650 15130 ) M1M2_PR
+ NEW met1 ( 35650 25330 ) M1M2_PR
+ NEW li1 ( 33810 25670 ) L1M1_PR ;
+ - _132_ ( _280_ B ) ( _279_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 36570 15130 ) ( 38410 * )
+ NEW met1 ( 36570 14450 ) ( * 15130 )
+ NEW met1 ( 34270 14450 ) ( 36570 * )
+ NEW li1 ( 38410 15130 ) L1M1_PR
+ NEW li1 ( 34270 14450 ) L1M1_PR ;
+ - _133_ ( _281_ A2 ) ( _280_ X ) + USE SIGNAL
+ + ROUTED met1 ( 35190 15130 ) ( * 15470 )
+ NEW met1 ( 35190 15470 ) ( 40250 * )
+ NEW li1 ( 35190 15130 ) L1M1_PR
+ NEW li1 ( 40250 15470 ) L1M1_PR ;
+ - _134_ ( _283_ A2 ) ( _282_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 37950 6970 ) ( 38870 * )
+ NEW met2 ( 37950 6970 ) ( * 12070 )
+ NEW li1 ( 38870 6970 ) L1M1_PR
+ NEW met1 ( 37950 6970 ) M1M2_PR
+ NEW li1 ( 37950 12070 ) L1M1_PR
+ NEW met1 ( 37950 12070 ) M1M2_PR
+ NEW met1 ( 37950 12070 ) RECT ( -355 -70 0 70 ) ;
+ - _135_ ( _332_ A ) ( _306_ B1 ) ( _289_ B1 ) ( _288_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 36110 60690 ) ( 37490 * )
+ NEW met1 ( 36110 60690 ) ( * 61710 )
+ NEW met1 ( 33350 61710 ) ( 36110 * )
+ NEW met2 ( 33350 61710 ) ( * 64430 )
+ NEW met1 ( 21850 64430 ) ( 33350 * )
+ NEW met2 ( 34270 44370 ) ( 34730 * )
+ NEW met2 ( 34730 44370 ) ( * 61710 )
+ NEW met1 ( 20470 44710 ) ( * 45050 )
+ NEW met1 ( 20470 45050 ) ( 26910 * )
+ NEW met1 ( 26910 44370 ) ( * 45050 )
+ NEW met1 ( 26910 44370 ) ( 34270 * )
+ NEW li1 ( 37490 60690 ) L1M1_PR
+ NEW met1 ( 33350 61710 ) M1M2_PR
+ NEW met1 ( 33350 64430 ) M1M2_PR
+ NEW li1 ( 21850 64430 ) L1M1_PR
+ NEW li1 ( 34270 44370 ) L1M1_PR
+ NEW met1 ( 34270 44370 ) M1M2_PR
+ NEW met1 ( 34730 61710 ) M1M2_PR
+ NEW li1 ( 20470 44710 ) L1M1_PR
+ NEW met1 ( 34270 44370 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 34730 61710 ) RECT ( -595 -70 0 70 ) ;
+ - _136_ ( _338_ A2 ) ( _329_ A1 ) ( _315_ B ) ( _311_ A2 ) ( _301_ A2 ) ( _294_ A ) ( _290_ X ) + USE SIGNAL
+ + ROUTED met2 ( 42090 58820 ) ( * 59330 )
+ NEW met2 ( 42090 57630 ) ( * 58820 )
+ NEW met1 ( 42090 49470 ) ( 45310 * )
+ NEW met2 ( 42090 49470 ) ( * 52530 )
+ NEW met2 ( 49450 58650 ) ( * 58820 )
+ NEW met3 ( 42090 58820 ) ( 49450 * )
+ NEW met1 ( 31050 53550 ) ( 32430 * )
+ NEW met2 ( 32430 53550 ) ( * 58310 )
+ NEW met1 ( 29670 58310 ) ( 32430 * )
+ NEW met1 ( 29670 58310 ) ( * 58650 )
+ NEW met1 ( 32430 57630 ) ( 36570 * )
+ NEW met2 ( 37030 53550 ) ( * 57630 )
+ NEW met1 ( 36570 57630 ) ( 37030 * )
+ NEW met2 ( 37030 52530 ) ( * 53550 )
+ NEW met1 ( 37030 52530 ) ( 42090 * )
+ NEW met1 ( 37030 57630 ) ( 42090 * )
+ NEW li1 ( 42090 59330 ) L1M1_PR
+ NEW met1 ( 42090 59330 ) M1M2_PR
+ NEW met2 ( 42090 58820 ) M2M3_PR
+ NEW met1 ( 42090 57630 ) M1M2_PR
+ NEW li1 ( 45310 49470 ) L1M1_PR
+ NEW met1 ( 42090 49470 ) M1M2_PR
+ NEW met1 ( 42090 52530 ) M1M2_PR
+ NEW met2 ( 49450 58820 ) M2M3_PR
+ NEW li1 ( 49450 58650 ) L1M1_PR
+ NEW met1 ( 49450 58650 ) M1M2_PR
+ NEW li1 ( 31050 53550 ) L1M1_PR
+ NEW met1 ( 32430 53550 ) M1M2_PR
+ NEW met1 ( 32430 58310 ) M1M2_PR
+ NEW li1 ( 29670 58650 ) L1M1_PR
+ NEW li1 ( 36570 57630 ) L1M1_PR
+ NEW met1 ( 32430 57630 ) M1M2_PR
+ NEW li1 ( 37030 53550 ) L1M1_PR
+ NEW met1 ( 37030 53550 ) M1M2_PR
+ NEW met1 ( 37030 57630 ) M1M2_PR
+ NEW met1 ( 37030 52530 ) M1M2_PR
+ NEW met1 ( 42090 59330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 49450 58650 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 32430 57630 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 37030 53550 ) RECT ( -355 -70 0 70 ) ;
+ - _137_ ( _323_ B ) ( _321_ B1 ) ( _292_ B ) ( _291_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 43930 50150 ) ( * 50490 )
+ NEW met1 ( 43930 50490 ) ( 48525 * )
+ NEW met1 ( 48525 50490 ) ( * 51170 )
+ NEW met1 ( 48525 51170 ) ( 54970 * )
+ NEW met2 ( 54970 50150 ) ( * 51170 )
+ NEW met2 ( 54970 50150 ) ( 55430 * )
+ NEW met2 ( 44850 50490 ) ( * 52870 )
+ NEW met1 ( 41630 48110 ) ( * 48450 )
+ NEW met1 ( 41630 48450 ) ( 42090 * )
+ NEW met2 ( 42090 45730 ) ( * 48450 )
+ NEW met1 ( 42090 45730 ) ( 44850 * )
+ NEW met2 ( 44850 45730 ) ( * 50490 )
+ NEW met1 ( 39790 48110 ) ( 41630 * )
+ NEW li1 ( 39790 48110 ) L1M1_PR
+ NEW li1 ( 43930 50150 ) L1M1_PR
+ NEW met1 ( 54970 51170 ) M1M2_PR
+ NEW li1 ( 55430 50150 ) L1M1_PR
+ NEW met1 ( 55430 50150 ) M1M2_PR
+ NEW li1 ( 44850 52870 ) L1M1_PR
+ NEW met1 ( 44850 52870 ) M1M2_PR
+ NEW met1 ( 44850 50490 ) M1M2_PR
+ NEW met1 ( 42090 48450 ) M1M2_PR
+ NEW met1 ( 42090 45730 ) M1M2_PR
+ NEW met1 ( 44850 45730 ) M1M2_PR
+ NEW met1 ( 55430 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 44850 52870 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 44850 50490 ) RECT ( -595 -70 0 70 ) ;
+ - _138_ ( _303_ B ) ( _301_ B1 ) ( _294_ B ) ( _316_ A1 ) ( _297_ B ) ( _304_ A2 ) ( _311_ B1 )
+ ( _329_ A2 ) ( _331_ A2 ) ( _299_ B1 ) ( _292_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 42550 58650 ) ( * 58990 )
+ NEW met1 ( 42550 51170 ) ( 43470 * )
+ NEW met2 ( 42550 51170 ) ( * 53890 )
+ NEW met2 ( 42550 53890 ) ( 43010 * )
+ NEW met2 ( 43010 53890 ) ( * 56270 )
+ NEW met1 ( 41400 58990 ) ( 42550 * )
+ NEW met1 ( 23690 50150 ) ( 26910 * )
+ NEW met1 ( 23690 50150 ) ( * 50830 )
+ NEW met1 ( 13570 50830 ) ( 23690 * )
+ NEW met2 ( 13570 50830 ) ( * 52870 )
+ NEW met1 ( 9430 52870 ) ( 13570 * )
+ NEW met1 ( 9430 52870 ) ( * 53210 )
+ NEW met1 ( 26910 52190 ) ( 30130 * )
+ NEW met2 ( 26910 50150 ) ( * 52190 )
+ NEW met2 ( 30130 52190 ) ( * 54910 )
+ NEW met2 ( 30130 54910 ) ( * 58650 )
+ NEW met1 ( 35190 58650 ) ( * 58990 )
+ NEW met1 ( 30130 58990 ) ( 35190 * )
+ NEW met1 ( 30130 58650 ) ( * 58990 )
+ NEW met2 ( 39330 58650 ) ( * 59330 )
+ NEW met1 ( 35190 59330 ) ( 39330 * )
+ NEW met1 ( 35190 58990 ) ( * 59330 )
+ NEW met1 ( 41400 58990 ) ( * 59330 )
+ NEW met1 ( 39330 59330 ) ( 41400 * )
+ NEW met1 ( 33345 54910 ) ( * 55480 )
+ NEW met1 ( 30130 54910 ) ( 33345 * )
+ NEW met2 ( 39330 56270 ) ( * 58650 )
+ NEW met1 ( 39330 56270 ) ( 43010 * )
+ NEW li1 ( 42550 58650 ) L1M1_PR
+ NEW li1 ( 43470 51170 ) L1M1_PR
+ NEW met1 ( 42550 51170 ) M1M2_PR
+ NEW met1 ( 43010 56270 ) M1M2_PR
+ NEW li1 ( 26910 50150 ) L1M1_PR
+ NEW met1 ( 13570 50830 ) M1M2_PR
+ NEW met1 ( 13570 52870 ) M1M2_PR
+ NEW li1 ( 9430 53210 ) L1M1_PR
+ NEW li1 ( 30130 52190 ) L1M1_PR
+ NEW met1 ( 26910 52190 ) M1M2_PR
+ NEW met1 ( 26910 50150 ) M1M2_PR
+ NEW li1 ( 30130 54910 ) L1M1_PR
+ NEW met1 ( 30130 54910 ) M1M2_PR
+ NEW met1 ( 30130 52190 ) M1M2_PR
+ NEW li1 ( 30130 58650 ) L1M1_PR
+ NEW met1 ( 30130 58650 ) M1M2_PR
+ NEW li1 ( 35190 58650 ) L1M1_PR
+ NEW li1 ( 39330 58650 ) L1M1_PR
+ NEW met1 ( 39330 58650 ) M1M2_PR
+ NEW met1 ( 39330 59330 ) M1M2_PR
+ NEW li1 ( 33345 55480 ) L1M1_PR
+ NEW met1 ( 39330 56270 ) M1M2_PR
+ NEW li1 ( 41170 56270 ) L1M1_PR
+ NEW met1 ( 26910 50150 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 30130 54910 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 30130 52190 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 30130 58650 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 39330 58650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 41170 56270 ) RECT ( -595 -70 0 70 ) ;
+ - _139_ ( _300_ B1 ) ( _299_ B2 ) ( _316_ A2 ) ( _317_ B1 ) ( _304_ B1 ) ( _301_ C1 ) ( _310_ B1 )
+ ( _294_ C ) ( _293_ X ) + USE SIGNAL
+ + ROUTED met1 ( 13570 58650 ) ( 14030 * )
+ NEW met2 ( 13570 53550 ) ( * 58650 )
+ NEW met1 ( 10350 53550 ) ( 13570 * )
+ NEW met1 ( 10350 53210 ) ( * 53550 )
+ NEW met1 ( 29210 55250 ) ( 30590 * )
+ NEW met1 ( 29210 54910 ) ( * 55250 )
+ NEW met1 ( 13570 54910 ) ( 29210 * )
+ NEW met1 ( 29670 53890 ) ( 30130 * )
+ NEW met2 ( 29670 53890 ) ( * 55250 )
+ NEW met2 ( 31050 55250 ) ( * 58650 )
+ NEW met2 ( 30590 55250 ) ( 31050 * )
+ NEW met1 ( 31050 52190 ) ( 32430 * )
+ NEW met2 ( 31050 52190 ) ( * 55250 )
+ NEW met1 ( 33900 55590 ) ( 34270 * )
+ NEW met1 ( 34270 55590 ) ( * 55930 )
+ NEW met1 ( 31970 55930 ) ( 34270 * )
+ NEW met1 ( 31970 55590 ) ( * 55930 )
+ NEW met1 ( 30590 55590 ) ( 31970 * )
+ NEW met1 ( 30590 55250 ) ( * 55590 )
+ NEW met1 ( 30130 49470 ) ( * 49810 )
+ NEW met1 ( 30130 49470 ) ( 31050 * )
+ NEW met2 ( 31050 49470 ) ( * 52190 )
+ NEW met2 ( 33810 58650 ) ( * 64090 )
+ NEW met1 ( 31050 58650 ) ( 33810 * )
+ NEW li1 ( 14030 58650 ) L1M1_PR
+ NEW met1 ( 13570 58650 ) M1M2_PR
+ NEW met1 ( 13570 53550 ) M1M2_PR
+ NEW li1 ( 10350 53210 ) L1M1_PR
+ NEW li1 ( 30590 55250 ) L1M1_PR
+ NEW met1 ( 13570 54910 ) M1M2_PR
+ NEW li1 ( 30130 53890 ) L1M1_PR
+ NEW met1 ( 29670 53890 ) M1M2_PR
+ NEW met1 ( 29670 55250 ) M1M2_PR
+ NEW li1 ( 31050 58650 ) L1M1_PR
+ NEW met1 ( 31050 58650 ) M1M2_PR
+ NEW met1 ( 30590 55250 ) M1M2_PR
+ NEW li1 ( 32430 52190 ) L1M1_PR
+ NEW met1 ( 31050 52190 ) M1M2_PR
+ NEW li1 ( 33900 55590 ) L1M1_PR
+ NEW li1 ( 30130 49810 ) L1M1_PR
+ NEW met1 ( 31050 49470 ) M1M2_PR
+ NEW li1 ( 33810 64090 ) L1M1_PR
+ NEW met1 ( 33810 64090 ) M1M2_PR
+ NEW met1 ( 33810 58650 ) M1M2_PR
+ NEW met2 ( 13570 54910 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 29670 55250 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 31050 58650 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 30590 55250 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 33810 64090 ) RECT ( -355 -70 0 70 ) ;
+ - _140_ ( _343_ B1 ) ( _336_ A ) ( _319_ B1 ) ( _309_ B1 ) ( _295_ B1 ) ( _294_ X ) + USE SIGNAL
+ + ROUTED met1 ( 42550 66130 ) ( 46690 * )
+ NEW met2 ( 35650 66130 ) ( * 66810 )
+ NEW met1 ( 35650 66810 ) ( 41170 * )
+ NEW met2 ( 41170 66130 ) ( * 66810 )
+ NEW met2 ( 29210 53890 ) ( * 56610 )
+ NEW met2 ( 29210 56610 ) ( 29670 * )
+ NEW met2 ( 29670 56610 ) ( * 59330 )
+ NEW met2 ( 29670 59330 ) ( 31050 * )
+ NEW met2 ( 31050 59330 ) ( * 66130 )
+ NEW met1 ( 31050 66130 ) ( 35650 * )
+ NEW met1 ( 34470 47770 ) ( 34500 * )
+ NEW met1 ( 34470 47090 ) ( * 47770 )
+ NEW met1 ( 34270 47090 ) ( 34470 * )
+ NEW met2 ( 34270 47090 ) ( * 47260 )
+ NEW met3 ( 29210 47260 ) ( 34270 * )
+ NEW met2 ( 29210 47260 ) ( * 53890 )
+ NEW met2 ( 16790 50150 ) ( * 51170 )
+ NEW met1 ( 16790 51170 ) ( 29210 * )
+ NEW met2 ( 41170 66130 ) ( 42550 * )
+ NEW li1 ( 42550 66130 ) L1M1_PR
+ NEW met1 ( 42550 66130 ) M1M2_PR
+ NEW li1 ( 46690 66130 ) L1M1_PR
+ NEW li1 ( 35650 66130 ) L1M1_PR
+ NEW met1 ( 35650 66130 ) M1M2_PR
+ NEW met1 ( 35650 66810 ) M1M2_PR
+ NEW met1 ( 41170 66810 ) M1M2_PR
+ NEW li1 ( 29210 53890 ) L1M1_PR
+ NEW met1 ( 29210 53890 ) M1M2_PR
+ NEW met1 ( 31050 66130 ) M1M2_PR
+ NEW li1 ( 34500 47770 ) L1M1_PR
+ NEW met1 ( 34270 47090 ) M1M2_PR
+ NEW met2 ( 34270 47260 ) M2M3_PR
+ NEW met2 ( 29210 47260 ) M2M3_PR
+ NEW li1 ( 16790 50150 ) L1M1_PR
+ NEW met1 ( 16790 50150 ) M1M2_PR
+ NEW met1 ( 16790 51170 ) M1M2_PR
+ NEW met1 ( 29210 51170 ) M1M2_PR
+ NEW met1 ( 42550 66130 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 35650 66130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 29210 53890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16790 50150 ) RECT ( 0 -70 355 70 )
+ NEW met2 ( 29210 51170 ) RECT ( -70 -485 70 0 ) ;
+ - _141_ ( _351_ B1 ) ( _342_ B2 ) ( _334_ A2 ) ( _296_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 59570 44710 ) ( 61410 * )
+ NEW met1 ( 54970 50490 ) ( 59110 * )
+ NEW met2 ( 59110 50490 ) ( 59570 * )
+ NEW met2 ( 59570 50490 ) ( * 53380 )
+ NEW met2 ( 59570 53380 ) ( 60950 * )
+ NEW met2 ( 60950 53210 ) ( * 53380 )
+ NEW met1 ( 52210 49810 ) ( 54510 * )
+ NEW met1 ( 54510 49810 ) ( * 50490 )
+ NEW met1 ( 54510 50490 ) ( 54970 * )
+ NEW met2 ( 59570 44710 ) ( * 50490 )
+ NEW met1 ( 59570 44710 ) M1M2_PR
+ NEW li1 ( 61410 44710 ) L1M1_PR
+ NEW li1 ( 54970 50490 ) L1M1_PR
+ NEW met1 ( 59110 50490 ) M1M2_PR
+ NEW li1 ( 60950 53210 ) L1M1_PR
+ NEW met1 ( 60950 53210 ) M1M2_PR
+ NEW li1 ( 52210 49810 ) L1M1_PR
+ NEW met1 ( 60950 53210 ) RECT ( -355 -70 0 70 ) ;
+ - _142_ ( _317_ B2 ) ( _297_ X ) + USE SIGNAL
+ + ROUTED met1 ( 28750 50150 ) ( 29210 * )
+ NEW li1 ( 29210 50150 ) L1M1_PR
+ NEW li1 ( 28750 50150 ) L1M1_PR ;
+ - _143_ ( _346_ A1 ) ( _306_ B2 ) ( _298_ X ) + USE SIGNAL
+ + ROUTED met1 ( 42550 60350 ) ( 43010 * )
+ NEW met2 ( 42550 60350 ) ( * 63410 )
+ NEW met1 ( 35190 63410 ) ( 42550 * )
+ NEW met1 ( 35190 63070 ) ( * 63410 )
+ NEW met1 ( 22310 63070 ) ( 35190 * )
+ NEW met2 ( 22310 63070 ) ( * 64090 )
+ NEW met1 ( 22310 64090 ) ( 22770 * )
+ NEW met1 ( 43470 53890 ) ( 44850 * )
+ NEW met2 ( 44850 53890 ) ( * 63410 )
+ NEW met1 ( 42550 63410 ) ( 44850 * )
+ NEW li1 ( 43010 60350 ) L1M1_PR
+ NEW met1 ( 42550 60350 ) M1M2_PR
+ NEW met1 ( 42550 63410 ) M1M2_PR
+ NEW met1 ( 22310 63070 ) M1M2_PR
+ NEW met1 ( 22310 64090 ) M1M2_PR
+ NEW li1 ( 22770 64090 ) L1M1_PR
+ NEW li1 ( 43470 53890 ) L1M1_PR
+ NEW met1 ( 44850 53890 ) M1M2_PR
+ NEW met1 ( 44850 63410 ) M1M2_PR ;
+ - _144_ ( _340_ A ) ( _302_ B1 ) ( _301_ X ) + USE SIGNAL
+ + ROUTED met1 ( 27370 58990 ) ( 27830 * )
+ NEW met2 ( 27370 58990 ) ( * 60350 )
+ NEW met1 ( 9430 60350 ) ( 27370 * )
+ NEW met2 ( 9430 60350 ) ( * 64090 )
+ NEW met2 ( 48070 58990 ) ( * 60860 )
+ NEW met3 ( 27370 60860 ) ( 48070 * )
+ NEW met2 ( 27370 60350 ) ( * 60860 )
+ NEW met1 ( 48070 58990 ) ( 51750 * )
+ NEW li1 ( 51750 58990 ) L1M1_PR
+ NEW li1 ( 27830 58990 ) L1M1_PR
+ NEW met1 ( 27370 58990 ) M1M2_PR
+ NEW met1 ( 27370 60350 ) M1M2_PR
+ NEW met1 ( 9430 60350 ) M1M2_PR
+ NEW li1 ( 9430 64090 ) L1M1_PR
+ NEW met1 ( 9430 64090 ) M1M2_PR
+ NEW met1 ( 48070 58990 ) M1M2_PR
+ NEW met2 ( 48070 60860 ) M2M3_PR
+ NEW met2 ( 27370 60860 ) M2M3_PR
+ NEW met1 ( 9430 64090 ) RECT ( -355 -70 0 70 ) ;
+ - _145_ ( _330_ B1 ) ( _310_ B2 ) ( _304_ C1 ) ( _303_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36570 58990 ) ( 37490 * )
+ NEW met2 ( 36570 58990 ) ( * 63750 )
+ NEW met1 ( 32890 63750 ) ( 36570 * )
+ NEW met1 ( 32890 63750 ) ( * 64090 )
+ NEW met1 ( 36110 55590 ) ( * 55930 )
+ NEW met1 ( 36110 55930 ) ( 36570 * )
+ NEW met2 ( 36570 55930 ) ( * 58990 )
+ NEW met1 ( 34730 55250 ) ( * 55590 )
+ NEW met1 ( 34730 55250 ) ( 36110 * )
+ NEW met1 ( 36110 55250 ) ( * 55590 )
+ NEW li1 ( 37490 58990 ) L1M1_PR
+ NEW met1 ( 36570 58990 ) M1M2_PR
+ NEW met1 ( 36570 63750 ) M1M2_PR
+ NEW li1 ( 32890 64090 ) L1M1_PR
+ NEW li1 ( 36110 55590 ) L1M1_PR
+ NEW met1 ( 36570 55930 ) M1M2_PR
+ NEW li1 ( 34730 55590 ) L1M1_PR ;
+ - _146_ ( _326_ B1 ) ( _312_ B1 ) ( _305_ B1 ) ( _304_ X ) + USE SIGNAL
+ + ROUTED met2 ( 31510 52530 ) ( * 55250 )
+ NEW met1 ( 15870 52530 ) ( 31510 * )
+ NEW met1 ( 15870 52530 ) ( * 53210 )
+ NEW met1 ( 34730 61030 ) ( * 61370 )
+ NEW met1 ( 33350 61370 ) ( 34730 * )
+ NEW met1 ( 33350 61030 ) ( * 61370 )
+ NEW met1 ( 31510 61030 ) ( 33350 * )
+ NEW met2 ( 31510 55250 ) ( * 61030 )
+ NEW met1 ( 40250 53550 ) ( * 53890 )
+ NEW met1 ( 31510 53890 ) ( 40250 * )
+ NEW li1 ( 31510 55250 ) L1M1_PR
+ NEW met1 ( 31510 55250 ) M1M2_PR
+ NEW met1 ( 31510 52530 ) M1M2_PR
+ NEW li1 ( 15870 53210 ) L1M1_PR
+ NEW li1 ( 34730 61030 ) L1M1_PR
+ NEW met1 ( 31510 61030 ) M1M2_PR
+ NEW li1 ( 40250 53550 ) L1M1_PR
+ NEW met1 ( 31510 53890 ) M1M2_PR
+ NEW met1 ( 31510 55250 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 31510 53890 ) RECT ( -70 -485 70 0 ) ;
+ - _147_ ( _335_ A2 ) ( _331_ B1 ) ( _328_ B ) ( _318_ B ) ( _308_ B ) ( _307_ X ) + USE SIGNAL
+ + ROUTED met1 ( 33810 41650 ) ( * 42330 )
+ NEW met1 ( 45770 48300 ) ( * 48450 )
+ NEW met1 ( 45770 48300 ) ( 46230 * )
+ NEW met1 ( 46230 48300 ) ( * 48450 )
+ NEW met2 ( 46230 41650 ) ( * 48450 )
+ NEW met1 ( 42550 55590 ) ( 45310 * )
+ NEW met2 ( 45310 55420 ) ( * 55590 )
+ NEW met2 ( 45310 55420 ) ( 46690 * )
+ NEW met2 ( 46690 52190 ) ( * 55420 )
+ NEW met2 ( 46230 52190 ) ( 46690 * )
+ NEW met2 ( 46230 48450 ) ( * 52190 )
+ NEW met1 ( 46230 56610 ) ( 47150 * )
+ NEW met1 ( 46230 55590 ) ( * 56610 )
+ NEW met1 ( 45310 55590 ) ( 46230 * )
+ NEW met2 ( 46690 56610 ) ( * 61030 )
+ NEW met2 ( 42550 64260 ) ( * 64430 )
+ NEW met3 ( 42550 64260 ) ( 46690 * )
+ NEW met2 ( 46690 61030 ) ( * 64260 )
+ NEW met1 ( 33810 41650 ) ( 46230 * )
+ NEW met1 ( 38410 64430 ) ( 42550 * )
+ NEW li1 ( 33810 42330 ) L1M1_PR
+ NEW li1 ( 38410 64430 ) L1M1_PR
+ NEW li1 ( 45770 48450 ) L1M1_PR
+ NEW met1 ( 46230 48450 ) M1M2_PR
+ NEW met1 ( 46230 41650 ) M1M2_PR
+ NEW li1 ( 42550 55590 ) L1M1_PR
+ NEW met1 ( 45310 55590 ) M1M2_PR
+ NEW li1 ( 47150 56610 ) L1M1_PR
+ NEW li1 ( 46690 61030 ) L1M1_PR
+ NEW met1 ( 46690 61030 ) M1M2_PR
+ NEW met1 ( 46690 56610 ) M1M2_PR
+ NEW met1 ( 42550 64430 ) M1M2_PR
+ NEW met2 ( 42550 64260 ) M2M3_PR
+ NEW met2 ( 46690 64260 ) M2M3_PR
+ NEW met1 ( 46690 61030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 46690 56610 ) RECT ( 0 -70 595 70 ) ;
+ - _148_ ( _309_ B2 ) ( _308_ X ) + USE SIGNAL
+ + ROUTED met2 ( 36570 64430 ) ( * 66470 )
+ NEW li1 ( 36570 64430 ) L1M1_PR
+ NEW met1 ( 36570 64430 ) M1M2_PR
+ NEW li1 ( 36570 66470 ) L1M1_PR
+ NEW met1 ( 36570 66470 ) M1M2_PR
+ NEW met1 ( 36570 64430 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 36570 66470 ) RECT ( -355 -70 0 70 ) ;
+ - _149_ ( _312_ B2 ) ( _311_ X ) + USE SIGNAL
+ + ROUTED met2 ( 34270 59330 ) ( * 61030 )
+ NEW met1 ( 33810 61030 ) ( 34270 * )
+ NEW li1 ( 34270 59330 ) L1M1_PR
+ NEW met1 ( 34270 59330 ) M1M2_PR
+ NEW met1 ( 34270 61030 ) M1M2_PR
+ NEW li1 ( 33810 61030 ) L1M1_PR
+ NEW met1 ( 34270 59330 ) RECT ( -355 -70 0 70 ) ;
+ - _150_ ( _314_ B1 ) ( _313_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 33350 50150 ) ( * 60690 )
+ NEW met1 ( 22770 60690 ) ( 33350 * )
+ NEW li1 ( 33350 50150 ) L1M1_PR
+ NEW met1 ( 33350 50150 ) M1M2_PR
+ NEW met1 ( 33350 60690 ) M1M2_PR
+ NEW li1 ( 22770 60690 ) L1M1_PR
+ NEW met1 ( 33350 50150 ) RECT ( -355 -70 0 70 ) ;
+ - _151_ ( _330_ C1 ) ( _316_ A3 ) ( _315_ X ) + USE SIGNAL
+ + ROUTED met1 ( 35190 55590 ) ( 35650 * )
+ NEW met1 ( 35650 55590 ) ( * 56270 )
+ NEW met1 ( 31050 56270 ) ( 35650 * )
+ NEW met1 ( 31050 55930 ) ( * 56270 )
+ NEW met2 ( 35190 53550 ) ( * 55590 )
+ NEW li1 ( 35190 55590 ) L1M1_PR
+ NEW li1 ( 31050 55930 ) L1M1_PR
+ NEW li1 ( 35190 53550 ) L1M1_PR
+ NEW met1 ( 35190 53550 ) M1M2_PR
+ NEW met1 ( 35190 55590 ) M1M2_PR
+ NEW met1 ( 35190 53550 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 35190 55590 ) RECT ( 0 -70 595 70 ) ;
+ - _152_ ( _319_ B2 ) ( _318_ X ) + USE SIGNAL
+ + ROUTED met1 ( 31970 42670 ) ( 34270 * )
+ NEW met2 ( 34270 42670 ) ( 34730 * )
+ NEW met2 ( 34730 42670 ) ( * 43010 )
+ NEW met2 ( 34730 43010 ) ( 36110 * )
+ NEW met2 ( 36110 43010 ) ( * 47430 )
+ NEW met1 ( 35190 47430 ) ( 36110 * )
+ NEW met1 ( 35190 47430 ) ( * 47770 )
+ NEW li1 ( 31970 42670 ) L1M1_PR
+ NEW met1 ( 34270 42670 ) M1M2_PR
+ NEW met1 ( 36110 47430 ) M1M2_PR
+ NEW li1 ( 35190 47770 ) L1M1_PR ;
+ - _153_ ( _322_ B1 ) ( _320_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38870 48450 ) ( 41170 * )
+ NEW met2 ( 38870 48450 ) ( * 50150 )
+ NEW li1 ( 41170 48450 ) L1M1_PR
+ NEW met1 ( 38870 48450 ) M1M2_PR
+ NEW li1 ( 38870 50150 ) L1M1_PR
+ NEW met1 ( 38870 50150 ) M1M2_PR
+ NEW met1 ( 38870 50150 ) RECT ( -355 -70 0 70 ) ;
+ - _154_ ( _322_ C1 ) ( _321_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 37950 47090 ) ( * 50150 )
+ NEW li1 ( 37950 50150 ) L1M1_PR
+ NEW met1 ( 37950 50150 ) M1M2_PR
+ NEW li1 ( 37950 47090 ) L1M1_PR
+ NEW met1 ( 37950 47090 ) M1M2_PR
+ NEW met1 ( 37950 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 37950 47090 ) RECT ( -355 -70 0 70 ) ;
+ - _155_ ( _326_ B2 ) ( _322_ X ) + USE SIGNAL
+ + ROUTED met2 ( 41170 50830 ) ( * 53210 )
+ NEW li1 ( 41170 50830 ) L1M1_PR
+ NEW met1 ( 41170 50830 ) M1M2_PR
+ NEW li1 ( 41170 53210 ) L1M1_PR
+ NEW met1 ( 41170 53210 ) M1M2_PR
+ NEW met1 ( 41170 50830 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 41170 53210 ) RECT ( -355 -70 0 70 ) ;
+ - _156_ ( _349_ B ) ( _325_ B ) ( _323_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 55890 58650 ) ( 56350 * )
+ NEW met2 ( 55890 52190 ) ( * 58650 )
+ NEW met2 ( 55890 52190 ) ( 56350 * )
+ NEW met2 ( 56350 49810 ) ( * 52190 )
+ NEW met1 ( 56350 49810 ) ( 57270 * )
+ NEW met1 ( 56350 58650 ) ( 57730 * )
+ NEW li1 ( 56350 58650 ) L1M1_PR
+ NEW met1 ( 55890 58650 ) M1M2_PR
+ NEW met1 ( 56350 49810 ) M1M2_PR
+ NEW li1 ( 57270 49810 ) L1M1_PR
+ NEW li1 ( 57730 58650 ) L1M1_PR ;
+ - _157_ ( _347_ C ) ( _339_ B ) ( _338_ B1 ) ( _335_ B1 ) ( _324_ X ) + USE SIGNAL
+ + ROUTED met2 ( 48530 55590 ) ( * 58650 )
+ NEW met1 ( 48525 58650 ) ( 48530 * )
+ NEW met1 ( 48530 53550 ) ( 49910 * )
+ NEW met2 ( 48530 53550 ) ( * 55590 )
+ NEW met1 ( 49910 53210 ) ( 50370 * )
+ NEW met1 ( 49910 53210 ) ( * 53550 )
+ NEW met1 ( 50370 53210 ) ( 53130 * )
+ NEW li1 ( 48530 55590 ) L1M1_PR
+ NEW met1 ( 48530 55590 ) M1M2_PR
+ NEW met1 ( 48530 58650 ) M1M2_PR
+ NEW li1 ( 48525 58650 ) L1M1_PR
+ NEW li1 ( 49910 53550 ) L1M1_PR
+ NEW met1 ( 48530 53550 ) M1M2_PR
+ NEW li1 ( 50370 53210 ) L1M1_PR
+ NEW li1 ( 53130 53210 ) L1M1_PR
+ NEW met1 ( 48530 55590 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 48530 58650 ) RECT ( 0 -70 350 70 ) ;
+ - _158_ ( _341_ A1 ) ( _325_ X ) + USE SIGNAL
+ + ROUTED met1 ( 53590 58990 ) ( 54510 * )
+ NEW met2 ( 53590 58990 ) ( * 60350 )
+ NEW li1 ( 54510 58990 ) L1M1_PR
+ NEW met1 ( 53590 58990 ) M1M2_PR
+ NEW li1 ( 53590 60350 ) L1M1_PR
+ NEW met1 ( 53590 60350 ) M1M2_PR
+ NEW met1 ( 53590 60350 ) RECT ( -355 -70 0 70 ) ;
+ - _159_ ( _334_ A3 ) ( _327_ X ) + USE SIGNAL
+ + ROUTED met2 ( 53590 48110 ) ( * 50490 )
+ NEW met1 ( 52670 50490 ) ( 53590 * )
+ NEW li1 ( 53590 48110 ) L1M1_PR
+ NEW met1 ( 53590 48110 ) M1M2_PR
+ NEW met1 ( 53590 50490 ) M1M2_PR
+ NEW li1 ( 52670 50490 ) L1M1_PR
+ NEW met1 ( 53590 48110 ) RECT ( -355 -70 0 70 ) ;
+ - _160_ ( _343_ B2 ) ( _338_ C1 ) ( _336_ B ) ( _332_ B ) ( _328_ X ) + USE SIGNAL
+ + ROUTED met2 ( 43470 66470 ) ( * 67490 )
+ NEW met1 ( 43470 67490 ) ( 47610 * )
+ NEW met1 ( 43470 62050 ) ( 45310 * )
+ NEW met2 ( 43470 62050 ) ( * 66470 )
+ NEW met2 ( 47610 58650 ) ( * 62050 )
+ NEW met1 ( 45310 62050 ) ( 47610 * )
+ NEW met2 ( 38410 62050 ) ( * 62220 )
+ NEW met3 ( 38410 62220 ) ( 43470 * )
+ NEW li1 ( 43470 66470 ) L1M1_PR
+ NEW met1 ( 43470 66470 ) M1M2_PR
+ NEW met1 ( 43470 67490 ) M1M2_PR
+ NEW li1 ( 47610 67490 ) L1M1_PR
+ NEW li1 ( 45310 62050 ) L1M1_PR
+ NEW met1 ( 43470 62050 ) M1M2_PR
+ NEW li1 ( 47610 58650 ) L1M1_PR
+ NEW met1 ( 47610 58650 ) M1M2_PR
+ NEW met1 ( 47610 62050 ) M1M2_PR
+ NEW li1 ( 38410 62050 ) L1M1_PR
+ NEW met1 ( 38410 62050 ) M1M2_PR
+ NEW met2 ( 38410 62220 ) M2M3_PR
+ NEW met2 ( 43470 62220 ) M2M3_PR
+ NEW met1 ( 43470 66470 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 47610 58650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38410 62050 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 43470 62220 ) RECT ( -70 -485 70 0 ) ;
+ - _161_ ( _332_ C ) ( _329_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38870 58990 ) ( 40250 * )
+ NEW met2 ( 38870 58990 ) ( * 60350 )
+ NEW met1 ( 38410 60350 ) ( 38870 * )
+ NEW li1 ( 40250 58990 ) L1M1_PR
+ NEW met1 ( 38870 58990 ) M1M2_PR
+ NEW met1 ( 38870 60350 ) M1M2_PR
+ NEW li1 ( 38410 60350 ) L1M1_PR ;
+ - _162_ ( _333_ A ) ( _330_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38410 56270 ) ( 38870 * )
+ NEW met2 ( 38870 56270 ) ( * 58310 )
+ NEW met1 ( 38870 58310 ) ( 43010 * )
+ NEW met1 ( 43010 58310 ) ( * 58990 )
+ NEW li1 ( 38410 56270 ) L1M1_PR
+ NEW met1 ( 38870 56270 ) M1M2_PR
+ NEW met1 ( 38870 58310 ) M1M2_PR
+ NEW li1 ( 43010 58990 ) L1M1_PR ;
+ - _163_ ( _333_ B ) ( _331_ X ) + USE SIGNAL
+ + ROUTED met2 ( 43470 56610 ) ( * 57630 )
+ NEW met1 ( 43470 57630 ) ( 43930 * )
+ NEW li1 ( 43470 56610 ) L1M1_PR
+ NEW met1 ( 43470 56610 ) M1M2_PR
+ NEW met1 ( 43470 57630 ) M1M2_PR
+ NEW li1 ( 43930 57630 ) L1M1_PR
+ NEW met1 ( 43470 56610 ) RECT ( -355 -70 0 70 ) ;
+ - _164_ ( _333_ C ) ( _332_ X ) + USE SIGNAL
+ + ROUTED met2 ( 43930 58990 ) ( * 60860 )
+ NEW met2 ( 43470 60860 ) ( 43930 * )
+ NEW met2 ( 43470 60860 ) ( * 61030 )
+ NEW met1 ( 39790 61030 ) ( 43470 * )
+ NEW li1 ( 43930 58990 ) L1M1_PR
+ NEW met1 ( 43930 58990 ) M1M2_PR
+ NEW met1 ( 43470 61030 ) M1M2_PR
+ NEW li1 ( 39790 61030 ) L1M1_PR
+ NEW met1 ( 43930 58990 ) RECT ( -355 -70 0 70 ) ;
+ - _165_ ( _346_ A2 ) ( _333_ X ) + USE SIGNAL
+ + ROUTED met1 ( 43470 59330 ) ( 44850 * )
+ NEW met2 ( 43470 59330 ) ( * 60350 )
+ NEW li1 ( 44850 59330 ) L1M1_PR
+ NEW met1 ( 43470 59330 ) M1M2_PR
+ NEW li1 ( 43470 60350 ) L1M1_PR
+ NEW met1 ( 43470 60350 ) M1M2_PR
+ NEW met1 ( 43470 60350 ) RECT ( 0 -70 355 70 ) ;
+ - _166_ ( _340_ B ) ( _336_ C ) ( _335_ X ) + USE SIGNAL
+ + ROUTED met1 ( 47610 66130 ) ( 50370 * )
+ NEW met1 ( 49450 56270 ) ( 50370 * )
+ NEW met1 ( 52670 57630 ) ( * 57970 )
+ NEW met1 ( 50370 57970 ) ( 52670 * )
+ NEW met2 ( 50370 56270 ) ( * 66130 )
+ NEW met1 ( 50370 66130 ) M1M2_PR
+ NEW li1 ( 47610 66130 ) L1M1_PR
+ NEW li1 ( 49450 56270 ) L1M1_PR
+ NEW met1 ( 50370 56270 ) M1M2_PR
+ NEW li1 ( 52670 57630 ) L1M1_PR
+ NEW met1 ( 50370 57970 ) M1M2_PR
+ NEW met2 ( 50370 57970 ) RECT ( -70 -485 70 0 ) ;
+ - _167_ ( _354_ B1 ) ( _348_ B1 ) ( _337_ B1 ) ( _336_ X ) + USE SIGNAL
+ + ROUTED met1 ( 54970 64090 ) ( * 64770 )
+ NEW met1 ( 48530 64770 ) ( 54970 * )
+ NEW met2 ( 48530 64770 ) ( * 65790 )
+ NEW met2 ( 52670 47260 ) ( * 55250 )
+ NEW met2 ( 52670 47260 ) ( 53590 * )
+ NEW met2 ( 53590 44540 ) ( * 47260 )
+ NEW met2 ( 53590 44540 ) ( 54510 * )
+ NEW met2 ( 54510 44370 ) ( * 44540 )
+ NEW met1 ( 54510 44370 ) ( 57270 * )
+ NEW met2 ( 52670 55250 ) ( * 64770 )
+ NEW li1 ( 54970 64090 ) L1M1_PR
+ NEW met1 ( 48530 64770 ) M1M2_PR
+ NEW li1 ( 48530 65790 ) L1M1_PR
+ NEW met1 ( 48530 65790 ) M1M2_PR
+ NEW met1 ( 52670 64770 ) M1M2_PR
+ NEW li1 ( 52670 55250 ) L1M1_PR
+ NEW met1 ( 52670 55250 ) M1M2_PR
+ NEW met1 ( 54510 44370 ) M1M2_PR
+ NEW li1 ( 57270 44370 ) L1M1_PR
+ NEW met1 ( 48530 65790 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 52670 64770 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 52670 55250 ) RECT ( -355 -70 0 70 ) ;
+ - _168_ ( _350_ A1 ) ( _341_ A2 ) ( _338_ X ) + USE SIGNAL
+ + ROUTED met1 ( 54050 61370 ) ( 56810 * )
+ NEW met2 ( 56810 54910 ) ( * 61370 )
+ NEW met1 ( 56350 54910 ) ( 56810 * )
+ NEW met1 ( 51290 58310 ) ( 56810 * )
+ NEW li1 ( 54050 61370 ) L1M1_PR
+ NEW met1 ( 56810 61370 ) M1M2_PR
+ NEW met1 ( 56810 54910 ) M1M2_PR
+ NEW li1 ( 56350 54910 ) L1M1_PR
+ NEW li1 ( 51290 58310 ) L1M1_PR
+ NEW met1 ( 56810 58310 ) M1M2_PR
+ NEW met2 ( 56810 58310 ) RECT ( -70 -485 70 0 ) ;
+ - _169_ ( _354_ B2 ) ( _340_ C ) ( _339_ X ) + USE SIGNAL
+ + ROUTED met2 ( 54510 45050 ) ( * 52190 )
+ NEW met1 ( 54510 45050 ) ( 56350 * )
+ NEW met1 ( 56350 44710 ) ( * 45050 )
+ NEW met1 ( 52670 59330 ) ( 54050 * )
+ NEW met2 ( 54050 52190 ) ( * 59330 )
+ NEW met2 ( 54050 52190 ) ( 54510 * )
+ NEW li1 ( 54510 52190 ) L1M1_PR
+ NEW met1 ( 54510 52190 ) M1M2_PR
+ NEW met1 ( 54510 45050 ) M1M2_PR
+ NEW li1 ( 56350 44710 ) L1M1_PR
+ NEW li1 ( 52670 59330 ) L1M1_PR
+ NEW met1 ( 54050 59330 ) M1M2_PR
+ NEW met1 ( 54510 52190 ) RECT ( -355 -70 0 70 ) ;
+ - _170_ ( _350_ A2 ) ( _341_ A3 ) ( _340_ X ) + USE SIGNAL
+ + ROUTED met2 ( 53590 56270 ) ( * 57630 )
+ NEW met1 ( 53590 56270 ) ( 55890 * )
+ NEW met1 ( 55890 55930 ) ( * 56270 )
+ NEW met2 ( 54510 57630 ) ( * 61030 )
+ NEW met1 ( 53590 57630 ) ( 54510 * )
+ NEW li1 ( 53590 57630 ) L1M1_PR
+ NEW met1 ( 53590 57630 ) M1M2_PR
+ NEW met1 ( 53590 56270 ) M1M2_PR
+ NEW li1 ( 55890 55930 ) L1M1_PR
+ NEW li1 ( 54510 61030 ) L1M1_PR
+ NEW met1 ( 54510 61030 ) M1M2_PR
+ NEW met1 ( 54510 57630 ) M1M2_PR
+ NEW met1 ( 53590 57630 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 54510 61030 ) RECT ( -355 -70 0 70 ) ;
+ - _171_ ( _345_ B ) ( _344_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 56810 47770 ) ( * 48110 )
+ NEW met1 ( 56810 48110 ) ( 58190 * )
+ NEW li1 ( 56810 47770 ) L1M1_PR
+ NEW li1 ( 58190 48110 ) L1M1_PR ;
+ - _172_ ( _346_ A3 ) ( _345_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 56350 47090 ) ( 57270 * )
+ NEW met2 ( 57270 47090 ) ( * 60350 )
+ NEW met1 ( 55430 60350 ) ( 57270 * )
+ NEW met1 ( 55430 60350 ) ( * 60690 )
+ NEW met1 ( 52670 60690 ) ( 55430 * )
+ NEW met1 ( 52670 60350 ) ( * 60690 )
+ NEW met1 ( 44850 60350 ) ( 52670 * )
+ NEW met1 ( 44850 60350 ) ( * 61030 )
+ NEW met1 ( 43930 61030 ) ( 44850 * )
+ NEW li1 ( 56350 47090 ) L1M1_PR
+ NEW met1 ( 57270 47090 ) M1M2_PR
+ NEW met1 ( 57270 60350 ) M1M2_PR
+ NEW li1 ( 43930 61030 ) L1M1_PR ;
+ - _173_ ( _348_ B2 ) ( _347_ X ) + USE SIGNAL
+ + ROUTED met1 ( 51750 53890 ) ( 52210 * )
+ NEW met2 ( 51750 53890 ) ( * 55590 )
+ NEW li1 ( 52210 53890 ) L1M1_PR
+ NEW met1 ( 51750 53890 ) M1M2_PR
+ NEW li1 ( 51750 55590 ) L1M1_PR
+ NEW met1 ( 51750 55590 ) M1M2_PR
+ NEW met1 ( 51750 55590 ) RECT ( -355 -70 0 70 ) ;
+ - _174_ ( _350_ A3 ) ( _349_ X ) + USE SIGNAL
+ + ROUTED met2 ( 55430 55930 ) ( * 57630 )
+ NEW met1 ( 55430 57630 ) ( 59110 * )
+ NEW li1 ( 55430 55930 ) L1M1_PR
+ NEW met1 ( 55430 55930 ) M1M2_PR
+ NEW met1 ( 55430 57630 ) M1M2_PR
+ NEW li1 ( 59110 57630 ) L1M1_PR
+ NEW met1 ( 55430 55930 ) RECT ( -355 -70 0 70 ) ;
+ - _175_ ( _353_ B1 ) ( _352_ X ) + USE SIGNAL
+ + ROUTED met2 ( 63710 20570 ) ( * 24990 )
+ NEW met1 ( 61870 24990 ) ( 63710 * )
+ NEW li1 ( 63710 20570 ) L1M1_PR
+ NEW met1 ( 63710 20570 ) M1M2_PR
+ NEW met1 ( 63710 24990 ) M1M2_PR
+ NEW li1 ( 61870 24990 ) L1M1_PR
+ NEW met1 ( 63710 20570 ) RECT ( -355 -70 0 70 ) ;
+ - clockp[0] ( PIN clockp[0] ) ( clockp_buffer_0 X ) + USE CLOCK
+ + ROUTED met3 ( 3220 2380 0 ) ( 7130 * )
+ NEW met2 ( 7130 2380 ) ( * 6970 )
+ NEW met2 ( 7130 2380 ) M2M3_PR
+ NEW li1 ( 7130 6970 ) L1M1_PR
+ NEW met1 ( 7130 6970 ) M1M2_PR
+ NEW met1 ( 7130 6970 ) RECT ( -355 -70 0 70 ) ;
+ - clockp[1] ( PIN clockp[1] ) ( clockp_buffer_1 X ) + USE SIGNAL
+ + ROUTED met3 ( 3220 6460 0 ) ( 14950 * )
+ NEW met2 ( 14950 6460 ) ( * 41650 )
+ NEW met2 ( 14950 6460 ) M2M3_PR
+ NEW li1 ( 14950 41650 ) L1M1_PR
+ NEW met1 ( 14950 41650 ) M1M2_PR
+ NEW met1 ( 14950 41650 ) RECT ( -355 -70 0 70 ) ;
+ - dco ( PIN dco ) ( ANTENNA__288__A DIODE ) ( ANTENNA__334__B2 DIODE ) ( ANTENNA__296__B DIODE ) ( ANTENNA__351__A1 DIODE ) ( ANTENNA__354__A1 DIODE ) ( ANTENNA__337__A1 DIODE )
+ ( ANTENNA__348__A1 DIODE ) ( ANTENNA__350__B2 DIODE ) ( ANTENNA__341__B2 DIODE ) ( ANTENNA__342__A1 DIODE ) ( ANTENNA__326__A1 DIODE ) ( ANTENNA__343__A1 DIODE ) ( ANTENNA__346__B2 DIODE ) ( ANTENNA__312__A1 DIODE )
+ ( ANTENNA__319__A1 DIODE ) ( ANTENNA__289__A2 DIODE ) ( ANTENNA__295__A1 DIODE ) ( ANTENNA__314__A1 DIODE ) ( ANTENNA__317__A1 DIODE ) ( ANTENNA__316__B2 DIODE ) ( ANTENNA__293__A_N DIODE ) ( ANTENNA__306__A1 DIODE )
+ ( ANTENNA__310__A1 DIODE ) ( ANTENNA__309__A1 DIODE ) ( ANTENNA__305__A1 DIODE ) ( ANTENNA__300__A1 DIODE ) ( ANTENNA__302__A1 DIODE ) ( ANTENNA__299__A1 DIODE ) ( ANTENNA__313__B DIODE ) ( ANTENNA__353__A1 DIODE )
+ ( ANTENNA__366__A DIODE ) ( ANTENNA__369__A DIODE ) ( ANTENNA__368__A DIODE ) ( ANTENNA__352__A_N DIODE ) ( ANTENNA__367__A DIODE ) ( ANTENNA__371__A DIODE ) ( ANTENNA__358__A DIODE ) ( ANTENNA__373__A DIODE )
+ ( ANTENNA__372__A DIODE ) ( ANTENNA__360__A DIODE ) ( ANTENNA__361__A DIODE ) ( ANTENNA__365__A DIODE ) ( ANTENNA__364__A DIODE ) ( ANTENNA__357__A DIODE ) ( ANTENNA__356__A DIODE ) ( ANTENNA__362__A DIODE )
+ ( ANTENNA__370__A DIODE ) ( ANTENNA__363__A DIODE ) ( ANTENNA__377__A DIODE ) ( ANTENNA__378__A DIODE ) ( ANTENNA__359__A DIODE ) ( ANTENNA__375__A DIODE ) ( ANTENNA__374__A DIODE ) ( ANTENNA__376__A DIODE )
+ ( _376_ A ) ( _374_ A ) ( _375_ A ) ( _359_ A ) ( _378_ A ) ( _377_ A ) ( _363_ A ) ( _370_ A )
+ ( _362_ A ) ( _356_ A ) ( _357_ A ) ( _364_ A ) ( _365_ A ) ( _361_ A ) ( _360_ A ) ( _372_ A )
+ ( _373_ A ) ( _358_ A ) ( _371_ A ) ( _367_ A ) ( _352_ A_N ) ( _368_ A ) ( _369_ A ) ( _366_ A )
+ ( _353_ A1 ) ( _313_ B ) ( _299_ A1 ) ( _302_ A1 ) ( _300_ A1 ) ( _305_ A1 ) ( _309_ A1 ) ( _310_ A1 )
+ ( _306_ A1 ) ( _293_ A_N ) ( _316_ B2 ) ( _317_ A1 ) ( _314_ A1 ) ( _295_ A1 ) ( _289_ A2 ) ( _319_ A1 )
+ ( _312_ A1 ) ( _346_ B2 ) ( _343_ A1 ) ( _326_ A1 ) ( _342_ A1 ) ( _341_ B2 ) ( _350_ B2 ) ( _348_ A1 )
+ ( _337_ A1 ) ( _354_ A1 ) ( _351_ A1 ) ( _296_ B ) ( _334_ B2 ) ( _288_ A ) + USE SIGNAL
+ + ROUTED met2 ( 43470 10370 ) ( * 11390 )
+ NEW met1 ( 41630 11390 ) ( 43470 * )
+ NEW met2 ( 43470 7650 ) ( * 10370 )
+ NEW met1 ( 42550 7650 ) ( 43470 * )
+ NEW met1 ( 41630 6630 ) ( 42550 * )
+ NEW met1 ( 42550 6630 ) ( * 7650 )
+ NEW met1 ( 50370 11730 ) ( * 12070 )
+ NEW met1 ( 49910 11730 ) ( 50370 * )
+ NEW met1 ( 49910 11390 ) ( * 11730 )
+ NEW met1 ( 43470 11390 ) ( 49910 * )
+ NEW met1 ( 54050 9350 ) ( * 9690 )
+ NEW met1 ( 47610 9350 ) ( 54050 * )
+ NEW met2 ( 47610 9350 ) ( * 11390 )
+ NEW met1 ( 56350 9350 ) ( * 9690 )
+ NEW met1 ( 54050 9350 ) ( 56350 * )
+ NEW met1 ( 56350 9690 ) ( 56810 * )
+ NEW met1 ( 64630 20230 ) ( * 20570 )
+ NEW met1 ( 64630 20230 ) ( 65550 * )
+ NEW met1 ( 64630 17850 ) ( 65550 * )
+ NEW met1 ( 62790 27710 ) ( * 28390 )
+ NEW met1 ( 56350 8670 ) ( 67390 * )
+ NEW met1 ( 56350 8670 ) ( * 9350 )
+ NEW met1 ( 62330 30430 ) ( 66930 * )
+ NEW met1 ( 62100 20230 ) ( 64630 * )
+ NEW met1 ( 48530 46750 ) ( 50830 * )
+ NEW met1 ( 55890 45730 ) ( 56350 * )
+ NEW met1 ( 57730 44370 ) ( 58650 * )
+ NEW met1 ( 58650 44370 ) ( * 45730 )
+ NEW met1 ( 56350 45730 ) ( 58650 * )
+ NEW met1 ( 55890 43010 ) ( 56350 * )
+ NEW met2 ( 55890 43010 ) ( * 45220 )
+ NEW met2 ( 55890 45220 ) ( 56350 * )
+ NEW met2 ( 56350 45220 ) ( * 45730 )
+ NEW met1 ( 59110 31110 ) ( * 31450 )
+ NEW met1 ( 55890 31110 ) ( 59110 * )
+ NEW met2 ( 55890 31110 ) ( * 43010 )
+ NEW met1 ( 61410 31110 ) ( * 31450 )
+ NEW met1 ( 59110 31110 ) ( 61410 * )
+ NEW met1 ( 61410 30430 ) ( * 31110 )
+ NEW met2 ( 60950 27710 ) ( * 28220 )
+ NEW met2 ( 60490 28220 ) ( 60950 * )
+ NEW met2 ( 60490 28220 ) ( * 30430 )
+ NEW met1 ( 60490 30430 ) ( 61410 * )
+ NEW met1 ( 58650 26350 ) ( 60490 * )
+ NEW met2 ( 60490 26350 ) ( * 28220 )
+ NEW met1 ( 59110 26010 ) ( * 26350 )
+ NEW met2 ( 55890 21250 ) ( * 26350 )
+ NEW met1 ( 55890 26350 ) ( 58650 * )
+ NEW met1 ( 55890 21250 ) ( 56350 * )
+ NEW met1 ( 59110 20570 ) ( * 20910 )
+ NEW met1 ( 56350 20910 ) ( 59110 * )
+ NEW met1 ( 56350 20910 ) ( * 21250 )
+ NEW met1 ( 61410 20570 ) ( * 20910 )
+ NEW met1 ( 59110 20910 ) ( 61410 * )
+ NEW met1 ( 62100 20230 ) ( * 20570 )
+ NEW met1 ( 61410 20570 ) ( 62100 * )
+ NEW met2 ( 60030 17850 ) ( * 20910 )
+ NEW met1 ( 61410 17510 ) ( * 17850 )
+ NEW met1 ( 56810 15810 ) ( 60030 * )
+ NEW met2 ( 60030 15810 ) ( * 17850 )
+ NEW met1 ( 57730 15130 ) ( * 15810 )
+ NEW met2 ( 46230 17510 ) ( * 19890 )
+ NEW met1 ( 43010 19890 ) ( 46230 * )
+ NEW met1 ( 46230 17510 ) ( 47610 * )
+ NEW met2 ( 47610 11390 ) ( * 17510 )
+ NEW met2 ( 56810 9690 ) ( * 15810 )
+ NEW met1 ( 61410 17510 ) ( 62330 * )
+ NEW met1 ( 60030 17850 ) ( 64630 * )
+ NEW met1 ( 60950 27710 ) ( 63710 * )
+ NEW met1 ( 61410 30430 ) ( 62330 * )
+ NEW met1 ( 58650 44370 ) ( 62330 * )
+ NEW met1 ( 47150 57630 ) ( 47610 * )
+ NEW met2 ( 47610 57630 ) ( * 58140 )
+ NEW met2 ( 45310 56610 ) ( 45770 * )
+ NEW met2 ( 45310 56610 ) ( * 57630 )
+ NEW met1 ( 45310 57630 ) ( 47150 * )
+ NEW met1 ( 60490 59330 ) ( 66010 * )
+ NEW met2 ( 66010 59330 ) ( * 66470 )
+ NEW met1 ( 66010 66470 ) ( 67390 * )
+ NEW met2 ( 60030 56610 ) ( * 59330 )
+ NEW met1 ( 60030 59330 ) ( 60490 * )
+ NEW met1 ( 58190 55250 ) ( 60030 * )
+ NEW met2 ( 60030 55250 ) ( * 56610 )
+ NEW met1 ( 59570 53210 ) ( * 53550 )
+ NEW met1 ( 59570 53550 ) ( 61410 * )
+ NEW met2 ( 61410 53550 ) ( * 55250 )
+ NEW met1 ( 60030 55250 ) ( 61410 * )
+ NEW met1 ( 54050 63750 ) ( * 64090 )
+ NEW met1 ( 54050 63750 ) ( 59110 * )
+ NEW met2 ( 59110 59330 ) ( * 63750 )
+ NEW met2 ( 59110 59330 ) ( 60030 * )
+ NEW met2 ( 53130 55420 ) ( * 55590 )
+ NEW met3 ( 53130 55420 ) ( 60030 * )
+ NEW met2 ( 52210 64090 ) ( * 65790 )
+ NEW met1 ( 52210 64090 ) ( 54050 * )
+ NEW met1 ( 51750 61030 ) ( 52210 * )
+ NEW met2 ( 52210 61030 ) ( * 64090 )
+ NEW met2 ( 54050 50150 ) ( * 51340 )
+ NEW met2 ( 53590 51340 ) ( 54050 * )
+ NEW met2 ( 53590 51340 ) ( * 52870 )
+ NEW met2 ( 53130 52870 ) ( 53590 * )
+ NEW met2 ( 53130 52870 ) ( * 55420 )
+ NEW met2 ( 54050 48450 ) ( * 50150 )
+ NEW met1 ( 54050 48450 ) ( 56350 * )
+ NEW met1 ( 50830 48450 ) ( 54050 * )
+ NEW met1 ( 49910 49810 ) ( 50830 * )
+ NEW met2 ( 50830 48450 ) ( * 49810 )
+ NEW met2 ( 52210 58140 ) ( * 61030 )
+ NEW met3 ( 47610 58140 ) ( 52210 * )
+ NEW met2 ( 50830 46750 ) ( * 48450 )
+ NEW met2 ( 56350 45730 ) ( * 48450 )
+ NEW met2 ( 15410 39270 ) ( * 39780 )
+ NEW met3 ( 3220 39780 0 ) ( 15410 * )
+ NEW met1 ( 15410 41310 ) ( 17250 * )
+ NEW met2 ( 15410 39780 ) ( * 41310 )
+ NEW met1 ( 15410 39270 ) ( 19550 * )
+ NEW met1 ( 19550 39270 ) ( 20010 * )
+ NEW met1 ( 20010 34850 ) ( 21390 * )
+ NEW met2 ( 20010 34850 ) ( * 39270 )
+ NEW met2 ( 20930 33830 ) ( * 34850 )
+ NEW met1 ( 28750 36890 ) ( 29210 * )
+ NEW met2 ( 28290 36890 ) ( 28750 * )
+ NEW met2 ( 28290 34850 ) ( * 36890 )
+ NEW met1 ( 21390 34850 ) ( 28290 * )
+ NEW met1 ( 29210 36890 ) ( 30130 * )
+ NEW met2 ( 34730 31790 ) ( * 34170 )
+ NEW met1 ( 28750 34170 ) ( 34730 * )
+ NEW met1 ( 28750 34170 ) ( * 34510 )
+ NEW met1 ( 28290 34510 ) ( 28750 * )
+ NEW met1 ( 28290 34510 ) ( * 34850 )
+ NEW met1 ( 32890 22950 ) ( * 23290 )
+ NEW met1 ( 32890 23290 ) ( 34270 * )
+ NEW met2 ( 34270 23290 ) ( 34730 * )
+ NEW met2 ( 34730 23290 ) ( * 31790 )
+ NEW met1 ( 31970 17850 ) ( 34270 * )
+ NEW met2 ( 34270 17850 ) ( * 23290 )
+ NEW met1 ( 28750 19890 ) ( 34270 * )
+ NEW met1 ( 29210 19890 ) ( * 20570 )
+ NEW met1 ( 19090 19890 ) ( 24150 * )
+ NEW met2 ( 24150 19890 ) ( * 20740 )
+ NEW met2 ( 24150 20740 ) ( 25070 * )
+ NEW met2 ( 25070 19890 ) ( * 20740 )
+ NEW met1 ( 25070 19890 ) ( 28750 * )
+ NEW met1 ( 20010 19890 ) ( * 20570 )
+ NEW met2 ( 32430 10370 ) ( * 17850 )
+ NEW met1 ( 8050 12070 ) ( * 12410 )
+ NEW met1 ( 8050 12410 ) ( 8510 * )
+ NEW met1 ( 8510 12410 ) ( * 12750 )
+ NEW met1 ( 8510 12750 ) ( 17250 * )
+ NEW met2 ( 17250 12750 ) ( * 19890 )
+ NEW met1 ( 17250 19890 ) ( 19090 * )
+ NEW met1 ( 17250 10370 ) ( 18170 * )
+ NEW met2 ( 17250 10370 ) ( * 12750 )
+ NEW met1 ( 29670 9690 ) ( * 10030 )
+ NEW met1 ( 29670 10030 ) ( 32430 * )
+ NEW met1 ( 32430 10030 ) ( * 10370 )
+ NEW met1 ( 32430 31450 ) ( 32660 * )
+ NEW met2 ( 32430 31450 ) ( * 34170 )
+ NEW met2 ( 21390 6630 ) ( * 7310 )
+ NEW met1 ( 17250 7310 ) ( 21390 * )
+ NEW met2 ( 17250 7310 ) ( * 10370 )
+ NEW met1 ( 32430 10370 ) ( 43470 * )
+ NEW met1 ( 34270 45730 ) ( 36570 * )
+ NEW met1 ( 34270 45390 ) ( * 45730 )
+ NEW met1 ( 28750 45390 ) ( 34270 * )
+ NEW met2 ( 28750 44030 ) ( * 45390 )
+ NEW met2 ( 35650 44710 ) ( * 45730 )
+ NEW met1 ( 34730 50150 ) ( 35650 * )
+ NEW met2 ( 35650 45730 ) ( * 50150 )
+ NEW met1 ( 30590 49810 ) ( 34730 * )
+ NEW met1 ( 34730 49810 ) ( * 50150 )
+ NEW met1 ( 35650 50150 ) ( 37030 * )
+ NEW met1 ( 33810 53210 ) ( 34730 * )
+ NEW met2 ( 33810 49810 ) ( * 53210 )
+ NEW met1 ( 33350 57970 ) ( 33810 * )
+ NEW met2 ( 33810 53210 ) ( * 57970 )
+ NEW met1 ( 32430 59330 ) ( 32890 * )
+ NEW met2 ( 32890 57970 ) ( * 59330 )
+ NEW met1 ( 32890 57970 ) ( 33350 * )
+ NEW met2 ( 32890 59330 ) ( * 60350 )
+ NEW met1 ( 35190 60350 ) ( * 60690 )
+ NEW met1 ( 32890 60350 ) ( 35190 * )
+ NEW met1 ( 33810 47770 ) ( 33825 * )
+ NEW met2 ( 33810 47770 ) ( * 49810 )
+ NEW met1 ( 33810 54910 ) ( 39330 * )
+ NEW met2 ( 39790 53550 ) ( * 54910 )
+ NEW met1 ( 39330 54910 ) ( 39790 * )
+ NEW met1 ( 37950 60690 ) ( 41170 * )
+ NEW met1 ( 37950 60350 ) ( * 60690 )
+ NEW met1 ( 35190 60350 ) ( 37950 * )
+ NEW met1 ( 34270 64430 ) ( 35190 * )
+ NEW met2 ( 35190 60690 ) ( * 64430 )
+ NEW met2 ( 35190 64430 ) ( * 66470 )
+ NEW met1 ( 29210 66810 ) ( 35190 * )
+ NEW met1 ( 35190 66470 ) ( * 66810 )
+ NEW met2 ( 40710 60690 ) ( * 66130 )
+ NEW met1 ( 19090 44370 ) ( 20010 * )
+ NEW met1 ( 15870 49470 ) ( 20010 * )
+ NEW met2 ( 20010 44370 ) ( * 49470 )
+ NEW met1 ( 14030 52190 ) ( 15870 * )
+ NEW met2 ( 15870 49470 ) ( * 52190 )
+ NEW met1 ( 14950 52190 ) ( * 53210 )
+ NEW met2 ( 15870 52190 ) ( * 57630 )
+ NEW met1 ( 15870 57630 ) ( 17710 * )
+ NEW met1 ( 17710 57970 ) ( 20470 * )
+ NEW met1 ( 17710 57630 ) ( * 57970 )
+ NEW met1 ( 13110 58990 ) ( 15870 * )
+ NEW met2 ( 15870 57630 ) ( * 58990 )
+ NEW met1 ( 8970 52530 ) ( * 53210 )
+ NEW met1 ( 8970 52530 ) ( 14950 * )
+ NEW met2 ( 7130 51170 ) ( * 52530 )
+ NEW met1 ( 7130 52530 ) ( 8970 * )
+ NEW met1 ( 7590 58990 ) ( 13110 * )
+ NEW met2 ( 7130 58990 ) ( * 63070 )
+ NEW met1 ( 7130 58990 ) ( 7590 * )
+ NEW met1 ( 7130 64090 ) ( 8510 * )
+ NEW met2 ( 7130 63070 ) ( * 64090 )
+ NEW met1 ( 18630 64430 ) ( 21390 * )
+ NEW met2 ( 18630 57970 ) ( * 64430 )
+ NEW met1 ( 20470 57630 ) ( 24610 * )
+ NEW met1 ( 20470 57630 ) ( * 57970 )
+ NEW met2 ( 24150 57630 ) ( * 61030 )
+ NEW met1 ( 21390 65790 ) ( 22310 * )
+ NEW met2 ( 21390 64430 ) ( * 65790 )
+ NEW met1 ( 24150 55590 ) ( 28290 * )
+ NEW met2 ( 24150 55590 ) ( * 57630 )
+ NEW met2 ( 20010 39270 ) ( * 44370 )
+ NEW met2 ( 28750 36890 ) ( * 44030 )
+ NEW met1 ( 40710 66130 ) ( 42090 * )
+ NEW li1 ( 43470 11390 ) L1M1_PR
+ NEW met1 ( 43470 11390 ) M1M2_PR
+ NEW met1 ( 43470 10370 ) M1M2_PR
+ NEW li1 ( 41630 11390 ) L1M1_PR
+ NEW li1 ( 43470 7650 ) L1M1_PR
+ NEW met1 ( 43470 7650 ) M1M2_PR
+ NEW li1 ( 42550 7650 ) L1M1_PR
+ NEW li1 ( 41630 6630 ) L1M1_PR
+ NEW li1 ( 50370 12070 ) L1M1_PR
+ NEW li1 ( 54050 9690 ) L1M1_PR
+ NEW met1 ( 47610 9350 ) M1M2_PR
+ NEW met1 ( 47610 11390 ) M1M2_PR
+ NEW li1 ( 56350 9690 ) L1M1_PR
+ NEW met1 ( 56810 9690 ) M1M2_PR
+ NEW li1 ( 64630 20570 ) L1M1_PR
+ NEW li1 ( 65550 20230 ) L1M1_PR
+ NEW li1 ( 62330 17510 ) L1M1_PR
+ NEW li1 ( 64630 17850 ) L1M1_PR
+ NEW li1 ( 65550 17850 ) L1M1_PR
+ NEW li1 ( 63710 27710 ) L1M1_PR
+ NEW li1 ( 62790 28390 ) L1M1_PR
+ NEW li1 ( 67390 8670 ) L1M1_PR
+ NEW li1 ( 62330 30430 ) L1M1_PR
+ NEW li1 ( 66930 30430 ) L1M1_PR
+ NEW li1 ( 62330 44370 ) L1M1_PR
+ NEW li1 ( 48530 46750 ) L1M1_PR
+ NEW met1 ( 50830 46750 ) M1M2_PR
+ NEW li1 ( 55890 45730 ) L1M1_PR
+ NEW met1 ( 56350 45730 ) M1M2_PR
+ NEW li1 ( 57730 44370 ) L1M1_PR
+ NEW li1 ( 56350 43010 ) L1M1_PR
+ NEW met1 ( 55890 43010 ) M1M2_PR
+ NEW li1 ( 59110 31450 ) L1M1_PR
+ NEW met1 ( 55890 31110 ) M1M2_PR
+ NEW li1 ( 61410 31450 ) L1M1_PR
+ NEW met1 ( 60950 27710 ) M1M2_PR
+ NEW met1 ( 60490 30430 ) M1M2_PR
+ NEW li1 ( 58650 26350 ) L1M1_PR
+ NEW met1 ( 60490 26350 ) M1M2_PR
+ NEW li1 ( 59110 26010 ) L1M1_PR
+ NEW li1 ( 55890 21250 ) L1M1_PR
+ NEW met1 ( 55890 21250 ) M1M2_PR
+ NEW met1 ( 55890 26350 ) M1M2_PR
+ NEW li1 ( 56350 21250 ) L1M1_PR
+ NEW li1 ( 59110 20570 ) L1M1_PR
+ NEW li1 ( 61410 20570 ) L1M1_PR
+ NEW met1 ( 60030 17850 ) M1M2_PR
+ NEW met1 ( 60030 20910 ) M1M2_PR
+ NEW li1 ( 61410 17510 ) L1M1_PR
+ NEW li1 ( 56810 15810 ) L1M1_PR
+ NEW met1 ( 60030 15810 ) M1M2_PR
+ NEW li1 ( 57730 15130 ) L1M1_PR
+ NEW met1 ( 56810 15810 ) M1M2_PR
+ NEW li1 ( 46230 17510 ) L1M1_PR
+ NEW met1 ( 46230 17510 ) M1M2_PR
+ NEW met1 ( 46230 19890 ) M1M2_PR
+ NEW li1 ( 43010 19890 ) L1M1_PR
+ NEW met1 ( 47610 17510 ) M1M2_PR
+ NEW li1 ( 42090 66130 ) L1M1_PR
+ NEW li1 ( 47150 57630 ) L1M1_PR
+ NEW met1 ( 47610 57630 ) M1M2_PR
+ NEW met2 ( 47610 58140 ) M2M3_PR
+ NEW li1 ( 45770 56610 ) L1M1_PR
+ NEW met1 ( 45770 56610 ) M1M2_PR
+ NEW met1 ( 45310 57630 ) M1M2_PR
+ NEW li1 ( 60490 59330 ) L1M1_PR
+ NEW met1 ( 66010 59330 ) M1M2_PR
+ NEW met1 ( 66010 66470 ) M1M2_PR
+ NEW li1 ( 67390 66470 ) L1M1_PR
+ NEW li1 ( 60030 56610 ) L1M1_PR
+ NEW met1 ( 60030 56610 ) M1M2_PR
+ NEW met1 ( 60030 59330 ) M1M2_PR
+ NEW li1 ( 58190 55250 ) L1M1_PR
+ NEW met1 ( 60030 55250 ) M1M2_PR
+ NEW li1 ( 59570 53210 ) L1M1_PR
+ NEW met1 ( 61410 53550 ) M1M2_PR
+ NEW met1 ( 61410 55250 ) M1M2_PR
+ NEW li1 ( 54050 64090 ) L1M1_PR
+ NEW met1 ( 59110 63750 ) M1M2_PR
+ NEW li1 ( 53130 55590 ) L1M1_PR
+ NEW met1 ( 53130 55590 ) M1M2_PR
+ NEW met2 ( 53130 55420 ) M2M3_PR
+ NEW met2 ( 60030 55420 ) M2M3_PR
+ NEW li1 ( 52210 65790 ) L1M1_PR
+ NEW met1 ( 52210 65790 ) M1M2_PR
+ NEW met1 ( 52210 64090 ) M1M2_PR
+ NEW li1 ( 51750 61030 ) L1M1_PR
+ NEW met1 ( 52210 61030 ) M1M2_PR
+ NEW li1 ( 54050 50150 ) L1M1_PR
+ NEW met1 ( 54050 50150 ) M1M2_PR
+ NEW li1 ( 54050 48450 ) L1M1_PR
+ NEW met1 ( 54050 48450 ) M1M2_PR
+ NEW met1 ( 56350 48450 ) M1M2_PR
+ NEW met1 ( 50830 48450 ) M1M2_PR
+ NEW li1 ( 49910 49810 ) L1M1_PR
+ NEW met1 ( 50830 49810 ) M1M2_PR
+ NEW met2 ( 52210 58140 ) M2M3_PR
+ NEW li1 ( 15410 39270 ) L1M1_PR
+ NEW met1 ( 15410 39270 ) M1M2_PR
+ NEW met2 ( 15410 39780 ) M2M3_PR
+ NEW li1 ( 17250 41310 ) L1M1_PR
+ NEW met1 ( 15410 41310 ) M1M2_PR
+ NEW li1 ( 19550 39270 ) L1M1_PR
+ NEW li1 ( 20010 39270 ) L1M1_PR
+ NEW met1 ( 20010 39270 ) M1M2_PR
+ NEW li1 ( 21390 34850 ) L1M1_PR
+ NEW met1 ( 20010 34850 ) M1M2_PR
+ NEW li1 ( 20930 33830 ) L1M1_PR
+ NEW met1 ( 20930 33830 ) M1M2_PR
+ NEW met1 ( 20930 34850 ) M1M2_PR
+ NEW li1 ( 29210 36890 ) L1M1_PR
+ NEW met1 ( 28750 36890 ) M1M2_PR
+ NEW met1 ( 28290 34850 ) M1M2_PR
+ NEW li1 ( 30130 36890 ) L1M1_PR
+ NEW li1 ( 34730 31790 ) L1M1_PR
+ NEW met1 ( 34730 31790 ) M1M2_PR
+ NEW met1 ( 34730 34170 ) M1M2_PR
+ NEW li1 ( 32890 22950 ) L1M1_PR
+ NEW met1 ( 34270 23290 ) M1M2_PR
+ NEW li1 ( 31970 17850 ) L1M1_PR
+ NEW met1 ( 34270 17850 ) M1M2_PR
+ NEW li1 ( 28750 19890 ) L1M1_PR
+ NEW met1 ( 34270 19890 ) M1M2_PR
+ NEW li1 ( 29210 20570 ) L1M1_PR
+ NEW li1 ( 19090 19890 ) L1M1_PR
+ NEW met1 ( 24150 19890 ) M1M2_PR
+ NEW met1 ( 25070 19890 ) M1M2_PR
+ NEW li1 ( 20010 20570 ) L1M1_PR
+ NEW li1 ( 32430 10370 ) L1M1_PR
+ NEW met1 ( 32430 10370 ) M1M2_PR
+ NEW met1 ( 32430 17850 ) M1M2_PR
+ NEW li1 ( 8050 12070 ) L1M1_PR
+ NEW met1 ( 17250 12750 ) M1M2_PR
+ NEW met1 ( 17250 19890 ) M1M2_PR
+ NEW li1 ( 18170 10370 ) L1M1_PR
+ NEW met1 ( 17250 10370 ) M1M2_PR
+ NEW li1 ( 29670 9690 ) L1M1_PR
+ NEW li1 ( 32660 31450 ) L1M1_PR
+ NEW met1 ( 32430 31450 ) M1M2_PR
+ NEW met1 ( 32430 34170 ) M1M2_PR
+ NEW li1 ( 21390 6630 ) L1M1_PR
+ NEW met1 ( 21390 6630 ) M1M2_PR
+ NEW met1 ( 21390 7310 ) M1M2_PR
+ NEW met1 ( 17250 7310 ) M1M2_PR
+ NEW li1 ( 28750 44030 ) L1M1_PR
+ NEW met1 ( 28750 44030 ) M1M2_PR
+ NEW li1 ( 36570 45730 ) L1M1_PR
+ NEW met1 ( 28750 45390 ) M1M2_PR
+ NEW li1 ( 35650 44710 ) L1M1_PR
+ NEW met1 ( 35650 44710 ) M1M2_PR
+ NEW met1 ( 35650 45730 ) M1M2_PR
+ NEW li1 ( 34730 50150 ) L1M1_PR
+ NEW met1 ( 35650 50150 ) M1M2_PR
+ NEW li1 ( 30590 49810 ) L1M1_PR
+ NEW li1 ( 37030 50150 ) L1M1_PR
+ NEW li1 ( 34730 53210 ) L1M1_PR
+ NEW met1 ( 33810 53210 ) M1M2_PR
+ NEW met1 ( 33810 49810 ) M1M2_PR
+ NEW li1 ( 33350 57970 ) L1M1_PR
+ NEW met1 ( 33810 57970 ) M1M2_PR
+ NEW li1 ( 32430 59330 ) L1M1_PR
+ NEW met1 ( 32890 59330 ) M1M2_PR
+ NEW met1 ( 32890 57970 ) M1M2_PR
+ NEW li1 ( 32890 60350 ) L1M1_PR
+ NEW met1 ( 32890 60350 ) M1M2_PR
+ NEW li1 ( 35190 60690 ) L1M1_PR
+ NEW li1 ( 33825 47770 ) L1M1_PR
+ NEW met1 ( 33810 47770 ) M1M2_PR
+ NEW li1 ( 39330 54910 ) L1M1_PR
+ NEW met1 ( 33810 54910 ) M1M2_PR
+ NEW li1 ( 39790 53550 ) L1M1_PR
+ NEW met1 ( 39790 53550 ) M1M2_PR
+ NEW met1 ( 39790 54910 ) M1M2_PR
+ NEW li1 ( 41170 60690 ) L1M1_PR
+ NEW li1 ( 34270 64430 ) L1M1_PR
+ NEW met1 ( 35190 64430 ) M1M2_PR
+ NEW met1 ( 35190 60690 ) M1M2_PR
+ NEW li1 ( 35190 66470 ) L1M1_PR
+ NEW met1 ( 35190 66470 ) M1M2_PR
+ NEW li1 ( 29210 66810 ) L1M1_PR
+ NEW met1 ( 40710 66130 ) M1M2_PR
+ NEW met1 ( 40710 60690 ) M1M2_PR
+ NEW li1 ( 19090 44370 ) L1M1_PR
+ NEW met1 ( 20010 44370 ) M1M2_PR
+ NEW li1 ( 15870 49470 ) L1M1_PR
+ NEW met1 ( 20010 49470 ) M1M2_PR
+ NEW li1 ( 14030 52190 ) L1M1_PR
+ NEW met1 ( 15870 52190 ) M1M2_PR
+ NEW met1 ( 15870 49470 ) M1M2_PR
+ NEW li1 ( 14950 53210 ) L1M1_PR
+ NEW li1 ( 15870 57630 ) L1M1_PR
+ NEW met1 ( 15870 57630 ) M1M2_PR
+ NEW li1 ( 17710 57630 ) L1M1_PR
+ NEW li1 ( 20470 57970 ) L1M1_PR
+ NEW li1 ( 13110 58990 ) L1M1_PR
+ NEW met1 ( 15870 58990 ) M1M2_PR
+ NEW li1 ( 8970 53210 ) L1M1_PR
+ NEW li1 ( 7130 51170 ) L1M1_PR
+ NEW met1 ( 7130 51170 ) M1M2_PR
+ NEW met1 ( 7130 52530 ) M1M2_PR
+ NEW li1 ( 7590 58990 ) L1M1_PR
+ NEW li1 ( 7130 63070 ) L1M1_PR
+ NEW met1 ( 7130 63070 ) M1M2_PR
+ NEW met1 ( 7130 58990 ) M1M2_PR
+ NEW li1 ( 8510 64090 ) L1M1_PR
+ NEW met1 ( 7130 64090 ) M1M2_PR
+ NEW li1 ( 21390 64430 ) L1M1_PR
+ NEW met1 ( 18630 64430 ) M1M2_PR
+ NEW met1 ( 18630 57970 ) M1M2_PR
+ NEW li1 ( 24610 57630 ) L1M1_PR
+ NEW li1 ( 24150 61030 ) L1M1_PR
+ NEW met1 ( 24150 61030 ) M1M2_PR
+ NEW met1 ( 24150 57630 ) M1M2_PR
+ NEW li1 ( 22310 65790 ) L1M1_PR
+ NEW met1 ( 21390 65790 ) M1M2_PR
+ NEW met1 ( 21390 64430 ) M1M2_PR
+ NEW li1 ( 28290 55590 ) L1M1_PR
+ NEW met1 ( 24150 55590 ) M1M2_PR
+ NEW met1 ( 43470 11390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43470 7650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 47610 11390 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 59110 26010 ) RECT ( 0 -70 255 70 )
+ NEW met1 ( 55890 21250 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 60030 20910 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 61410 17510 ) RECT ( 0 -70 255 70 )
+ NEW met1 ( 56810 15810 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 46230 17510 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 45770 56610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 60030 56610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 53130 55590 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 60030 55420 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 52210 65790 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 54050 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 54050 48450 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 15410 39270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 20010 39270 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 20930 33830 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 20930 34850 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 34730 31790 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 34270 19890 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 32430 10370 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 32430 17850 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 32430 34170 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 21390 6630 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 28750 44030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 35650 44710 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 35650 45730 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 33810 49810 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 32890 60350 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 33825 47770 ) RECT ( 0 -70 340 70 )
+ NEW met2 ( 33810 54910 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 39790 53550 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 35190 60690 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 35190 66470 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 40710 60690 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 15870 49470 ) RECT ( 0 -70 595 70 )
+ NEW met1 ( 15870 57630 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7130 51170 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7130 63070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 18630 57970 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 24150 61030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 24150 57630 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 21390 64430 ) RECT ( -595 -70 0 70 ) ;
+ - div[0] ( PIN div[0] ) ( ANTENNA__222__B2 DIODE ) ( ANTENNA__235__A DIODE ) ( _235_ A ) ( _222_ B2 ) + USE SIGNAL
+ + ROUTED met3 ( 3220 11220 0 ) ( 8050 * )
+ NEW met2 ( 8050 10370 ) ( * 13800 )
+ NEW met1 ( 9430 14790 ) ( * 15130 )
+ NEW met1 ( 7590 14790 ) ( 9430 * )
+ NEW met2 ( 7590 13800 ) ( * 14790 )
+ NEW met2 ( 7590 13800 ) ( 8050 * )
+ NEW met1 ( 11270 14790 ) ( 13570 * )
+ NEW met1 ( 11270 14790 ) ( * 15130 )
+ NEW met1 ( 9430 15130 ) ( 11270 * )
+ NEW met2 ( 13570 14790 ) ( * 17170 )
+ NEW met1 ( 13570 17170 ) ( 25070 * )
+ NEW li1 ( 8050 10370 ) L1M1_PR
+ NEW met1 ( 8050 10370 ) M1M2_PR
+ NEW met2 ( 8050 11220 ) M2M3_PR
+ NEW li1 ( 9430 15130 ) L1M1_PR
+ NEW met1 ( 7590 14790 ) M1M2_PR
+ NEW li1 ( 13570 14790 ) L1M1_PR
+ NEW met1 ( 13570 17170 ) M1M2_PR
+ NEW met1 ( 13570 14790 ) M1M2_PR
+ NEW li1 ( 25070 17170 ) L1M1_PR
+ NEW met1 ( 8050 10370 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 8050 11220 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 13570 14790 ) RECT ( -595 -70 0 70 ) ;
+ - div[1] ( PIN div[1] ) ( ANTENNA__219__A DIODE ) ( ANTENNA__222__A1 DIODE ) ( _222_ A1 ) ( _219_ A ) + USE SIGNAL
+ + ROUTED met3 ( 3220 15980 0 ) ( 10810 * )
+ NEW met2 ( 10810 15980 ) ( * 18190 )
+ NEW met2 ( 10810 12070 ) ( * 15980 )
+ NEW met1 ( 16790 18530 ) ( 32430 * )
+ NEW met1 ( 16790 18190 ) ( * 18530 )
+ NEW met1 ( 32430 18530 ) ( 33350 * )
+ NEW met1 ( 10810 18190 ) ( 16790 * )
+ NEW li1 ( 10810 12070 ) L1M1_PR
+ NEW met1 ( 10810 12070 ) M1M2_PR
+ NEW met2 ( 10810 15980 ) M2M3_PR
+ NEW li1 ( 10810 14790 ) L1M1_PR
+ NEW met1 ( 10810 14790 ) M1M2_PR
+ NEW met1 ( 10810 18190 ) M1M2_PR
+ NEW li1 ( 32430 18530 ) L1M1_PR
+ NEW li1 ( 33350 18530 ) L1M1_PR
+ NEW met1 ( 10810 12070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 10810 14790 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 10810 14790 ) RECT ( -70 -485 70 0 ) ;
+ - div[2] ( PIN div[2] ) ( ANTENNA__213__B1 DIODE ) ( ANTENNA__216__A DIODE ) ( _216_ A ) ( _213_ B1 ) + USE SIGNAL
+ + ROUTED met2 ( 17710 20740 ) ( * 22270 )
+ NEW met2 ( 17710 20570 ) ( * 20740 )
+ NEW met1 ( 15770 22950 ) ( 15870 * )
+ NEW met1 ( 15870 22610 ) ( * 22950 )
+ NEW met1 ( 15870 22610 ) ( 17710 * )
+ NEW met1 ( 17710 22270 ) ( * 22610 )
+ NEW met1 ( 17710 17850 ) ( 19090 * )
+ NEW met2 ( 17710 17850 ) ( * 20570 )
+ NEW met3 ( 3220 20740 0 ) ( 17710 * )
+ NEW li1 ( 17710 22270 ) L1M1_PR
+ NEW met1 ( 17710 22270 ) M1M2_PR
+ NEW met2 ( 17710 20740 ) M2M3_PR
+ NEW li1 ( 17710 20570 ) L1M1_PR
+ NEW met1 ( 17710 20570 ) M1M2_PR
+ NEW li1 ( 15770 22950 ) L1M1_PR
+ NEW li1 ( 19090 17850 ) L1M1_PR
+ NEW met1 ( 17710 17850 ) M1M2_PR
+ NEW met1 ( 17710 22270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 17710 20570 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 17710 20570 ) RECT ( -70 -315 70 0 ) ;
+ - div[3] ( PIN div[3] ) ( ANTENNA__213__A1 DIODE ) ( ANTENNA__214__B1 DIODE ) ( ANTENNA__215__B1 DIODE ) ( _215_ B1 ) ( _214_ B1 ) ( _213_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 7130 23970 ) ( * 25500 )
+ NEW met3 ( 3220 25500 0 ) ( 7130 * )
+ NEW met1 ( 12190 26010 ) ( * 26350 )
+ NEW met1 ( 12190 26350 ) ( 13800 * )
+ NEW met1 ( 13110 28390 ) ( 13800 * )
+ NEW met2 ( 16330 16830 ) ( * 22270 )
+ NEW met1 ( 16330 16830 ) ( 24150 * )
+ NEW met2 ( 16330 22270 ) ( * 23970 )
+ NEW met1 ( 13800 26350 ) ( * 26690 )
+ NEW met1 ( 13800 26690 ) ( 14030 * )
+ NEW met2 ( 14030 23970 ) ( * 26690 )
+ NEW met1 ( 13800 28390 ) ( * 28730 )
+ NEW met1 ( 13800 28730 ) ( 14030 * )
+ NEW met2 ( 14030 26690 ) ( * 28730 )
+ NEW met1 ( 13800 28390 ) ( 14950 * )
+ NEW met1 ( 7130 23970 ) ( 16330 * )
+ NEW li1 ( 13110 28390 ) L1M1_PR
+ NEW li1 ( 7130 23970 ) L1M1_PR
+ NEW met1 ( 7130 23970 ) M1M2_PR
+ NEW met2 ( 7130 25500 ) M2M3_PR
+ NEW li1 ( 12190 26010 ) L1M1_PR
+ NEW li1 ( 16330 22270 ) L1M1_PR
+ NEW met1 ( 16330 22270 ) M1M2_PR
+ NEW met1 ( 16330 16830 ) M1M2_PR
+ NEW li1 ( 24150 16830 ) L1M1_PR
+ NEW met1 ( 16330 23970 ) M1M2_PR
+ NEW met1 ( 14030 26690 ) M1M2_PR
+ NEW met1 ( 14030 23970 ) M1M2_PR
+ NEW met1 ( 14030 28730 ) M1M2_PR
+ NEW li1 ( 14950 28390 ) L1M1_PR
+ NEW met1 ( 7130 23970 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16330 22270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 14030 23970 ) RECT ( 0 -70 595 70 ) ;
+ - div[4] ( PIN div[4] ) ( ANTENNA__207__A1 DIODE ) ( ANTENNA__225__B1 DIODE ) ( _225_ B1 ) ( _207_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 7130 30260 ) ( * 30430 )
NEW met3 ( 3220 30260 0 ) ( 7130 * )
- NEW met1 ( 7130 28390 ) ( 8510 * )
- NEW met2 ( 7130 28390 ) ( * 30260 )
- NEW met1 ( 11270 27710 ) ( * 28390 )
- NEW met1 ( 8510 27710 ) ( 11270 * )
- NEW met1 ( 8510 27710 ) ( * 28390 )
- NEW met1 ( 7130 33150 ) ( 9430 * )
- NEW met2 ( 7130 30430 ) ( * 33150 )
- NEW li1 ( 7130 30430 ) L1M1_PR_MR
+ NEW met1 ( 7130 30430 ) ( 8050 * )
+ NEW met1 ( 7130 28730 ) ( 10810 * )
+ NEW met2 ( 7130 28730 ) ( * 30260 )
+ NEW met1 ( 10810 31400 ) ( 10815 * )
+ NEW met1 ( 10810 30430 ) ( * 31400 )
+ NEW met1 ( 8050 30430 ) ( 10810 * )
+ NEW li1 ( 7130 30430 ) L1M1_PR
NEW met1 ( 7130 30430 ) M1M2_PR
- NEW met2 ( 7130 30260 ) M2M3_PR_M
- NEW li1 ( 8510 28390 ) L1M1_PR_MR
- NEW met1 ( 7130 28390 ) M1M2_PR
- NEW li1 ( 11270 28390 ) L1M1_PR_MR
- NEW li1 ( 9430 33150 ) L1M1_PR_MR
- NEW met1 ( 7130 33150 ) M1M2_PR
+ NEW met2 ( 7130 30260 ) M2M3_PR
+ NEW li1 ( 8050 30430 ) L1M1_PR
+ NEW li1 ( 10810 28730 ) L1M1_PR
+ NEW met1 ( 7130 28730 ) M1M2_PR
+ NEW li1 ( 10815 31400 ) L1M1_PR
NEW met1 ( 7130 30430 ) RECT ( -355 -70 0 70 ) ;
- - enable ( PIN enable ) ( ANTENNA__181__A DIODE ) ( _181_ A ) + USE SIGNAL
- + ROUTED met2 ( 20010 33830 ) ( * 35020 )
- NEW met1 ( 20010 33830 ) ( 21390 * )
- NEW met3 ( 3220 35020 0 ) ( 20010 * )
- NEW li1 ( 20010 33830 ) L1M1_PR_MR
- NEW met1 ( 20010 33830 ) M1M2_PR
- NEW met2 ( 20010 35020 ) M2M3_PR_M
- NEW li1 ( 21390 33830 ) L1M1_PR_MR
- NEW met1 ( 20010 33830 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[0] ( PIN ext_trim[0] ) ( ANTENNA__352__A1 DIODE ) ( _352_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 8510 39610 ) ( * 43860 )
- NEW met3 ( 3220 43860 0 ) ( 8510 * )
- NEW met1 ( 7590 34850 ) ( 8510 * )
- NEW met2 ( 8510 34850 ) ( * 39610 )
- NEW li1 ( 8510 39610 ) L1M1_PR_MR
- NEW met1 ( 8510 39610 ) M1M2_PR
- NEW met2 ( 8510 43860 ) M2M3_PR_M
- NEW li1 ( 7590 34850 ) L1M1_PR_MR
- NEW met1 ( 8510 34850 ) M1M2_PR
- NEW met1 ( 8510 39610 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[10] ( PIN ext_trim[10] ) ( ANTENNA__332__A1 DIODE ) ( _332_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 20470 54910 ) ( 36570 * )
- NEW met2 ( 20470 54910 ) ( * 58310 )
- NEW met2 ( 20010 58310 ) ( 20470 * )
- NEW met2 ( 20010 58310 ) ( * 71740 0 )
- NEW met1 ( 38870 52870 ) ( 39330 * )
- NEW met1 ( 38870 52870 ) ( * 53210 )
- NEW met1 ( 37950 53210 ) ( 38870 * )
- NEW met2 ( 37950 53210 ) ( * 54910 )
- NEW met1 ( 36570 54910 ) ( 37950 * )
- NEW li1 ( 36570 54910 ) L1M1_PR_MR
- NEW met1 ( 20470 54910 ) M1M2_PR
- NEW li1 ( 39330 52870 ) L1M1_PR_MR
- NEW met1 ( 37950 53210 ) M1M2_PR
- NEW met1 ( 37950 54910 ) M1M2_PR ;
- - ext_trim[11] ( PIN ext_trim[11] ) ( ANTENNA__330__A1 DIODE ) ( _330_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 25530 66980 ) ( 25990 * )
- NEW met2 ( 25990 66980 ) ( * 71740 0 )
- NEW met1 ( 25530 48110 ) ( 28290 * )
- NEW met2 ( 25530 48110 ) ( * 66980 )
- NEW li1 ( 25530 48110 ) L1M1_PR_MR
- NEW met1 ( 25530 48110 ) M1M2_PR
- NEW li1 ( 28290 48110 ) L1M1_PR_MR
- NEW met1 ( 25530 48110 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[12] ( PIN ext_trim[12] ) ( ANTENNA__354__A1 DIODE ) ( _354_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 29670 48110 ) ( 31510 * )
- NEW met1 ( 26450 49470 ) ( 31510 * )
- NEW met2 ( 31510 48110 ) ( * 71740 0 )
- NEW li1 ( 29670 48110 ) L1M1_PR_MR
- NEW met1 ( 31510 48110 ) M1M2_PR
- NEW li1 ( 26450 49470 ) L1M1_PR_MR
- NEW met1 ( 31510 49470 ) M1M2_PR
- NEW met2 ( 31510 49470 ) RECT ( -70 -485 70 0 ) ;
- - ext_trim[13] ( PIN ext_trim[13] ) ( ANTENNA__353__A1 DIODE ) ( _353_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 37490 62100 ) ( * 71740 0 )
- NEW met1 ( 36570 43010 ) ( 37030 * )
- NEW met2 ( 37030 43010 ) ( * 62100 )
- NEW met2 ( 37030 62100 ) ( 37490 * )
- NEW met1 ( 37030 45730 ) ( 42090 * )
- NEW li1 ( 36570 43010 ) L1M1_PR_MR
- NEW met1 ( 37030 43010 ) M1M2_PR
- NEW li1 ( 42090 45730 ) L1M1_PR_MR
- NEW met1 ( 37030 45730 ) M1M2_PR
- NEW met2 ( 37030 45730 ) RECT ( -70 -485 70 0 ) ;
- - ext_trim[14] ( PIN ext_trim[14] ) ( ANTENNA__351__A1 DIODE ) ( _351_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 43010 66300 ) ( 43470 * )
- NEW met2 ( 43010 66300 ) ( * 71740 0 )
- NEW met1 ( 43470 53890 ) ( 46230 * )
- NEW met1 ( 42550 49810 ) ( 43470 * )
- NEW met2 ( 43470 49810 ) ( * 53890 )
- NEW met2 ( 43470 53890 ) ( * 66300 )
- NEW li1 ( 46230 53890 ) L1M1_PR_MR
- NEW met1 ( 43470 53890 ) M1M2_PR
- NEW li1 ( 42550 49810 ) L1M1_PR_MR
- NEW met1 ( 43470 49810 ) M1M2_PR ;
- - ext_trim[15] ( PIN ext_trim[15] ) ( ANTENNA__349__A1 DIODE ) ( _349_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 48530 57970 ) ( * 58310 )
- NEW met1 ( 48530 57970 ) ( 53130 * )
- NEW met1 ( 53130 57970 ) ( * 58310 )
- NEW met1 ( 53130 58310 ) ( 60030 * )
- NEW met2 ( 48530 59500 ) ( 48990 * )
- NEW met2 ( 48530 58310 ) ( * 59500 )
- NEW met2 ( 48990 59500 ) ( * 71740 0 )
- NEW li1 ( 48530 58310 ) L1M1_PR_MR
- NEW li1 ( 60030 58310 ) L1M1_PR_MR
- NEW met1 ( 48530 58310 ) M1M2_PR
- NEW met1 ( 48530 58310 ) RECT ( 0 -70 595 70 ) ;
- - ext_trim[16] ( PIN ext_trim[16] ) ( ANTENNA__347__A1 DIODE ) ( _347_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 54510 66810 ) ( 60030 * )
- NEW met2 ( 54510 66810 ) ( * 71740 0 )
- NEW met1 ( 60030 67150 ) ( 65090 * )
- NEW met1 ( 60030 66810 ) ( * 67150 )
- NEW li1 ( 60030 66810 ) L1M1_PR_MR
- NEW met1 ( 54510 66810 ) M1M2_PR
- NEW li1 ( 65090 67150 ) L1M1_PR_MR ;
- - ext_trim[17] ( PIN ext_trim[17] ) ( ANTENNA__345__A1 DIODE ) ( _345_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 55890 67490 ) ( 60490 * )
- NEW met2 ( 60490 67490 ) ( * 71740 0 )
- NEW met1 ( 53590 66810 ) ( * 67150 )
- NEW met1 ( 53590 67150 ) ( 55890 * )
- NEW met1 ( 55890 67150 ) ( * 67490 )
- NEW li1 ( 55890 67490 ) L1M1_PR_MR
- NEW met1 ( 60490 67490 ) M1M2_PR
- NEW li1 ( 53590 66810 ) L1M1_PR_MR ;
- - ext_trim[18] ( PIN ext_trim[18] ) ( ANTENNA__343__A1 DIODE ) ( _343_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 65550 64260 ) ( 66010 * )
- NEW met2 ( 66010 64260 ) ( * 71740 0 )
- NEW met2 ( 65550 53890 ) ( * 64260 )
- NEW met1 ( 62100 53890 ) ( 65550 * )
- NEW met1 ( 49450 55250 ) ( 49910 * )
- NEW met2 ( 49910 53550 ) ( * 55250 )
- NEW met1 ( 49910 53550 ) ( 62100 * )
- NEW met1 ( 62100 53550 ) ( * 53890 )
- NEW met1 ( 47150 55250 ) ( 49450 * )
- NEW met1 ( 65550 53890 ) M1M2_PR
- NEW li1 ( 49450 55250 ) L1M1_PR_MR
- NEW met1 ( 49910 55250 ) M1M2_PR
- NEW met1 ( 49910 53550 ) M1M2_PR
- NEW li1 ( 47150 55250 ) L1M1_PR_MR ;
- - ext_trim[19] ( PIN ext_trim[19] ) ( ANTENNA__341__A1 DIODE ) ( _341_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 71990 61370 ) ( * 71740 0 )
- NEW met1 ( 54510 61030 ) ( 56810 * )
- NEW met1 ( 56810 61030 ) ( * 61370 )
- NEW met1 ( 56810 61370 ) ( 71990 * )
- NEW met1 ( 71990 61370 ) M1M2_PR
- NEW li1 ( 56810 61370 ) L1M1_PR_MR
- NEW li1 ( 54510 61030 ) L1M1_PR_MR ;
- - ext_trim[1] ( PIN ext_trim[1] ) ( ANTENNA__350__A1 DIODE ) ( _350_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 8970 45050 ) ( * 48620 )
- NEW met3 ( 3220 48620 0 ) ( 8970 * )
- NEW met1 ( 7590 43010 ) ( 8970 * )
- NEW met2 ( 8970 43010 ) ( * 45050 )
- NEW li1 ( 8970 45050 ) L1M1_PR_MR
- NEW met1 ( 8970 45050 ) M1M2_PR
- NEW met2 ( 8970 48620 ) M2M3_PR_M
- NEW li1 ( 7590 43010 ) L1M1_PR_MR
- NEW met1 ( 8970 43010 ) M1M2_PR
- NEW met1 ( 8970 45050 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[20] ( PIN ext_trim[20] ) ( ANTENNA__339__A1 DIODE ) ( _339_ A1 ) + USE SIGNAL
- + ROUTED met3 ( 51750 66980 ) ( 63940 * )
- NEW met3 ( 63940 66980 ) ( * 68340 )
- NEW met3 ( 63940 68340 ) ( 71300 * 0 )
- NEW met1 ( 47150 61370 ) ( 51750 * )
- NEW met1 ( 51750 61370 ) ( * 62050 )
- NEW met2 ( 51750 62050 ) ( * 66980 )
- NEW met2 ( 51750 66980 ) M2M3_PR_M
- NEW li1 ( 51750 62050 ) L1M1_PR_MR
- NEW met1 ( 51750 62050 ) M1M2_PR
- NEW li1 ( 47150 61370 ) L1M1_PR_MR
- NEW met1 ( 51750 62050 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[21] ( PIN ext_trim[21] ) ( ANTENNA__337__A1 DIODE ) ( _337_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 60030 53890 ) ( * 56100 )
- NEW met2 ( 60030 51170 ) ( * 53890 )
- NEW met3 ( 60030 56100 ) ( 71300 * 0 )
- NEW li1 ( 60030 53890 ) L1M1_PR_MR
- NEW met1 ( 60030 53890 ) M1M2_PR
- NEW met2 ( 60030 56100 ) M2M3_PR_M
- NEW li1 ( 60030 51170 ) L1M1_PR_MR
- NEW met1 ( 60030 51170 ) M1M2_PR
- NEW met1 ( 60030 53890 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 60030 51170 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[22] ( PIN ext_trim[22] ) ( ANTENNA__335__A1 DIODE ) ( _335_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 67850 43860 ) ( * 46750 )
- NEW met3 ( 67850 43860 ) ( 71300 * 0 )
- NEW met1 ( 66010 49470 ) ( 67850 * )
- NEW met2 ( 67850 46750 ) ( * 49470 )
- NEW li1 ( 67850 46750 ) L1M1_PR_MR
- NEW met1 ( 67850 46750 ) M1M2_PR
- NEW met2 ( 67850 43860 ) M2M3_PR_M
- NEW li1 ( 66010 49470 ) L1M1_PR_MR
- NEW met1 ( 67850 49470 ) M1M2_PR
- NEW met1 ( 67850 46750 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[23] ( PIN ext_trim[23] ) ( ANTENNA__333__A1 DIODE ) ( _333_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 66470 30940 ) ( * 33150 )
- NEW met3 ( 66470 30940 ) ( 71300 * 0 )
- NEW met1 ( 66470 38590 ) ( 67390 * )
- NEW met2 ( 66470 33150 ) ( * 38590 )
- NEW li1 ( 66470 33150 ) L1M1_PR_MR
- NEW met1 ( 66470 33150 ) M1M2_PR
- NEW met2 ( 66470 30940 ) M2M3_PR_M
- NEW li1 ( 67390 38590 ) L1M1_PR_MR
- NEW met1 ( 66470 38590 ) M1M2_PR
- NEW met1 ( 66470 33150 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[24] ( PIN ext_trim[24] ) ( ANTENNA__331__A1 DIODE ) ( _331_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 67850 18700 ) ( * 35870 )
- NEW met3 ( 67850 18700 ) ( 71300 * 0 )
- NEW met1 ( 63710 36890 ) ( 66470 * )
- NEW met1 ( 66470 36550 ) ( * 36890 )
- NEW met1 ( 66470 36550 ) ( 67850 * )
- NEW met1 ( 67850 35870 ) ( * 36550 )
- NEW li1 ( 67850 35870 ) L1M1_PR_MR
- NEW met1 ( 67850 35870 ) M1M2_PR
- NEW met2 ( 67850 18700 ) M2M3_PR_M
- NEW li1 ( 63710 36890 ) L1M1_PR_MR
- NEW met1 ( 67850 35870 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[25] ( PIN ext_trim[25] ) ( ANTENNA__355__A1 DIODE ) ( _355_ A1 ) + USE SIGNAL
- + ROUTED met3 ( 53130 6460 ) ( 71300 * 0 )
- NEW met1 ( 51750 39610 ) ( 53130 * )
- NEW met1 ( 47150 39270 ) ( 48530 * )
- NEW met1 ( 48530 39270 ) ( * 39610 )
- NEW met1 ( 48530 39610 ) ( 51750 * )
- NEW met2 ( 53130 6460 ) ( * 39610 )
- NEW met2 ( 53130 6460 ) M2M3_PR_M
- NEW li1 ( 51750 39610 ) L1M1_PR_MR
- NEW met1 ( 53130 39610 ) M1M2_PR
- NEW li1 ( 47150 39270 ) L1M1_PR_MR ;
- - ext_trim[2] ( PIN ext_trim[2] ) ( ANTENNA__348__A1 DIODE ) ( _348_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 8510 53210 ) ( * 53380 )
- NEW met3 ( 3220 53380 0 ) ( 8510 * )
- NEW met1 ( 7590 51170 ) ( 8510 * )
- NEW met2 ( 8510 51170 ) ( * 53210 )
- NEW li1 ( 8510 53210 ) L1M1_PR_MR
- NEW met1 ( 8510 53210 ) M1M2_PR
- NEW met2 ( 8510 53380 ) M2M3_PR_M
- NEW li1 ( 7590 51170 ) L1M1_PR_MR
- NEW met1 ( 8510 51170 ) M1M2_PR
- NEW met1 ( 8510 53210 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[3] ( PIN ext_trim[3] ) ( ANTENNA__346__A1 DIODE ) ( _346_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 7130 56610 ) ( * 58140 )
- NEW met3 ( 3220 58140 0 ) ( 7130 * )
- NEW met1 ( 7130 58310 ) ( 9430 * )
- NEW met2 ( 7130 58140 ) ( * 58310 )
- NEW li1 ( 7130 56610 ) L1M1_PR_MR
- NEW met1 ( 7130 56610 ) M1M2_PR
- NEW met2 ( 7130 58140 ) M2M3_PR_M
- NEW li1 ( 9430 58310 ) L1M1_PR_MR
- NEW met1 ( 7130 58310 ) M1M2_PR
- NEW met1 ( 7130 56610 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 7130 58310 ) RECT ( -70 0 70 315 ) ;
- - ext_trim[4] ( PIN ext_trim[4] ) ( ANTENNA__344__A1 DIODE ) ( _344_ A1 ) + USE SIGNAL
- + ROUTED met3 ( 3220 62900 0 ) ( 17710 * )
- NEW met1 ( 17710 61370 ) ( 21390 * )
- NEW met1 ( 17710 61370 ) ( * 62050 )
- NEW met2 ( 17710 62050 ) ( * 62900 )
- NEW met2 ( 17710 62900 ) M2M3_PR_M
- NEW li1 ( 17710 62050 ) L1M1_PR_MR
- NEW met1 ( 17710 62050 ) M1M2_PR
- NEW li1 ( 21390 61370 ) L1M1_PR_MR
- NEW met1 ( 17710 62050 ) RECT ( -355 -70 0 70 ) ;
- - ext_trim[5] ( PIN ext_trim[5] ) ( ANTENNA__342__A1 DIODE ) ( _342_ A1 ) + USE SIGNAL
- + ROUTED met3 ( 3220 67660 0 ) ( 17250 * )
- NEW met1 ( 17250 59330 ) ( 17710 * )
- NEW met1 ( 17710 59330 ) ( 20470 * )
- NEW met2 ( 17250 59330 ) ( * 67660 )
- NEW met2 ( 17250 67660 ) M2M3_PR_M
- NEW li1 ( 17710 59330 ) L1M1_PR_MR
- NEW met1 ( 17250 59330 ) M1M2_PR
- NEW li1 ( 20470 59330 ) L1M1_PR_MR ;
- - ext_trim[6] ( PIN ext_trim[6] ) ( ANTENNA__340__A1 DIODE ) ( _340_ A1 ) + USE SIGNAL
- + ROUTED met3 ( 3220 72420 0 ) ( 20470 * )
- NEW met2 ( 20470 62100 ) ( * 72420 )
- NEW met1 ( 20930 61710 ) ( 26450 * )
- NEW met2 ( 20930 61710 ) ( * 62100 )
- NEW met2 ( 20470 62100 ) ( 20930 * )
- NEW met1 ( 28750 61370 ) ( * 61710 )
- NEW met1 ( 26450 61710 ) ( 28750 * )
- NEW met2 ( 20470 72420 ) M2M3_PR_M
- NEW li1 ( 26450 61710 ) L1M1_PR_MR
- NEW met1 ( 20930 61710 ) M1M2_PR
- NEW li1 ( 28750 61370 ) L1M1_PR_MR ;
- - ext_trim[7] ( PIN ext_trim[7] ) ( ANTENNA__338__A1 DIODE ) ( _338_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 2990 67150 ) ( * 71740 0 )
- NEW met1 ( 36110 66810 ) ( * 67150 )
- NEW met1 ( 2990 67150 ) ( 36110 * )
- NEW met1 ( 2990 67150 ) M1M2_PR
- NEW li1 ( 33810 67150 ) L1M1_PR_MR
- NEW li1 ( 36110 66810 ) L1M1_PR_MR
- NEW met1 ( 33810 67150 ) RECT ( -595 -70 0 70 ) ;
- - ext_trim[8] ( PIN ext_trim[8] ) ( ANTENNA__336__A1 DIODE ) ( _336_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 8510 67490 ) ( 31970 * )
- NEW met2 ( 8510 67490 ) ( * 71740 0 )
- NEW met2 ( 32430 64770 ) ( * 67490 )
- NEW met1 ( 31970 67490 ) ( 32430 * )
- NEW met1 ( 32430 64770 ) ( 34730 * )
- NEW li1 ( 31970 67490 ) L1M1_PR_MR
- NEW met1 ( 8510 67490 ) M1M2_PR
- NEW met1 ( 32430 64770 ) M1M2_PR
- NEW met1 ( 32430 67490 ) M1M2_PR
- NEW li1 ( 34730 64770 ) L1M1_PR_MR ;
- - ext_trim[9] ( PIN ext_trim[9] ) ( ANTENNA__334__A1 DIODE ) ( _334_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 33350 55250 ) ( 33810 * )
- NEW met2 ( 33350 55250 ) ( * 68850 )
- NEW met1 ( 14490 68850 ) ( 33350 * )
- NEW met2 ( 14490 68850 ) ( * 71740 0 )
- NEW met1 ( 34270 55930 ) ( 38410 * )
- NEW met1 ( 34270 55590 ) ( * 55930 )
- NEW met1 ( 33810 55590 ) ( 34270 * )
- NEW met1 ( 33810 55590 ) ( * 55600 )
- NEW met1 ( 33350 55600 ) ( 33810 * )
- NEW met1 ( 33350 55250 ) ( * 55600 )
- NEW li1 ( 33810 55250 ) L1M1_PR_MR
- NEW met1 ( 33350 55250 ) M1M2_PR
- NEW met1 ( 33350 68850 ) M1M2_PR
- NEW met1 ( 14490 68850 ) M1M2_PR
- NEW li1 ( 38410 55930 ) L1M1_PR_MR ;
- - osc ( PIN osc ) ( ANTENNA__356__D DIODE ) ( _356_ D ) + USE SIGNAL
- + ROUTED met1 ( 55890 5950 ) ( 57730 * )
- NEW met2 ( 55890 3740 0 ) ( * 5950 )
- NEW met2 ( 59110 5950 ) ( * 9350 )
- NEW met1 ( 57730 5950 ) ( 59110 * )
- NEW li1 ( 57730 5950 ) L1M1_PR_MR
- NEW met1 ( 55890 5950 ) M1M2_PR
- NEW li1 ( 59110 9350 ) L1M1_PR_MR
- NEW met1 ( 59110 9350 ) M1M2_PR
- NEW met1 ( 59110 5950 ) M1M2_PR
- NEW met1 ( 59110 9350 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.clock ( ringosc.ibufp01 Y ) ( _378_ CLK ) ( _377_ CLK ) ( _376_ CLK ) ( _375_ CLK ) ( _374_ CLK ) ( _373_ CLK )
- ( _372_ CLK ) ( _371_ CLK ) ( _370_ CLK ) ( _369_ CLK ) ( _368_ CLK ) ( _367_ CLK ) ( _366_ CLK ) ( _365_ CLK )
- ( _364_ CLK ) ( _363_ CLK ) ( _362_ CLK ) ( _361_ CLK ) ( _360_ CLK ) ( _359_ CLK ) ( _358_ CLK ) ( _357_ CLK )
- ( _356_ CLK ) ( _328_ A ) + USE SIGNAL
- + ROUTED met2 ( 8050 6630 ) ( * 9350 )
- NEW met1 ( 8050 6630 ) ( 8970 * )
- NEW met1 ( 11270 10030 ) ( * 10370 )
- NEW met1 ( 8050 10030 ) ( 11270 * )
- NEW met1 ( 8050 9350 ) ( * 10030 )
- NEW met1 ( 8510 30430 ) ( * 31110 )
- NEW met1 ( 8510 30430 ) ( 13110 * )
- NEW met2 ( 13110 28730 ) ( * 30430 )
- NEW met1 ( 22310 9350 ) ( 30130 * )
- NEW met1 ( 22310 9350 ) ( * 10030 )
- NEW met1 ( 17250 10030 ) ( 22310 * )
- NEW met1 ( 17250 10030 ) ( * 10370 )
- NEW met1 ( 30130 9350 ) ( 31970 * )
- NEW met2 ( 29670 11220 ) ( 30130 * )
- NEW met2 ( 30130 9350 ) ( * 11220 )
- NEW met1 ( 42090 9690 ) ( * 10030 )
- NEW met1 ( 39790 10030 ) ( 42090 * )
- NEW met1 ( 39790 10030 ) ( * 10370 )
- NEW met1 ( 31970 10370 ) ( 39790 * )
- NEW met1 ( 31970 9350 ) ( * 10370 )
- NEW met1 ( 42090 10370 ) ( 49910 * )
- NEW met1 ( 42090 10030 ) ( * 10370 )
- NEW met1 ( 49910 11960 ) ( * 12070 )
- NEW met1 ( 57730 6970 ) ( 58650 * )
- NEW met2 ( 57730 6970 ) ( * 9690 )
- NEW met1 ( 11270 10370 ) ( 17250 * )
- NEW met1 ( 57730 14790 ) ( 58650 * )
- NEW met2 ( 57730 14790 ) ( * 20230 )
- NEW met1 ( 51290 16830 ) ( * 17510 )
- NEW met1 ( 51290 16830 ) ( 57730 * )
- NEW met1 ( 57730 28390 ) ( 58650 * )
- NEW met2 ( 57730 20230 ) ( * 28390 )
- NEW met1 ( 48990 27710 ) ( * 28390 )
- NEW met1 ( 48990 27710 ) ( 57730 * )
- NEW met1 ( 57730 31110 ) ( 58650 * )
- NEW met2 ( 57730 28390 ) ( * 31110 )
- NEW met1 ( 41170 26010 ) ( * 26350 )
- NEW met1 ( 41170 26350 ) ( 45310 * )
- NEW met1 ( 45310 26010 ) ( * 26350 )
- NEW met1 ( 45310 26010 ) ( 47610 * )
- NEW met2 ( 47610 26010 ) ( * 28220 )
- NEW met2 ( 47610 28220 ) ( 48070 * )
- NEW met2 ( 48070 28220 ) ( * 28390 )
- NEW met1 ( 48070 28390 ) ( 48990 * )
- NEW met2 ( 39330 20570 ) ( * 26350 )
- NEW met1 ( 39330 26350 ) ( 41170 * )
- NEW met2 ( 33350 17850 ) ( * 22270 )
- NEW met1 ( 33350 22270 ) ( 39330 * )
- NEW met1 ( 31970 30430 ) ( * 31110 )
- NEW met1 ( 31970 30430 ) ( 33350 * )
- NEW met2 ( 33350 22270 ) ( * 30430 )
- NEW met1 ( 29670 17850 ) ( 33350 * )
- NEW met1 ( 28290 17850 ) ( 29670 * )
- NEW met1 ( 25070 31110 ) ( 31970 * )
- NEW met1 ( 21850 20570 ) ( * 20910 )
- NEW met1 ( 21850 20910 ) ( 22310 * )
- NEW met1 ( 22310 20910 ) ( * 21250 )
- NEW met1 ( 22310 21250 ) ( 29670 * )
- NEW met2 ( 29670 17850 ) ( * 21250 )
- NEW met1 ( 19550 28730 ) ( 27830 * )
- NEW met2 ( 27830 28730 ) ( * 31110 )
- NEW met1 ( 24150 34170 ) ( 27830 * )
- NEW met2 ( 27830 31110 ) ( * 34170 )
- NEW met1 ( 48530 14790 ) ( 49910 * )
- NEW met1 ( 13110 28730 ) ( 19550 * )
- NEW met2 ( 29670 11220 ) ( * 17850 )
- NEW met2 ( 49910 10370 ) ( * 14790 )
- NEW met2 ( 57730 9690 ) ( * 14790 )
- NEW li1 ( 8050 9350 ) L1M1_PR_MR
- NEW met1 ( 8050 9350 ) M1M2_PR
- NEW met1 ( 8050 6630 ) M1M2_PR
- NEW li1 ( 8970 6630 ) L1M1_PR_MR
- NEW li1 ( 8510 31110 ) L1M1_PR_MR
- NEW met1 ( 13110 30430 ) M1M2_PR
- NEW met1 ( 13110 28730 ) M1M2_PR
- NEW li1 ( 30130 9350 ) L1M1_PR_MR
- NEW li1 ( 31970 9350 ) L1M1_PR_MR
- NEW met1 ( 30130 9350 ) M1M2_PR
- NEW li1 ( 42090 9690 ) L1M1_PR_MR
- NEW met1 ( 49910 10370 ) M1M2_PR
- NEW li1 ( 49910 12070 ) L1M1_PR_MR
- NEW met1 ( 49910 11960 ) M1M2_PR
- NEW li1 ( 57730 9690 ) L1M1_PR_MR
- NEW met1 ( 57730 9690 ) M1M2_PR
- NEW li1 ( 58650 6970 ) L1M1_PR_MR
- NEW met1 ( 57730 6970 ) M1M2_PR
- NEW li1 ( 58650 14790 ) L1M1_PR_MR
- NEW met1 ( 57730 14790 ) M1M2_PR
- NEW li1 ( 57730 20230 ) L1M1_PR_MR
- NEW met1 ( 57730 20230 ) M1M2_PR
- NEW li1 ( 51290 17510 ) L1M1_PR_MR
- NEW met1 ( 57730 16830 ) M1M2_PR
- NEW li1 ( 58650 28390 ) L1M1_PR_MR
- NEW met1 ( 57730 28390 ) M1M2_PR
- NEW li1 ( 48990 28390 ) L1M1_PR_MR
- NEW met1 ( 57730 27710 ) M1M2_PR
- NEW li1 ( 58650 31110 ) L1M1_PR_MR
- NEW met1 ( 57730 31110 ) M1M2_PR
- NEW li1 ( 41170 26010 ) L1M1_PR_MR
- NEW met1 ( 47610 26010 ) M1M2_PR
- NEW met1 ( 48070 28390 ) M1M2_PR
- NEW li1 ( 39330 20570 ) L1M1_PR_MR
- NEW met1 ( 39330 20570 ) M1M2_PR
- NEW met1 ( 39330 26350 ) M1M2_PR
- NEW li1 ( 33350 17850 ) L1M1_PR_MR
- NEW met1 ( 33350 17850 ) M1M2_PR
- NEW met1 ( 33350 22270 ) M1M2_PR
- NEW met1 ( 39330 22270 ) M1M2_PR
- NEW li1 ( 31970 31110 ) L1M1_PR_MR
- NEW met1 ( 33350 30430 ) M1M2_PR
- NEW met1 ( 29670 17850 ) M1M2_PR
- NEW li1 ( 28290 17850 ) L1M1_PR_MR
- NEW li1 ( 25070 31110 ) L1M1_PR_MR
- NEW li1 ( 21850 20570 ) L1M1_PR_MR
- NEW met1 ( 29670 21250 ) M1M2_PR
- NEW li1 ( 19550 28730 ) L1M1_PR_MR
- NEW met1 ( 27830 28730 ) M1M2_PR
- NEW met1 ( 27830 31110 ) M1M2_PR
- NEW li1 ( 24150 34170 ) L1M1_PR_MR
- NEW met1 ( 27830 34170 ) M1M2_PR
- NEW met1 ( 49910 14790 ) M1M2_PR
- NEW li1 ( 48530 14790 ) L1M1_PR_MR
- NEW met1 ( 8050 9350 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 30130 9350 ) RECT ( -595 -70 0 70 )
- NEW met2 ( 49910 11960 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 57730 9690 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 57730 20230 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 57730 16830 ) RECT ( -70 -485 70 0 )
- NEW met2 ( 57730 27710 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 39330 20570 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 33350 17850 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 39330 22270 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 27830 31110 ) RECT ( -595 -70 0 70 ) ;
- - pll_control.count0\[0\] ( _359_ Q ) ( _275_ B2 ) ( _186_ B1 ) ( _168_ A ) + USE SIGNAL
- + ROUTED met1 ( 29210 12410 ) ( * 13090 )
- NEW met1 ( 29210 19550 ) ( 30590 * )
- NEW met1 ( 32890 20910 ) ( 33350 * )
- NEW met2 ( 32890 19550 ) ( * 20910 )
- NEW met1 ( 30590 19550 ) ( 32890 * )
- NEW met2 ( 32430 22950 ) ( 32890 * )
- NEW met2 ( 32890 20910 ) ( * 22950 )
- NEW met2 ( 29210 13090 ) ( * 19550 )
- NEW met1 ( 29210 13090 ) M1M2_PR
- NEW li1 ( 29210 12410 ) L1M1_PR_MR
- NEW li1 ( 30590 19550 ) L1M1_PR_MR
- NEW met1 ( 29210 19550 ) M1M2_PR
- NEW li1 ( 33350 20910 ) L1M1_PR_MR
- NEW met1 ( 32890 20910 ) M1M2_PR
- NEW met1 ( 32890 19550 ) M1M2_PR
- NEW li1 ( 32430 22950 ) L1M1_PR_MR
- NEW met1 ( 32430 22950 ) M1M2_PR
- NEW met1 ( 32430 22950 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.count0\[1\] ( _360_ Q ) ( _275_ B1 ) ( _195_ A1 ) ( _194_ B1 ) ( _194_ A1_N ) ( _185_ B1 ) ( _167_ A ) + USE SIGNAL
- + ROUTED met1 ( 28745 12070 ) ( * 12750 )
- NEW met1 ( 27370 12750 ) ( 28745 * )
- NEW met2 ( 27370 7310 ) ( * 12750 )
- NEW met1 ( 27370 7310 ) ( 31050 * )
- NEW met1 ( 31050 6630 ) ( * 7310 )
- NEW met1 ( 38410 12070 ) ( 38560 * )
- NEW met2 ( 38410 7310 ) ( * 12070 )
- NEW met1 ( 31050 7310 ) ( 38410 * )
- NEW met1 ( 38410 9350 ) ( 40710 * )
- NEW met1 ( 38560 12070 ) ( 41170 * )
- NEW met1 ( 36570 15470 ) ( 38410 * )
- NEW met2 ( 38410 12070 ) ( * 15470 )
- NEW met1 ( 41170 11730 ) ( 47150 * )
- NEW met1 ( 41170 11730 ) ( * 12070 )
- NEW li1 ( 28745 12070 ) L1M1_PR_MR
- NEW met1 ( 27370 12750 ) M1M2_PR
- NEW met1 ( 27370 7310 ) M1M2_PR
- NEW li1 ( 31050 6630 ) L1M1_PR_MR
- NEW li1 ( 38560 12070 ) L1M1_PR_MR
- NEW met1 ( 38410 12070 ) M1M2_PR
- NEW met1 ( 38410 7310 ) M1M2_PR
- NEW li1 ( 40710 9350 ) L1M1_PR_MR
- NEW met1 ( 38410 9350 ) M1M2_PR
- NEW li1 ( 41170 12070 ) L1M1_PR_MR
- NEW li1 ( 36570 15470 ) L1M1_PR_MR
- NEW met1 ( 38410 15470 ) M1M2_PR
- NEW li1 ( 47150 11730 ) L1M1_PR_MR
- NEW met2 ( 38410 9350 ) RECT ( -70 -485 70 0 ) ;
- - pll_control.count0\[2\] ( _361_ Q ) ( _199_ A1 ) ( _197_ A1_N ) ( _192_ A ) ( _184_ B1 ) ( _166_ A ) + USE SIGNAL
- + ROUTED met2 ( 21390 6290 ) ( * 7310 )
- NEW met1 ( 17710 7310 ) ( 21390 * )
- NEW met1 ( 17710 6630 ) ( * 7310 )
- NEW met1 ( 21390 8670 ) ( 23230 * )
- NEW met2 ( 23230 7310 ) ( * 8670 )
- NEW met1 ( 21390 7310 ) ( 23230 * )
- NEW met1 ( 19550 9350 ) ( * 9690 )
- NEW met1 ( 19550 9350 ) ( 21390 * )
- NEW met1 ( 21390 8670 ) ( * 9350 )
- NEW met2 ( 23230 8670 ) ( * 12070 )
- NEW met1 ( 21700 12070 ) ( 23230 * )
- NEW li1 ( 21390 6290 ) L1M1_PR_MR
- NEW met1 ( 21390 6290 ) M1M2_PR
- NEW met1 ( 21390 7310 ) M1M2_PR
- NEW li1 ( 17710 6630 ) L1M1_PR_MR
- NEW li1 ( 21390 8670 ) L1M1_PR_MR
- NEW met1 ( 23230 8670 ) M1M2_PR
- NEW met1 ( 23230 7310 ) M1M2_PR
- NEW li1 ( 19550 9690 ) L1M1_PR_MR
- NEW li1 ( 23230 12070 ) L1M1_PR_MR
- NEW met1 ( 23230 12070 ) M1M2_PR
- NEW li1 ( 21700 12070 ) L1M1_PR_MR
- NEW met1 ( 21390 6290 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 23230 12070 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.count0\[3\] ( _362_ Q ) ( _277_ A1 ) ( _272_ A ) ( _271_ A1 ) ( _269_ A ) ( _213_ A1_N ) ( _191_ A1 )
- ( _190_ A ) ( _183_ B1 ) + USE SIGNAL
- + ROUTED met1 ( 15410 20570 ) ( 15870 * )
- NEW met2 ( 15870 17510 ) ( * 20570 )
- NEW met1 ( 15870 21250 ) ( 19550 * )
- NEW met1 ( 15870 20570 ) ( * 21250 )
- NEW met1 ( 19550 22950 ) ( 21400 * )
- NEW met2 ( 19550 21250 ) ( * 22950 )
- NEW met1 ( 26910 22950 ) ( * 23290 )
- NEW met1 ( 25530 23290 ) ( 26910 * )
- NEW met1 ( 25530 22950 ) ( * 23290 )
- NEW met1 ( 21400 22950 ) ( 25530 * )
- NEW met1 ( 28750 18190 ) ( * 18530 )
- NEW met1 ( 27830 18530 ) ( 28750 * )
- NEW met2 ( 27830 18530 ) ( * 22610 )
- NEW met1 ( 26910 22610 ) ( 27830 * )
- NEW met1 ( 26910 22610 ) ( * 22950 )
- NEW met2 ( 27830 22610 ) ( * 26010 )
- NEW met1 ( 30130 26010 ) ( * 26350 )
- NEW met1 ( 27830 26350 ) ( 30130 * )
- NEW met1 ( 27830 26010 ) ( * 26350 )
- NEW met1 ( 32430 26350 ) ( * 26690 )
- NEW met1 ( 30130 26350 ) ( 32430 * )
- NEW li1 ( 15410 20570 ) L1M1_PR_MR
- NEW met1 ( 15870 20570 ) M1M2_PR
- NEW li1 ( 15870 17510 ) L1M1_PR_MR
- NEW met1 ( 15870 17510 ) M1M2_PR
- NEW li1 ( 19550 21250 ) L1M1_PR_MR
- NEW li1 ( 21400 22950 ) L1M1_PR_MR
- NEW met1 ( 19550 22950 ) M1M2_PR
- NEW met1 ( 19550 21250 ) M1M2_PR
- NEW li1 ( 26910 22950 ) L1M1_PR_MR
- NEW li1 ( 28750 18190 ) L1M1_PR_MR
- NEW met1 ( 27830 18530 ) M1M2_PR
- NEW met1 ( 27830 22610 ) M1M2_PR
- NEW li1 ( 27830 26010 ) L1M1_PR_MR
- NEW met1 ( 27830 26010 ) M1M2_PR
- NEW li1 ( 30130 26010 ) L1M1_PR_MR
- NEW li1 ( 32430 26690 ) L1M1_PR_MR
- NEW met1 ( 15870 17510 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 19550 21250 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 27830 26010 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.count0\[4\] ( _363_ Q ) ( _277_ A3 ) ( _272_ C ) ( _271_ B1 ) ( _212_ A1 ) ( _180_ B1 ) ( _164_ A ) + USE SIGNAL
- + ROUTED met2 ( 25990 22950 ) ( * 23630 )
- NEW met1 ( 25990 23630 ) ( 30130 * )
- NEW met2 ( 30130 17510 ) ( * 23630 )
- NEW met1 ( 25985 26010 ) ( 25990 * )
- NEW met2 ( 25990 23630 ) ( * 26010 )
- NEW met2 ( 28290 23630 ) ( * 27710 )
- NEW met1 ( 19090 26010 ) ( 19550 * )
- NEW met1 ( 19550 26010 ) ( * 26350 )
- NEW met1 ( 19550 26350 ) ( 25985 * )
- NEW met1 ( 25985 26010 ) ( * 26350 )
- NEW met2 ( 19550 26350 ) ( * 31450 )
- NEW met1 ( 14950 25330 ) ( * 26010 )
- NEW met1 ( 14950 25330 ) ( 15410 * )
- NEW met1 ( 15410 25330 ) ( * 25670 )
- NEW met1 ( 15410 25670 ) ( 18630 * )
- NEW met1 ( 18630 25670 ) ( * 26010 )
- NEW met1 ( 18630 26010 ) ( 19090 * )
- NEW li1 ( 25990 22950 ) L1M1_PR_MR
- NEW met1 ( 25990 22950 ) M1M2_PR
- NEW met1 ( 25990 23630 ) M1M2_PR
- NEW met1 ( 30130 23630 ) M1M2_PR
- NEW li1 ( 30130 17510 ) L1M1_PR_MR
- NEW met1 ( 30130 17510 ) M1M2_PR
- NEW li1 ( 25985 26010 ) L1M1_PR_MR
- NEW met1 ( 25990 26010 ) M1M2_PR
- NEW li1 ( 28290 27710 ) L1M1_PR_MR
- NEW met1 ( 28290 27710 ) M1M2_PR
- NEW met1 ( 28290 23630 ) M1M2_PR
- NEW li1 ( 19090 26010 ) L1M1_PR_MR
- NEW li1 ( 19550 31450 ) L1M1_PR_MR
- NEW met1 ( 19550 31450 ) M1M2_PR
- NEW met1 ( 19550 26350 ) M1M2_PR
- NEW li1 ( 14950 26010 ) L1M1_PR_MR
- NEW met1 ( 25990 22950 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 30130 17510 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 25985 26010 ) RECT ( -350 -70 0 70 )
- NEW met1 ( 28290 27710 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 28290 23630 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 19550 31450 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 19550 26350 ) RECT ( 0 -70 595 70 ) ;
- - pll_control.count1\[0\] ( _374_ Q ) ( _186_ A1 ) ( _169_ A ) + USE SIGNAL
- + ROUTED met2 ( 37030 17850 ) ( * 20570 )
- NEW met1 ( 37030 17850 ) ( 42090 * )
- NEW met1 ( 33810 20910 ) ( 37030 * )
- NEW met1 ( 37030 20570 ) ( * 20910 )
- NEW li1 ( 37030 20570 ) L1M1_PR_MR
- NEW met1 ( 37030 20570 ) M1M2_PR
- NEW met1 ( 37030 17850 ) M1M2_PR
- NEW li1 ( 42090 17850 ) L1M1_PR_MR
- NEW li1 ( 33810 20910 ) L1M1_PR_MR
- NEW met1 ( 37030 20570 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.count1\[1\] ( _375_ Q ) ( _195_ A2 ) ( _194_ B2 ) ( _194_ A2_N ) ( _185_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 40710 12750 ) ( 46690 * )
- NEW met1 ( 46690 12070 ) ( * 12750 )
- NEW met2 ( 38870 11390 ) ( * 12750 )
- NEW met1 ( 38870 12750 ) ( 40710 * )
- NEW met1 ( 38870 14450 ) ( 39790 * )
- NEW met2 ( 38870 12750 ) ( * 14450 )
- NEW met1 ( 36085 15130 ) ( 36570 * )
- NEW met1 ( 36570 14790 ) ( * 15130 )
- NEW met1 ( 36570 14790 ) ( 38870 * )
- NEW met1 ( 38870 14450 ) ( * 14790 )
- NEW li1 ( 40710 12750 ) L1M1_PR_MR
- NEW li1 ( 46690 12070 ) L1M1_PR_MR
- NEW li1 ( 38870 11390 ) L1M1_PR_MR
- NEW met1 ( 38870 11390 ) M1M2_PR
- NEW met1 ( 38870 12750 ) M1M2_PR
- NEW li1 ( 39790 14450 ) L1M1_PR_MR
- NEW met1 ( 38870 14450 ) M1M2_PR
- NEW li1 ( 36085 15130 ) L1M1_PR_MR
- NEW met1 ( 38870 11390 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.count1\[2\] ( _376_ Q ) ( _199_ A2 ) ( _197_ A2_N ) ( _192_ B ) ( _184_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 20470 9690 ) ( 21390 * )
- NEW met2 ( 21390 9690 ) ( * 11390 )
- NEW met2 ( 20930 6630 ) ( * 7140 )
- NEW met2 ( 20470 7140 ) ( 20930 * )
- NEW met2 ( 20470 7140 ) ( * 9180 )
- NEW met2 ( 20470 9180 ) ( 21390 * )
- NEW met2 ( 21390 9180 ) ( * 9690 )
- NEW met1 ( 17250 6290 ) ( * 6630 )
- NEW met1 ( 17250 6290 ) ( 20930 * )
- NEW met1 ( 20930 6290 ) ( * 6630 )
- NEW met1 ( 16790 8670 ) ( 20470 * )
- NEW li1 ( 20470 9690 ) L1M1_PR_MR
- NEW met1 ( 21390 9690 ) M1M2_PR
- NEW li1 ( 21390 11390 ) L1M1_PR_MR
- NEW met1 ( 21390 11390 ) M1M2_PR
- NEW li1 ( 20930 6630 ) L1M1_PR_MR
- NEW met1 ( 20930 6630 ) M1M2_PR
- NEW li1 ( 17250 6630 ) L1M1_PR_MR
- NEW li1 ( 16790 8670 ) L1M1_PR_MR
- NEW met1 ( 20470 8670 ) M1M2_PR
- NEW met1 ( 21390 11390 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 20930 6630 ) RECT ( 0 -70 355 70 )
- NEW met2 ( 20470 8670 ) RECT ( -70 -485 70 0 ) ;
- - pll_control.count1\[3\] ( _377_ Q ) ( _213_ A2_N ) ( _191_ A2 ) ( _190_ B ) ( _183_ A1 ) + USE SIGNAL
- + ROUTED met2 ( 20010 20910 ) ( * 22270 )
- NEW met1 ( 20010 22270 ) ( 21390 * )
- NEW met1 ( 19550 18530 ) ( 20010 * )
- NEW met2 ( 20010 18530 ) ( * 20910 )
- NEW met1 ( 16330 20230 ) ( * 20570 )
- NEW met1 ( 16330 20230 ) ( 20010 * )
- NEW met1 ( 16330 17510 ) ( * 18530 )
- NEW met1 ( 16330 18530 ) ( 19550 * )
- NEW li1 ( 20010 20910 ) L1M1_PR_MR
- NEW met1 ( 20010 20910 ) M1M2_PR
- NEW met1 ( 20010 22270 ) M1M2_PR
- NEW li1 ( 21390 22270 ) L1M1_PR_MR
- NEW li1 ( 19550 18530 ) L1M1_PR_MR
- NEW met1 ( 20010 18530 ) M1M2_PR
- NEW li1 ( 16330 20570 ) L1M1_PR_MR
- NEW met1 ( 20010 20230 ) M1M2_PR
- NEW li1 ( 16330 17510 ) L1M1_PR_MR
- NEW met1 ( 20010 20910 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 20010 20230 ) RECT ( -70 -485 70 0 ) ;
- - pll_control.count1\[4\] ( _378_ Q ) ( _212_ A2 ) ( _180_ A1 ) ( _165_ A ) + USE SIGNAL
- + ROUTED met2 ( 18630 26180 ) ( * 26350 )
- NEW met3 ( 13570 26180 ) ( 18630 * )
- NEW met2 ( 13570 26010 ) ( * 26180 )
- NEW met1 ( 13570 26010 ) ( 14465 * )
- NEW met1 ( 17250 30430 ) ( 18630 * )
- NEW met2 ( 18630 26350 ) ( * 30430 )
- NEW met1 ( 16790 33830 ) ( 18630 * )
- NEW met2 ( 18630 30430 ) ( * 33830 )
- NEW li1 ( 18630 26350 ) L1M1_PR_MR
- NEW met1 ( 18630 26350 ) M1M2_PR
- NEW met2 ( 18630 26180 ) M2M3_PR_M
- NEW met2 ( 13570 26180 ) M2M3_PR_M
- NEW met1 ( 13570 26010 ) M1M2_PR
- NEW li1 ( 14465 26010 ) L1M1_PR_MR
- NEW li1 ( 17250 30430 ) L1M1_PR_MR
- NEW met1 ( 18630 30430 ) M1M2_PR
- NEW li1 ( 16790 33830 ) L1M1_PR_MR
- NEW met1 ( 18630 33830 ) M1M2_PR
- NEW met1 ( 18630 26350 ) RECT ( 0 -70 355 70 ) ;
- - pll_control.oscbuf\[0\] ( _357_ D ) ( _356_ Q ) + USE SIGNAL
- + ROUTED met2 ( 60030 6970 ) ( * 8670 )
- NEW met1 ( 60030 8670 ) ( 66470 * )
- NEW li1 ( 66470 8670 ) L1M1_PR_MR
- NEW met1 ( 60030 8670 ) M1M2_PR
- NEW li1 ( 60030 6970 ) L1M1_PR_MR
- NEW met1 ( 60030 6970 ) M1M2_PR
- NEW met1 ( 60030 6970 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.oscbuf\[1\] ( _358_ D ) ( _357_ Q ) ( _178_ B1 ) ( _178_ A1_N ) + USE SIGNAL
- + ROUTED met1 ( 66930 11730 ) ( 67390 * )
- NEW met2 ( 67390 7650 ) ( * 11730 )
- NEW met1 ( 64530 12070 ) ( 64630 * )
- NEW met1 ( 64630 11730 ) ( * 12070 )
- NEW met1 ( 64630 11730 ) ( 66930 * )
- NEW met1 ( 63710 12070 ) ( 64530 * )
- NEW met2 ( 63710 12070 ) ( * 14790 )
- NEW met1 ( 60030 14790 ) ( 63710 * )
- NEW li1 ( 66930 11730 ) L1M1_PR_MR
- NEW met1 ( 67390 11730 ) M1M2_PR
- NEW li1 ( 67390 7650 ) L1M1_PR_MR
- NEW met1 ( 67390 7650 ) M1M2_PR
- NEW li1 ( 64530 12070 ) L1M1_PR_MR
- NEW met1 ( 63710 12070 ) M1M2_PR
- NEW met1 ( 63710 14790 ) M1M2_PR
- NEW li1 ( 60030 14790 ) L1M1_PR_MR
- NEW met1 ( 67390 7650 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.oscbuf\[2\] ( _358_ Q ) ( _178_ B2 ) ( _178_ A2_N ) + USE SIGNAL
- + ROUTED met1 ( 66470 12410 ) ( 67390 * )
- NEW met1 ( 65090 12070 ) ( * 12410 )
- NEW met1 ( 65090 12410 ) ( 66470 * )
- NEW met2 ( 67390 12410 ) ( * 14110 )
- NEW li1 ( 66470 12410 ) L1M1_PR_MR
- NEW met1 ( 67390 12410 ) M1M2_PR
- NEW li1 ( 65090 12070 ) L1M1_PR_MR
- NEW li1 ( 67390 14110 ) L1M1_PR_MR
- NEW met1 ( 67390 14110 ) M1M2_PR
- NEW met1 ( 67390 14110 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.prep\[0\] ( _371_ Q ) ( _226_ D ) ( _189_ A ) ( _188_ B1 ) + USE SIGNAL
- + ROUTED met1 ( 50830 9350 ) ( 54050 * )
- NEW met1 ( 54050 9350 ) ( * 9690 )
- NEW met1 ( 45770 5950 ) ( * 6290 )
- NEW met1 ( 45770 5950 ) ( 50830 * )
- NEW met2 ( 50830 5950 ) ( * 9350 )
- NEW met1 ( 54050 15130 ) ( 54510 * )
- NEW met2 ( 54050 9690 ) ( * 15130 )
- NEW li1 ( 54050 9690 ) L1M1_PR_MR
- NEW met1 ( 54050 9690 ) M1M2_PR
- NEW li1 ( 50830 9350 ) L1M1_PR_MR
- NEW li1 ( 45770 6290 ) L1M1_PR_MR
- NEW met1 ( 50830 5950 ) M1M2_PR
- NEW met1 ( 50830 9350 ) M1M2_PR
- NEW met1 ( 54050 15130 ) M1M2_PR
- NEW li1 ( 54510 15130 ) L1M1_PR_MR
- NEW met1 ( 54050 9690 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 50830 9350 ) RECT ( -595 -70 0 70 ) ;
- - pll_control.prep\[1\] ( _372_ Q ) ( _226_ A ) ( _188_ A1 ) ( _187_ A1 ) + USE SIGNAL
- + ROUTED met1 ( 55890 11390 ) ( 58650 * )
- NEW met2 ( 55890 10030 ) ( * 11390 )
- NEW met1 ( 53590 10030 ) ( 55890 * )
- NEW met1 ( 50830 14790 ) ( 56350 * )
- NEW met1 ( 50830 14790 ) ( * 15130 )
- NEW met2 ( 55890 11390 ) ( * 14790 )
- NEW li1 ( 58650 11390 ) L1M1_PR_MR
- NEW met1 ( 55890 11390 ) M1M2_PR
- NEW met1 ( 55890 10030 ) M1M2_PR
- NEW li1 ( 53590 10030 ) L1M1_PR_MR
- NEW li1 ( 56350 14790 ) L1M1_PR_MR
- NEW li1 ( 50830 15130 ) L1M1_PR_MR
- NEW met1 ( 55890 14790 ) M1M2_PR
- NEW met1 ( 55890 14790 ) RECT ( -595 -70 0 70 ) ;
- - pll_control.prep\[2\] ( _373_ Q ) ( _226_ C ) ( _187_ B1 ) + USE SIGNAL
- + ROUTED met1 ( 54970 15810 ) ( 60030 * )
- NEW met2 ( 60030 15810 ) ( * 16830 )
- NEW met1 ( 50370 15470 ) ( 54970 * )
- NEW met1 ( 54970 15470 ) ( * 15810 )
- NEW li1 ( 54970 15810 ) L1M1_PR_MR
- NEW met1 ( 60030 15810 ) M1M2_PR
- NEW li1 ( 60030 16830 ) L1M1_PR_MR
- NEW met1 ( 60030 16830 ) M1M2_PR
- NEW li1 ( 50370 15470 ) L1M1_PR_MR
- NEW met1 ( 60030 16830 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.tint\[0\] ( _366_ Q ) ( _300_ A2 ) ( _263_ B1 ) ( _259_ A0 ) ( _240_ A1 ) ( _227_ B ) ( _174_ A ) + USE SIGNAL
- + ROUTED met1 ( 54510 37570 ) ( 54970 * )
- NEW met1 ( 54510 36890 ) ( 57730 * )
- NEW met2 ( 54510 36890 ) ( * 37060 )
- NEW met2 ( 54510 37060 ) ( 54970 * )
- NEW met2 ( 54970 37060 ) ( * 37570 )
- NEW met1 ( 51750 33830 ) ( * 34170 )
- NEW met1 ( 51750 34170 ) ( 54510 * )
- NEW met2 ( 54510 34170 ) ( * 36890 )
- NEW met1 ( 51650 31450 ) ( 51750 * )
- NEW met2 ( 51750 31450 ) ( * 33830 )
- NEW met1 ( 52210 29410 ) ( 57730 * )
- NEW met2 ( 52210 29410 ) ( * 29580 )
- NEW met2 ( 51750 29580 ) ( 52210 * )
- NEW met2 ( 51750 29580 ) ( * 31450 )
- NEW met1 ( 54975 44370 ) ( 55430 * )
- NEW met1 ( 55430 44030 ) ( * 44370 )
- NEW met2 ( 54970 44030 ) ( 55430 * )
- NEW met2 ( 54970 44030 ) ( * 50830 )
- NEW met1 ( 54510 50830 ) ( 54970 * )
- NEW met2 ( 54970 37570 ) ( * 44030 )
- NEW li1 ( 54510 37570 ) L1M1_PR_MR
- NEW met1 ( 54970 37570 ) M1M2_PR
- NEW li1 ( 57730 36890 ) L1M1_PR_MR
- NEW met1 ( 54510 36890 ) M1M2_PR
- NEW li1 ( 51750 33830 ) L1M1_PR_MR
- NEW met1 ( 54510 34170 ) M1M2_PR
- NEW li1 ( 51650 31450 ) L1M1_PR_MR
- NEW met1 ( 51750 31450 ) M1M2_PR
- NEW met1 ( 51750 33830 ) M1M2_PR
- NEW li1 ( 57730 29410 ) L1M1_PR_MR
- NEW met1 ( 52210 29410 ) M1M2_PR
- NEW li1 ( 54975 44370 ) L1M1_PR_MR
- NEW met1 ( 55430 44030 ) M1M2_PR
- NEW met1 ( 54970 50830 ) M1M2_PR
- NEW li1 ( 54510 50830 ) L1M1_PR_MR
- NEW met1 ( 51750 33830 ) RECT ( 0 -70 595 70 ) ;
- - pll_control.tint\[1\] ( _367_ Q ) ( _303_ A3 ) ( _296_ A1 ) ( _293_ A1 ) ( _291_ A3 ) ( _286_ A4 ) ( _282_ A3 )
- ( _279_ A ) ( _261_ B1 ) ( _261_ A1_N ) ( _227_ A ) ( _173_ A ) + USE SIGNAL
- + ROUTED met1 ( 61260 33830 ) ( 61870 * )
- NEW met2 ( 61870 32130 ) ( * 33830 )
- NEW met1 ( 58650 33830 ) ( 61260 * )
- NEW met1 ( 55890 44370 ) ( 57730 * )
- NEW met2 ( 57730 33830 ) ( * 44370 )
- NEW met1 ( 57730 33830 ) ( 58650 * )
- NEW met1 ( 54510 47430 ) ( * 47770 )
- NEW met1 ( 54510 47430 ) ( 57730 * )
- NEW met1 ( 57730 47090 ) ( * 47430 )
- NEW met2 ( 57730 44370 ) ( * 47090 )
- NEW met1 ( 54510 52870 ) ( * 53210 )
- NEW met1 ( 54510 52870 ) ( 55890 * )
- NEW met2 ( 55890 47770 ) ( * 52870 )
- NEW met1 ( 55890 47430 ) ( * 47770 )
- NEW met2 ( 50830 53210 ) ( * 53890 )
- NEW met1 ( 50830 53890 ) ( 54510 * )
- NEW met2 ( 54510 53210 ) ( * 53890 )
- NEW met2 ( 50830 47090 ) ( * 53210 )
- NEW met1 ( 30590 50490 ) ( 40710 * )
- NEW met1 ( 40710 49470 ) ( * 50490 )
- NEW met1 ( 40710 49470 ) ( 50830 * )
- NEW met2 ( 32890 50490 ) ( * 53210 )
- NEW met1 ( 30130 52870 ) ( 32890 * )
- NEW met1 ( 32890 52870 ) ( * 53210 )
- NEW met1 ( 61870 32130 ) ( 67390 * )
- NEW met2 ( 38410 41990 ) ( 38870 * )
- NEW met1 ( 38870 41990 ) ( 39330 * )
- NEW met1 ( 39330 41990 ) ( * 42330 )
- NEW met2 ( 38410 41990 ) ( * 50490 )
- NEW met1 ( 47150 47090 ) ( 50830 * )
- NEW li1 ( 67390 32130 ) L1M1_PR_MR
- NEW li1 ( 61260 33830 ) L1M1_PR_MR
- NEW met1 ( 61870 33830 ) M1M2_PR
- NEW met1 ( 61870 32130 ) M1M2_PR
- NEW li1 ( 58650 33830 ) L1M1_PR_MR
- NEW li1 ( 55890 44370 ) L1M1_PR_MR
- NEW met1 ( 57730 44370 ) M1M2_PR
- NEW met1 ( 57730 33830 ) M1M2_PR
- NEW li1 ( 54510 47770 ) L1M1_PR_MR
- NEW met1 ( 57730 47090 ) M1M2_PR
- NEW li1 ( 54510 53210 ) L1M1_PR_MR
- NEW met1 ( 55890 52870 ) M1M2_PR
- NEW met1 ( 55890 47770 ) M1M2_PR
- NEW li1 ( 50830 53210 ) L1M1_PR_MR
- NEW met1 ( 50830 53210 ) M1M2_PR
- NEW met1 ( 50830 53890 ) M1M2_PR
- NEW met1 ( 54510 53890 ) M1M2_PR
- NEW met1 ( 54510 53210 ) M1M2_PR
- NEW met1 ( 50830 47090 ) M1M2_PR
- NEW li1 ( 30590 50490 ) L1M1_PR_MR
- NEW met1 ( 50830 49470 ) M1M2_PR
- NEW li1 ( 32890 53210 ) L1M1_PR_MR
- NEW met1 ( 32890 53210 ) M1M2_PR
- NEW met1 ( 32890 50490 ) M1M2_PR
- NEW li1 ( 30130 52870 ) L1M1_PR_MR
- NEW met1 ( 38410 50490 ) M1M2_PR
- NEW li1 ( 47150 47090 ) L1M1_PR_MR
- NEW met1 ( 38870 41990 ) M1M2_PR
- NEW li1 ( 39330 42330 ) L1M1_PR_MR
- NEW met1 ( 50830 53210 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 54510 53210 ) RECT ( 0 -70 595 70 )
- NEW met2 ( 50830 49470 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 32890 53210 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 32890 50490 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 38410 50490 ) RECT ( -595 -70 0 70 ) ;
- - pll_control.tint\[2\] ( _368_ Q ) ( _303_ A2 ) ( _297_ B ) ( _291_ A2 ) ( _290_ A2 ) ( _289_ A2 ) ( _287_ A2 )
- ( _286_ A2 ) ( _285_ A2 ) ( _257_ B1 ) ( _242_ A1 ) ( _229_ B ) ( _172_ A ) + USE SIGNAL
- + ROUTED met1 ( 31510 50830 ) ( 37490 * )
- NEW met2 ( 37490 50150 ) ( * 50830 )
- NEW met2 ( 37490 48300 ) ( * 50150 )
- NEW met1 ( 36110 36550 ) ( 39230 * )
- NEW met2 ( 36110 34850 ) ( * 36550 )
- NEW met1 ( 32890 34850 ) ( 36110 * )
- NEW met1 ( 39790 42330 ) ( 41630 * )
- NEW met2 ( 39790 36550 ) ( * 42330 )
- NEW met1 ( 39230 36550 ) ( 39790 * )
- NEW met1 ( 39790 42330 ) ( * 43010 )
- NEW met2 ( 37950 43010 ) ( * 44030 )
- NEW met1 ( 37950 43010 ) ( 39790 * )
- NEW met1 ( 35190 46750 ) ( 37950 * )
- NEW met2 ( 37950 44030 ) ( * 46750 )
- NEW met1 ( 32910 45390 ) ( 35190 * )
- NEW met2 ( 35190 45390 ) ( * 46750 )
- NEW met1 ( 37950 46750 ) ( 41630 * )
- NEW met2 ( 37490 48300 ) ( 37950 * )
- NEW met2 ( 37950 46750 ) ( * 48300 )
- NEW met1 ( 41630 42330 ) ( 43930 * )
- NEW met1 ( 43470 37230 ) ( 44390 * )
- NEW met2 ( 44390 37230 ) ( * 42330 )
- NEW met1 ( 43930 42330 ) ( 44390 * )
- NEW met1 ( 46690 47090 ) ( * 47770 )
- NEW met1 ( 44390 47090 ) ( 46690 * )
- NEW met2 ( 44390 42330 ) ( * 47090 )
- NEW li1 ( 37490 50150 ) L1M1_PR_MR
- NEW met1 ( 37490 50150 ) M1M2_PR
- NEW li1 ( 31510 50830 ) L1M1_PR_MR
- NEW met1 ( 37490 50830 ) M1M2_PR
- NEW li1 ( 39230 36550 ) L1M1_PR_MR
- NEW met1 ( 36110 36550 ) M1M2_PR
- NEW met1 ( 36110 34850 ) M1M2_PR
- NEW li1 ( 32890 34850 ) L1M1_PR_MR
- NEW li1 ( 41630 42330 ) L1M1_PR_MR
- NEW met1 ( 39790 42330 ) M1M2_PR
- NEW met1 ( 39790 36550 ) M1M2_PR
- NEW li1 ( 39790 43010 ) L1M1_PR_MR
- NEW li1 ( 37950 44030 ) L1M1_PR_MR
- NEW met1 ( 37950 44030 ) M1M2_PR
- NEW met1 ( 37950 43010 ) M1M2_PR
- NEW li1 ( 35190 46750 ) L1M1_PR_MR
- NEW met1 ( 37950 46750 ) M1M2_PR
- NEW li1 ( 32910 45390 ) L1M1_PR_MR
- NEW met1 ( 35190 45390 ) M1M2_PR
- NEW met1 ( 35190 46750 ) M1M2_PR
- NEW li1 ( 41630 46750 ) L1M1_PR_MR
- NEW li1 ( 43930 42330 ) L1M1_PR_MR
- NEW li1 ( 43470 37230 ) L1M1_PR_MR
- NEW met1 ( 44390 37230 ) M1M2_PR
- NEW met1 ( 44390 42330 ) M1M2_PR
- NEW li1 ( 46690 47770 ) L1M1_PR_MR
- NEW met1 ( 44390 47090 ) M1M2_PR
- NEW met1 ( 37490 50150 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 37950 44030 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 35190 46750 ) RECT ( -595 -70 0 70 ) ;
- - pll_control.tint\[3\] ( _369_ Q ) ( _291_ A1 ) ( _290_ A1 ) ( _280_ A ) ( _243_ B1 ) ( _229_ A ) ( _171_ A ) + USE SIGNAL
- + ROUTED met1 ( 40710 33830 ) ( 42090 * )
- NEW met2 ( 40710 32130 ) ( * 33830 )
- NEW met1 ( 41170 39280 ) ( * 39610 )
- NEW met1 ( 40250 39610 ) ( 41170 * )
- NEW met2 ( 40250 33830 ) ( * 39610 )
- NEW met2 ( 40250 33830 ) ( 40710 * )
- NEW met2 ( 40250 39610 ) ( * 43010 )
- NEW met1 ( 42550 42670 ) ( * 43010 )
- NEW met1 ( 40250 43010 ) ( 42550 * )
- NEW met1 ( 40250 44370 ) ( 40710 * )
- NEW met2 ( 40250 43010 ) ( * 44370 )
- NEW met1 ( 38410 44030 ) ( 40250 * )
- NEW met1 ( 40250 44030 ) ( * 44370 )
- NEW li1 ( 42090 33830 ) L1M1_PR_MR
- NEW met1 ( 40710 33830 ) M1M2_PR
- NEW li1 ( 40710 32130 ) L1M1_PR_MR
- NEW met1 ( 40710 32130 ) M1M2_PR
- NEW li1 ( 41170 39280 ) L1M1_PR_MR
- NEW met1 ( 40250 39610 ) M1M2_PR
- NEW li1 ( 40250 43010 ) L1M1_PR_MR
- NEW met1 ( 40250 43010 ) M1M2_PR
- NEW li1 ( 42550 42670 ) L1M1_PR_MR
- NEW li1 ( 40710 44370 ) L1M1_PR_MR
- NEW met1 ( 40250 44370 ) M1M2_PR
- NEW li1 ( 38410 44030 ) L1M1_PR_MR
- NEW met1 ( 40710 32130 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 40250 43010 ) RECT ( 0 -70 355 70 ) ;
- - pll_control.tint\[4\] ( _370_ Q ) ( _329_ S ) ( _326_ A ) ( _304_ B1 ) ( _302_ A ) ( _293_ B1 ) ( _291_ B1 )
- ( _290_ B1 ) ( _289_ A3 ) ( _287_ A3 ) ( _286_ A3 ) ( _285_ A3 ) ( _284_ A1 ) ( _283_ A1 ) ( _282_ A1 )
- ( _281_ A3 ) ( _278_ A ) ( _247_ A1 ) ( _232_ A ) ( _170_ A ) + USE SIGNAL
- + ROUTED met2 ( 66930 29410 ) ( * 36890 )
- NEW met1 ( 66930 29410 ) ( 67390 * )
- NEW met1 ( 65550 38590 ) ( * 38930 )
- NEW met1 ( 65550 38930 ) ( 66930 * )
- NEW met2 ( 66930 36890 ) ( * 38930 )
- NEW met1 ( 52670 39270 ) ( 53590 * )
- NEW met1 ( 53590 38590 ) ( * 39270 )
- NEW met1 ( 53590 38590 ) ( 65550 * )
- NEW met2 ( 39330 28730 ) ( * 44370 )
- NEW met1 ( 39330 28730 ) ( 40710 * )
- NEW met2 ( 41170 42500 ) ( * 42670 )
- NEW met3 ( 39330 42500 ) ( 41170 * )
- NEW met2 ( 39330 44370 ) ( * 47770 )
- NEW met1 ( 34730 47770 ) ( * 48110 )
- NEW met1 ( 34730 48110 ) ( 36110 * )
- NEW met1 ( 36110 47770 ) ( * 48110 )
- NEW met1 ( 36110 47770 ) ( 39330 * )
- NEW met1 ( 33350 44370 ) ( * 44710 )
- NEW met1 ( 33350 44370 ) ( 39330 * )
- NEW met1 ( 31970 48110 ) ( 34730 * )
- NEW met1 ( 31050 50150 ) ( 31970 * )
- NEW met2 ( 29210 52190 ) ( * 53210 )
- NEW met1 ( 29210 52190 ) ( 31970 * )
- NEW met2 ( 31970 50150 ) ( * 52190 )
- NEW met1 ( 30085 55590 ) ( 30130 * )
- NEW met2 ( 30130 53210 ) ( * 55590 )
- NEW met2 ( 29210 53210 ) ( 30130 * )
- NEW met1 ( 31050 58650 ) ( 31970 * )
- NEW met2 ( 30130 58650 ) ( 31050 * )
- NEW met2 ( 30130 55590 ) ( * 58650 )
- NEW met1 ( 37030 51170 ) ( 39330 * )
- NEW met1 ( 36570 53210 ) ( * 53890 )
- NEW met1 ( 36570 53890 ) ( 39330 * )
- NEW met2 ( 39330 51170 ) ( * 53890 )
- NEW met2 ( 31970 48110 ) ( * 50150 )
- NEW met2 ( 39330 47770 ) ( * 51170 )
- NEW met1 ( 48990 42330 ) ( 49450 * )
- NEW met1 ( 49450 42330 ) ( * 42670 )
- NEW met2 ( 49450 42500 ) ( * 42670 )
- NEW met1 ( 50830 42330 ) ( 51290 * )
- NEW met2 ( 50830 42330 ) ( * 42500 )
- NEW met3 ( 49450 42500 ) ( 50830 * )
- NEW met3 ( 50830 42500 ) ( 53590 * )
- NEW met1 ( 52670 53210 ) ( 53130 * )
- NEW met2 ( 52670 49300 ) ( * 53210 )
- NEW met2 ( 52670 49300 ) ( 53130 * )
- NEW met2 ( 53130 45220 ) ( * 49300 )
- NEW met2 ( 53130 45220 ) ( 53590 * )
- NEW met2 ( 53590 42500 ) ( * 45220 )
- NEW met2 ( 52670 53210 ) ( * 58310 )
- NEW met1 ( 54050 44370 ) ( 54460 * )
- NEW met1 ( 54050 44030 ) ( * 44370 )
- NEW met1 ( 53130 44030 ) ( 54050 * )
- NEW met2 ( 53130 44030 ) ( * 45220 )
- NEW met3 ( 41170 42500 ) ( 49450 * )
- NEW met2 ( 53590 39270 ) ( * 42500 )
- NEW li1 ( 66930 36890 ) L1M1_PR_MR
- NEW met1 ( 66930 36890 ) M1M2_PR
- NEW met1 ( 66930 29410 ) M1M2_PR
- NEW li1 ( 67390 29410 ) L1M1_PR_MR
- NEW met1 ( 66930 38930 ) M1M2_PR
- NEW li1 ( 52670 39270 ) L1M1_PR_MR
- NEW met1 ( 53590 39270 ) M1M2_PR
- NEW li1 ( 39330 44370 ) L1M1_PR_MR
- NEW met1 ( 39330 44370 ) M1M2_PR
- NEW met1 ( 39330 28730 ) M1M2_PR
- NEW li1 ( 40710 28730 ) L1M1_PR_MR
- NEW li1 ( 41170 42670 ) L1M1_PR_MR
- NEW met1 ( 41170 42670 ) M1M2_PR
- NEW met2 ( 41170 42500 ) M2M3_PR_M
- NEW met2 ( 39330 42500 ) M2M3_PR_M
- NEW li1 ( 39330 47770 ) L1M1_PR_MR
- NEW met1 ( 39330 47770 ) M1M2_PR
- NEW li1 ( 34730 47770 ) L1M1_PR_MR
- NEW li1 ( 33350 44710 ) L1M1_PR_MR
- NEW met1 ( 31970 48110 ) M1M2_PR
- NEW li1 ( 31050 50150 ) L1M1_PR_MR
+ - enable ( PIN enable ) ( ANTENNA__355__A DIODE ) ( _355_ A ) + USE SIGNAL
+ + ROUTED met2 ( 31970 4930 ) ( * 5950 )
+ NEW met1 ( 19550 4930 ) ( 31970 * )
+ NEW met2 ( 19550 4930 ) ( * 6630 )
+ NEW met3 ( 3220 35020 0 ) ( 19550 * )
+ NEW met2 ( 19550 6630 ) ( * 35020 )
+ NEW li1 ( 19550 6630 ) L1M1_PR
+ NEW met1 ( 19550 6630 ) M1M2_PR
+ NEW li1 ( 31970 5950 ) L1M1_PR
+ NEW met1 ( 31970 5950 ) M1M2_PR
+ NEW met1 ( 31970 4930 ) M1M2_PR
+ NEW met1 ( 19550 4930 ) M1M2_PR
+ NEW met2 ( 19550 35020 ) M2M3_PR
+ NEW met1 ( 19550 6630 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 31970 5950 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[0] ( PIN ext_trim[0] ) ( ANTENNA__289__A1 DIODE ) ( _289_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 10810 43860 ) ( * 44030 )
+ NEW met3 ( 3220 43860 0 ) ( 10810 * )
+ NEW met1 ( 10810 44030 ) ( 13800 * )
+ NEW met1 ( 13800 44030 ) ( * 45050 )
+ NEW met1 ( 13800 45050 ) ( 19550 * )
+ NEW met1 ( 19550 44710 ) ( * 45050 )
+ NEW li1 ( 10810 44030 ) L1M1_PR
+ NEW met1 ( 10810 44030 ) M1M2_PR
+ NEW met2 ( 10810 43860 ) M2M3_PR
+ NEW li1 ( 19550 44710 ) L1M1_PR
+ NEW met1 ( 10810 44030 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[10] ( PIN ext_trim[10] ) ( ANTENNA__313__A_N DIODE ) ( _313_ A_N ) + USE SIGNAL
+ + ROUTED met2 ( 20010 63580 ) ( 20470 * )
+ NEW met2 ( 20010 63580 ) ( * 71740 0 )
+ NEW met1 ( 20470 61030 ) ( 21850 * )
+ NEW met2 ( 20470 59330 ) ( * 61030 )
+ NEW met1 ( 17250 59330 ) ( 20470 * )
+ NEW met2 ( 20470 61030 ) ( * 63580 )
+ NEW li1 ( 21850 61030 ) L1M1_PR
+ NEW met1 ( 20470 61030 ) M1M2_PR
+ NEW met1 ( 20470 59330 ) M1M2_PR
+ NEW li1 ( 17250 59330 ) L1M1_PR ;
+ - ext_trim[11] ( PIN ext_trim[11] ) ( ANTENNA__316__B1 DIODE ) ( _316_ B1 ) + USE SIGNAL
+ + ROUTED met1 ( 22770 55930 ) ( 29570 * )
+ NEW met2 ( 22770 53890 ) ( * 55930 )
+ NEW met1 ( 18630 53890 ) ( 22770 * )
+ NEW met2 ( 25990 55930 ) ( * 71740 0 )
+ NEW li1 ( 29570 55930 ) L1M1_PR
+ NEW met1 ( 22770 55930 ) M1M2_PR
+ NEW met1 ( 22770 53890 ) M1M2_PR
+ NEW li1 ( 18630 53890 ) L1M1_PR
+ NEW met1 ( 25990 55930 ) M1M2_PR
+ NEW met1 ( 25990 55930 ) RECT ( -595 -70 0 70 ) ;
+ - ext_trim[12] ( PIN ext_trim[12] ) ( ANTENNA__317__A2 DIODE ) ( _317_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 31510 71740 0 ) ( 31970 * )
+ NEW met1 ( 31310 50150 ) ( 31970 * )
+ NEW met1 ( 24150 50490 ) ( 29670 * )
+ NEW met1 ( 29670 50150 ) ( * 50490 )
+ NEW met1 ( 29670 50150 ) ( 31310 * )
+ NEW met2 ( 31970 50150 ) ( * 71740 )
+ NEW li1 ( 31310 50150 ) L1M1_PR
NEW met1 ( 31970 50150 ) M1M2_PR
- NEW li1 ( 29210 53210 ) L1M1_PR_MR
- NEW met1 ( 29210 53210 ) M1M2_PR
- NEW met1 ( 29210 52190 ) M1M2_PR
- NEW met1 ( 31970 52190 ) M1M2_PR
- NEW li1 ( 30085 55590 ) L1M1_PR_MR
- NEW met1 ( 30130 55590 ) M1M2_PR
- NEW li1 ( 31970 58650 ) L1M1_PR_MR
- NEW met1 ( 31050 58650 ) M1M2_PR
- NEW li1 ( 37030 51170 ) L1M1_PR_MR
- NEW met1 ( 39330 51170 ) M1M2_PR
- NEW li1 ( 36570 53210 ) L1M1_PR_MR
- NEW met1 ( 39330 53890 ) M1M2_PR
- NEW li1 ( 48990 42330 ) L1M1_PR_MR
- NEW met1 ( 49450 42670 ) M1M2_PR
- NEW met2 ( 49450 42500 ) M2M3_PR_M
- NEW li1 ( 51290 42330 ) L1M1_PR_MR
- NEW met1 ( 50830 42330 ) M1M2_PR
- NEW met2 ( 50830 42500 ) M2M3_PR_M
- NEW met2 ( 53590 42500 ) M2M3_PR_M
- NEW li1 ( 53130 53210 ) L1M1_PR_MR
- NEW met1 ( 52670 53210 ) M1M2_PR
- NEW li1 ( 52670 58310 ) L1M1_PR_MR
- NEW met1 ( 52670 58310 ) M1M2_PR
- NEW li1 ( 54460 44370 ) L1M1_PR_MR
- NEW met1 ( 53130 44030 ) M1M2_PR
- NEW met1 ( 66930 36890 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 53590 39270 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 39330 44370 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 41170 42670 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 39330 42500 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 39330 47770 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 29210 53210 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 30085 55590 ) RECT ( -310 -70 0 70 )
- NEW met1 ( 52670 58310 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.tval\[0\] ( _364_ Q ) ( _266_ A1 ) ( _233_ B ) ( _176_ A ) + USE SIGNAL
- + ROUTED met1 ( 47610 21250 ) ( 48070 * )
- NEW met2 ( 47610 21250 ) ( * 22950 )
- NEW met1 ( 47610 18530 ) ( 48530 * )
- NEW met2 ( 47610 18530 ) ( * 21250 )
- NEW met1 ( 48070 17850 ) ( * 18530 )
- NEW li1 ( 48070 21250 ) L1M1_PR_MR
- NEW met1 ( 47610 21250 ) M1M2_PR
- NEW li1 ( 47610 22950 ) L1M1_PR_MR
- NEW met1 ( 47610 22950 ) M1M2_PR
- NEW li1 ( 48530 18530 ) L1M1_PR_MR
- NEW met1 ( 47610 18530 ) M1M2_PR
- NEW li1 ( 48070 17850 ) L1M1_PR_MR
- NEW met1 ( 47610 22950 ) RECT ( -355 -70 0 70 ) ;
- - pll_control.tval\[1\] ( _365_ Q ) ( _237_ A1 ) ( _233_ A ) ( _175_ A ) + USE SIGNAL
- + ROUTED met2 ( 54970 17850 ) ( * 20570 )
- NEW met1 ( 48990 17850 ) ( 54970 * )
- NEW met1 ( 58190 19890 ) ( * 20230 )
- NEW met1 ( 54970 19890 ) ( 58190 * )
- NEW met1 ( 58650 26010 ) ( * 26350 )
- NEW met1 ( 54510 26350 ) ( 58650 * )
- NEW met2 ( 54510 26180 ) ( * 26350 )
- NEW met2 ( 54510 26180 ) ( 54970 * )
- NEW met2 ( 54970 20570 ) ( * 26180 )
- NEW met1 ( 58190 20230 ) ( 66470 * )
- NEW li1 ( 66470 20230 ) L1M1_PR_MR
- NEW li1 ( 54970 20570 ) L1M1_PR_MR
- NEW met1 ( 54970 20570 ) M1M2_PR
- NEW met1 ( 54970 17850 ) M1M2_PR
- NEW li1 ( 48990 17850 ) L1M1_PR_MR
- NEW met1 ( 54970 19890 ) M1M2_PR
- NEW li1 ( 58650 26010 ) L1M1_PR_MR
- NEW met1 ( 54510 26350 ) M1M2_PR
- NEW met1 ( 54970 20570 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 54970 19890 ) RECT ( -70 -485 70 0 ) ;
- - resetb ( PIN resetb ) ( ANTENNA__181__B DIODE ) ( _181_ B ) + USE SIGNAL
- + ROUTED met1 ( 17710 33150 ) ( 18170 * )
- NEW met2 ( 18170 25500 ) ( * 33150 )
- NEW met2 ( 18170 25500 ) ( 18630 * )
- NEW met1 ( 19090 33490 ) ( * 33830 )
- NEW met1 ( 18170 33490 ) ( 19090 * )
- NEW met1 ( 18170 33150 ) ( * 33490 )
- NEW met2 ( 18630 3740 0 ) ( * 25500 )
- NEW li1 ( 17710 33150 ) L1M1_PR_MR
- NEW met1 ( 18170 33150 ) M1M2_PR
- NEW li1 ( 19090 33830 ) L1M1_PR_MR ;
- - ringosc.c\[0\] ( ringosc.ibufp01 A ) ( ringosc.ibufp00 Y ) + USE SIGNAL
- + ROUTED met1 ( 22310 35870 ) ( 23230 * )
- NEW met2 ( 23230 31450 ) ( * 35870 )
- NEW li1 ( 22310 35870 ) L1M1_PR_MR
+ NEW li1 ( 24150 50490 ) L1M1_PR ;
+ - ext_trim[13] ( PIN ext_trim[13] ) ( ANTENNA__319__A2 DIODE ) ( _319_ A2 ) + USE SIGNAL
+ + ROUTED met1 ( 36110 48450 ) ( 37490 * )
+ NEW met2 ( 37490 48450 ) ( * 71740 0 )
+ NEW met1 ( 33325 47770 ) ( 33350 * )
+ NEW met2 ( 33350 47770 ) ( * 48620 )
+ NEW met3 ( 33350 48620 ) ( 37490 * )
+ NEW li1 ( 36110 48450 ) L1M1_PR
+ NEW met1 ( 37490 48450 ) M1M2_PR
+ NEW li1 ( 33325 47770 ) L1M1_PR
+ NEW met1 ( 33350 47770 ) M1M2_PR
+ NEW met2 ( 33350 48620 ) M2M3_PR
+ NEW met2 ( 37490 48620 ) M2M3_PR
+ NEW met1 ( 33325 47770 ) RECT ( -330 -70 0 70 )
+ NEW met2 ( 37490 48620 ) RECT ( -70 -485 70 0 ) ;
+ - ext_trim[14] ( PIN ext_trim[14] ) ( ANTENNA__326__A2 DIODE ) ( _326_ A2 ) + USE SIGNAL
+ + ROUTED met1 ( 45310 53890 ) ( 47150 * )
+ NEW met1 ( 45310 53550 ) ( * 53890 )
+ NEW met1 ( 43930 53550 ) ( 45310 * )
+ NEW met2 ( 43930 53550 ) ( * 58140 )
+ NEW met2 ( 43010 58140 ) ( 43930 * )
+ NEW met2 ( 43010 58140 ) ( * 71740 0 )
+ NEW met1 ( 39305 53210 ) ( 39330 * )
+ NEW met2 ( 39330 53210 ) ( * 55420 )
+ NEW met3 ( 39330 55420 ) ( 43930 * )
+ NEW li1 ( 47150 53890 ) L1M1_PR
+ NEW met1 ( 43930 53550 ) M1M2_PR
+ NEW li1 ( 39305 53210 ) L1M1_PR
+ NEW met1 ( 39330 53210 ) M1M2_PR
+ NEW met2 ( 39330 55420 ) M2M3_PR
+ NEW met2 ( 43930 55420 ) M2M3_PR
+ NEW met1 ( 39305 53210 ) RECT ( -330 -70 0 70 )
+ NEW met2 ( 43930 55420 ) RECT ( -70 -485 70 0 ) ;
+ - ext_trim[15] ( PIN ext_trim[15] ) ( ANTENNA__334__B1 DIODE ) ( _334_ B1 ) + USE SIGNAL
+ + ROUTED met1 ( 48990 56610 ) ( 50830 * )
+ NEW met1 ( 48990 50490 ) ( 51190 * )
+ NEW met2 ( 48990 50490 ) ( * 56610 )
+ NEW met2 ( 48990 56610 ) ( * 71740 0 )
+ NEW li1 ( 50830 56610 ) L1M1_PR
+ NEW met1 ( 48990 56610 ) M1M2_PR
+ NEW li1 ( 51190 50490 ) L1M1_PR
+ NEW met1 ( 48990 50490 ) M1M2_PR ;
+ - ext_trim[16] ( PIN ext_trim[16] ) ( ANTENNA__337__A2 DIODE ) ( _337_ A2 ) + USE SIGNAL
+ + ROUTED met1 ( 51290 64430 ) ( 53590 * )
+ NEW met2 ( 51290 54740 ) ( * 64430 )
+ NEW met3 ( 44390 54740 ) ( 51290 * )
+ NEW met2 ( 44390 48450 ) ( * 54740 )
+ NEW met2 ( 54510 64430 ) ( * 71740 0 )
+ NEW met1 ( 53590 64430 ) ( 54510 * )
+ NEW li1 ( 53590 64430 ) L1M1_PR
+ NEW met1 ( 51290 64430 ) M1M2_PR
+ NEW met2 ( 51290 54740 ) M2M3_PR
+ NEW met2 ( 44390 54740 ) M2M3_PR
+ NEW li1 ( 44390 48450 ) L1M1_PR
+ NEW met1 ( 44390 48450 ) M1M2_PR
+ NEW met1 ( 54510 64430 ) M1M2_PR
+ NEW met1 ( 44390 48450 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[17] ( PIN ext_trim[17] ) ( ANTENNA__341__B1 DIODE ) ( _341_ B1 ) + USE SIGNAL
+ + ROUTED met2 ( 60030 66980 ) ( 60490 * )
+ NEW met2 ( 60490 66980 ) ( * 71740 0 )
+ NEW met1 ( 53045 61370 ) ( 53590 * )
+ NEW met2 ( 53590 61370 ) ( * 62050 )
+ NEW met1 ( 53590 62050 ) ( 60030 * )
+ NEW met1 ( 46230 59330 ) ( 52210 * )
+ NEW met1 ( 52210 58990 ) ( * 59330 )
+ NEW met1 ( 52210 58990 ) ( 53130 * )
+ NEW met2 ( 53130 58990 ) ( * 60860 )
+ NEW met2 ( 53130 60860 ) ( 53590 * )
+ NEW met2 ( 53590 60860 ) ( * 61370 )
+ NEW met2 ( 60030 62050 ) ( * 66980 )
+ NEW li1 ( 53045 61370 ) L1M1_PR
+ NEW met1 ( 53590 61370 ) M1M2_PR
+ NEW met1 ( 53590 62050 ) M1M2_PR
+ NEW met1 ( 60030 62050 ) M1M2_PR
+ NEW li1 ( 46230 59330 ) L1M1_PR
+ NEW met1 ( 53130 58990 ) M1M2_PR ;
+ - ext_trim[18] ( PIN ext_trim[18] ) ( ANTENNA__342__A2 DIODE ) ( _342_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 67390 59330 ) ( * 71740 )
+ NEW met2 ( 66010 71740 0 ) ( 67390 * )
+ NEW met1 ( 59085 53210 ) ( 59110 * )
+ NEW met2 ( 59110 53210 ) ( * 56270 )
+ NEW met1 ( 59110 56270 ) ( 67390 * )
+ NEW met2 ( 67390 56270 ) ( * 59330 )
+ NEW li1 ( 67390 59330 ) L1M1_PR
+ NEW met1 ( 67390 59330 ) M1M2_PR
+ NEW li1 ( 59085 53210 ) L1M1_PR
+ NEW met1 ( 59110 53210 ) M1M2_PR
+ NEW met1 ( 59110 56270 ) M1M2_PR
+ NEW met1 ( 67390 56270 ) M1M2_PR
+ NEW met1 ( 67390 59330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 59085 53210 ) RECT ( -330 -70 0 70 ) ;
+ - ext_trim[19] ( PIN ext_trim[19] ) ( ANTENNA__343__A2 DIODE ) ( _343_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 71990 67490 ) ( * 71740 0 )
+ NEW met1 ( 41605 66470 ) ( 41630 * )
+ NEW met1 ( 41630 66470 ) ( * 66810 )
+ NEW met1 ( 41630 66810 ) ( 51290 * )
+ NEW met1 ( 51290 66810 ) ( * 67490 )
+ NEW met1 ( 51290 67490 ) ( 71990 * )
+ NEW met1 ( 71990 67490 ) M1M2_PR
+ NEW li1 ( 51290 67490 ) L1M1_PR
+ NEW li1 ( 41605 66470 ) L1M1_PR ;
+ - ext_trim[1] ( PIN ext_trim[1] ) ( ANTENNA__295__A2 DIODE ) ( _295_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 10810 48620 ) ( * 52190 )
+ NEW met3 ( 3220 48620 0 ) ( 10810 * )
+ NEW met1 ( 15410 49810 ) ( 15430 * )
+ NEW met1 ( 15410 49470 ) ( * 49810 )
+ NEW met1 ( 10810 49470 ) ( 15410 * )
+ NEW li1 ( 10810 52190 ) L1M1_PR
+ NEW met1 ( 10810 52190 ) M1M2_PR
+ NEW met2 ( 10810 48620 ) M2M3_PR
+ NEW li1 ( 15430 49810 ) L1M1_PR
+ NEW met1 ( 10810 49470 ) M1M2_PR
+ NEW met1 ( 10810 52190 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 10810 49470 ) RECT ( -70 -485 70 0 ) ;
+ - ext_trim[20] ( PIN ext_trim[20] ) ( ANTENNA__346__B1 DIODE ) ( _346_ B1 ) + USE SIGNAL
+ + ROUTED met3 ( 63940 66980 ) ( * 68340 )
+ NEW met3 ( 63940 68340 ) ( 71300 * 0 )
+ NEW met3 ( 44390 66980 ) ( 63940 * )
+ NEW met1 ( 42465 61370 ) ( 44390 * )
+ NEW met2 ( 44390 61370 ) ( * 66980 )
+ NEW met2 ( 44390 66980 ) M2M3_PR
+ NEW li1 ( 44390 64090 ) L1M1_PR
+ NEW met1 ( 44390 64090 ) M1M2_PR
+ NEW li1 ( 42465 61370 ) L1M1_PR
+ NEW met1 ( 44390 61370 ) M1M2_PR
+ NEW met1 ( 44390 64090 ) RECT ( 0 -70 355 70 )
+ NEW met2 ( 44390 64090 ) RECT ( -70 -485 70 0 ) ;
+ - ext_trim[21] ( PIN ext_trim[21] ) ( ANTENNA__348__A2 DIODE ) ( _348_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 67850 55930 ) ( * 56100 )
+ NEW met3 ( 67850 56100 ) ( 71300 * 0 )
+ NEW met1 ( 53590 55590 ) ( 53615 * )
+ NEW met1 ( 53590 55560 ) ( * 55590 )
+ NEW met1 ( 53590 55560 ) ( 54510 * )
+ NEW met1 ( 54510 55560 ) ( * 55590 )
+ NEW met1 ( 54510 55590 ) ( 56350 * )
+ NEW met1 ( 56350 55590 ) ( * 55930 )
+ NEW met1 ( 56350 55930 ) ( 67850 * )
+ NEW li1 ( 67850 55930 ) L1M1_PR
+ NEW met1 ( 67850 55930 ) M1M2_PR
+ NEW met2 ( 67850 56100 ) M2M3_PR
+ NEW li1 ( 53615 55590 ) L1M1_PR
+ NEW met1 ( 67850 55930 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[22] ( PIN ext_trim[22] ) ( ANTENNA__350__B1 DIODE ) ( _350_ B1 ) + USE SIGNAL
+ + ROUTED met1 ( 57040 55590 ) ( 57730 * )
+ NEW met1 ( 57730 54910 ) ( * 55590 )
+ NEW met1 ( 57730 54910 ) ( 62790 * )
+ NEW met2 ( 62790 52530 ) ( * 54910 )
+ NEW met2 ( 62790 52530 ) ( 63250 * )
+ NEW met2 ( 63250 43860 ) ( * 52530 )
+ NEW met3 ( 63250 43860 ) ( 71300 * 0 )
+ NEW met1 ( 44390 56610 ) ( 44850 * )
+ NEW met2 ( 44390 56610 ) ( * 59500 )
+ NEW met3 ( 44390 59500 ) ( 54970 * )
+ NEW met2 ( 54970 55250 ) ( * 59500 )
+ NEW met1 ( 54970 55250 ) ( 57730 * )
+ NEW li1 ( 57040 55590 ) L1M1_PR
+ NEW met1 ( 62790 54910 ) M1M2_PR
+ NEW met2 ( 63250 43860 ) M2M3_PR
+ NEW li1 ( 44850 56610 ) L1M1_PR
+ NEW met1 ( 44390 56610 ) M1M2_PR
+ NEW met2 ( 44390 59500 ) M2M3_PR
+ NEW met2 ( 54970 59500 ) M2M3_PR
+ NEW met1 ( 54970 55250 ) M1M2_PR ;
+ - ext_trim[23] ( PIN ext_trim[23] ) ( ANTENNA__351__A2 DIODE ) ( _351_ A2 ) + USE SIGNAL
+ + ROUTED met3 ( 67850 30940 ) ( 71300 * 0 )
+ NEW met1 ( 64630 40290 ) ( 67390 * )
+ NEW met2 ( 64630 40290 ) ( * 44370 )
+ NEW met1 ( 62790 44370 ) ( 64630 * )
+ NEW met1 ( 67390 40290 ) ( 67850 * )
+ NEW met2 ( 67850 30940 ) ( * 40290 )
+ NEW met2 ( 67850 30940 ) M2M3_PR
+ NEW li1 ( 67390 40290 ) L1M1_PR
+ NEW met1 ( 64630 40290 ) M1M2_PR
+ NEW met1 ( 64630 44370 ) M1M2_PR
+ NEW li1 ( 62790 44370 ) L1M1_PR
+ NEW met1 ( 67850 40290 ) M1M2_PR ;
+ - ext_trim[24] ( PIN ext_trim[24] ) ( ANTENNA__353__A2 DIODE ) ( _353_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 66930 18700 ) ( * 19550 )
+ NEW met3 ( 66930 18700 ) ( 71300 * 0 )
+ NEW met1 ( 65090 20570 ) ( 66930 * )
+ NEW met2 ( 66930 19550 ) ( * 20570 )
+ NEW li1 ( 66930 19550 ) L1M1_PR
+ NEW met1 ( 66930 19550 ) M1M2_PR
+ NEW met2 ( 66930 18700 ) M2M3_PR
+ NEW li1 ( 65090 20570 ) L1M1_PR
+ NEW met1 ( 66930 20570 ) M1M2_PR
+ NEW met1 ( 66930 19550 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[25] ( PIN ext_trim[25] ) ( ANTENNA__354__A2 DIODE ) ( _354_ A2 ) + USE SIGNAL
+ + ROUTED met3 ( 56350 6460 ) ( 71300 * 0 )
+ NEW met1 ( 55890 41990 ) ( 56350 * )
+ NEW met1 ( 56810 44710 ) ( 58215 * )
+ NEW met2 ( 56350 44710 ) ( 56810 * )
+ NEW met2 ( 56350 41990 ) ( * 44710 )
+ NEW met2 ( 56350 6460 ) ( * 41990 )
+ NEW met2 ( 56350 6460 ) M2M3_PR
+ NEW li1 ( 55890 41990 ) L1M1_PR
+ NEW met1 ( 56350 41990 ) M1M2_PR
+ NEW li1 ( 58215 44710 ) L1M1_PR
+ NEW met1 ( 56810 44710 ) M1M2_PR ;
+ - ext_trim[2] ( PIN ext_trim[2] ) ( ANTENNA__299__A2 DIODE ) ( _299_ A2 ) + USE SIGNAL
+ + ROUTED met1 ( 8050 53210 ) ( 8250 * )
+ NEW met2 ( 8050 53210 ) ( * 53380 )
+ NEW met3 ( 3220 53380 0 ) ( 8050 * )
+ NEW met1 ( 7590 45730 ) ( 8050 * )
+ NEW met2 ( 8050 45730 ) ( * 53210 )
+ NEW li1 ( 8250 53210 ) L1M1_PR
+ NEW met1 ( 8050 53210 ) M1M2_PR
+ NEW met2 ( 8050 53380 ) M2M3_PR
+ NEW li1 ( 7590 45730 ) L1M1_PR
+ NEW met1 ( 8050 45730 ) M1M2_PR ;
+ - ext_trim[3] ( PIN ext_trim[3] ) ( ANTENNA__300__A2 DIODE ) ( _300_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 12650 58140 ) ( * 58650 )
+ NEW met3 ( 3220 58140 0 ) ( 12650 * )
+ NEW met1 ( 7130 48110 ) ( 8970 * )
+ NEW met2 ( 8970 48110 ) ( * 58140 )
+ NEW li1 ( 12650 58650 ) L1M1_PR
+ NEW met1 ( 12650 58650 ) M1M2_PR
+ NEW met2 ( 12650 58140 ) M2M3_PR
+ NEW li1 ( 7130 48110 ) L1M1_PR
+ NEW met1 ( 8970 48110 ) M1M2_PR
+ NEW met2 ( 8970 58140 ) M2M3_PR
+ NEW met1 ( 12650 58650 ) RECT ( -355 -70 0 70 )
+ NEW met3 ( 8970 58140 ) RECT ( -800 -150 0 150 ) ;
+ - ext_trim[4] ( PIN ext_trim[4] ) ( ANTENNA__302__A2 DIODE ) ( _302_ A2 ) + USE SIGNAL
+ + ROUTED met3 ( 3220 62900 0 ) ( 7590 * )
+ NEW met2 ( 7590 62900 ) ( * 64430 )
+ NEW met1 ( 7590 64430 ) ( 8050 * )
+ NEW met1 ( 8050 64430 ) ( 16330 * )
+ NEW met2 ( 16330 48620 ) ( 16790 * )
+ NEW met2 ( 16790 33830 ) ( * 48620 )
+ NEW met1 ( 16790 33830 ) ( 17250 * )
+ NEW met2 ( 16330 48620 ) ( * 64430 )
+ NEW li1 ( 8050 64430 ) L1M1_PR
+ NEW met2 ( 7590 62900 ) M2M3_PR
+ NEW met1 ( 7590 64430 ) M1M2_PR
+ NEW met1 ( 16330 64430 ) M1M2_PR
+ NEW met1 ( 16790 33830 ) M1M2_PR
+ NEW li1 ( 17250 33830 ) L1M1_PR ;
+ - ext_trim[5] ( PIN ext_trim[5] ) ( ANTENNA__305__A2 DIODE ) ( _305_ A2 ) + USE SIGNAL
+ + ROUTED met3 ( 3220 67660 0 ) ( 14030 * )
+ NEW met1 ( 14490 53550 ) ( * 53890 )
+ NEW met1 ( 14030 53890 ) ( 14490 * )
+ NEW met2 ( 14490 51170 ) ( * 53890 )
+ NEW met2 ( 14030 53890 ) ( 14490 * )
+ NEW met1 ( 8510 51170 ) ( 14490 * )
+ NEW met2 ( 14030 53890 ) ( * 67660 )
+ NEW li1 ( 8510 51170 ) L1M1_PR
+ NEW met2 ( 14030 67660 ) M2M3_PR
+ NEW li1 ( 14490 53550 ) L1M1_PR
+ NEW met1 ( 14030 53890 ) M1M2_PR
+ NEW met1 ( 14490 51170 ) M1M2_PR ;
+ - ext_trim[6] ( PIN ext_trim[6] ) ( ANTENNA__306__A2 DIODE ) ( _306_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 7130 67490 ) ( * 72420 )
+ NEW met3 ( 3220 72420 0 ) ( 7130 * )
+ NEW met2 ( 20470 64090 ) ( * 67490 )
+ NEW met1 ( 20470 64090 ) ( 20670 * )
+ NEW met1 ( 7130 67490 ) ( 20470 * )
+ NEW li1 ( 7130 67490 ) L1M1_PR
+ NEW met1 ( 7130 67490 ) M1M2_PR
+ NEW met2 ( 7130 72420 ) M2M3_PR
+ NEW met1 ( 20470 67490 ) M1M2_PR
+ NEW met1 ( 20470 64090 ) M1M2_PR
+ NEW li1 ( 20670 64090 ) L1M1_PR
+ NEW met1 ( 7130 67490 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[7] ( PIN ext_trim[7] ) ( ANTENNA__309__A2 DIODE ) ( _309_ A2 ) + USE SIGNAL
+ + ROUTED met1 ( 4370 66810 ) ( 11730 * )
+ NEW met2 ( 4370 66810 ) ( * 71740 )
+ NEW met2 ( 2990 71740 0 ) ( 4370 * )
+ NEW met2 ( 11730 66810 ) ( * 68850 )
+ NEW met1 ( 11730 68850 ) ( 13800 * )
+ NEW met1 ( 13800 68850 ) ( * 69530 )
+ NEW met1 ( 13800 69530 ) ( 33350 * )
+ NEW met2 ( 33350 66470 ) ( * 69530 )
+ NEW met1 ( 33350 66470 ) ( 34470 * )
+ NEW li1 ( 11730 66810 ) L1M1_PR
+ NEW met1 ( 4370 66810 ) M1M2_PR
+ NEW met1 ( 11730 68850 ) M1M2_PR
+ NEW met1 ( 11730 66810 ) M1M2_PR
+ NEW met1 ( 33350 69530 ) M1M2_PR
+ NEW met1 ( 33350 66470 ) M1M2_PR
+ NEW li1 ( 34470 66470 ) L1M1_PR
+ NEW met1 ( 11730 66810 ) RECT ( -595 -70 0 70 ) ;
+ - ext_trim[8] ( PIN ext_trim[8] ) ( ANTENNA__310__A2 DIODE ) ( _310_ A2 ) + USE SIGNAL
+ + ROUTED met2 ( 8510 67150 ) ( * 71740 0 )
+ NEW met1 ( 23690 67490 ) ( 34730 * )
+ NEW met2 ( 34730 64090 ) ( * 67490 )
+ NEW met1 ( 34730 64090 ) ( 34755 * )
+ NEW met1 ( 23690 67150 ) ( * 67490 )
+ NEW met1 ( 8510 67150 ) ( 23690 * )
+ NEW met1 ( 8510 67150 ) M1M2_PR
+ NEW li1 ( 23690 67490 ) L1M1_PR
+ NEW met1 ( 34730 67490 ) M1M2_PR
+ NEW met1 ( 34730 64090 ) M1M2_PR
+ NEW li1 ( 34755 64090 ) L1M1_PR
+ NEW met1 ( 34730 64090 ) RECT ( -330 -70 0 70 ) ;
+ - ext_trim[9] ( PIN ext_trim[9] ) ( ANTENNA__312__A2 DIODE ) ( _312_ A2 ) + USE SIGNAL
+ + ROUTED met1 ( 15870 64770 ) ( 31970 * )
+ NEW met2 ( 15870 64770 ) ( * 71740 )
+ NEW met2 ( 14490 71740 0 ) ( 15870 * )
+ NEW met1 ( 31970 64770 ) ( 35650 * )
+ NEW met1 ( 35650 61030 ) ( 35675 * )
+ NEW met2 ( 35650 61030 ) ( * 64770 )
+ NEW li1 ( 31970 64770 ) L1M1_PR
+ NEW met1 ( 15870 64770 ) M1M2_PR
+ NEW met1 ( 35650 64770 ) M1M2_PR
+ NEW met1 ( 35650 61030 ) M1M2_PR
+ NEW li1 ( 35675 61030 ) L1M1_PR
+ NEW met1 ( 35650 61030 ) RECT ( -330 -70 0 70 ) ;
+ - osc ( PIN osc ) ( ANTENNA__394__D DIODE ) ( _394_ D ) + USE SIGNAL
+ + ROUTED met2 ( 55890 3740 0 ) ( * 7310 )
+ NEW met1 ( 55890 7310 ) ( 58190 * )
+ NEW met1 ( 58190 6970 ) ( * 7310 )
+ NEW met1 ( 58190 6970 ) ( 65090 * )
+ NEW met1 ( 65090 6630 ) ( * 6970 )
+ NEW met1 ( 65090 6630 ) ( 67390 * )
+ NEW met1 ( 48925 7650 ) ( 55890 * )
+ NEW met1 ( 55890 7310 ) ( * 7650 )
+ NEW met1 ( 55890 7310 ) M1M2_PR
+ NEW li1 ( 67390 6630 ) L1M1_PR
+ NEW li1 ( 48925 7650 ) L1M1_PR ;
+ - pll_control.clock ( ringosc.ibufp01 Y ) ( clockp_buffer_0 A ) ( _401_ CLK ) ( _400_ CLK ) ( _399_ CLK ) ( _398_ CLK ) ( _397_ CLK )
+ ( _396_ CLK ) ( _395_ CLK ) ( _394_ CLK ) ( _393_ CLK ) ( _392_ CLK ) ( _391_ CLK ) ( _390_ CLK ) ( _389_ CLK )
+ ( _388_ CLK ) ( _387_ CLK ) ( _386_ CLK ) ( _385_ CLK ) ( _384_ CLK ) ( _383_ CLK ) ( _382_ CLK ) ( _381_ CLK )
+ ( _380_ CLK ) ( _379_ CLK ) + USE CLOCK
+ + ROUTED met2 ( 19090 9690 ) ( * 12750 )
+ NEW met1 ( 19090 12750 ) ( 28290 * )
+ NEW met1 ( 28290 12410 ) ( * 12750 )
+ NEW met1 ( 17710 9690 ) ( 19090 * )
+ NEW met1 ( 15870 6630 ) ( 17710 * )
+ NEW met2 ( 17710 6630 ) ( * 9690 )
+ NEW met2 ( 57730 6970 ) ( * 9350 )
+ NEW met1 ( 51750 11390 ) ( * 12070 )
+ NEW met1 ( 51750 11390 ) ( 57730 * )
+ NEW met2 ( 57730 9350 ) ( * 11390 )
+ NEW met1 ( 47610 6970 ) ( 57730 * )
+ NEW met2 ( 43010 6970 ) ( * 9350 )
+ NEW met1 ( 43010 6970 ) ( 47610 * )
+ NEW met1 ( 42550 9350 ) ( 43010 * )
+ NEW met1 ( 28290 12410 ) ( 43010 * )
+ NEW met2 ( 14030 34170 ) ( * 36550 )
+ NEW met1 ( 20930 34170 ) ( 22770 * )
+ NEW met1 ( 20930 34170 ) ( * 34510 )
+ NEW met1 ( 14030 34510 ) ( 20930 * )
+ NEW met1 ( 14030 34170 ) ( * 34510 )
+ NEW met1 ( 20010 31450 ) ( 21850 * )
+ NEW met1 ( 20010 31450 ) ( * 31790 )
+ NEW met2 ( 20010 31790 ) ( * 34170 )
+ NEW met1 ( 20010 34170 ) ( * 34510 )
+ NEW met1 ( 22770 34170 ) ( 28290 * )
+ NEW met2 ( 28290 23290 ) ( * 34170 )
+ NEW met1 ( 34730 23290 ) ( * 23970 )
+ NEW met1 ( 28290 23970 ) ( 34730 * )
+ NEW met1 ( 41170 20570 ) ( * 21250 )
+ NEW met1 ( 33350 21250 ) ( 41170 * )
+ NEW met2 ( 33350 21250 ) ( * 23970 )
+ NEW met1 ( 42550 17850 ) ( 43930 * )
+ NEW met2 ( 42550 17850 ) ( * 20570 )
+ NEW met1 ( 41170 20570 ) ( 42550 * )
+ NEW met1 ( 41630 15130 ) ( * 15470 )
+ NEW met1 ( 41630 15470 ) ( 43010 * )
+ NEW met2 ( 43010 15470 ) ( * 17170 )
+ NEW met2 ( 42550 17170 ) ( 43010 * )
+ NEW met2 ( 42550 17170 ) ( * 17850 )
+ NEW met1 ( 43470 25670 ) ( 47610 * )
+ NEW met2 ( 43470 20570 ) ( * 25670 )
+ NEW met2 ( 42550 20570 ) ( 43470 * )
+ NEW met1 ( 45770 17850 ) ( 50370 * )
+ NEW met2 ( 45770 17850 ) ( * 18020 )
+ NEW met2 ( 44390 18020 ) ( 45770 * )
+ NEW met2 ( 44390 17850 ) ( * 18020 )
+ NEW met1 ( 43930 17850 ) ( 44390 * )
+ NEW met2 ( 50370 26350 ) ( * 33830 )
+ NEW met1 ( 47610 26350 ) ( 50370 * )
+ NEW met1 ( 47610 25670 ) ( * 26350 )
+ NEW met1 ( 50370 23290 ) ( 51290 * )
+ NEW met2 ( 50370 23290 ) ( * 26350 )
+ NEW met1 ( 50370 28730 ) ( 51750 * )
+ NEW met1 ( 7590 34170 ) ( 14030 * )
+ NEW met2 ( 43010 9350 ) ( * 15470 )
+ NEW li1 ( 28290 12410 ) L1M1_PR
+ NEW li1 ( 19090 9690 ) L1M1_PR
+ NEW met1 ( 19090 9690 ) M1M2_PR
+ NEW met1 ( 19090 12750 ) M1M2_PR
+ NEW li1 ( 17710 9690 ) L1M1_PR
+ NEW li1 ( 15870 6630 ) L1M1_PR
+ NEW met1 ( 17710 6630 ) M1M2_PR
+ NEW met1 ( 17710 9690 ) M1M2_PR
+ NEW li1 ( 57730 9350 ) L1M1_PR
+ NEW met1 ( 57730 9350 ) M1M2_PR
+ NEW li1 ( 57730 6970 ) L1M1_PR
+ NEW met1 ( 57730 6970 ) M1M2_PR
+ NEW li1 ( 51750 12070 ) L1M1_PR
+ NEW met1 ( 57730 11390 ) M1M2_PR
+ NEW li1 ( 47610 6970 ) L1M1_PR
+ NEW li1 ( 43010 9350 ) L1M1_PR
+ NEW met1 ( 43010 9350 ) M1M2_PR
+ NEW met1 ( 43010 6970 ) M1M2_PR
+ NEW li1 ( 42550 9350 ) L1M1_PR
+ NEW met1 ( 43010 12410 ) M1M2_PR
+ NEW li1 ( 7590 34170 ) L1M1_PR
+ NEW met1 ( 14030 34170 ) M1M2_PR
+ NEW li1 ( 14030 36550 ) L1M1_PR
+ NEW met1 ( 14030 36550 ) M1M2_PR
+ NEW li1 ( 22770 34170 ) L1M1_PR
+ NEW li1 ( 21850 31450 ) L1M1_PR
+ NEW met1 ( 20010 31790 ) M1M2_PR
+ NEW met1 ( 20010 34170 ) M1M2_PR
+ NEW li1 ( 28290 34170 ) L1M1_PR
+ NEW li1 ( 28290 23290 ) L1M1_PR
+ NEW met1 ( 28290 23290 ) M1M2_PR
+ NEW met1 ( 28290 34170 ) M1M2_PR
+ NEW li1 ( 34730 23290 ) L1M1_PR
+ NEW met1 ( 28290 23970 ) M1M2_PR
+ NEW li1 ( 41170 20570 ) L1M1_PR
+ NEW met1 ( 33350 21250 ) M1M2_PR
+ NEW met1 ( 33350 23970 ) M1M2_PR
+ NEW li1 ( 43930 17850 ) L1M1_PR
+ NEW met1 ( 42550 17850 ) M1M2_PR
+ NEW met1 ( 42550 20570 ) M1M2_PR
+ NEW li1 ( 41630 15130 ) L1M1_PR
+ NEW met1 ( 43010 15470 ) M1M2_PR
+ NEW li1 ( 47610 25670 ) L1M1_PR
+ NEW met1 ( 43470 25670 ) M1M2_PR
+ NEW li1 ( 50370 17850 ) L1M1_PR
+ NEW met1 ( 45770 17850 ) M1M2_PR
+ NEW met1 ( 44390 17850 ) M1M2_PR
+ NEW li1 ( 50370 33830 ) L1M1_PR
+ NEW met1 ( 50370 33830 ) M1M2_PR
+ NEW met1 ( 50370 26350 ) M1M2_PR
+ NEW li1 ( 51290 23290 ) L1M1_PR
+ NEW met1 ( 50370 23290 ) M1M2_PR
+ NEW li1 ( 51750 28730 ) L1M1_PR
+ NEW met1 ( 50370 28730 ) M1M2_PR
+ NEW met1 ( 19090 9690 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 17710 9690 ) RECT ( 0 -70 595 70 )
+ NEW met1 ( 57730 9350 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 57730 6970 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43010 9350 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 43010 12410 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 14030 36550 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 28290 23290 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 28290 34170 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 28290 23970 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 33350 23970 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 50370 33830 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 50370 28730 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.count0\[0\] ( _187_ A0 ) ( _220_ A ) ( _199_ A ) ( _198_ A ) ( _273_ C ) ( _279_ A2 ) ( _282_ B )
+ ( _284_ A ) ( _382_ Q ) + USE SIGNAL
+ + ROUTED met1 ( 36570 11390 ) ( 37030 * )
+ NEW met2 ( 36570 6970 ) ( * 11390 )
+ NEW met1 ( 31510 14790 ) ( 31970 * )
+ NEW met2 ( 31510 11390 ) ( * 14790 )
+ NEW met1 ( 31510 11390 ) ( 36570 * )
+ NEW met1 ( 29670 15470 ) ( 31510 * )
+ NEW met1 ( 31510 14790 ) ( * 15470 )
+ NEW met1 ( 27830 6630 ) ( 28290 * )
+ NEW met2 ( 28290 6630 ) ( * 11390 )
+ NEW met1 ( 28290 11390 ) ( 31510 * )
+ NEW met1 ( 19550 12070 ) ( * 12410 )
+ NEW met1 ( 19550 12410 ) ( 20470 * )
+ NEW met1 ( 20470 11390 ) ( * 12410 )
+ NEW met1 ( 20470 11390 ) ( 28290 * )
+ NEW met1 ( 16330 11390 ) ( 20470 * )
+ NEW met1 ( 16790 6290 ) ( 17250 * )
+ NEW met2 ( 16790 6290 ) ( * 11390 )
+ NEW met1 ( 13110 11730 ) ( * 12070 )
+ NEW met1 ( 13110 11730 ) ( 16330 * )
+ NEW met1 ( 16330 11390 ) ( * 11730 )
+ NEW li1 ( 37030 11390 ) L1M1_PR
+ NEW met1 ( 36570 11390 ) M1M2_PR
+ NEW li1 ( 36570 6970 ) L1M1_PR
+ NEW met1 ( 36570 6970 ) M1M2_PR
+ NEW li1 ( 31970 14790 ) L1M1_PR
+ NEW met1 ( 31510 14790 ) M1M2_PR
+ NEW met1 ( 31510 11390 ) M1M2_PR
+ NEW li1 ( 29670 15470 ) L1M1_PR
+ NEW li1 ( 27830 6630 ) L1M1_PR
+ NEW met1 ( 28290 6630 ) M1M2_PR
+ NEW met1 ( 28290 11390 ) M1M2_PR
+ NEW li1 ( 19550 12070 ) L1M1_PR
+ NEW li1 ( 16330 11390 ) L1M1_PR
+ NEW li1 ( 17250 6290 ) L1M1_PR
+ NEW met1 ( 16790 6290 ) M1M2_PR
+ NEW met1 ( 16790 11390 ) M1M2_PR
+ NEW li1 ( 13110 12070 ) L1M1_PR
+ NEW met1 ( 36570 6970 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16790 11390 ) RECT ( 0 -70 595 70 ) ;
+ - pll_control.count0\[1\] ( _383_ Q ) ( _282_ A ) ( _279_ A1 ) ( _273_ B ) ( _200_ A ) ( _197_ A ) ( _186_ A0 ) + USE SIGNAL
+ + ROUTED met1 ( 33810 8670 ) ( 35190 * )
+ NEW met2 ( 35190 6630 ) ( * 8670 )
+ NEW met2 ( 32890 8670 ) ( * 15130 )
+ NEW met1 ( 32890 8670 ) ( 33810 * )
+ NEW met1 ( 29670 14110 ) ( * 14450 )
+ NEW met1 ( 29670 14450 ) ( 32890 * )
+ NEW met1 ( 27830 15130 ) ( 29670 * )
+ NEW met1 ( 29670 14450 ) ( * 15130 )
+ NEW met2 ( 27370 12070 ) ( * 15130 )
+ NEW met1 ( 27370 15130 ) ( 27830 * )
+ NEW met1 ( 25530 5950 ) ( 27370 * )
+ NEW met2 ( 27370 5950 ) ( * 12070 )
+ NEW li1 ( 33810 8670 ) L1M1_PR
+ NEW met1 ( 35190 8670 ) M1M2_PR
+ NEW li1 ( 35190 6630 ) L1M1_PR
+ NEW met1 ( 35190 6630 ) M1M2_PR
+ NEW li1 ( 32890 15130 ) L1M1_PR
+ NEW met1 ( 32890 15130 ) M1M2_PR
+ NEW met1 ( 32890 8670 ) M1M2_PR
+ NEW li1 ( 29670 14110 ) L1M1_PR
+ NEW met1 ( 32890 14450 ) M1M2_PR
+ NEW li1 ( 27830 15130 ) L1M1_PR
+ NEW li1 ( 27370 12070 ) L1M1_PR
+ NEW met1 ( 27370 12070 ) M1M2_PR
+ NEW met1 ( 27370 15130 ) M1M2_PR
+ NEW li1 ( 25530 5950 ) L1M1_PR
+ NEW met1 ( 27370 5950 ) M1M2_PR
+ NEW met1 ( 35190 6630 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 32890 15130 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 32890 14450 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 27370 12070 ) RECT ( 0 -70 355 70 ) ;
+ - pll_control.count0\[2\] ( _384_ Q ) ( _279_ B1 ) ( _273_ A ) ( _196_ A ) ( _195_ A ) ( _194_ A ) ( _185_ A0 ) + USE SIGNAL
+ + ROUTED met1 ( 34730 16830 ) ( 35190 * )
+ NEW met2 ( 34730 14790 ) ( * 16830 )
+ NEW met1 ( 28750 15470 ) ( * 15810 )
+ NEW met1 ( 28750 15810 ) ( 34730 * )
+ NEW met1 ( 25990 17170 ) ( * 17510 )
+ NEW met1 ( 25990 17170 ) ( 27370 * )
+ NEW met2 ( 27370 15810 ) ( * 17170 )
+ NEW met1 ( 27370 15810 ) ( 28750 * )
+ NEW met1 ( 25990 20570 ) ( 27370 * )
+ NEW met2 ( 27370 17170 ) ( * 20570 )
+ NEW met1 ( 23690 20570 ) ( 25990 * )
+ NEW met1 ( 25990 26350 ) ( 27370 * )
+ NEW met2 ( 27370 20570 ) ( * 26350 )
+ NEW li1 ( 35190 16830 ) L1M1_PR
+ NEW met1 ( 34730 16830 ) M1M2_PR
+ NEW li1 ( 34730 14790 ) L1M1_PR
+ NEW met1 ( 34730 14790 ) M1M2_PR
+ NEW li1 ( 28750 15470 ) L1M1_PR
+ NEW met1 ( 34730 15810 ) M1M2_PR
+ NEW li1 ( 25990 17510 ) L1M1_PR
+ NEW met1 ( 27370 17170 ) M1M2_PR
+ NEW met1 ( 27370 15810 ) M1M2_PR
+ NEW li1 ( 25990 20570 ) L1M1_PR
+ NEW met1 ( 27370 20570 ) M1M2_PR
+ NEW li1 ( 23690 20570 ) L1M1_PR
+ NEW li1 ( 25990 26350 ) L1M1_PR
+ NEW met1 ( 27370 26350 ) M1M2_PR
+ NEW met1 ( 34730 14790 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 34730 15810 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.count0\[3\] ( _385_ Q ) ( _277_ A1 ) ( _274_ A ) ( _193_ A ) ( _191_ A ) ( _184_ A0 ) + USE SIGNAL
+ + ROUTED met1 ( 30590 22950 ) ( 30760 * )
+ NEW met2 ( 30590 21250 ) ( * 22950 )
+ NEW met1 ( 30590 21250 ) ( 32430 * )
+ NEW met1 ( 31510 28050 ) ( * 28390 )
+ NEW met1 ( 30590 28050 ) ( 31510 * )
+ NEW met2 ( 30590 22950 ) ( * 28050 )
+ NEW met1 ( 24610 28050 ) ( * 28390 )
+ NEW met1 ( 24610 28050 ) ( 30590 * )
+ NEW met1 ( 19550 32130 ) ( 27830 * )
+ NEW met2 ( 27830 27710 ) ( * 32130 )
+ NEW met1 ( 27830 27710 ) ( * 28050 )
+ NEW met1 ( 17250 31450 ) ( 19550 * )
+ NEW met1 ( 19550 31450 ) ( * 32130 )
+ NEW li1 ( 30760 22950 ) L1M1_PR
+ NEW met1 ( 30590 22950 ) M1M2_PR
+ NEW met1 ( 30590 21250 ) M1M2_PR
+ NEW li1 ( 32430 21250 ) L1M1_PR
+ NEW li1 ( 31510 28390 ) L1M1_PR
+ NEW met1 ( 30590 28050 ) M1M2_PR
+ NEW li1 ( 24610 28390 ) L1M1_PR
+ NEW li1 ( 19550 32130 ) L1M1_PR
+ NEW met1 ( 27830 32130 ) M1M2_PR
+ NEW met1 ( 27830 27710 ) M1M2_PR
+ NEW li1 ( 17250 31450 ) L1M1_PR ;
+ - pll_control.count0\[4\] ( _386_ Q ) ( _278_ A ) ( _276_ A_N ) ( _275_ A1 ) ( _189_ A ) ( _188_ A ) ( _183_ A0 ) + USE SIGNAL
+ + ROUTED met1 ( 16790 38590 ) ( * 38930 )
+ NEW met1 ( 15410 38590 ) ( 16790 * )
+ NEW met2 ( 15410 37230 ) ( * 38590 )
+ NEW met1 ( 24150 36890 ) ( 24610 * )
+ NEW met1 ( 24150 36890 ) ( * 37570 )
+ NEW met1 ( 18630 37570 ) ( 24150 * )
+ NEW met2 ( 18630 37570 ) ( * 38590 )
+ NEW met1 ( 16790 38590 ) ( 18630 * )
+ NEW met2 ( 30590 32130 ) ( * 36550 )
+ NEW met1 ( 24610 36550 ) ( 30590 * )
+ NEW met1 ( 24610 36550 ) ( * 36890 )
+ NEW met1 ( 29210 28390 ) ( 29670 * )
+ NEW met2 ( 29670 28390 ) ( * 29410 )
+ NEW met2 ( 29670 29410 ) ( 30590 * )
+ NEW met2 ( 30590 29410 ) ( * 32130 )
+ NEW met1 ( 28290 26010 ) ( * 26350 )
+ NEW met1 ( 28290 26350 ) ( 29670 * )
+ NEW met2 ( 29670 26350 ) ( * 28390 )
+ NEW met1 ( 32890 26010 ) ( * 26350 )
+ NEW met1 ( 29670 26350 ) ( 32890 * )
+ NEW met1 ( 11730 37230 ) ( 15410 * )
+ NEW li1 ( 11730 37230 ) L1M1_PR
+ NEW li1 ( 16790 38930 ) L1M1_PR
+ NEW met1 ( 15410 38590 ) M1M2_PR
+ NEW met1 ( 15410 37230 ) M1M2_PR
+ NEW li1 ( 24610 36890 ) L1M1_PR
+ NEW met1 ( 18630 37570 ) M1M2_PR
+ NEW met1 ( 18630 38590 ) M1M2_PR
+ NEW li1 ( 30590 32130 ) L1M1_PR
+ NEW met1 ( 30590 32130 ) M1M2_PR
+ NEW met1 ( 30590 36550 ) M1M2_PR
+ NEW li1 ( 29210 28390 ) L1M1_PR
+ NEW met1 ( 29670 28390 ) M1M2_PR
+ NEW li1 ( 28290 26010 ) L1M1_PR
+ NEW met1 ( 29670 26350 ) M1M2_PR
+ NEW li1 ( 32890 26010 ) L1M1_PR
+ NEW met1 ( 30590 32130 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count1\[0\] ( _397_ Q ) ( _220_ B ) ( _199_ B ) ( _198_ B ) ( _187_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 14030 12070 ) ( 14490 * )
+ NEW met2 ( 14490 10370 ) ( * 12070 )
+ NEW met1 ( 8970 10370 ) ( 14490 * )
+ NEW met1 ( 14490 12070 ) ( 16790 * )
+ NEW met1 ( 20010 11730 ) ( * 12070 )
+ NEW met1 ( 16790 11730 ) ( 20010 * )
+ NEW met1 ( 16790 11730 ) ( * 12070 )
+ NEW met1 ( 18170 6630 ) ( * 6970 )
+ NEW met1 ( 18170 6970 ) ( 18630 * )
+ NEW met2 ( 18630 6970 ) ( * 11730 )
+ NEW li1 ( 14030 12070 ) L1M1_PR
+ NEW met1 ( 14490 12070 ) M1M2_PR
+ NEW met1 ( 14490 10370 ) M1M2_PR
+ NEW li1 ( 8970 10370 ) L1M1_PR
+ NEW li1 ( 16790 12070 ) L1M1_PR
+ NEW li1 ( 20010 12070 ) L1M1_PR
+ NEW li1 ( 18170 6630 ) L1M1_PR
+ NEW met1 ( 18630 6970 ) M1M2_PR
+ NEW met1 ( 18630 11730 ) M1M2_PR
+ NEW met1 ( 18630 11730 ) RECT ( -595 -70 0 70 ) ;
+ - pll_control.count1\[1\] ( _398_ Q ) ( _200_ B ) ( _197_ B ) ( _186_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 26910 10370 ) ( 27830 * )
+ NEW met2 ( 26910 10370 ) ( * 12070 )
+ NEW met1 ( 25990 6630 ) ( 26910 * )
+ NEW met2 ( 26910 6630 ) ( * 10370 )
+ NEW met2 ( 26910 12070 ) ( * 15130 )
+ NEW met1 ( 26910 12070 ) M1M2_PR_MR
+ NEW li1 ( 27830 10370 ) L1M1_PR
+ NEW met1 ( 26910 10370 ) M1M2_PR
+ NEW li1 ( 25990 6630 ) L1M1_PR
+ NEW met1 ( 26910 6630 ) M1M2_PR
+ NEW li1 ( 26910 15130 ) L1M1_PR
+ NEW met1 ( 26910 15130 ) M1M2_PR
+ NEW met1 ( 26910 15130 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count1\[2\] ( _399_ Q ) ( _196_ B ) ( _195_ B ) ( _194_ B ) ( _185_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 25530 21250 ) ( 26910 * )
+ NEW met2 ( 26910 21250 ) ( * 26010 )
+ NEW met2 ( 22770 20570 ) ( 23690 * )
+ NEW met2 ( 23690 20570 ) ( * 21250 )
+ NEW met1 ( 23690 21250 ) ( 25530 * )
+ NEW met2 ( 26910 17510 ) ( * 21250 )
+ NEW met1 ( 19550 22270 ) ( 22770 * )
+ NEW met2 ( 22770 20570 ) ( * 22270 )
+ NEW li1 ( 25530 21250 ) L1M1_PR
+ NEW met1 ( 26910 21250 ) M1M2_PR
+ NEW li1 ( 26910 26010 ) L1M1_PR
+ NEW met1 ( 26910 26010 ) M1M2_PR
+ NEW li1 ( 22770 20570 ) L1M1_PR
+ NEW met1 ( 22770 20570 ) M1M2_PR
+ NEW met1 ( 23690 21250 ) M1M2_PR
+ NEW li1 ( 26910 17510 ) L1M1_PR
+ NEW met1 ( 26910 17510 ) M1M2_PR
+ NEW li1 ( 19550 22270 ) L1M1_PR
+ NEW met1 ( 22770 22270 ) M1M2_PR
+ NEW met1 ( 26910 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 22770 20570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 26910 17510 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count1\[3\] ( _400_ Q ) ( _193_ B ) ( _191_ B ) ( _184_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 20010 30430 ) ( * 31110 )
+ NEW met1 ( 20010 30430 ) ( 23230 * )
+ NEW met2 ( 23230 30430 ) ( * 35870 )
+ NEW met1 ( 22770 35870 ) ( 23230 * )
+ NEW met2 ( 23690 28390 ) ( * 30430 )
+ NEW met2 ( 23230 30430 ) ( 23690 * )
+ NEW met1 ( 16330 31110 ) ( * 31450 )
+ NEW met1 ( 16330 31110 ) ( 20010 * )
+ NEW li1 ( 20010 31110 ) L1M1_PR
+ NEW met1 ( 23230 30430 ) M1M2_PR
NEW met1 ( 23230 35870 ) M1M2_PR
- NEW li1 ( 23230 31450 ) L1M1_PR_MR
- NEW met1 ( 23230 31450 ) M1M2_PR
- NEW met1 ( 23230 31450 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.c\[1\] ( ringosc.ibufp11 A ) ( ringosc.ibufp10 Y ) + USE SIGNAL
- + ROUTED met1 ( 12190 36890 ) ( 13110 * )
- NEW li1 ( 12190 36890 ) L1M1_PR_MR
- NEW li1 ( 13110 36890 ) L1M1_PR_MR ;
- - ringosc.dstage\[0\].id.d0 ( ringosc.dstage\[0\].id.delayen1 A ) ( ringosc.dstage\[0\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met1 ( 14950 39610 ) ( 17250 * )
- NEW met2 ( 17250 39610 ) ( * 44030 )
- NEW li1 ( 14950 39610 ) L1M1_PR_MR
- NEW met1 ( 17250 39610 ) M1M2_PR
- NEW li1 ( 17250 44030 ) L1M1_PR_MR
- NEW met1 ( 17250 44030 ) M1M2_PR
- NEW met1 ( 17250 44030 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[0\].id.d1 ( ringosc.dstage\[0\].id.delayint0 A ) ( ringosc.dstage\[0\].id.delayenb1 Z ) ( ringosc.dstage\[0\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 15410 39950 ) ( 16790 * )
- NEW met2 ( 16790 39950 ) ( * 42330 )
- NEW met1 ( 16790 42330 ) ( 17250 * )
- NEW met1 ( 15870 37570 ) ( 16790 * )
- NEW met2 ( 16790 37570 ) ( * 39950 )
- NEW li1 ( 15410 39950 ) L1M1_PR_MR
- NEW met1 ( 16790 39950 ) M1M2_PR
- NEW met1 ( 16790 42330 ) M1M2_PR
- NEW li1 ( 17250 42330 ) L1M1_PR_MR
- NEW li1 ( 15870 37570 ) L1M1_PR_MR
- NEW met1 ( 16790 37570 ) M1M2_PR ;
- - ringosc.dstage\[0\].id.d2 ( ringosc.dstage\[0\].id.delayint0 Y ) ( ringosc.dstage\[0\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 14030 37570 ) ( 15410 * )
- NEW met2 ( 14030 37570 ) ( * 38930 )
- NEW li1 ( 15410 37570 ) L1M1_PR_MR
- NEW met1 ( 14030 37570 ) M1M2_PR
- NEW li1 ( 14030 38930 ) L1M1_PR_MR
- NEW met1 ( 14030 38930 ) M1M2_PR
- NEW met1 ( 14030 38930 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[0\].id.in ( ringosc.iss.reseten0 Z ) ( ringosc.iss.delayenb0 Z ) ( ringosc.iss.delayen0 Z ) ( ringosc.ibufp00 A ) ( ringosc.dstage\[0\].id.delaybuf0 A ) + USE SIGNAL
- + ROUTED met2 ( 26910 39950 ) ( * 41650 )
- NEW met1 ( 26910 41650 ) ( 28750 * )
- NEW met1 ( 23230 44370 ) ( 26910 * )
- NEW met2 ( 26910 41650 ) ( * 44370 )
- NEW met1 ( 20930 38590 ) ( 26910 * )
- NEW met2 ( 26910 38590 ) ( * 39950 )
- NEW met2 ( 22310 36890 ) ( * 38590 )
- NEW li1 ( 26910 39950 ) L1M1_PR_MR
- NEW met1 ( 26910 39950 ) M1M2_PR
- NEW met1 ( 26910 41650 ) M1M2_PR
- NEW li1 ( 28750 41650 ) L1M1_PR_MR
- NEW li1 ( 23230 44370 ) L1M1_PR_MR
- NEW met1 ( 26910 44370 ) M1M2_PR
- NEW li1 ( 20930 38590 ) L1M1_PR_MR
- NEW met1 ( 26910 38590 ) M1M2_PR
- NEW li1 ( 22310 36890 ) L1M1_PR_MR
- NEW met1 ( 22310 36890 ) M1M2_PR
- NEW met1 ( 22310 38590 ) M1M2_PR
- NEW met1 ( 26910 39950 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 22310 36890 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 22310 38590 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[0\].id.out ( ringosc.dstage\[1\].id.delaybuf0 A ) ( ringosc.dstage\[0\].id.delayenb0 Z ) ( ringosc.dstage\[0\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met2 ( 15870 42670 ) ( * 44370 )
- NEW met2 ( 15870 39270 ) ( * 42670 )
- NEW met1 ( 13570 39270 ) ( 15870 * )
- NEW li1 ( 13570 39270 ) L1M1_PR_MR
- NEW li1 ( 15870 42670 ) L1M1_PR_MR
- NEW met1 ( 15870 42670 ) M1M2_PR
- NEW li1 ( 15870 44370 ) L1M1_PR_MR
- NEW met1 ( 15870 44370 ) M1M2_PR
- NEW met1 ( 15870 39270 ) M1M2_PR
- NEW met1 ( 15870 42670 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 15870 44370 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[0\].id.trim\[0\] ( ringosc.dstage\[0\].id.delayenb0 TE_B ) ( ringosc.dstage\[0\].id.delayen0 TE ) ( _352_ X ) + USE SIGNAL
- + ROUTED met1 ( 8050 40290 ) ( 10810 * )
- NEW met2 ( 8050 40290 ) ( * 42330 )
- NEW met1 ( 10810 39610 ) ( 11270 * )
- NEW met1 ( 10810 39610 ) ( * 40290 )
- NEW li1 ( 10810 40290 ) L1M1_PR_MR
- NEW met1 ( 8050 40290 ) M1M2_PR
- NEW li1 ( 8050 42330 ) L1M1_PR_MR
- NEW met1 ( 8050 42330 ) M1M2_PR
- NEW li1 ( 11270 39610 ) L1M1_PR_MR
- NEW met1 ( 8050 42330 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[0\].id.trim\[1\] ( ringosc.dstage\[0\].id.delayenb1 TE_B ) ( ringosc.dstage\[0\].id.delayen1 TE ) ( _353_ X ) + USE SIGNAL
- + ROUTED met1 ( 20990 42330 ) ( 21850 * )
- NEW met1 ( 21850 41990 ) ( * 42330 )
- NEW met1 ( 21850 41990 ) ( 34270 * )
- NEW met1 ( 34270 41650 ) ( * 41990 )
- NEW met2 ( 17710 39610 ) ( * 42330 )
- NEW met1 ( 17710 42330 ) ( 20990 * )
- NEW li1 ( 20990 42330 ) L1M1_PR_MR
- NEW li1 ( 34270 41650 ) L1M1_PR_MR
- NEW li1 ( 17710 39610 ) L1M1_PR_MR
- NEW met1 ( 17710 39610 ) M1M2_PR
- NEW met1 ( 17710 42330 ) M1M2_PR
- NEW met1 ( 17710 39610 ) RECT ( 0 -70 355 70 ) ;
- - ringosc.dstage\[0\].id.ts ( ringosc.dstage\[0\].id.delayenb1 A ) ( ringosc.dstage\[0\].id.delayenb0 A ) ( ringosc.dstage\[0\].id.delaybuf1 A ) ( ringosc.dstage\[0\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 18170 44710 ) ( 22310 * )
- NEW met1 ( 16330 42670 ) ( 18170 * )
- NEW met2 ( 18170 42670 ) ( * 44710 )
- NEW met1 ( 15410 42330 ) ( 16330 * )
- NEW met1 ( 16330 42330 ) ( * 42670 )
- NEW li1 ( 18170 44710 ) L1M1_PR_MR
- NEW li1 ( 22310 44710 ) L1M1_PR_MR
- NEW li1 ( 16330 42670 ) L1M1_PR_MR
- NEW met1 ( 18170 42670 ) M1M2_PR
- NEW met1 ( 18170 44710 ) M1M2_PR
- NEW li1 ( 15410 42330 ) L1M1_PR_MR
- NEW met1 ( 18170 44710 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.d0 ( ringosc.dstage\[10\].id.delayen1 A ) ( ringosc.dstage\[10\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met2 ( 66930 39610 ) ( * 41310 )
- NEW li1 ( 66930 39610 ) L1M1_PR_MR
- NEW met1 ( 66930 39610 ) M1M2_PR
- NEW li1 ( 66930 41310 ) L1M1_PR_MR
- NEW met1 ( 66930 41310 ) M1M2_PR
- NEW met1 ( 66930 39610 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 66930 41310 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.d1 ( ringosc.dstage\[10\].id.delayint0 A ) ( ringosc.dstage\[10\].id.delayenb1 Z ) ( ringosc.dstage\[10\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met2 ( 66930 44710 ) ( * 47770 )
- NEW met2 ( 66470 39950 ) ( * 43860 )
- NEW met2 ( 66470 43860 ) ( 66930 * )
- NEW met2 ( 66930 43860 ) ( * 44710 )
- NEW li1 ( 66930 44710 ) L1M1_PR_MR
- NEW met1 ( 66930 44710 ) M1M2_PR
- NEW li1 ( 66930 47770 ) L1M1_PR_MR
- NEW met1 ( 66930 47770 ) M1M2_PR
- NEW li1 ( 66470 39950 ) L1M1_PR_MR
- NEW met1 ( 66470 39950 ) M1M2_PR
- NEW met1 ( 66930 44710 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 66930 47770 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 66470 39950 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.d2 ( ringosc.dstage\[10\].id.delayint0 Y ) ( ringosc.dstage\[10\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 62790 45050 ) ( 66470 * )
- NEW met2 ( 66470 45050 ) ( * 46750 )
- NEW li1 ( 62790 45050 ) L1M1_PR_MR
- NEW met1 ( 66470 45050 ) M1M2_PR
- NEW li1 ( 66470 46750 ) L1M1_PR_MR
- NEW met1 ( 66470 46750 ) M1M2_PR
- NEW met1 ( 66470 46750 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.in ( ringosc.dstage\[9\].id.delayenb0 Z ) ( ringosc.dstage\[9\].id.delayen0 Z ) ( ringosc.dstage\[10\].id.delaybuf0 A ) + USE SIGNAL
- + ROUTED met2 ( 64170 53210 ) ( * 56270 )
- NEW met1 ( 62330 49810 ) ( 64170 * )
- NEW met2 ( 64170 49810 ) ( * 53210 )
- NEW li1 ( 64170 53210 ) L1M1_PR_MR
- NEW met1 ( 64170 53210 ) M1M2_PR
- NEW li1 ( 64170 56270 ) L1M1_PR_MR
- NEW met1 ( 64170 56270 ) M1M2_PR
- NEW li1 ( 62330 49810 ) L1M1_PR_MR
- NEW met1 ( 64170 49810 ) M1M2_PR
- NEW met1 ( 64170 53210 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 64170 56270 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.out ( ringosc.dstage\[11\].id.delaybuf0 A ) ( ringosc.dstage\[10\].id.delayenb0 Z ) ( ringosc.dstage\[10\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met1 ( 62330 45050 ) ( * 45390 )
- NEW met1 ( 62330 45390 ) ( 64170 * )
- NEW met2 ( 64170 45390 ) ( * 47090 )
- NEW met1 ( 58650 44710 ) ( * 45050 )
- NEW met1 ( 58650 45050 ) ( 62330 * )
- NEW li1 ( 62330 45050 ) L1M1_PR_MR
- NEW met1 ( 64170 45390 ) M1M2_PR
- NEW li1 ( 64170 47090 ) L1M1_PR_MR
- NEW met1 ( 64170 47090 ) M1M2_PR
- NEW li1 ( 58650 44710 ) L1M1_PR_MR
- NEW met1 ( 64170 47090 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.trim\[0\] ( ringosc.dstage\[10\].id.delayenb0 TE_B ) ( ringosc.dstage\[10\].id.delayen0 TE ) ( _332_ X ) + USE SIGNAL
- + ROUTED met2 ( 60030 44710 ) ( * 47770 )
- NEW met1 ( 57730 47770 ) ( 60030 * )
- NEW met2 ( 42090 52530 ) ( 42550 * )
- NEW met1 ( 41630 52530 ) ( 42090 * )
- NEW met2 ( 49450 52020 ) ( * 52530 )
- NEW met3 ( 49450 52020 ) ( 57730 * )
- NEW met1 ( 42550 52530 ) ( 49450 * )
- NEW met2 ( 57730 47770 ) ( * 52020 )
- NEW li1 ( 57730 47770 ) L1M1_PR_MR
- NEW met1 ( 57730 47770 ) M1M2_PR
- NEW li1 ( 60030 44710 ) L1M1_PR_MR
- NEW met1 ( 60030 44710 ) M1M2_PR
- NEW met1 ( 60030 47770 ) M1M2_PR
- NEW met1 ( 42550 52530 ) M1M2_PR
- NEW met1 ( 42090 52530 ) M1M2_PR
- NEW li1 ( 41630 52530 ) L1M1_PR_MR
- NEW met1 ( 49450 52530 ) M1M2_PR
- NEW met2 ( 49450 52020 ) M2M3_PR_M
- NEW met2 ( 57730 52020 ) M2M3_PR_M
- NEW met1 ( 57730 47770 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 60030 44710 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.trim\[1\] ( ringosc.dstage\[10\].id.delayenb1 TE_B ) ( ringosc.dstage\[10\].id.delayen1 TE ) ( _333_ X ) + USE SIGNAL
- + ROUTED met1 ( 63250 39610 ) ( 64170 * )
- NEW met2 ( 63250 39610 ) ( * 44710 )
- NEW met1 ( 63250 34850 ) ( 64170 * )
- NEW met2 ( 63250 34850 ) ( * 39610 )
- NEW li1 ( 64170 39610 ) L1M1_PR_MR
- NEW met1 ( 63250 39610 ) M1M2_PR
- NEW li1 ( 63250 44710 ) L1M1_PR_MR
- NEW met1 ( 63250 44710 ) M1M2_PR
- NEW li1 ( 64170 34850 ) L1M1_PR_MR
- NEW met1 ( 63250 34850 ) M1M2_PR
- NEW met1 ( 63250 44710 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[10\].id.ts ( ringosc.dstage\[10\].id.delayenb1 A ) ( ringosc.dstage\[10\].id.delayenb0 A ) ( ringosc.dstage\[10\].id.delaybuf1 A ) ( ringosc.dstage\[10\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met2 ( 62790 47770 ) ( * 49470 )
- NEW met1 ( 63710 44370 ) ( 67850 * )
- NEW met2 ( 63710 44370 ) ( * 47770 )
- NEW met2 ( 62790 47770 ) ( 63710 * )
- NEW met2 ( 66010 42330 ) ( * 44370 )
- NEW li1 ( 62790 47770 ) L1M1_PR_MR
- NEW met1 ( 62790 47770 ) M1M2_PR
- NEW li1 ( 62790 49470 ) L1M1_PR_MR
- NEW met1 ( 62790 49470 ) M1M2_PR
- NEW li1 ( 67850 44370 ) L1M1_PR_MR
- NEW met1 ( 63710 44370 ) M1M2_PR
- NEW li1 ( 66010 42330 ) L1M1_PR_MR
- NEW met1 ( 66010 42330 ) M1M2_PR
- NEW met1 ( 66010 44370 ) M1M2_PR
- NEW met1 ( 62790 47770 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 62790 49470 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 66010 42330 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 66010 44370 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[11\].id.d0 ( ringosc.dstage\[11\].id.delayen1 A ) ( ringosc.dstage\[11\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met1 ( 55890 37570 ) ( 60030 * )
- NEW met2 ( 55890 37570 ) ( * 38930 )
- NEW li1 ( 60030 37570 ) L1M1_PR_MR
- NEW met1 ( 55890 37570 ) M1M2_PR
- NEW li1 ( 55890 38930 ) L1M1_PR_MR
- NEW met1 ( 55890 38930 ) M1M2_PR
- NEW met1 ( 55890 38930 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[11\].id.d1 ( ringosc.dstage\[11\].id.delayint0 A ) ( ringosc.dstage\[11\].id.delayenb1 Z ) ( ringosc.dstage\[11\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 56350 39610 ) ( 60030 * )
- NEW met1 ( 60030 39270 ) ( * 39610 )
- NEW met1 ( 55430 39270 ) ( * 39610 )
- NEW met1 ( 55430 39610 ) ( 56350 * )
- NEW li1 ( 56350 39610 ) L1M1_PR_MR
- NEW li1 ( 60030 39270 ) L1M1_PR_MR
- NEW li1 ( 55430 39270 ) L1M1_PR_MR ;
- - ringosc.dstage\[11\].id.d2 ( ringosc.dstage\[11\].id.delayint0 Y ) ( ringosc.dstage\[11\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 54050 40290 ) ( 54970 * )
- NEW met2 ( 54050 40290 ) ( * 41990 )
- NEW li1 ( 54970 40290 ) L1M1_PR_MR
- NEW met1 ( 54050 40290 ) M1M2_PR
- NEW li1 ( 54050 41990 ) L1M1_PR_MR
- NEW met1 ( 54050 41990 ) M1M2_PR
- NEW met1 ( 54050 41990 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[11\].id.out ( ringosc.iss.delayenb1 A ) ( ringosc.iss.delayenb0 A ) ( ringosc.iss.delaybuf0 A ) ( ringosc.dstage\[11\].id.delayenb0 Z ) ( ringosc.dstage\[11\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met1 ( 58190 41650 ) ( * 42670 )
- NEW met1 ( 54510 42670 ) ( 65550 * )
- NEW met2 ( 29670 41820 ) ( * 42330 )
- NEW met3 ( 29670 41820 ) ( 40710 * )
- NEW met2 ( 40710 41650 ) ( * 41820 )
- NEW met1 ( 28750 39270 ) ( 29670 * )
- NEW met2 ( 29670 39270 ) ( * 41820 )
- NEW met1 ( 29670 36890 ) ( 32430 * )
- NEW met2 ( 29670 36890 ) ( * 39270 )
- NEW met1 ( 40710 41650 ) ( 58190 * )
- NEW li1 ( 65550 42670 ) L1M1_PR_MR
- NEW li1 ( 54510 42670 ) L1M1_PR_MR
- NEW li1 ( 29670 42330 ) L1M1_PR_MR
- NEW met1 ( 29670 42330 ) M1M2_PR
- NEW met2 ( 29670 41820 ) M2M3_PR_M
- NEW met2 ( 40710 41820 ) M2M3_PR_M
- NEW met1 ( 40710 41650 ) M1M2_PR
- NEW li1 ( 28750 39270 ) L1M1_PR_MR
- NEW met1 ( 29670 39270 ) M1M2_PR
- NEW li1 ( 32430 36890 ) L1M1_PR_MR
- NEW met1 ( 29670 36890 ) M1M2_PR
- NEW met1 ( 29670 42330 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[11\].id.trim\[0\] ( ringosc.dstage\[11\].id.delayenb0 TE_B ) ( ringosc.dstage\[11\].id.delayen0 TE ) ( _330_ X ) + USE SIGNAL
- + ROUTED met2 ( 27830 45050 ) ( * 46750 )
- NEW met2 ( 56810 42330 ) ( * 43180 )
- NEW met3 ( 49910 43180 ) ( 56810 * )
- NEW met2 ( 49910 43180 ) ( * 45050 )
- NEW met1 ( 56810 42330 ) ( 57730 * )
- NEW met1 ( 27830 45050 ) ( 49910 * )
- NEW met1 ( 27830 45050 ) M1M2_PR
- NEW li1 ( 27830 46750 ) L1M1_PR_MR
- NEW met1 ( 27830 46750 ) M1M2_PR
- NEW li1 ( 56810 42330 ) L1M1_PR_MR
- NEW met1 ( 56810 42330 ) M1M2_PR
- NEW met2 ( 56810 43180 ) M2M3_PR_M
- NEW met2 ( 49910 43180 ) M2M3_PR_M
- NEW met1 ( 49910 45050 ) M1M2_PR
- NEW li1 ( 57730 42330 ) L1M1_PR_MR
- NEW met1 ( 27830 46750 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 56810 42330 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[11\].id.trim\[1\] ( ringosc.dstage\[11\].id.delayenb1 TE_B ) ( ringosc.dstage\[11\].id.delayen1 TE ) ( _331_ X ) + USE SIGNAL
- + ROUTED met1 ( 62100 39270 ) ( 63710 * )
- NEW met1 ( 62100 38930 ) ( * 39270 )
- NEW met1 ( 58650 38930 ) ( 62100 * )
- NEW met1 ( 58650 38930 ) ( * 39270 )
- NEW met2 ( 61410 37570 ) ( * 38930 )
- NEW li1 ( 63710 39270 ) L1M1_PR_MR
- NEW li1 ( 58650 39270 ) L1M1_PR_MR
- NEW li1 ( 61410 37570 ) L1M1_PR_MR
- NEW met1 ( 61410 37570 ) M1M2_PR
- NEW met1 ( 61410 38930 ) M1M2_PR
- NEW met1 ( 61410 37570 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 61410 38930 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[11\].id.ts ( ringosc.dstage\[11\].id.delayenb1 A ) ( ringosc.dstage\[11\].id.delayenb0 A ) ( ringosc.dstage\[11\].id.delaybuf1 A ) ( ringosc.dstage\[11\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met2 ( 59110 39270 ) ( * 44030 )
- NEW met1 ( 59110 36890 ) ( 60950 * )
- NEW met2 ( 59110 36890 ) ( * 39270 )
- NEW met1 ( 59110 42330 ) ( 62330 * )
- NEW li1 ( 62330 42330 ) L1M1_PR_MR
- NEW li1 ( 59110 39270 ) L1M1_PR_MR
- NEW met1 ( 59110 39270 ) M1M2_PR
- NEW li1 ( 59110 44030 ) L1M1_PR_MR
- NEW met1 ( 59110 44030 ) M1M2_PR
- NEW met1 ( 59110 42330 ) M1M2_PR
- NEW li1 ( 60950 36890 ) L1M1_PR_MR
- NEW met1 ( 59110 36890 ) M1M2_PR
- NEW met1 ( 59110 39270 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 59110 44030 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 59110 42330 ) RECT ( -70 -485 70 0 ) ;
- - ringosc.dstage\[1\].id.d0 ( ringosc.dstage\[1\].id.delayen1 A ) ( ringosc.dstage\[1\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met2 ( 19090 45050 ) ( * 46750 )
- NEW met1 ( 19090 46750 ) ( 22310 * )
- NEW li1 ( 19090 45050 ) L1M1_PR_MR
- NEW met1 ( 19090 45050 ) M1M2_PR
- NEW met1 ( 19090 46750 ) M1M2_PR
- NEW li1 ( 22310 46750 ) L1M1_PR_MR
- NEW met1 ( 19090 45050 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[1\].id.d1 ( ringosc.dstage\[1\].id.delayint0 A ) ( ringosc.dstage\[1\].id.delayenb1 Z ) ( ringosc.dstage\[1\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 18630 47770 ) ( 19550 * )
- NEW met2 ( 19550 45390 ) ( * 47770 )
- NEW met1 ( 19090 50150 ) ( 19550 * )
- NEW met2 ( 19550 47770 ) ( * 50150 )
- NEW li1 ( 18630 47770 ) L1M1_PR_MR
- NEW met1 ( 19550 47770 ) M1M2_PR
- NEW li1 ( 19550 45390 ) L1M1_PR_MR
- NEW met1 ( 19550 45390 ) M1M2_PR
- NEW li1 ( 19090 50150 ) L1M1_PR_MR
- NEW met1 ( 19550 50150 ) M1M2_PR
- NEW met1 ( 19550 45390 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[1\].id.d2 ( ringosc.dstage\[1\].id.delayint0 Y ) ( ringosc.dstage\[1\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 14950 44710 ) ( 16330 * )
- NEW met2 ( 16330 44710 ) ( * 49470 )
- NEW met1 ( 16330 49470 ) ( 19550 * )
- NEW li1 ( 14950 44710 ) L1M1_PR_MR
- NEW met1 ( 16330 44710 ) M1M2_PR
- NEW met1 ( 16330 49470 ) M1M2_PR
- NEW li1 ( 19550 49470 ) L1M1_PR_MR ;
- - ringosc.dstage\[1\].id.out ( ringosc.dstage\[2\].id.delaybuf0 A ) ( ringosc.dstage\[1\].id.delayenb0 Z ) ( ringosc.dstage\[1\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met2 ( 14950 47090 ) ( * 49810 )
- NEW met1 ( 14490 45390 ) ( 14950 * )
- NEW met2 ( 14950 45390 ) ( * 47090 )
- NEW li1 ( 14950 47090 ) L1M1_PR_MR
- NEW met1 ( 14950 47090 ) M1M2_PR
- NEW li1 ( 14950 49810 ) L1M1_PR_MR
- NEW met1 ( 14950 49810 ) M1M2_PR
- NEW li1 ( 14490 45390 ) L1M1_PR_MR
- NEW met1 ( 14950 45390 ) M1M2_PR
- NEW met1 ( 14950 47090 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 14950 49810 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[1\].id.trim\[0\] ( ringosc.dstage\[1\].id.delayenb0 TE_B ) ( ringosc.dstage\[1\].id.delayen0 TE ) ( _350_ X ) + USE SIGNAL
- + ROUTED met2 ( 11270 45730 ) ( * 47770 )
- NEW met1 ( 8510 47770 ) ( 11270 * )
- NEW met1 ( 11270 45050 ) ( 12190 * )
- NEW met1 ( 11270 45050 ) ( * 45730 )
- NEW li1 ( 11270 45730 ) L1M1_PR_MR
- NEW met1 ( 11270 45730 ) M1M2_PR
- NEW met1 ( 11270 47770 ) M1M2_PR
- NEW li1 ( 8510 47770 ) L1M1_PR_MR
- NEW li1 ( 12190 45050 ) L1M1_PR_MR
- NEW met1 ( 11270 45730 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[1\].id.trim\[1\] ( ringosc.dstage\[1\].id.delayenb1 TE_B ) ( ringosc.dstage\[1\].id.delayen1 TE ) ( _351_ X ) + USE SIGNAL
- + ROUTED met1 ( 21850 47090 ) ( * 47770 )
- NEW met1 ( 21850 47090 ) ( 40250 * )
- NEW met2 ( 40250 47090 ) ( * 49470 )
- NEW met1 ( 21850 45050 ) ( 23230 * )
- NEW met2 ( 23230 45050 ) ( * 47090 )
- NEW li1 ( 21850 47770 ) L1M1_PR_MR
- NEW met1 ( 40250 47090 ) M1M2_PR
- NEW li1 ( 40250 49470 ) L1M1_PR_MR
- NEW met1 ( 40250 49470 ) M1M2_PR
- NEW li1 ( 21850 45050 ) L1M1_PR_MR
- NEW met1 ( 23230 45050 ) M1M2_PR
- NEW met1 ( 23230 47090 ) M1M2_PR
- NEW met1 ( 40250 49470 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 23230 47090 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[1\].id.ts ( ringosc.dstage\[1\].id.delayenb1 A ) ( ringosc.dstage\[1\].id.delayenb0 A ) ( ringosc.dstage\[1\].id.delaybuf1 A ) ( ringosc.dstage\[1\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 17250 48110 ) ( 23230 * )
- NEW met1 ( 23230 47770 ) ( * 48110 )
- NEW met1 ( 15870 47770 ) ( * 48110 )
- NEW met1 ( 15870 48110 ) ( 17250 * )
- NEW met2 ( 16790 45390 ) ( * 48110 )
- NEW li1 ( 17250 48110 ) L1M1_PR_MR
- NEW li1 ( 23230 47770 ) L1M1_PR_MR
- NEW li1 ( 15870 47770 ) L1M1_PR_MR
- NEW li1 ( 16790 45390 ) L1M1_PR_MR
- NEW met1 ( 16790 45390 ) M1M2_PR
- NEW met1 ( 16790 48110 ) M1M2_PR
- NEW met1 ( 16790 45390 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 16790 48110 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[2\].id.d0 ( ringosc.dstage\[2\].id.delayen1 A ) ( ringosc.dstage\[2\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met1 ( 17250 53890 ) ( 18170 * )
- NEW met2 ( 17250 53890 ) ( * 58310 )
- NEW li1 ( 18170 53890 ) L1M1_PR_MR
- NEW met1 ( 17250 53890 ) M1M2_PR
- NEW li1 ( 17250 58310 ) L1M1_PR_MR
- NEW met1 ( 17250 58310 ) M1M2_PR
- NEW met1 ( 17250 58310 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[2\].id.d1 ( ringosc.dstage\[2\].id.delayint0 A ) ( ringosc.dstage\[2\].id.delayenb1 Z ) ( ringosc.dstage\[2\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 16330 55590 ) ( 16790 * )
- NEW met2 ( 16790 55590 ) ( * 57970 )
- NEW met2 ( 16790 53550 ) ( * 55590 )
- NEW li1 ( 16330 55590 ) L1M1_PR_MR
- NEW met1 ( 16790 55590 ) M1M2_PR
- NEW li1 ( 16790 57970 ) L1M1_PR_MR
- NEW met1 ( 16790 57970 ) M1M2_PR
- NEW li1 ( 16790 53550 ) L1M1_PR_MR
- NEW met1 ( 16790 53550 ) M1M2_PR
- NEW met1 ( 16790 57970 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 16790 53550 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[2\].id.d2 ( ringosc.dstage\[2\].id.delayint0 Y ) ( ringosc.dstage\[2\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 14030 56610 ) ( 16790 * )
- NEW met2 ( 14030 56610 ) ( * 58310 )
- NEW li1 ( 16790 56610 ) L1M1_PR_MR
- NEW met1 ( 14030 56610 ) M1M2_PR
- NEW li1 ( 14030 58310 ) L1M1_PR_MR
- NEW met1 ( 14030 58310 ) M1M2_PR
- NEW met1 ( 14030 58310 ) RECT ( 0 -70 355 70 ) ;
- - ringosc.dstage\[2\].id.out ( ringosc.dstage\[3\].id.delaybuf0 A ) ( ringosc.dstage\[2\].id.delayenb0 Z ) ( ringosc.dstage\[2\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met2 ( 16330 58990 ) ( * 60690 )
- NEW met1 ( 15410 56270 ) ( 16330 * )
- NEW met2 ( 16330 56270 ) ( * 58990 )
- NEW met1 ( 13570 58990 ) ( 16330 * )
- NEW li1 ( 13570 58990 ) L1M1_PR_MR
- NEW met1 ( 16330 58990 ) M1M2_PR
- NEW li1 ( 16330 60690 ) L1M1_PR_MR
- NEW met1 ( 16330 60690 ) M1M2_PR
- NEW li1 ( 15410 56270 ) L1M1_PR_MR
- NEW met1 ( 16330 56270 ) M1M2_PR
- NEW met1 ( 16330 60690 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[2\].id.trim\[0\] ( ringosc.dstage\[2\].id.delayenb0 TE_B ) ( ringosc.dstage\[2\].id.delayen0 TE ) ( _348_ X ) + USE SIGNAL
- + ROUTED met1 ( 10810 53890 ) ( 11270 * )
- NEW met2 ( 11270 53890 ) ( * 58310 )
- NEW met1 ( 8050 55590 ) ( 11270 * )
- NEW li1 ( 10810 53890 ) L1M1_PR_MR
- NEW met1 ( 11270 53890 ) M1M2_PR
- NEW li1 ( 11270 58310 ) L1M1_PR_MR
- NEW met1 ( 11270 58310 ) M1M2_PR
- NEW li1 ( 8050 55590 ) L1M1_PR_MR
- NEW met1 ( 11270 55590 ) M1M2_PR
- NEW met1 ( 11270 58310 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 11270 55590 ) RECT ( -70 -485 70 0 ) ;
- - ringosc.dstage\[2\].id.trim\[1\] ( ringosc.dstage\[2\].id.delayenb1 TE_B ) ( ringosc.dstage\[2\].id.delayen1 TE ) ( _349_ X ) + USE SIGNAL
- + ROUTED met1 ( 14490 58650 ) ( 20470 * )
- NEW met1 ( 20470 58650 ) ( * 58990 )
- NEW met1 ( 20470 58990 ) ( 30130 * )
- NEW met1 ( 30130 58990 ) ( * 59330 )
- NEW met1 ( 30130 59330 ) ( 46230 * )
- NEW met2 ( 14490 53210 ) ( * 58650 )
- NEW met1 ( 13110 53210 ) ( 14490 * )
- NEW li1 ( 13110 53210 ) L1M1_PR_MR
- NEW li1 ( 14490 58650 ) L1M1_PR_MR
- NEW li1 ( 46230 59330 ) L1M1_PR_MR
- NEW met1 ( 14490 53210 ) M1M2_PR
- NEW met1 ( 14490 58650 ) M1M2_PR
- NEW met1 ( 14490 58650 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[2\].id.ts ( ringosc.dstage\[2\].id.delayenb1 A ) ( ringosc.dstage\[2\].id.delayenb0 A ) ( ringosc.dstage\[2\].id.delaybuf1 A ) ( ringosc.dstage\[2\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 15410 53210 ) ( 17710 * )
- NEW met2 ( 15410 53210 ) ( * 55590 )
- NEW met1 ( 17710 53210 ) ( 19090 * )
- NEW met2 ( 15410 51170 ) ( * 53210 )
- NEW li1 ( 17710 53210 ) L1M1_PR_MR
- NEW met1 ( 15410 53210 ) M1M2_PR
- NEW li1 ( 15410 55590 ) L1M1_PR_MR
- NEW met1 ( 15410 55590 ) M1M2_PR
- NEW li1 ( 19090 53210 ) L1M1_PR_MR
- NEW li1 ( 15410 51170 ) L1M1_PR_MR
- NEW met1 ( 15410 51170 ) M1M2_PR
- NEW met1 ( 15410 55590 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 15410 51170 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[3\].id.d0 ( ringosc.dstage\[3\].id.delayen1 A ) ( ringosc.dstage\[3\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met1 ( 15410 65790 ) ( * 66130 )
- NEW met1 ( 15410 65790 ) ( 15870 * )
- NEW li1 ( 15410 66130 ) L1M1_PR_MR
- NEW li1 ( 15870 65790 ) L1M1_PR_MR ;
- - ringosc.dstage\[3\].id.d1 ( ringosc.dstage\[3\].id.delayint0 A ) ( ringosc.dstage\[3\].id.delayenb1 Z ) ( ringosc.dstage\[3\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 15870 66130 ) ( 17250 * )
- NEW met1 ( 15870 66130 ) ( * 66470 )
- NEW met1 ( 14950 66470 ) ( 15870 * )
- NEW met1 ( 14490 61030 ) ( 14950 * )
- NEW met2 ( 14950 61030 ) ( * 66470 )
- NEW li1 ( 14950 66470 ) L1M1_PR_MR
- NEW met1 ( 14950 66470 ) M1M2_PR
- NEW li1 ( 17250 66130 ) L1M1_PR_MR
- NEW li1 ( 14490 61030 ) L1M1_PR_MR
- NEW met1 ( 14950 61030 ) M1M2_PR
- NEW met1 ( 14950 66470 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[3\].id.d2 ( ringosc.dstage\[3\].id.delayint0 Y ) ( ringosc.dstage\[3\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 12190 66810 ) ( 17710 * )
- NEW li1 ( 12190 66810 ) L1M1_PR_MR
- NEW li1 ( 17710 66810 ) L1M1_PR_MR ;
- - ringosc.dstage\[3\].id.out ( ringosc.dstage\[4\].id.delaybuf0 A ) ( ringosc.dstage\[3\].id.delayenb0 Z ) ( ringosc.dstage\[3\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met1 ( 14490 64430 ) ( 14950 * )
- NEW met2 ( 14490 64430 ) ( * 66130 )
- NEW met1 ( 11730 66130 ) ( 14490 * )
- NEW met1 ( 14950 64430 ) ( 16330 * )
- NEW li1 ( 14950 64430 ) L1M1_PR_MR
- NEW met1 ( 14490 64430 ) M1M2_PR
- NEW met1 ( 14490 66130 ) M1M2_PR
- NEW li1 ( 11730 66130 ) L1M1_PR_MR
- NEW li1 ( 16330 64430 ) L1M1_PR_MR ;
- - ringosc.dstage\[3\].id.trim\[0\] ( ringosc.dstage\[3\].id.delayenb0 TE_B ) ( ringosc.dstage\[3\].id.delayen0 TE ) ( _346_ X ) + USE SIGNAL
- + ROUTED met2 ( 7130 59330 ) ( * 64090 )
- NEW met1 ( 7130 66470 ) ( 9430 * )
- NEW met2 ( 7130 64090 ) ( * 66470 )
- NEW li1 ( 7130 64090 ) L1M1_PR_MR
- NEW met1 ( 7130 64090 ) M1M2_PR
- NEW li1 ( 7130 59330 ) L1M1_PR_MR
- NEW met1 ( 7130 59330 ) M1M2_PR
- NEW li1 ( 9430 66470 ) L1M1_PR_MR
- NEW met1 ( 7130 66470 ) M1M2_PR
- NEW met1 ( 7130 64090 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 7130 59330 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[3\].id.trim\[1\] ( ringosc.dstage\[3\].id.delayenb1 TE_B ) ( ringosc.dstage\[3\].id.delayen1 TE ) ( _347_ X ) + USE SIGNAL
- + ROUTED met2 ( 12650 66470 ) ( * 68510 )
- NEW met1 ( 10810 61030 ) ( 12650 * )
- NEW met2 ( 12650 61030 ) ( * 66470 )
- NEW met2 ( 57730 67150 ) ( * 68510 )
- NEW met1 ( 12650 68510 ) ( 57730 * )
- NEW li1 ( 12650 66470 ) L1M1_PR_MR
- NEW met1 ( 12650 66470 ) M1M2_PR
- NEW met1 ( 12650 68510 ) M1M2_PR
- NEW li1 ( 10810 61030 ) L1M1_PR_MR
- NEW met1 ( 12650 61030 ) M1M2_PR
- NEW li1 ( 57730 67150 ) L1M1_PR_MR
- NEW met1 ( 57730 67150 ) M1M2_PR
- NEW met1 ( 57730 68510 ) M1M2_PR
- NEW met1 ( 12650 66470 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 57730 67150 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[3\].id.ts ( ringosc.dstage\[3\].id.delayenb1 A ) ( ringosc.dstage\[3\].id.delayenb0 A ) ( ringosc.dstage\[3\].id.delaybuf1 A ) ( ringosc.dstage\[3\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 14030 64090 ) ( 16790 * )
- NEW met1 ( 15410 61030 ) ( 16790 * )
- NEW met2 ( 16790 61030 ) ( * 62050 )
- NEW met2 ( 16790 62050 ) ( * 66470 )
- NEW li1 ( 16790 66470 ) L1M1_PR_MR
- NEW met1 ( 16790 66470 ) M1M2_PR
- NEW li1 ( 14030 64090 ) L1M1_PR_MR
- NEW met1 ( 16790 64090 ) M1M2_PR
- NEW li1 ( 16790 62050 ) L1M1_PR_MR
- NEW met1 ( 16790 62050 ) M1M2_PR
- NEW li1 ( 15410 61030 ) L1M1_PR_MR
- NEW met1 ( 16790 61030 ) M1M2_PR
- NEW met1 ( 16790 66470 ) RECT ( -355 -70 0 70 )
- NEW met2 ( 16790 64090 ) RECT ( -70 -485 70 0 )
- NEW met1 ( 16790 62050 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[4\].id.d0 ( ringosc.dstage\[4\].id.delayen1 A ) ( ringosc.dstage\[4\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met1 ( 28750 64770 ) ( 31970 * )
- NEW met2 ( 28750 64770 ) ( * 66130 )
- NEW li1 ( 31970 64770 ) L1M1_PR_MR
- NEW met1 ( 28750 64770 ) M1M2_PR
- NEW li1 ( 28750 66130 ) L1M1_PR_MR
- NEW met1 ( 28750 66130 ) M1M2_PR
- NEW met1 ( 28750 66130 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[4\].id.d1 ( ringosc.dstage\[4\].id.delayint0 A ) ( ringosc.dstage\[4\].id.delayenb1 Z ) ( ringosc.dstage\[4\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 28290 66470 ) ( 29210 * )
- NEW met2 ( 29210 64430 ) ( * 66470 )
- NEW li1 ( 29210 66470 ) L1M1_PR_MR
- NEW li1 ( 28290 66470 ) L1M1_PR_MR
- NEW li1 ( 29210 64430 ) L1M1_PR_MR
- NEW met1 ( 29210 64430 ) M1M2_PR
- NEW met1 ( 29210 66470 ) M1M2_PR
- NEW met1 ( 29210 64430 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 29210 66470 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[4\].id.d2 ( ringosc.dstage\[4\].id.delayint0 Y ) ( ringosc.dstage\[4\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 24610 66810 ) ( 29670 * )
- NEW li1 ( 24610 66810 ) L1M1_PR_MR
- NEW li1 ( 29670 66810 ) L1M1_PR_MR ;
- - ringosc.dstage\[4\].id.out ( ringosc.dstage\[5\].id.delaybuf0 A ) ( ringosc.dstage\[4\].id.delayenb0 Z ) ( ringosc.dstage\[4\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met2 ( 24150 63410 ) ( * 66130 )
- NEW met1 ( 24150 61030 ) ( 25070 * )
- NEW met2 ( 24150 61030 ) ( * 63410 )
- NEW li1 ( 24150 63410 ) L1M1_PR_MR
- NEW met1 ( 24150 63410 ) M1M2_PR
- NEW li1 ( 24150 66130 ) L1M1_PR_MR
- NEW met1 ( 24150 66130 ) M1M2_PR
- NEW met1 ( 24150 61030 ) M1M2_PR
- NEW li1 ( 25070 61030 ) L1M1_PR_MR
- NEW met1 ( 24150 63410 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 24150 66130 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[4\].id.trim\[0\] ( ringosc.dstage\[4\].id.delayenb0 TE_B ) ( ringosc.dstage\[4\].id.delayen0 TE ) ( _344_ X ) + USE SIGNAL
- + ROUTED met1 ( 17710 64090 ) ( 18170 * )
- NEW met2 ( 18170 64090 ) ( * 66470 )
- NEW met1 ( 18170 66470 ) ( 21850 * )
- NEW met1 ( 18170 62050 ) ( 19090 * )
- NEW met2 ( 18170 62050 ) ( * 64090 )
- NEW li1 ( 17710 64090 ) L1M1_PR_MR
- NEW met1 ( 18170 64090 ) M1M2_PR
- NEW met1 ( 18170 66470 ) M1M2_PR
- NEW li1 ( 21850 66470 ) L1M1_PR_MR
- NEW li1 ( 19090 62050 ) L1M1_PR_MR
- NEW met1 ( 18170 62050 ) M1M2_PR ;
- - ringosc.dstage\[4\].id.trim\[1\] ( ringosc.dstage\[4\].id.delayenb1 TE_B ) ( ringosc.dstage\[4\].id.delayen1 TE ) ( _345_ X ) + USE SIGNAL
- + ROUTED met1 ( 25990 64090 ) ( 29670 * )
- NEW met1 ( 29670 64090 ) ( * 64430 )
- NEW met2 ( 25990 64090 ) ( * 66470 )
- NEW met2 ( 43010 64430 ) ( * 65790 )
- NEW met1 ( 43010 65790 ) ( 51290 * )
- NEW met1 ( 29670 64430 ) ( 43010 * )
- NEW li1 ( 25990 64090 ) L1M1_PR_MR
- NEW li1 ( 25990 66470 ) L1M1_PR_MR
- NEW met1 ( 25990 66470 ) M1M2_PR
- NEW met1 ( 25990 64090 ) M1M2_PR
- NEW met1 ( 43010 64430 ) M1M2_PR
- NEW met1 ( 43010 65790 ) M1M2_PR
- NEW li1 ( 51290 65790 ) L1M1_PR_MR
- NEW met1 ( 25990 66470 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 25990 64090 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[4\].id.ts ( ringosc.dstage\[4\].id.delayenb1 A ) ( ringosc.dstage\[4\].id.delayenb0 A ) ( ringosc.dstage\[4\].id.delaybuf1 A ) ( ringosc.dstage\[4\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 22310 63750 ) ( * 64090 )
- NEW met1 ( 17250 63750 ) ( 22310 * )
- NEW met1 ( 30590 63750 ) ( * 64090 )
- NEW met1 ( 22310 63750 ) ( 30590 * )
- NEW met1 ( 30590 64090 ) ( 32890 * )
- NEW li1 ( 22310 64090 ) L1M1_PR_MR
- NEW li1 ( 17250 63750 ) L1M1_PR_MR
- NEW li1 ( 30590 64090 ) L1M1_PR_MR
- NEW li1 ( 32890 64090 ) L1M1_PR_MR ;
- - ringosc.dstage\[5\].id.d0 ( ringosc.dstage\[5\].id.delayen1 A ) ( ringosc.dstage\[5\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met2 ( 26450 58310 ) ( * 59330 )
- NEW met1 ( 26450 59330 ) ( 29670 * )
- NEW li1 ( 26450 58310 ) L1M1_PR_MR
- NEW met1 ( 26450 58310 ) M1M2_PR
- NEW met1 ( 26450 59330 ) M1M2_PR
- NEW li1 ( 29670 59330 ) L1M1_PR_MR
- NEW met1 ( 26450 58310 ) RECT ( 0 -70 355 70 ) ;
- - ringosc.dstage\[5\].id.d1 ( ringosc.dstage\[5\].id.delayint0 A ) ( ringosc.dstage\[5\].id.delayenb1 Z ) ( ringosc.dstage\[5\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 24150 53550 ) ( 26910 * )
- NEW met2 ( 26910 53550 ) ( * 57970 )
- NEW met1 ( 22310 53550 ) ( 24150 * )
- NEW li1 ( 24150 53550 ) L1M1_PR_MR
- NEW met1 ( 26910 53550 ) M1M2_PR
- NEW li1 ( 26910 57970 ) L1M1_PR_MR
- NEW met1 ( 26910 57970 ) M1M2_PR
- NEW li1 ( 22310 53550 ) L1M1_PR_MR
- NEW met1 ( 26910 57970 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[5\].id.d2 ( ringosc.dstage\[5\].id.delayint0 Y ) ( ringosc.dstage\[5\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 21850 53890 ) ( 25990 * )
- NEW met2 ( 25990 53890 ) ( * 58310 )
- NEW li1 ( 21850 53890 ) L1M1_PR_MR
- NEW met1 ( 25990 53890 ) M1M2_PR
- NEW li1 ( 25990 58310 ) L1M1_PR_MR
- NEW met1 ( 25990 58310 ) M1M2_PR
- NEW met1 ( 25990 58310 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[5\].id.out ( ringosc.ibufp10 A ) ( ringosc.dstage\[6\].id.delaybuf0 A ) ( ringosc.dstage\[5\].id.delayenb0 Z ) ( ringosc.dstage\[5\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met1 ( 14490 37230 ) ( 26450 * )
- NEW met1 ( 14490 36890 ) ( * 37230 )
- NEW met1 ( 25070 57970 ) ( 25530 * )
- NEW met2 ( 25070 56270 ) ( * 57970 )
- NEW met1 ( 25070 56270 ) ( 26450 * )
- NEW met2 ( 35650 58140 ) ( * 58650 )
- NEW met3 ( 25070 58140 ) ( 35650 * )
- NEW met2 ( 25070 57970 ) ( * 58140 )
- NEW met2 ( 26450 37230 ) ( * 56270 )
- NEW met1 ( 26450 37230 ) M1M2_PR
- NEW li1 ( 14490 36890 ) L1M1_PR_MR
- NEW li1 ( 26450 56270 ) L1M1_PR_MR
- NEW met1 ( 26450 56270 ) M1M2_PR
- NEW li1 ( 25530 57970 ) L1M1_PR_MR
- NEW met1 ( 25070 57970 ) M1M2_PR
- NEW met1 ( 25070 56270 ) M1M2_PR
- NEW li1 ( 35650 58650 ) L1M1_PR_MR
- NEW met1 ( 35650 58650 ) M1M2_PR
- NEW met2 ( 35650 58140 ) M2M3_PR_M
- NEW met2 ( 25070 58140 ) M2M3_PR_M
- NEW met1 ( 26450 56270 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 35650 58650 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[5\].id.trim\[0\] ( ringosc.dstage\[5\].id.delayenb0 TE_B ) ( ringosc.dstage\[5\].id.delayen0 TE ) ( _342_ X ) + USE SIGNAL
- + ROUTED met1 ( 20010 57630 ) ( 22770 * )
- NEW met2 ( 20010 55590 ) ( * 57630 )
- NEW met1 ( 22770 58310 ) ( 23230 * )
- NEW met1 ( 22770 57630 ) ( * 58310 )
- NEW li1 ( 22770 57630 ) L1M1_PR_MR
- NEW met1 ( 20010 57630 ) M1M2_PR
- NEW li1 ( 20010 55590 ) L1M1_PR_MR
- NEW met1 ( 20010 55590 ) M1M2_PR
- NEW li1 ( 23230 58310 ) L1M1_PR_MR
- NEW met1 ( 20010 55590 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[5\].id.trim\[1\] ( ringosc.dstage\[5\].id.delayenb1 TE_B ) ( ringosc.dstage\[5\].id.delayen1 TE ) ( _343_ X ) + USE SIGNAL
- + ROUTED met1 ( 29210 58310 ) ( 32890 * )
- NEW met1 ( 32890 57970 ) ( * 58310 )
- NEW met1 ( 32890 57970 ) ( 44850 * )
- NEW met2 ( 44850 56610 ) ( * 57970 )
- NEW met1 ( 27370 53210 ) ( * 53550 )
- NEW met1 ( 27370 53550 ) ( 29210 * )
- NEW met1 ( 29210 53550 ) ( * 53890 )
- NEW met2 ( 29210 53890 ) ( * 58310 )
- NEW li1 ( 29210 58310 ) L1M1_PR_MR
- NEW met1 ( 44850 57970 ) M1M2_PR
- NEW li1 ( 44850 56610 ) L1M1_PR_MR
- NEW met1 ( 44850 56610 ) M1M2_PR
- NEW li1 ( 27370 53210 ) L1M1_PR_MR
- NEW met1 ( 29210 53890 ) M1M2_PR
- NEW met1 ( 29210 58310 ) M1M2_PR
- NEW met1 ( 44850 56610 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 29210 58310 ) RECT ( 0 -70 595 70 ) ;
- - ringosc.dstage\[5\].id.ts ( ringosc.dstage\[5\].id.delayenb1 A ) ( ringosc.dstage\[5\].id.delayenb0 A ) ( ringosc.dstage\[5\].id.delaybuf1 A ) ( ringosc.dstage\[5\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 22770 55590 ) ( 24610 * )
- NEW met2 ( 22770 53210 ) ( * 55590 )
- NEW met1 ( 24610 60350 ) ( 25530 * )
- NEW met2 ( 24610 55590 ) ( * 60350 )
- NEW met1 ( 28745 58650 ) ( 30590 * )
- NEW met1 ( 28745 57630 ) ( * 58650 )
- NEW met1 ( 24610 57630 ) ( 28745 * )
- NEW li1 ( 24610 55590 ) L1M1_PR_MR
- NEW met1 ( 22770 55590 ) M1M2_PR
- NEW li1 ( 22770 53210 ) L1M1_PR_MR
- NEW met1 ( 22770 53210 ) M1M2_PR
- NEW li1 ( 25530 60350 ) L1M1_PR_MR
- NEW met1 ( 24610 60350 ) M1M2_PR
- NEW met1 ( 24610 55590 ) M1M2_PR
- NEW li1 ( 30590 58650 ) L1M1_PR_MR
- NEW met1 ( 24610 57630 ) M1M2_PR
- NEW met1 ( 22770 53210 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 24610 55590 ) RECT ( -595 -70 0 70 )
- NEW met2 ( 24610 57630 ) RECT ( -70 -485 70 0 ) ;
- - ringosc.dstage\[6\].id.d0 ( ringosc.dstage\[6\].id.delayen1 A ) ( ringosc.dstage\[6\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met2 ( 41630 56610 ) ( * 58310 )
- NEW met1 ( 41630 58310 ) ( 42550 * )
- NEW li1 ( 41630 56610 ) L1M1_PR_MR
- NEW met1 ( 41630 56610 ) M1M2_PR
- NEW met1 ( 41630 58310 ) M1M2_PR
- NEW li1 ( 42550 58310 ) L1M1_PR_MR
- NEW met1 ( 41630 56610 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[6\].id.d1 ( ringosc.dstage\[6\].id.delayint0 A ) ( ringosc.dstage\[6\].id.delayenb1 Z ) ( ringosc.dstage\[6\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 38870 58990 ) ( 43010 * )
- NEW met2 ( 43010 58990 ) ( * 60350 )
- NEW li1 ( 43010 58990 ) L1M1_PR_MR
- NEW li1 ( 38870 58990 ) L1M1_PR_MR
- NEW li1 ( 43010 60350 ) L1M1_PR_MR
- NEW met1 ( 43010 60350 ) M1M2_PR
- NEW met1 ( 43010 58990 ) M1M2_PR
- NEW met1 ( 43010 60350 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 43010 58990 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[6\].id.d2 ( ringosc.dstage\[6\].id.delayint0 Y ) ( ringosc.dstage\[6\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 42550 60690 ) ( 43470 * )
- NEW li1 ( 42550 60690 ) L1M1_PR_MR
- NEW li1 ( 43470 60690 ) L1M1_PR_MR ;
- - ringosc.dstage\[6\].id.out ( ringosc.dstage\[7\].id.delaybuf0 A ) ( ringosc.dstage\[6\].id.delayenb0 Z ) ( ringosc.dstage\[6\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met1 ( 38870 61710 ) ( 42090 * )
- NEW met2 ( 38870 61710 ) ( * 64090 )
- NEW li1 ( 38870 64090 ) L1M1_PR_MR
- NEW met1 ( 38870 64090 ) M1M2_PR
- NEW li1 ( 38870 61710 ) L1M1_PR_MR
- NEW met1 ( 38870 61710 ) M1M2_PR
- NEW li1 ( 42090 61710 ) L1M1_PR_MR
- NEW met1 ( 38870 64090 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 38870 61710 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[6\].id.trim\[0\] ( ringosc.dstage\[6\].id.delayenb0 TE_B ) ( ringosc.dstage\[6\].id.delayen0 TE ) ( _340_ X ) + USE SIGNAL
- + ROUTED met1 ( 31510 61030 ) ( * 61370 )
- NEW met1 ( 31510 61370 ) ( 39790 * )
- NEW met1 ( 31050 61370 ) ( * 61710 )
- NEW met1 ( 31050 61370 ) ( 31510 * )
- NEW li1 ( 31510 61030 ) L1M1_PR_MR
- NEW li1 ( 39790 61370 ) L1M1_PR_MR
- NEW li1 ( 31050 61710 ) L1M1_PR_MR ;
- - ringosc.dstage\[6\].id.trim\[1\] ( ringosc.dstage\[6\].id.delayenb1 TE_B ) ( ringosc.dstage\[6\].id.delayen1 TE ) ( _341_ X ) + USE SIGNAL
- + ROUTED met1 ( 45310 58310 ) ( 48070 * )
- NEW met2 ( 48070 58310 ) ( * 60350 )
- NEW met1 ( 48070 60350 ) ( 52210 * )
- NEW met1 ( 42090 58650 ) ( 45310 * )
- NEW met1 ( 45310 58310 ) ( * 58650 )
- NEW li1 ( 45310 58310 ) L1M1_PR_MR
- NEW met1 ( 48070 58310 ) M1M2_PR
- NEW met1 ( 48070 60350 ) M1M2_PR
- NEW li1 ( 52210 60350 ) L1M1_PR_MR
- NEW li1 ( 42090 58650 ) L1M1_PR_MR ;
- - ringosc.dstage\[6\].id.ts ( ringosc.dstage\[6\].id.delayenb1 A ) ( ringosc.dstage\[6\].id.delayenb0 A ) ( ringosc.dstage\[6\].id.delaybuf1 A ) ( ringosc.dstage\[6\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met2 ( 37490 55590 ) ( * 58650 )
- NEW met1 ( 37490 55590 ) ( 40710 * )
- NEW met1 ( 36570 58650 ) ( 37490 * )
- NEW met2 ( 37490 58650 ) ( * 61030 )
- NEW li1 ( 37490 58650 ) L1M1_PR_MR
- NEW met1 ( 37490 58650 ) M1M2_PR
- NEW met1 ( 37490 55590 ) M1M2_PR
- NEW li1 ( 40710 55590 ) L1M1_PR_MR
- NEW li1 ( 36570 58650 ) L1M1_PR_MR
- NEW li1 ( 37490 61030 ) L1M1_PR_MR
- NEW met1 ( 37490 61030 ) M1M2_PR
- NEW met1 ( 37490 58650 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 37490 61030 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[7\].id.d0 ( ringosc.dstage\[7\].id.delayen1 A ) ( ringosc.dstage\[7\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met2 ( 50830 62050 ) ( * 66130 )
- NEW li1 ( 50830 66130 ) L1M1_PR_MR
- NEW met1 ( 50830 66130 ) M1M2_PR
- NEW li1 ( 50830 62050 ) L1M1_PR_MR
- NEW met1 ( 50830 62050 ) M1M2_PR
- NEW met1 ( 50830 66130 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 50830 62050 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[7\].id.d1 ( ringosc.dstage\[7\].id.delayint0 A ) ( ringosc.dstage\[7\].id.delayenb1 Z ) ( ringosc.dstage\[7\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 50370 64430 ) ( 51750 * )
- NEW met2 ( 50370 64430 ) ( * 66130 )
- NEW met1 ( 50370 59330 ) ( 51290 * )
- NEW met2 ( 50370 59330 ) ( * 64430 )
- NEW li1 ( 51750 64430 ) L1M1_PR_MR
- NEW met1 ( 50370 64430 ) M1M2_PR
- NEW li1 ( 50370 66130 ) L1M1_PR_MR
- NEW met1 ( 50370 66130 ) M1M2_PR
- NEW li1 ( 51290 59330 ) L1M1_PR_MR
- NEW met1 ( 50370 59330 ) M1M2_PR
- NEW met1 ( 50370 66130 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[7\].id.d2 ( ringosc.dstage\[7\].id.delayint0 Y ) ( ringosc.dstage\[7\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 47610 66130 ) ( 49450 * )
- NEW met1 ( 49910 58650 ) ( 50370 * )
- NEW met2 ( 49910 58650 ) ( * 58820 )
- NEW met2 ( 49450 58820 ) ( 49910 * )
- NEW met2 ( 49450 58820 ) ( * 66130 )
- NEW met1 ( 49450 66130 ) M1M2_PR
- NEW li1 ( 47610 66130 ) L1M1_PR_MR
- NEW li1 ( 50370 58650 ) L1M1_PR_MR
- NEW met1 ( 49910 58650 ) M1M2_PR ;
- - ringosc.dstage\[7\].id.out ( ringosc.dstage\[8\].id.delaybuf0 A ) ( ringosc.dstage\[7\].id.delayenb0 Z ) ( ringosc.dstage\[7\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met1 ( 48070 64430 ) ( * 64770 )
- NEW met1 ( 48070 64770 ) ( 58190 * )
- NEW met1 ( 58190 64430 ) ( * 64770 )
- NEW met2 ( 47150 64770 ) ( * 66130 )
- NEW met1 ( 47150 64770 ) ( 48070 * )
- NEW li1 ( 48070 64430 ) L1M1_PR_MR
- NEW li1 ( 58190 64430 ) L1M1_PR_MR
- NEW li1 ( 47150 66130 ) L1M1_PR_MR
- NEW met1 ( 47150 66130 ) M1M2_PR
- NEW met1 ( 47150 64770 ) M1M2_PR
- NEW met1 ( 47150 66130 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[7\].id.trim\[0\] ( ringosc.dstage\[7\].id.delayenb0 TE_B ) ( ringosc.dstage\[7\].id.delayen0 TE ) ( _338_ X ) + USE SIGNAL
- + ROUTED met1 ( 38410 66810 ) ( * 67150 )
- NEW met1 ( 38410 66810 ) ( 44850 * )
- NEW met2 ( 40250 64090 ) ( * 66810 )
- NEW li1 ( 38410 67150 ) L1M1_PR_MR
- NEW li1 ( 44850 66810 ) L1M1_PR_MR
- NEW li1 ( 40250 64090 ) L1M1_PR_MR
- NEW met1 ( 40250 64090 ) M1M2_PR
- NEW met1 ( 40250 66810 ) M1M2_PR
- NEW met1 ( 40250 64090 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 40250 66810 ) RECT ( -595 -70 0 70 ) ;
- - ringosc.dstage\[7\].id.trim\[1\] ( ringosc.dstage\[7\].id.delayenb1 TE_B ) ( ringosc.dstage\[7\].id.delayen1 TE ) ( _339_ X ) + USE SIGNAL
- + ROUTED met1 ( 48070 66470 ) ( 48530 * )
- NEW met2 ( 48530 64090 ) ( * 66470 )
- NEW met1 ( 48530 62050 ) ( 49450 * )
- NEW met2 ( 48530 62050 ) ( * 64090 )
- NEW li1 ( 48530 64090 ) L1M1_PR_MR
- NEW met1 ( 48530 64090 ) M1M2_PR
- NEW li1 ( 48070 66470 ) L1M1_PR_MR
- NEW met1 ( 48530 66470 ) M1M2_PR
- NEW li1 ( 49450 62050 ) L1M1_PR_MR
- NEW met1 ( 48530 62050 ) M1M2_PR
- NEW met1 ( 48530 64090 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[7\].id.ts ( ringosc.dstage\[7\].id.delayenb1 A ) ( ringosc.dstage\[7\].id.delayenb0 A ) ( ringosc.dstage\[7\].id.delaybuf1 A ) ( ringosc.dstage\[7\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 44850 63750 ) ( * 64090 )
- NEW met1 ( 39790 63750 ) ( 44850 * )
- NEW met1 ( 44850 63750 ) ( 49910 * )
- NEW met1 ( 49910 64090 ) ( 53130 * )
- NEW met1 ( 49910 63750 ) ( * 64090 )
- NEW met2 ( 49910 61030 ) ( * 63750 )
- NEW li1 ( 44850 64090 ) L1M1_PR_MR
- NEW li1 ( 39790 63750 ) L1M1_PR_MR
- NEW met1 ( 49910 63750 ) M1M2_PR
- NEW li1 ( 53130 64090 ) L1M1_PR_MR
- NEW li1 ( 49910 61030 ) L1M1_PR_MR
- NEW met1 ( 49910 61030 ) M1M2_PR
- NEW met1 ( 49910 61030 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[8\].id.d0 ( ringosc.dstage\[8\].id.delayen1 A ) ( ringosc.dstage\[8\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met2 ( 56810 62050 ) ( * 63750 )
- NEW met1 ( 56810 62050 ) ( 62790 * )
- NEW li1 ( 56810 63750 ) L1M1_PR_MR
- NEW met1 ( 56810 63750 ) M1M2_PR
- NEW li1 ( 62790 62050 ) L1M1_PR_MR
- NEW met1 ( 56810 62050 ) M1M2_PR
- NEW met1 ( 56810 63750 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[8\].id.d1 ( ringosc.dstage\[8\].id.delayint0 A ) ( ringosc.dstage\[8\].id.delayenb1 Z ) ( ringosc.dstage\[8\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 56350 63410 ) ( 60490 * )
- NEW met1 ( 60490 61030 ) ( 60950 * )
- NEW met1 ( 60950 60690 ) ( * 61030 )
- NEW met2 ( 60490 61030 ) ( * 63410 )
- NEW met1 ( 60950 60690 ) ( 64170 * )
- NEW met1 ( 60490 63410 ) M1M2_PR
- NEW li1 ( 56350 63410 ) L1M1_PR_MR
- NEW li1 ( 64170 60690 ) L1M1_PR_MR
- NEW li1 ( 60950 61030 ) L1M1_PR_MR
- NEW met1 ( 60490 61030 ) M1M2_PR ;
- - ringosc.dstage\[8\].id.d2 ( ringosc.dstage\[8\].id.delayint0 Y ) ( ringosc.dstage\[8\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met2 ( 64630 62050 ) ( * 66130 )
- NEW li1 ( 64630 66130 ) L1M1_PR_MR
- NEW met1 ( 64630 66130 ) M1M2_PR
- NEW li1 ( 64630 62050 ) L1M1_PR_MR
- NEW met1 ( 64630 62050 ) M1M2_PR
- NEW met1 ( 64630 66130 ) RECT ( 0 -70 355 70 )
- NEW met1 ( 64630 62050 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[8\].id.out ( ringosc.dstage\[9\].id.delaybuf0 A ) ( ringosc.dstage\[8\].id.delayenb0 Z ) ( ringosc.dstage\[8\].id.delayen0 Z ) + USE SIGNAL
- + ROUTED met1 ( 64170 63410 ) ( 66010 * )
- NEW met2 ( 64170 63410 ) ( * 66130 )
- NEW met2 ( 66010 61030 ) ( * 63410 )
- NEW li1 ( 66010 63410 ) L1M1_PR_MR
- NEW met1 ( 64170 63410 ) M1M2_PR
- NEW li1 ( 64170 66130 ) L1M1_PR_MR
- NEW met1 ( 64170 66130 ) M1M2_PR
- NEW met1 ( 66010 63410 ) M1M2_PR
- NEW li1 ( 66010 61030 ) L1M1_PR_MR
- NEW met1 ( 66010 61030 ) M1M2_PR
- NEW met1 ( 64170 66130 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 66010 63410 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 66010 61030 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[8\].id.trim\[0\] ( ringosc.dstage\[8\].id.delayenb0 TE_B ) ( ringosc.dstage\[8\].id.delayen0 TE ) ( _336_ X ) + USE SIGNAL
- + ROUTED met1 ( 57730 64090 ) ( 59570 * )
- NEW met1 ( 57730 64090 ) ( * 64430 )
- NEW met1 ( 53590 64430 ) ( 57730 * )
- NEW met1 ( 53590 63410 ) ( * 64430 )
- NEW met1 ( 37030 63410 ) ( 53590 * )
- NEW met2 ( 61870 64090 ) ( * 66470 )
- NEW met1 ( 59570 64090 ) ( 61870 * )
- NEW li1 ( 59570 64090 ) L1M1_PR_MR
- NEW li1 ( 37030 63410 ) L1M1_PR_MR
- NEW li1 ( 61870 66470 ) L1M1_PR_MR
- NEW met1 ( 61870 66470 ) M1M2_PR
- NEW met1 ( 61870 64090 ) M1M2_PR
- NEW met1 ( 61870 66470 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[8\].id.trim\[1\] ( ringosc.dstage\[8\].id.delayenb1 TE_B ) ( ringosc.dstage\[8\].id.delayen1 TE ) ( _337_ X ) + USE SIGNAL
- + ROUTED met1 ( 54050 64090 ) ( 57270 * )
- NEW met2 ( 57270 62100 ) ( * 64090 )
- NEW met2 ( 57730 53890 ) ( * 61030 )
- NEW met2 ( 57270 62100 ) ( 57730 * )
- NEW met2 ( 57730 61030 ) ( * 62100 )
- NEW li1 ( 54050 64090 ) L1M1_PR_MR
- NEW met1 ( 57270 64090 ) M1M2_PR
- NEW li1 ( 57730 61030 ) L1M1_PR_MR
- NEW met1 ( 57730 61030 ) M1M2_PR
- NEW li1 ( 57730 53890 ) L1M1_PR_MR
- NEW met1 ( 57730 53890 ) M1M2_PR
- NEW met1 ( 57730 61030 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 57730 53890 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[8\].id.ts ( ringosc.dstage\[8\].id.delayenb1 A ) ( ringosc.dstage\[8\].id.delayenb0 A ) ( ringosc.dstage\[8\].id.delaybuf1 A ) ( ringosc.dstage\[8\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 63710 64090 ) ( 64170 * )
- NEW met1 ( 59110 63750 ) ( 63710 * )
- NEW met1 ( 63710 63750 ) ( * 64090 )
- NEW met1 ( 62330 61030 ) ( 63710 * )
- NEW met2 ( 63710 61030 ) ( * 64090 )
- NEW met1 ( 63710 64090 ) M1M2_PR
- NEW li1 ( 64170 64090 ) L1M1_PR_MR
- NEW li1 ( 59110 63750 ) L1M1_PR_MR
- NEW li1 ( 63710 61030 ) L1M1_PR_MR
- NEW met1 ( 63710 61030 ) M1M2_PR
- NEW li1 ( 62330 61030 ) L1M1_PR_MR
- NEW met1 ( 63710 61030 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[9\].id.d0 ( ringosc.dstage\[9\].id.delayen1 A ) ( ringosc.dstage\[9\].id.delaybuf1 X ) + USE SIGNAL
- + ROUTED met1 ( 66930 53550 ) ( 67850 * )
- NEW met2 ( 66930 53550 ) ( * 54910 )
- NEW li1 ( 67850 53550 ) L1M1_PR_MR
- NEW met1 ( 66930 53550 ) M1M2_PR
- NEW li1 ( 66930 54910 ) L1M1_PR_MR
- NEW met1 ( 66930 54910 ) M1M2_PR
- NEW met1 ( 66930 54910 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[9\].id.d1 ( ringosc.dstage\[9\].id.delayint0 A ) ( ringosc.dstage\[9\].id.delayenb1 Z ) ( ringosc.dstage\[9\].id.delayen1 Z ) + USE SIGNAL
- + ROUTED met2 ( 66470 53210 ) ( * 58650 )
- NEW met1 ( 66470 53210 ) ( 67390 * )
- NEW met1 ( 62330 58990 ) ( 66470 * )
- NEW met1 ( 66470 58650 ) ( * 58990 )
- NEW li1 ( 66470 58650 ) L1M1_PR_MR
- NEW met1 ( 66470 58650 ) M1M2_PR
- NEW met1 ( 66470 53210 ) M1M2_PR
- NEW li1 ( 67390 53210 ) L1M1_PR_MR
- NEW li1 ( 62330 58990 ) L1M1_PR_MR
- NEW met1 ( 66470 58650 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[9\].id.d2 ( ringosc.dstage\[9\].id.delayint0 Y ) ( ringosc.dstage\[9\].id.delayen0 A ) + USE SIGNAL
- + ROUTED met2 ( 64630 53550 ) ( * 57630 )
- NEW met1 ( 61870 57630 ) ( 64630 * )
- NEW li1 ( 64630 53550 ) L1M1_PR_MR
- NEW met1 ( 64630 53550 ) M1M2_PR
- NEW met1 ( 64630 57630 ) M1M2_PR
- NEW li1 ( 61870 57630 ) L1M1_PR_MR
- NEW met1 ( 64630 53550 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[9\].id.trim\[0\] ( ringosc.dstage\[9\].id.delayenb0 TE_B ) ( ringosc.dstage\[9\].id.delayen0 TE ) ( _334_ X ) + USE SIGNAL
- + ROUTED met1 ( 55890 55590 ) ( 57730 * )
- NEW met2 ( 55890 55590 ) ( * 56610 )
- NEW met1 ( 52670 56610 ) ( 55890 * )
- NEW met1 ( 52670 56270 ) ( * 56610 )
- NEW met1 ( 36110 56270 ) ( 52670 * )
- NEW met2 ( 61870 53210 ) ( * 55590 )
- NEW met1 ( 57730 55590 ) ( 61870 * )
- NEW li1 ( 57730 55590 ) L1M1_PR_MR
- NEW met1 ( 55890 55590 ) M1M2_PR
- NEW met1 ( 55890 56610 ) M1M2_PR
- NEW li1 ( 36110 56270 ) L1M1_PR_MR
- NEW li1 ( 61870 53210 ) L1M1_PR_MR
- NEW met1 ( 61870 53210 ) M1M2_PR
- NEW met1 ( 61870 55590 ) M1M2_PR
- NEW met1 ( 61870 53210 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[9\].id.trim\[1\] ( ringosc.dstage\[9\].id.delayenb1 TE_B ) ( ringosc.dstage\[9\].id.delayen1 TE ) ( _335_ X ) + USE SIGNAL
- + ROUTED met2 ( 65090 53210 ) ( * 58650 )
- NEW met1 ( 62790 58650 ) ( 65090 * )
- NEW met1 ( 63710 51170 ) ( 65090 * )
- NEW met2 ( 65090 51170 ) ( * 53210 )
- NEW li1 ( 65090 53210 ) L1M1_PR_MR
- NEW met1 ( 65090 53210 ) M1M2_PR
- NEW met1 ( 65090 58650 ) M1M2_PR
- NEW li1 ( 62790 58650 ) L1M1_PR_MR
- NEW li1 ( 63710 51170 ) L1M1_PR_MR
- NEW met1 ( 65090 51170 ) M1M2_PR
- NEW met1 ( 65090 53210 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.dstage\[9\].id.ts ( ringosc.dstage\[9\].id.delayenb1 A ) ( ringosc.dstage\[9\].id.delayenb0 A ) ( ringosc.dstage\[9\].id.delaybuf1 A ) ( ringosc.dstage\[9\].id.delaybuf0 X ) + USE SIGNAL
- + ROUTED met2 ( 67390 58990 ) ( * 60350 )
- NEW met1 ( 66470 60350 ) ( 67390 * )
- NEW met1 ( 66010 55590 ) ( 67390 * )
- NEW met2 ( 67390 55590 ) ( * 58990 )
- NEW met1 ( 65090 55590 ) ( 66010 * )
- NEW li1 ( 67390 58990 ) L1M1_PR_MR
- NEW met1 ( 67390 58990 ) M1M2_PR
- NEW met1 ( 67390 60350 ) M1M2_PR
- NEW li1 ( 66470 60350 ) L1M1_PR_MR
- NEW li1 ( 66010 55590 ) L1M1_PR_MR
- NEW met1 ( 67390 55590 ) M1M2_PR
- NEW li1 ( 65090 55590 ) L1M1_PR_MR
- NEW met1 ( 67390 58990 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.iss.ctrl0 ( ringosc.iss.delayenb0 TE_B ) ( ringosc.iss.ctrlen0 X ) + USE SIGNAL
- + ROUTED met1 ( 21850 40290 ) ( 23230 * )
- NEW met2 ( 23230 40290 ) ( * 42330 )
- NEW met1 ( 22310 42330 ) ( 23230 * )
- NEW li1 ( 21850 40290 ) L1M1_PR_MR
- NEW met1 ( 23230 40290 ) M1M2_PR
- NEW met1 ( 23230 42330 ) M1M2_PR
- NEW li1 ( 22310 42330 ) L1M1_PR_MR ;
- - ringosc.iss.d0 ( ringosc.iss.delayen1 A ) ( ringosc.iss.delaybuf0 X ) + USE SIGNAL
- + ROUTED met1 ( 33350 37570 ) ( 33810 * )
- NEW met2 ( 33810 37570 ) ( * 38930 )
- NEW li1 ( 33350 37570 ) L1M1_PR_MR
- NEW met1 ( 33810 37570 ) M1M2_PR
- NEW li1 ( 33810 38930 ) L1M1_PR_MR
- NEW met1 ( 33810 38930 ) M1M2_PR
- NEW met1 ( 33810 38930 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.iss.d1 ( ringosc.iss.delayint0 A ) ( ringosc.iss.delayenb1 Z ) ( ringosc.iss.delayen1 Z ) + USE SIGNAL
- + ROUTED met1 ( 32890 39610 ) ( 34270 * )
- NEW met1 ( 32890 39270 ) ( * 39610 )
- NEW met1 ( 30130 39270 ) ( 32890 * )
- NEW met2 ( 32890 39610 ) ( * 42330 )
- NEW li1 ( 34270 39610 ) L1M1_PR_MR
- NEW li1 ( 30130 39270 ) L1M1_PR_MR
- NEW li1 ( 32890 42330 ) L1M1_PR_MR
+ NEW li1 ( 22770 35870 ) L1M1_PR
+ NEW li1 ( 23690 28390 ) L1M1_PR
+ NEW met1 ( 23690 28390 ) M1M2_PR
+ NEW li1 ( 16330 31450 ) L1M1_PR
+ NEW met1 ( 23690 28390 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count1\[4\] ( _401_ Q ) ( _189_ B ) ( _188_ B ) ( _183_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 17710 36550 ) ( * 38930 )
+ NEW met1 ( 17710 36550 ) ( 23690 * )
+ NEW met1 ( 23690 36550 ) ( * 36890 )
+ NEW met1 ( 16330 34850 ) ( 17710 * )
+ NEW met2 ( 17710 34850 ) ( * 36550 )
+ NEW met2 ( 17250 37570 ) ( * 37740 )
+ NEW met2 ( 17250 37740 ) ( 17710 * )
+ NEW met1 ( 12190 37570 ) ( 17250 * )
+ NEW li1 ( 12190 37570 ) L1M1_PR
+ NEW li1 ( 17710 38930 ) L1M1_PR
+ NEW met1 ( 17710 38930 ) M1M2_PR
+ NEW met1 ( 17710 36550 ) M1M2_PR
+ NEW li1 ( 23690 36890 ) L1M1_PR
+ NEW li1 ( 16330 34850 ) L1M1_PR
+ NEW met1 ( 17710 34850 ) M1M2_PR
+ NEW met1 ( 17250 37570 ) M1M2_PR
+ NEW met1 ( 17710 38930 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.oscbuf\[0\] ( _395_ D ) ( _394_ Q ) + USE SIGNAL
+ + ROUTED met1 ( 59110 5950 ) ( * 6290 )
+ NEW met1 ( 56350 5950 ) ( 59110 * )
+ NEW li1 ( 59110 6290 ) L1M1_PR
+ NEW li1 ( 56350 5950 ) L1M1_PR ;
+ - pll_control.oscbuf\[1\] ( _396_ D ) ( _395_ Q ) ( _182_ A ) ( _181_ A ) + USE SIGNAL
+ + ROUTED met2 ( 66470 7650 ) ( * 12070 )
+ NEW met1 ( 64630 14790 ) ( * 15130 )
+ NEW met1 ( 64630 14790 ) ( 66470 * )
+ NEW met2 ( 66470 12070 ) ( * 14790 )
+ NEW met1 ( 59110 9350 ) ( 66470 * )
+ NEW li1 ( 66470 12070 ) L1M1_PR
+ NEW met1 ( 66470 12070 ) M1M2_PR
+ NEW li1 ( 66470 7650 ) L1M1_PR
+ NEW met1 ( 66470 7650 ) M1M2_PR
+ NEW li1 ( 64630 15130 ) L1M1_PR
+ NEW met1 ( 66470 14790 ) M1M2_PR
+ NEW li1 ( 59110 9350 ) L1M1_PR
+ NEW met1 ( 66470 9350 ) M1M2_PR
+ NEW met1 ( 66470 12070 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 66470 7650 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 66470 9350 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.oscbuf\[2\] ( _396_ Q ) ( _182_ B ) ( _181_ B ) + USE SIGNAL
+ + ROUTED met2 ( 66010 10370 ) ( * 12070 )
+ NEW met1 ( 66010 10370 ) ( 66470 * )
+ NEW met1 ( 65550 15130 ) ( 66010 * )
+ NEW met2 ( 66010 12070 ) ( * 15130 )
+ NEW met1 ( 66010 12070 ) M1M2_PR_MR
+ NEW met1 ( 66010 10370 ) M1M2_PR
+ NEW li1 ( 66470 10370 ) L1M1_PR
+ NEW li1 ( 65550 15130 ) L1M1_PR
+ NEW met1 ( 66010 15130 ) M1M2_PR ;
+ - pll_control.prep\[0\] ( _379_ Q ) ( _287_ A ) ( _286_ A1 ) ( _234_ B ) + USE SIGNAL
+ + ROUTED met1 ( 47150 11730 ) ( 48530 * )
+ NEW met1 ( 48530 10370 ) ( 51750 * )
+ NEW met2 ( 48530 10370 ) ( * 11730 )
+ NEW met2 ( 45770 6630 ) ( * 11730 )
+ NEW met1 ( 45770 11730 ) ( 47150 * )
+ NEW met1 ( 48530 18530 ) ( 48990 * )
+ NEW met2 ( 48530 11730 ) ( * 18530 )
+ NEW li1 ( 47150 11730 ) L1M1_PR
+ NEW met1 ( 48530 11730 ) M1M2_PR
+ NEW li1 ( 51750 10370 ) L1M1_PR
+ NEW met1 ( 48530 10370 ) M1M2_PR
+ NEW li1 ( 45770 6630 ) L1M1_PR
+ NEW met1 ( 45770 6630 ) M1M2_PR
+ NEW met1 ( 45770 11730 ) M1M2_PR
+ NEW met1 ( 48530 18530 ) M1M2_PR
+ NEW li1 ( 48990 18530 ) L1M1_PR
+ NEW met1 ( 45770 6630 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.prep\[1\] ( _380_ Q ) ( _286_ A0 ) ( _285_ A0 ) ( _238_ A ) + USE SIGNAL
+ + ROUTED met1 ( 46690 12070 ) ( 48990 * )
+ NEW met2 ( 50370 14790 ) ( * 18020 )
+ NEW met2 ( 49910 18020 ) ( 50370 * )
+ NEW met2 ( 49910 18020 ) ( * 19890 )
+ NEW met1 ( 49450 19890 ) ( 49910 * )
+ NEW met1 ( 48990 14790 ) ( 50370 * )
+ NEW met1 ( 53590 14790 ) ( * 15130 )
+ NEW met1 ( 50370 14790 ) ( 53590 * )
+ NEW met2 ( 48990 12070 ) ( * 14790 )
+ NEW met1 ( 48990 12070 ) M1M2_PR
+ NEW li1 ( 46690 12070 ) L1M1_PR
+ NEW li1 ( 50370 14790 ) L1M1_PR
+ NEW met1 ( 50370 14790 ) M1M2_PR
+ NEW met1 ( 49910 19890 ) M1M2_PR
+ NEW li1 ( 49450 19890 ) L1M1_PR
+ NEW met1 ( 48990 14790 ) M1M2_PR
+ NEW li1 ( 53590 15130 ) L1M1_PR
+ NEW met1 ( 50370 14790 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.prep\[2\] ( _381_ Q ) ( _285_ A1 ) ( _234_ A ) + USE SIGNAL
+ + ROUTED met1 ( 55430 13090 ) ( 60490 * )
+ NEW met1 ( 53130 15810 ) ( 54050 * )
+ NEW met2 ( 53130 15810 ) ( * 17170 )
+ NEW met1 ( 49910 17170 ) ( 53130 * )
+ NEW met1 ( 54050 15810 ) ( 55430 * )
+ NEW met2 ( 55430 13090 ) ( * 15810 )
+ NEW met1 ( 55430 13090 ) M1M2_PR
+ NEW li1 ( 60490 13090 ) L1M1_PR
+ NEW li1 ( 54050 15810 ) L1M1_PR
+ NEW met1 ( 53130 15810 ) M1M2_PR
+ NEW met1 ( 53130 17170 ) M1M2_PR
+ NEW li1 ( 49910 17170 ) L1M1_PR
+ NEW met1 ( 55430 15810 ) M1M2_PR ;
+ - pll_control.tint\[0\] ( _389_ Q ) ( _267_ B1 ) ( _249_ A ) ( _241_ B ) ( _228_ B ) ( _180_ A ) + USE SIGNAL
+ + ROUTED met2 ( 51290 23970 ) ( * 28390 )
+ NEW met1 ( 51290 23970 ) ( 60030 * )
+ NEW met2 ( 51290 28390 ) ( * 36890 )
+ NEW met2 ( 50830 39100 ) ( * 44710 )
+ NEW met2 ( 50830 39100 ) ( 51290 * )
+ NEW met2 ( 51290 36890 ) ( * 39100 )
+ NEW met1 ( 50830 44710 ) ( 53590 * )
+ NEW met1 ( 53590 44710 ) ( 54970 * )
+ NEW li1 ( 51290 28390 ) L1M1_PR
+ NEW met1 ( 51290 28390 ) M1M2_PR
+ NEW met1 ( 51290 23970 ) M1M2_PR
+ NEW li1 ( 60030 23970 ) L1M1_PR
+ NEW li1 ( 51290 36890 ) L1M1_PR
+ NEW met1 ( 51290 36890 ) M1M2_PR
+ NEW li1 ( 50830 44710 ) L1M1_PR
+ NEW met1 ( 50830 44710 ) M1M2_PR
+ NEW li1 ( 53590 44710 ) L1M1_PR
+ NEW li1 ( 54970 44710 ) L1M1_PR
+ NEW met1 ( 51290 28390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 51290 36890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 50830 44710 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.tint\[1\] ( _297_ A ) ( _301_ A1 ) ( _318_ A ) ( _179_ A ) ( _298_ A ) ( _344_ A2 ) ( _349_ A )
+ ( _347_ A ) ( _228_ A ) ( _241_ A ) ( _390_ Q ) + USE SIGNAL
+ + ROUTED met1 ( 59570 46750 ) ( * 47770 )
+ NEW met1 ( 56810 46750 ) ( 59570 * )
+ NEW met2 ( 56810 46580 ) ( * 46750 )
+ NEW met2 ( 56810 46580 ) ( 57270 * )
+ NEW met2 ( 57270 27710 ) ( * 46580 )
+ NEW met1 ( 57270 27710 ) ( 60490 * )
+ NEW met1 ( 52670 44370 ) ( 54050 * )
+ NEW met1 ( 54050 44030 ) ( * 44370 )
+ NEW met1 ( 54050 44030 ) ( 56810 * )
+ NEW met2 ( 56810 43860 ) ( * 44030 )
+ NEW met2 ( 56810 43860 ) ( 57270 * )
+ NEW met1 ( 49910 44370 ) ( * 44710 )
+ NEW met1 ( 49910 44370 ) ( 52670 * )
+ NEW met1 ( 50830 52870 ) ( 56350 * )
+ NEW met2 ( 56350 52700 ) ( * 52870 )
+ NEW met2 ( 56350 52700 ) ( 56810 * )
+ NEW met2 ( 56810 46750 ) ( * 52700 )
+ NEW met2 ( 58650 52700 ) ( * 58650 )
+ NEW met3 ( 56810 52700 ) ( 58650 * )
+ NEW met2 ( 49450 52870 ) ( * 53380 )
+ NEW met1 ( 49450 52870 ) ( 50830 * )
+ NEW met1 ( 40710 44710 ) ( 41170 * )
+ NEW met2 ( 41170 44540 ) ( * 44710 )
+ NEW met3 ( 41170 44540 ) ( 51290 * )
+ NEW met2 ( 51290 44370 ) ( * 44540 )
+ NEW met2 ( 32890 42330 ) ( * 43010 )
+ NEW met1 ( 32890 43010 ) ( 35190 * )
+ NEW met1 ( 35190 42670 ) ( * 43010 )
+ NEW met1 ( 35190 42670 ) ( 40710 * )
+ NEW met2 ( 40710 42670 ) ( * 44540 )
+ NEW met2 ( 40710 44540 ) ( 41170 * )
+ NEW met1 ( 27830 43010 ) ( 32890 * )
+ NEW met1 ( 42090 53210 ) ( * 53890 )
+ NEW met2 ( 42090 53380 ) ( * 53890 )
+ NEW met2 ( 27830 50150 ) ( * 57970 )
+ NEW met1 ( 27830 57970 ) ( 29205 * )
+ NEW met1 ( 29205 57970 ) ( * 58650 )
+ NEW met1 ( 29040 58650 ) ( 29205 * )
+ NEW met2 ( 27830 43010 ) ( * 50150 )
+ NEW met3 ( 42090 53380 ) ( 49450 * )
+ NEW li1 ( 59570 47770 ) L1M1_PR
+ NEW met1 ( 56810 46750 ) M1M2_PR
+ NEW met1 ( 57270 27710 ) M1M2_PR
+ NEW li1 ( 60490 27710 ) L1M1_PR
+ NEW li1 ( 52670 44370 ) L1M1_PR
+ NEW met1 ( 56810 44030 ) M1M2_PR
+ NEW li1 ( 49910 44710 ) L1M1_PR
+ NEW li1 ( 50830 52870 ) L1M1_PR
+ NEW met1 ( 56350 52870 ) M1M2_PR
+ NEW li1 ( 58650 58650 ) L1M1_PR
+ NEW met1 ( 58650 58650 ) M1M2_PR
+ NEW met2 ( 58650 52700 ) M2M3_PR
+ NEW met2 ( 56810 52700 ) M2M3_PR
+ NEW met2 ( 49450 53380 ) M2M3_PR
+ NEW met1 ( 49450 52870 ) M1M2_PR
+ NEW li1 ( 40710 44710 ) L1M1_PR
+ NEW met1 ( 41170 44710 ) M1M2_PR
+ NEW met2 ( 41170 44540 ) M2M3_PR
+ NEW met2 ( 51290 44540 ) M2M3_PR
+ NEW met1 ( 51290 44370 ) M1M2_PR
+ NEW li1 ( 32890 42330 ) L1M1_PR
NEW met1 ( 32890 42330 ) M1M2_PR
- NEW met1 ( 32890 39610 ) M1M2_PR
+ NEW met1 ( 32890 43010 ) M1M2_PR
+ NEW met1 ( 40710 42670 ) M1M2_PR
+ NEW met1 ( 27830 43010 ) M1M2_PR
+ NEW li1 ( 42090 53210 ) L1M1_PR
+ NEW met1 ( 42090 53890 ) M1M2_PR
+ NEW met2 ( 42090 53380 ) M2M3_PR
+ NEW li1 ( 27830 50150 ) L1M1_PR
+ NEW met1 ( 27830 50150 ) M1M2_PR
+ NEW met1 ( 27830 57970 ) M1M2_PR
+ NEW li1 ( 29040 58650 ) L1M1_PR
+ NEW met1 ( 58650 58650 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 56810 52700 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 51290 44370 ) RECT ( -595 -70 0 70 )
NEW met1 ( 32890 42330 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 32890 39610 ) RECT ( 0 -70 595 70 ) ;
+ NEW met1 ( 27830 50150 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.tint\[2\] ( _262_ A0 ) ( _246_ A ) ( _245_ A ) ( _320_ B1 ) ( _344_ A1 ) ( _229_ B ) ( _178_ A )
+ ( _290_ C ) ( _391_ Q ) + USE SIGNAL
+ + ROUTED met1 ( 58190 34170 ) ( 59110 * )
+ NEW met1 ( 48070 33830 ) ( * 34170 )
+ NEW met1 ( 48070 34170 ) ( 58190 * )
+ NEW met1 ( 40710 33490 ) ( * 33830 )
+ NEW met1 ( 40710 33490 ) ( 42550 * )
+ NEW met1 ( 42550 33150 ) ( * 33490 )
+ NEW met1 ( 42550 33150 ) ( 48070 * )
+ NEW met1 ( 48070 33150 ) ( * 33830 )
+ NEW met2 ( 46690 28390 ) ( * 33150 )
+ NEW met1 ( 57730 42670 ) ( 58190 * )
+ NEW met1 ( 58190 47430 ) ( 58650 * )
+ NEW met2 ( 58190 42670 ) ( * 47430 )
+ NEW met1 ( 48990 44710 ) ( * 45390 )
+ NEW met1 ( 48990 45390 ) ( 58190 * )
+ NEW met1 ( 47150 49810 ) ( * 50150 )
+ NEW met1 ( 47150 49810 ) ( 48990 * )
+ NEW met2 ( 48990 45390 ) ( * 49810 )
+ NEW met1 ( 43470 47770 ) ( * 48110 )
+ NEW met1 ( 43470 48110 ) ( 44850 * )
+ NEW met1 ( 44850 47770 ) ( * 48110 )
+ NEW met1 ( 44850 47770 ) ( 47210 * )
+ NEW met1 ( 47210 47770 ) ( * 48450 )
+ NEW met1 ( 47210 48450 ) ( 48990 * )
+ NEW met2 ( 58190 34170 ) ( * 42670 )
+ NEW li1 ( 59110 34170 ) L1M1_PR
+ NEW met1 ( 58190 34170 ) M1M2_PR
+ NEW li1 ( 48070 33830 ) L1M1_PR
+ NEW li1 ( 40710 33830 ) L1M1_PR
+ NEW li1 ( 46690 28390 ) L1M1_PR
+ NEW met1 ( 46690 28390 ) M1M2_PR
+ NEW met1 ( 46690 33150 ) M1M2_PR
+ NEW li1 ( 57730 42670 ) L1M1_PR
+ NEW met1 ( 58190 42670 ) M1M2_PR
+ NEW li1 ( 58650 47430 ) L1M1_PR
+ NEW met1 ( 58190 47430 ) M1M2_PR
+ NEW li1 ( 48990 44710 ) L1M1_PR
+ NEW met1 ( 58190 45390 ) M1M2_PR
+ NEW li1 ( 47150 50150 ) L1M1_PR
+ NEW met1 ( 48990 49810 ) M1M2_PR
+ NEW met1 ( 48990 45390 ) M1M2_PR
+ NEW li1 ( 43470 47770 ) L1M1_PR
+ NEW met1 ( 48990 48450 ) M1M2_PR
+ NEW met1 ( 46690 28390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 46690 33150 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 58190 45390 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 48990 45390 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 48990 48450 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.tint\[3\] ( _352_ B ) ( _260_ A0 ) ( _177_ A ) ( _327_ A ) ( _344_ B1 ) ( _320_ A1 ) ( _229_ A )
+ ( _291_ A ) ( _392_ Q ) + USE SIGNAL
+ + ROUTED met1 ( 56350 24990 ) ( * 25330 )
+ NEW met1 ( 56350 24990 ) ( 60950 * )
+ NEW met1 ( 50830 32130 ) ( 52210 * )
+ NEW met2 ( 52210 24990 ) ( * 32130 )
+ NEW met1 ( 52210 24990 ) ( 56350 * )
+ NEW met1 ( 52210 47770 ) ( 52670 * )
+ NEW met1 ( 57730 47430 ) ( * 47770 )
+ NEW met1 ( 52670 47430 ) ( 57730 * )
+ NEW met1 ( 52670 47430 ) ( * 47770 )
+ NEW met1 ( 52210 42330 ) ( 58650 * )
+ NEW met1 ( 47610 50150 ) ( 52210 * )
+ NEW met1 ( 52210 50150 ) ( * 50490 )
+ NEW met2 ( 52210 47770 ) ( * 50490 )
+ NEW met1 ( 46690 53210 ) ( 47150 * )
+ NEW met2 ( 47150 51340 ) ( * 53210 )
+ NEW met3 ( 47150 51340 ) ( 48070 * )
+ NEW met2 ( 48070 50150 ) ( * 51340 )
+ NEW met1 ( 42545 47770 ) ( 42550 * )
+ NEW met2 ( 42550 46580 ) ( * 47770 )
+ NEW met3 ( 42550 46580 ) ( 52210 * )
+ NEW met2 ( 52210 32130 ) ( * 47770 )
+ NEW li1 ( 56350 25330 ) L1M1_PR
+ NEW li1 ( 60950 24990 ) L1M1_PR
+ NEW li1 ( 50830 32130 ) L1M1_PR
+ NEW met1 ( 52210 32130 ) M1M2_PR
+ NEW met1 ( 52210 24990 ) M1M2_PR
+ NEW li1 ( 52670 47770 ) L1M1_PR
+ NEW met1 ( 52210 47770 ) M1M2_PR
+ NEW li1 ( 57730 47770 ) L1M1_PR
+ NEW li1 ( 58650 42330 ) L1M1_PR
+ NEW met1 ( 52210 42330 ) M1M2_PR
+ NEW li1 ( 47610 50150 ) L1M1_PR
+ NEW met1 ( 52210 50490 ) M1M2_PR
+ NEW li1 ( 46690 53210 ) L1M1_PR
+ NEW met1 ( 47150 53210 ) M1M2_PR
+ NEW met2 ( 47150 51340 ) M2M3_PR
+ NEW met2 ( 48070 51340 ) M2M3_PR
+ NEW met1 ( 48070 50150 ) M1M2_PR
+ NEW li1 ( 42545 47770 ) L1M1_PR
+ NEW met1 ( 42550 47770 ) M1M2_PR
+ NEW met2 ( 42550 46580 ) M2M3_PR
+ NEW met2 ( 52210 46580 ) M2M3_PR
+ NEW met2 ( 52210 42330 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 48070 50150 ) RECT ( 0 -70 595 70 )
+ NEW met1 ( 42550 47770 ) RECT ( 0 -70 350 70 )
+ NEW met2 ( 52210 46580 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.tint\[4\] ( _257_ A1 ) ( _307_ A ) ( _322_ A1 ) ( _321_ A1 ) ( _290_ A ) ( _230_ A ) ( _254_ A )
+ ( _176_ A ) ( _352_ C ) ( _345_ A ) ( _323_ A ) ( _393_ Q ) + USE SIGNAL
+ + ROUTED met1 ( 59110 26690 ) ( 60995 * )
+ NEW met1 ( 38870 39610 ) ( 39790 * )
+ NEW met2 ( 38870 39610 ) ( * 44710 )
+ NEW met2 ( 38870 44710 ) ( 39330 * )
+ NEW met1 ( 38870 47430 ) ( 39330 * )
+ NEW met1 ( 37030 34170 ) ( 38410 * )
+ NEW met2 ( 38410 34170 ) ( * 34340 )
+ NEW met2 ( 38410 34340 ) ( 38870 * )
+ NEW met2 ( 38870 34340 ) ( * 39610 )
+ NEW met1 ( 33810 39270 ) ( * 39950 )
+ NEW met1 ( 33810 39950 ) ( 38870 * )
+ NEW met1 ( 38870 39610 ) ( * 39950 )
+ NEW met1 ( 39960 49470 ) ( * 50150 )
+ NEW met1 ( 39330 49470 ) ( 39960 * )
+ NEW met2 ( 39330 44710 ) ( * 49470 )
+ NEW met1 ( 58650 50150 ) ( 59110 * )
+ NEW met1 ( 59110 49810 ) ( * 50150 )
+ NEW met1 ( 56810 50150 ) ( 58650 * )
+ NEW met2 ( 55890 47770 ) ( * 50150 )
+ NEW met1 ( 55890 50150 ) ( 56810 * )
+ NEW met1 ( 46230 49810 ) ( * 50150 )
+ NEW met1 ( 46230 49810 ) ( 46690 * )
+ NEW met1 ( 46690 49470 ) ( * 49810 )
+ NEW met2 ( 46690 49300 ) ( * 49470 )
+ NEW met3 ( 46690 49300 ) ( 55890 * )
+ NEW met1 ( 46690 47430 ) ( 47150 * )
+ NEW met2 ( 46690 47430 ) ( * 49300 )
+ NEW met1 ( 39960 49810 ) ( 46230 * )
+ NEW met2 ( 59110 26690 ) ( * 49810 )
+ NEW met1 ( 59110 26690 ) M1M2_PR
+ NEW li1 ( 60995 26690 ) L1M1_PR
+ NEW li1 ( 39330 44710 ) L1M1_PR
+ NEW met1 ( 39330 44710 ) M1M2_PR
+ NEW li1 ( 39790 39610 ) L1M1_PR
+ NEW met1 ( 38870 39610 ) M1M2_PR
+ NEW li1 ( 38870 47430 ) L1M1_PR
+ NEW met1 ( 39330 47430 ) M1M2_PR
+ NEW li1 ( 37030 34170 ) L1M1_PR
+ NEW met1 ( 38410 34170 ) M1M2_PR
+ NEW li1 ( 33810 39270 ) L1M1_PR
+ NEW li1 ( 39960 50150 ) L1M1_PR
+ NEW met1 ( 39330 49470 ) M1M2_PR
+ NEW li1 ( 58650 50150 ) L1M1_PR
+ NEW met1 ( 59110 49810 ) M1M2_PR
+ NEW li1 ( 56810 50150 ) L1M1_PR
+ NEW li1 ( 55890 47770 ) L1M1_PR
+ NEW met1 ( 55890 47770 ) M1M2_PR
+ NEW met1 ( 55890 50150 ) M1M2_PR
+ NEW li1 ( 46230 50150 ) L1M1_PR
+ NEW met1 ( 46690 49470 ) M1M2_PR
+ NEW met2 ( 46690 49300 ) M2M3_PR
+ NEW met2 ( 55890 49300 ) M2M3_PR
+ NEW li1 ( 47150 47430 ) L1M1_PR
+ NEW met1 ( 46690 47430 ) M1M2_PR
+ NEW met1 ( 39330 44710 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 39330 47430 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 55890 47770 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 55890 49300 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.tval\[0\] ( _387_ Q ) ( _272_ A1 ) ( _271_ A ) ( _239_ B ) ( _233_ B ) ( _232_ B ) + USE SIGNAL
+ + ROUTED met2 ( 54050 18530 ) ( * 20570 )
+ NEW met1 ( 54050 18530 ) ( 59110 * )
+ NEW met1 ( 49910 20570 ) ( * 20910 )
+ NEW met1 ( 49910 20910 ) ( 52210 * )
+ NEW met1 ( 52210 20570 ) ( * 20910 )
+ NEW met1 ( 52210 20570 ) ( 54050 * )
+ NEW met1 ( 45310 20570 ) ( * 20910 )
+ NEW met1 ( 45310 20910 ) ( 49910 * )
+ NEW met1 ( 47150 23970 ) ( 47610 * )
+ NEW met2 ( 47150 20910 ) ( * 23970 )
+ NEW met1 ( 41630 28050 ) ( 47150 * )
+ NEW met2 ( 47150 23970 ) ( * 28050 )
+ NEW li1 ( 54050 20570 ) L1M1_PR
+ NEW met1 ( 54050 20570 ) M1M2_PR
+ NEW met1 ( 54050 18530 ) M1M2_PR
+ NEW li1 ( 59110 18530 ) L1M1_PR
+ NEW li1 ( 49910 20570 ) L1M1_PR
+ NEW li1 ( 45310 20570 ) L1M1_PR
+ NEW li1 ( 47610 23970 ) L1M1_PR
+ NEW met1 ( 47150 23970 ) M1M2_PR
+ NEW met1 ( 47150 20910 ) M1M2_PR
+ NEW li1 ( 41630 28050 ) L1M1_PR
+ NEW met1 ( 47150 28050 ) M1M2_PR
+ NEW met1 ( 54050 20570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 47150 20910 ) RECT ( -595 -70 0 70 ) ;
+ - pll_control.tval\[1\] ( _388_ Q ) ( _270_ A0 ) ( _239_ A ) ( _233_ A ) ( _232_ A ) + USE SIGNAL
+ + ROUTED met1 ( 42090 26690 ) ( 43010 * )
+ NEW met2 ( 43010 26690 ) ( * 27710 )
+ NEW met1 ( 40710 27710 ) ( 43010 * )
+ NEW met1 ( 40710 27710 ) ( * 28050 )
+ NEW met1 ( 43010 23970 ) ( 43470 * )
+ NEW met2 ( 43010 23970 ) ( * 26690 )
+ NEW met1 ( 43010 20570 ) ( 43930 * )
+ NEW met1 ( 43010 20570 ) ( * 21250 )
+ NEW met2 ( 43010 21250 ) ( * 23970 )
+ NEW met1 ( 47610 22950 ) ( * 23290 )
+ NEW met1 ( 43470 23290 ) ( 47610 * )
+ NEW met1 ( 43470 23290 ) ( * 23970 )
+ NEW li1 ( 42090 26690 ) L1M1_PR
+ NEW met1 ( 43010 26690 ) M1M2_PR
+ NEW met1 ( 43010 27710 ) M1M2_PR
+ NEW li1 ( 40710 28050 ) L1M1_PR
+ NEW li1 ( 43470 23970 ) L1M1_PR
+ NEW met1 ( 43010 23970 ) M1M2_PR
+ NEW li1 ( 43930 20570 ) L1M1_PR
+ NEW met1 ( 43010 21250 ) M1M2_PR
+ NEW li1 ( 47610 22950 ) L1M1_PR ;
+ - resetb ( PIN resetb ) ( ANTENNA__355__B DIODE ) ( _355_ B ) + USE SIGNAL
+ + ROUTED met1 ( 20470 6630 ) ( * 6970 )
+ NEW met1 ( 20470 6970 ) ( 23690 * )
+ NEW met1 ( 23690 6630 ) ( * 6970 )
+ NEW met1 ( 23690 6630 ) ( 24150 * )
+ NEW met1 ( 24150 6290 ) ( * 6630 )
+ NEW met1 ( 24150 6290 ) ( 30590 * )
+ NEW met1 ( 30590 6290 ) ( * 6970 )
+ NEW met1 ( 30590 6970 ) ( 31510 * )
+ NEW met1 ( 31510 6630 ) ( * 6970 )
+ NEW met1 ( 31510 6630 ) ( 32890 * )
+ NEW met2 ( 18630 3740 0 ) ( * 5950 )
+ NEW met1 ( 18630 5950 ) ( 20470 * )
+ NEW met1 ( 20470 5950 ) ( * 6630 )
+ NEW li1 ( 20470 6630 ) L1M1_PR
+ NEW li1 ( 32890 6630 ) L1M1_PR
+ NEW met1 ( 18630 5950 ) M1M2_PR ;
+ - ringosc.c\[0\] ( ringosc.ibufp01 A ) ( ringosc.ibufp00 Y ) + USE SIGNAL
+ + ROUTED met2 ( 26450 33830 ) ( * 35870 )
+ NEW li1 ( 26450 33830 ) L1M1_PR
+ NEW met1 ( 26450 33830 ) M1M2_PR
+ NEW li1 ( 26450 35870 ) L1M1_PR
+ NEW met1 ( 26450 35870 ) M1M2_PR
+ NEW met1 ( 26450 33830 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 26450 35870 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.c\[1\] ( ringosc.ibufp11 A ) ( ringosc.ibufp10 Y ) + USE SIGNAL
+ + ROUTED met2 ( 8970 39270 ) ( * 44030 )
+ NEW li1 ( 8970 44030 ) L1M1_PR
+ NEW met1 ( 8970 44030 ) M1M2_PR
+ NEW li1 ( 8970 39270 ) L1M1_PR
+ NEW met1 ( 8970 39270 ) M1M2_PR
+ NEW met1 ( 8970 44030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 8970 39270 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.clockp\[1\] ( ringosc.ibufp11 Y ) ( clockp_buffer_1 A ) + USE SIGNAL
+ + ROUTED met2 ( 7590 40290 ) ( * 42330 )
+ NEW li1 ( 7590 42330 ) L1M1_PR
+ NEW met1 ( 7590 42330 ) M1M2_PR
+ NEW li1 ( 7590 40290 ) L1M1_PR
+ NEW met1 ( 7590 40290 ) M1M2_PR
+ NEW met1 ( 7590 42330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7590 40290 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.d0 ( ringosc.dstage\[0\].id.delayen1 A ) ( ringosc.dstage\[0\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 25530 38590 ) ( * 38930 )
+ NEW met1 ( 25070 38590 ) ( 25530 * )
+ NEW li1 ( 25530 38930 ) L1M1_PR
+ NEW li1 ( 25070 38590 ) L1M1_PR ;
+ - ringosc.dstage\[0\].id.d1 ( ringosc.dstage\[0\].id.delayint0 A ) ( ringosc.dstage\[0\].id.delayenb1 Z ) ( ringosc.dstage\[0\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met2 ( 27370 42670 ) ( * 44030 )
+ NEW met1 ( 23230 44030 ) ( 27370 * )
+ NEW met1 ( 25990 39950 ) ( 27370 * )
+ NEW met2 ( 27370 39950 ) ( * 42670 )
+ NEW li1 ( 27370 42670 ) L1M1_PR
+ NEW met1 ( 27370 42670 ) M1M2_PR
+ NEW met1 ( 27370 44030 ) M1M2_PR
+ NEW li1 ( 23230 44030 ) L1M1_PR
+ NEW li1 ( 25990 39950 ) L1M1_PR
+ NEW met1 ( 27370 39950 ) M1M2_PR
+ NEW met1 ( 27370 42670 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.d2 ( ringosc.dstage\[0\].id.delayint0 Y ) ( ringosc.dstage\[0\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 23230 39610 ) ( 23690 * )
+ NEW met2 ( 23230 39610 ) ( * 44710 )
+ NEW met1 ( 22770 44710 ) ( 23230 * )
+ NEW li1 ( 23690 39610 ) L1M1_PR
+ NEW met1 ( 23230 39610 ) M1M2_PR
+ NEW met1 ( 23230 44710 ) M1M2_PR
+ NEW li1 ( 22770 44710 ) L1M1_PR ;
+ - ringosc.dstage\[0\].id.in ( ringosc.iss.reseten0 Z ) ( ringosc.iss.delayenb0 Z ) ( ringosc.iss.delayen0 Z ) ( ringosc.ibufp00 A ) ( ringosc.dstage\[0\].id.delaybuf0 A ) + USE SIGNAL
+ + ROUTED met1 ( 62100 38930 ) ( 66930 * )
+ NEW met1 ( 27370 38930 ) ( 29210 * )
+ NEW met2 ( 27370 36890 ) ( * 38930 )
+ NEW met1 ( 62100 38590 ) ( * 38930 )
+ NEW met1 ( 57270 38590 ) ( 62100 * )
+ NEW met1 ( 57270 38590 ) ( * 38930 )
+ NEW met1 ( 29210 38930 ) ( 57270 * )
+ NEW met2 ( 61410 36890 ) ( * 38590 )
+ NEW met1 ( 61410 37570 ) ( 64170 * )
+ NEW li1 ( 64170 37570 ) L1M1_PR
+ NEW li1 ( 66930 38930 ) L1M1_PR
+ NEW li1 ( 29210 38930 ) L1M1_PR
+ NEW met1 ( 27370 38930 ) M1M2_PR
+ NEW li1 ( 27370 36890 ) L1M1_PR
+ NEW met1 ( 27370 36890 ) M1M2_PR
+ NEW li1 ( 61410 36890 ) L1M1_PR
+ NEW met1 ( 61410 36890 ) M1M2_PR
+ NEW met1 ( 61410 38590 ) M1M2_PR
+ NEW met1 ( 61410 37570 ) M1M2_PR
+ NEW met1 ( 27370 36890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 61410 36890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 61410 38590 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 61410 37570 ) RECT ( -70 -485 70 0 ) ;
+ - ringosc.dstage\[0\].id.out ( ringosc.dstage\[1\].id.delaybuf0 A ) ( ringosc.dstage\[0\].id.delayenb0 Z ) ( ringosc.dstage\[0\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 25070 42670 ) ( 25990 * )
+ NEW met2 ( 25070 42670 ) ( * 49810 )
+ NEW met1 ( 23230 38930 ) ( 25070 * )
+ NEW met1 ( 25070 38930 ) ( * 39270 )
+ NEW met2 ( 25070 39270 ) ( * 42670 )
+ NEW li1 ( 25990 42670 ) L1M1_PR
+ NEW met1 ( 25070 42670 ) M1M2_PR
+ NEW li1 ( 25070 49810 ) L1M1_PR
+ NEW met1 ( 25070 49810 ) M1M2_PR
+ NEW li1 ( 23230 38930 ) L1M1_PR
+ NEW met1 ( 25070 39270 ) M1M2_PR
+ NEW met1 ( 25070 49810 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.trim\[0\] ( ringosc.dstage\[0\].id.delayenb0 TE_B ) ( ringosc.dstage\[0\].id.delayen0 TE ) ( _289_ X ) + USE SIGNAL
+ + ROUTED met1 ( 18170 42330 ) ( 21390 * )
+ NEW met2 ( 21390 42330 ) ( * 44370 )
+ NEW met2 ( 20470 41820 ) ( 21390 * )
+ NEW met2 ( 21390 41820 ) ( * 42330 )
+ NEW met1 ( 20470 39610 ) ( 20930 * )
+ NEW met2 ( 20470 39610 ) ( * 41820 )
+ NEW li1 ( 18170 42330 ) L1M1_PR
+ NEW met1 ( 21390 42330 ) M1M2_PR
+ NEW li1 ( 21390 44370 ) L1M1_PR
+ NEW met1 ( 21390 44370 ) M1M2_PR
+ NEW met1 ( 20470 39610 ) M1M2_PR
+ NEW li1 ( 20930 39610 ) L1M1_PR
+ NEW met1 ( 21390 44370 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.trim\[1\] ( ringosc.dstage\[0\].id.delayenb1 TE_B ) ( ringosc.dstage\[0\].id.delayen1 TE ) ( _319_ X ) + USE SIGNAL
+ + ROUTED met2 ( 31050 42330 ) ( * 46750 )
+ NEW met1 ( 31050 46750 ) ( 32430 * )
+ NEW met1 ( 28290 39610 ) ( 30590 * )
+ NEW met2 ( 30590 39610 ) ( * 39780 )
+ NEW met2 ( 30590 39780 ) ( 31050 * )
+ NEW met2 ( 31050 39780 ) ( * 42330 )
+ NEW li1 ( 31050 42330 ) L1M1_PR
+ NEW met1 ( 31050 42330 ) M1M2_PR
+ NEW met1 ( 31050 46750 ) M1M2_PR
+ NEW li1 ( 32430 46750 ) L1M1_PR
+ NEW li1 ( 28290 39610 ) L1M1_PR
+ NEW met1 ( 30590 39610 ) M1M2_PR
+ NEW met1 ( 31050 42330 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.ts ( ringosc.dstage\[0\].id.delayenb1 A ) ( ringosc.dstage\[0\].id.delayenb0 A ) ( ringosc.dstage\[0\].id.delaybuf1 A ) ( ringosc.dstage\[0\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 26450 40290 ) ( * 42330 )
+ NEW met1 ( 26450 40290 ) ( 29670 * )
+ NEW met1 ( 25530 42330 ) ( 26450 * )
+ NEW met1 ( 24150 39270 ) ( * 40290 )
+ NEW met1 ( 24150 40290 ) ( 26450 * )
+ NEW li1 ( 26450 42330 ) L1M1_PR
+ NEW met1 ( 26450 42330 ) M1M2_PR
+ NEW met1 ( 26450 40290 ) M1M2_PR
+ NEW li1 ( 29670 40290 ) L1M1_PR
+ NEW li1 ( 25530 42330 ) L1M1_PR
+ NEW li1 ( 24150 39270 ) L1M1_PR
+ NEW met1 ( 26450 42330 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.d0 ( ringosc.dstage\[10\].id.delayen1 A ) ( ringosc.dstage\[10\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 60030 43010 ) ( 61410 * )
+ NEW met2 ( 60030 43010 ) ( * 52190 )
+ NEW met1 ( 60030 52190 ) ( 61410 * )
+ NEW met2 ( 61410 52190 ) ( 61870 * )
+ NEW met2 ( 61870 52190 ) ( * 52870 )
+ NEW met1 ( 61870 52870 ) ( 64170 * )
+ NEW li1 ( 64170 52870 ) L1M1_PR
+ NEW li1 ( 61410 43010 ) L1M1_PR
+ NEW met1 ( 60030 43010 ) M1M2_PR
+ NEW met1 ( 60030 52190 ) M1M2_PR
+ NEW met1 ( 61410 52190 ) M1M2_PR
+ NEW met1 ( 61870 52870 ) M1M2_PR ;
+ - ringosc.dstage\[10\].id.d1 ( ringosc.dstage\[10\].id.delayint0 A ) ( ringosc.dstage\[10\].id.delayenb1 Z ) ( ringosc.dstage\[10\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 63250 53210 ) ( 63710 * )
+ NEW met2 ( 63250 53210 ) ( * 53380 )
+ NEW met3 ( 56810 53380 ) ( 63250 * )
+ NEW met2 ( 56810 53210 ) ( * 53380 )
+ NEW met3 ( 63250 53380 ) ( 64170 * )
+ NEW met2 ( 64170 48110 ) ( * 53380 )
+ NEW li1 ( 64170 48110 ) L1M1_PR
+ NEW met1 ( 64170 48110 ) M1M2_PR
+ NEW li1 ( 63710 53210 ) L1M1_PR
+ NEW met1 ( 63250 53210 ) M1M2_PR
+ NEW met2 ( 63250 53380 ) M2M3_PR
+ NEW met2 ( 56810 53380 ) M2M3_PR
+ NEW li1 ( 56810 53210 ) L1M1_PR
+ NEW met1 ( 56810 53210 ) M1M2_PR
+ NEW met2 ( 64170 53380 ) M2M3_PR
+ NEW met1 ( 64170 48110 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 56810 53210 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.d2 ( ringosc.dstage\[10\].id.delayint0 Y ) ( ringosc.dstage\[10\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 67390 53550 ) ( * 53890 )
+ NEW met1 ( 58650 53890 ) ( 67390 * )
+ NEW met1 ( 58650 53550 ) ( * 53890 )
+ NEW met1 ( 56350 53550 ) ( 58650 * )
+ NEW li1 ( 67390 53550 ) L1M1_PR
+ NEW li1 ( 56350 53550 ) L1M1_PR ;
+ - ringosc.dstage\[10\].id.in ( ringosc.dstage\[9\].id.delayenb0 Z ) ( ringosc.dstage\[9\].id.delayen0 Z ) ( ringosc.dstage\[10\].id.delaybuf0 A ) + USE SIGNAL
+ + ROUTED met2 ( 66470 58650 ) ( * 60690 )
+ NEW met1 ( 66470 60690 ) ( 67850 * )
+ NEW met2 ( 66470 55590 ) ( * 58650 )
+ NEW li1 ( 66470 58650 ) L1M1_PR
+ NEW met1 ( 66470 58650 ) M1M2_PR
+ NEW met1 ( 66470 60690 ) M1M2_PR
+ NEW li1 ( 67850 60690 ) L1M1_PR
+ NEW li1 ( 66470 55590 ) L1M1_PR
+ NEW met1 ( 66470 55590 ) M1M2_PR
+ NEW met1 ( 66470 58650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66470 55590 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.out ( ringosc.dstage\[11\].id.delaybuf0 A ) ( ringosc.dstage\[10\].id.delayenb0 Z ) ( ringosc.dstage\[10\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 66930 50830 ) ( * 52530 )
+ NEW met1 ( 66470 48110 ) ( 66930 * )
+ NEW met2 ( 66930 48110 ) ( * 50830 )
+ NEW li1 ( 66930 50830 ) L1M1_PR
+ NEW met1 ( 66930 50830 ) M1M2_PR
+ NEW li1 ( 66930 52530 ) L1M1_PR
+ NEW met1 ( 66930 52530 ) M1M2_PR
+ NEW li1 ( 66470 48110 ) L1M1_PR
+ NEW met1 ( 66930 48110 ) M1M2_PR
+ NEW met1 ( 66930 50830 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66930 52530 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.trim\[0\] ( ringosc.dstage\[10\].id.delayenb0 TE_B ) ( ringosc.dstage\[10\].id.delayen0 TE ) ( _314_ X ) + USE SIGNAL
+ + ROUTED met2 ( 36110 51170 ) ( * 52020 )
+ NEW met1 ( 35650 51170 ) ( 36110 * )
+ NEW met1 ( 59570 50150 ) ( * 50830 )
+ NEW met1 ( 55430 50830 ) ( 59570 * )
+ NEW met2 ( 55430 50830 ) ( * 52700 )
+ NEW met3 ( 47610 52700 ) ( 55430 * )
+ NEW met3 ( 47610 52020 ) ( * 52700 )
+ NEW met2 ( 64630 50830 ) ( * 52870 )
+ NEW met1 ( 59570 50830 ) ( 64630 * )
+ NEW met3 ( 36110 52020 ) ( 47610 * )
+ NEW met2 ( 36110 52020 ) M2M3_PR
+ NEW met1 ( 36110 51170 ) M1M2_PR
+ NEW li1 ( 35650 51170 ) L1M1_PR
+ NEW li1 ( 59570 50150 ) L1M1_PR
+ NEW met1 ( 55430 50830 ) M1M2_PR
+ NEW met2 ( 55430 52700 ) M2M3_PR
+ NEW li1 ( 64630 52870 ) L1M1_PR
+ NEW met1 ( 64630 52870 ) M1M2_PR
+ NEW met1 ( 64630 50830 ) M1M2_PR
+ NEW met1 ( 64630 52870 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[10\].id.trim\[1\] ( ringosc.dstage\[10\].id.delayenb1 TE_B ) ( ringosc.dstage\[10\].id.delayen1 TE ) ( _351_ X ) + USE SIGNAL
+ + ROUTED met1 ( 60490 47770 ) ( 60950 * )
+ NEW met2 ( 60490 47770 ) ( * 52870 )
+ NEW met1 ( 60490 52870 ) ( 61410 * )
+ NEW met2 ( 60490 45730 ) ( * 47770 )
+ NEW li1 ( 60950 47770 ) L1M1_PR
+ NEW met1 ( 60490 47770 ) M1M2_PR
+ NEW met1 ( 60490 52870 ) M1M2_PR
+ NEW li1 ( 61410 52870 ) L1M1_PR
+ NEW li1 ( 60490 45730 ) L1M1_PR
+ NEW met1 ( 60490 45730 ) M1M2_PR
+ NEW met1 ( 60490 45730 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.ts ( ringosc.dstage\[10\].id.delayenb1 A ) ( ringosc.dstage\[10\].id.delayenb0 A ) ( ringosc.dstage\[10\].id.delaybuf1 A ) ( ringosc.dstage\[10\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 63710 47770 ) ( 65550 * )
+ NEW met2 ( 63710 42670 ) ( * 47770 )
+ NEW met1 ( 63710 50150 ) ( 64170 * )
+ NEW met2 ( 63710 47770 ) ( * 50150 )
+ NEW met1 ( 63710 54910 ) ( 66010 * )
+ NEW met2 ( 63710 50150 ) ( * 54910 )
+ NEW met1 ( 60490 42330 ) ( * 42670 )
+ NEW met1 ( 60490 42670 ) ( 63710 * )
+ NEW li1 ( 65550 47770 ) L1M1_PR
+ NEW met1 ( 63710 47770 ) M1M2_PR
+ NEW met1 ( 63710 42670 ) M1M2_PR
+ NEW li1 ( 64170 50150 ) L1M1_PR
+ NEW met1 ( 63710 50150 ) M1M2_PR
+ NEW li1 ( 66010 54910 ) L1M1_PR
+ NEW met1 ( 63710 54910 ) M1M2_PR
+ NEW li1 ( 60490 42330 ) L1M1_PR ;
+ - ringosc.dstage\[11\].id.d0 ( ringosc.dstage\[11\].id.delayen1 A ) ( ringosc.dstage\[11\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 66470 22270 ) ( * 22610 )
+ NEW met1 ( 66470 22270 ) ( 66930 * )
+ NEW li1 ( 66470 22610 ) L1M1_PR
+ NEW li1 ( 66930 22270 ) L1M1_PR ;
+ - ringosc.dstage\[11\].id.d1 ( ringosc.dstage\[11\].id.delayint0 A ) ( ringosc.dstage\[11\].id.delayenb1 Z ) ( ringosc.dstage\[11\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 66010 26350 ) ( 66470 * )
+ NEW met2 ( 66010 26350 ) ( * 27710 )
+ NEW met2 ( 66010 23630 ) ( * 26350 )
+ NEW li1 ( 66470 26350 ) L1M1_PR
+ NEW met1 ( 66010 26350 ) M1M2_PR
+ NEW li1 ( 66010 27710 ) L1M1_PR
+ NEW met1 ( 66010 27710 ) M1M2_PR
+ NEW li1 ( 66010 23630 ) L1M1_PR
+ NEW met1 ( 66010 23630 ) M1M2_PR
+ NEW met1 ( 66010 27710 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66010 23630 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.d2 ( ringosc.dstage\[11\].id.delayint0 Y ) ( ringosc.dstage\[11\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met2 ( 66470 29410 ) ( * 31110 )
+ NEW li1 ( 66470 29410 ) L1M1_PR
+ NEW met1 ( 66470 29410 ) M1M2_PR
+ NEW li1 ( 66470 31110 ) L1M1_PR
+ NEW met1 ( 66470 31110 ) M1M2_PR
+ NEW met1 ( 66470 29410 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66470 31110 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.out ( ringosc.iss.delayenb1 A ) ( ringosc.iss.delayenb0 A ) ( ringosc.iss.delaybuf0 A ) ( ringosc.dstage\[11\].id.delayenb0 Z ) ( ringosc.dstage\[11\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 66010 31790 ) ( 66470 * )
+ NEW met2 ( 66470 42330 ) ( * 44710 )
+ NEW met1 ( 66470 44710 ) ( 67390 * )
+ NEW met2 ( 66470 39270 ) ( * 42330 )
+ NEW met2 ( 66470 34510 ) ( * 39270 )
+ NEW met2 ( 66470 31790 ) ( * 34510 )
+ NEW li1 ( 66010 31790 ) L1M1_PR
+ NEW met1 ( 66470 31790 ) M1M2_PR
+ NEW li1 ( 66470 42330 ) L1M1_PR
+ NEW met1 ( 66470 42330 ) M1M2_PR
+ NEW met1 ( 66470 44710 ) M1M2_PR
+ NEW li1 ( 67390 44710 ) L1M1_PR
+ NEW li1 ( 66470 39270 ) L1M1_PR
+ NEW met1 ( 66470 39270 ) M1M2_PR
+ NEW li1 ( 66470 34510 ) L1M1_PR
+ NEW met1 ( 66470 34510 ) M1M2_PR
+ NEW met1 ( 66470 42330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66470 39270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66470 34510 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.trim\[0\] ( ringosc.dstage\[11\].id.delayenb0 TE_B ) ( ringosc.dstage\[11\].id.delayen0 TE ) ( _316_ X ) + USE SIGNAL
+ + ROUTED met1 ( 58650 33830 ) ( 60030 * )
+ NEW met2 ( 58650 33660 ) ( * 33830 )
+ NEW met2 ( 57730 33660 ) ( 58650 * )
+ NEW met2 ( 57730 33660 ) ( * 56100 )
+ NEW met3 ( 30590 56100 ) ( 57730 * )
+ NEW met2 ( 30590 56100 ) ( * 56270 )
+ NEW met1 ( 28290 56270 ) ( 30590 * )
+ NEW met2 ( 61870 31450 ) ( * 33830 )
+ NEW met1 ( 60030 33830 ) ( 61870 * )
+ NEW met1 ( 61870 31450 ) ( 63710 * )
+ NEW li1 ( 63710 31450 ) L1M1_PR
+ NEW li1 ( 60030 33830 ) L1M1_PR
+ NEW met1 ( 58650 33830 ) M1M2_PR
+ NEW met2 ( 57730 56100 ) M2M3_PR
+ NEW met2 ( 30590 56100 ) M2M3_PR
+ NEW met1 ( 30590 56270 ) M1M2_PR
+ NEW li1 ( 28290 56270 ) L1M1_PR
+ NEW met1 ( 61870 31450 ) M1M2_PR
+ NEW met1 ( 61870 33830 ) M1M2_PR ;
+ - ringosc.dstage\[11\].id.trim\[1\] ( ringosc.dstage\[11\].id.delayenb1 TE_B ) ( ringosc.dstage\[11\].id.delayen1 TE ) ( _353_ X ) + USE SIGNAL
+ + ROUTED met1 ( 62790 22950 ) ( 63710 * )
+ NEW met2 ( 62790 20910 ) ( * 22950 )
+ NEW met2 ( 63250 23460 ) ( * 26010 )
+ NEW met2 ( 62790 23460 ) ( 63250 * )
+ NEW met2 ( 62790 22950 ) ( * 23460 )
+ NEW li1 ( 63710 22950 ) L1M1_PR
+ NEW met1 ( 62790 22950 ) M1M2_PR
+ NEW li1 ( 62790 20910 ) L1M1_PR
+ NEW met1 ( 62790 20910 ) M1M2_PR
+ NEW li1 ( 63250 26010 ) L1M1_PR
+ NEW met1 ( 63250 26010 ) M1M2_PR
+ NEW met1 ( 62790 20910 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 63250 26010 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.ts ( ringosc.dstage\[11\].id.delayenb1 A ) ( ringosc.dstage\[11\].id.delayenb0 A ) ( ringosc.dstage\[11\].id.delaybuf1 A ) ( ringosc.dstage\[11\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 67850 22950 ) ( * 26010 )
+ NEW met2 ( 67390 29580 ) ( * 33830 )
+ NEW met2 ( 67390 29580 ) ( 67850 * )
+ NEW met2 ( 67850 26010 ) ( * 29580 )
+ NEW met2 ( 67390 33830 ) ( * 47090 )
+ NEW li1 ( 67850 26010 ) L1M1_PR
+ NEW met1 ( 67850 26010 ) M1M2_PR
+ NEW li1 ( 67850 22950 ) L1M1_PR
+ NEW met1 ( 67850 22950 ) M1M2_PR
+ NEW li1 ( 67390 33830 ) L1M1_PR
+ NEW met1 ( 67390 33830 ) M1M2_PR
+ NEW li1 ( 67390 47090 ) L1M1_PR
+ NEW met1 ( 67390 47090 ) M1M2_PR
+ NEW met1 ( 67850 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 67850 22950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 67390 33830 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 67390 47090 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.d0 ( ringosc.dstage\[1\].id.delayen1 A ) ( ringosc.dstage\[1\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 26910 47430 ) ( 28290 * )
+ NEW met1 ( 28290 47090 ) ( * 47430 )
+ NEW met1 ( 28290 47090 ) ( 30130 * )
+ NEW li1 ( 26910 47430 ) L1M1_PR
+ NEW li1 ( 30130 47090 ) L1M1_PR ;
+ - ringosc.dstage\[1\].id.d1 ( ringosc.dstage\[1\].id.delayint0 A ) ( ringosc.dstage\[1\].id.delayenb1 Z ) ( ringosc.dstage\[1\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 26450 48110 ) ( 27370 * )
+ NEW met2 ( 26450 48110 ) ( * 53210 )
+ NEW met1 ( 25070 44710 ) ( 26450 * )
+ NEW met2 ( 26450 44710 ) ( * 48110 )
+ NEW li1 ( 27370 48110 ) L1M1_PR
+ NEW met1 ( 26450 48110 ) M1M2_PR
+ NEW li1 ( 26450 53210 ) L1M1_PR
+ NEW met1 ( 26450 53210 ) M1M2_PR
+ NEW li1 ( 25070 44710 ) L1M1_PR
+ NEW met1 ( 26450 44710 ) M1M2_PR
+ NEW met1 ( 26450 53210 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.d2 ( ringosc.dstage\[1\].id.delayint0 Y ) ( ringosc.dstage\[1\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met2 ( 23230 50490 ) ( * 52190 )
+ NEW met1 ( 23230 52190 ) ( 25990 * )
+ NEW li1 ( 23230 50490 ) L1M1_PR
+ NEW met1 ( 23230 50490 ) M1M2_PR
+ NEW met1 ( 23230 52190 ) M1M2_PR
+ NEW li1 ( 25990 52190 ) L1M1_PR
+ NEW met1 ( 23230 50490 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.out ( ringosc.dstage\[2\].id.delaybuf0 A ) ( ringosc.dstage\[1\].id.delayenb0 Z ) ( ringosc.dstage\[1\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 22770 47090 ) ( * 49810 )
+ NEW met1 ( 22770 47090 ) ( 25070 * )
+ NEW met1 ( 17710 48110 ) ( 22770 * )
+ NEW li1 ( 22770 49810 ) L1M1_PR
+ NEW met1 ( 22770 49810 ) M1M2_PR
+ NEW met1 ( 22770 47090 ) M1M2_PR
+ NEW li1 ( 25070 47090 ) L1M1_PR
+ NEW li1 ( 17710 48110 ) L1M1_PR
+ NEW met1 ( 22770 48110 ) M1M2_PR
+ NEW met1 ( 22770 49810 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 22770 48110 ) RECT ( -70 -485 70 0 ) ;
+ - ringosc.dstage\[1\].id.trim\[0\] ( ringosc.dstage\[1\].id.delayenb0 TE_B ) ( ringosc.dstage\[1\].id.delayen0 TE ) ( _295_ X ) + USE SIGNAL
+ + ROUTED met1 ( 17710 50150 ) ( 20470 * )
+ NEW met2 ( 18630 47770 ) ( * 50150 )
+ NEW li1 ( 20470 50150 ) L1M1_PR
+ NEW li1 ( 17710 50150 ) L1M1_PR
+ NEW li1 ( 18630 47770 ) L1M1_PR
+ NEW met1 ( 18630 47770 ) M1M2_PR
+ NEW met1 ( 18630 50150 ) M1M2_PR
+ NEW met1 ( 18630 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 18630 50150 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.trim\[1\] ( ringosc.dstage\[1\].id.delayenb1 TE_B ) ( ringosc.dstage\[1\].id.delayen1 TE ) ( _326_ X ) + USE SIGNAL
+ + ROUTED met2 ( 29670 47430 ) ( * 52870 )
+ NEW met1 ( 29670 52870 ) ( 32890 * )
+ NEW met1 ( 32890 52190 ) ( * 52870 )
+ NEW met1 ( 32890 52190 ) ( 38410 * )
+ NEW met1 ( 28290 44710 ) ( 29670 * )
+ NEW met2 ( 29670 44710 ) ( * 47430 )
+ NEW li1 ( 29670 47430 ) L1M1_PR
+ NEW met1 ( 29670 47430 ) M1M2_PR
+ NEW met1 ( 29670 52870 ) M1M2_PR
+ NEW li1 ( 38410 52190 ) L1M1_PR
+ NEW li1 ( 28290 44710 ) L1M1_PR
+ NEW met1 ( 29670 44710 ) M1M2_PR
+ NEW met1 ( 29670 47430 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.ts ( ringosc.dstage\[1\].id.delayenb1 A ) ( ringosc.dstage\[1\].id.delayenb0 A ) ( ringosc.dstage\[1\].id.delaybuf1 A ) ( ringosc.dstage\[1\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 25990 47770 ) ( 31050 * )
+ NEW met2 ( 25990 47770 ) ( * 49810 )
+ NEW met1 ( 23690 44370 ) ( 25990 * )
+ NEW met2 ( 25990 44370 ) ( * 47770 )
+ NEW li1 ( 25990 47770 ) L1M1_PR
+ NEW li1 ( 31050 47770 ) L1M1_PR
+ NEW li1 ( 25990 49810 ) L1M1_PR
+ NEW met1 ( 25990 49810 ) M1M2_PR
+ NEW met1 ( 25990 47770 ) M1M2_PR
+ NEW li1 ( 23690 44370 ) L1M1_PR
+ NEW met1 ( 25990 44370 ) M1M2_PR
+ NEW met1 ( 25990 49810 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 25990 47770 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.d0 ( ringosc.dstage\[2\].id.delayen1 A ) ( ringosc.dstage\[2\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 14950 45730 ) ( 16790 * )
+ NEW met2 ( 14950 45730 ) ( * 49810 )
+ NEW li1 ( 16790 45730 ) L1M1_PR
+ NEW met1 ( 14950 45730 ) M1M2_PR
+ NEW li1 ( 14950 49810 ) L1M1_PR
+ NEW met1 ( 14950 49810 ) M1M2_PR
+ NEW met1 ( 14950 49810 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.d1 ( ringosc.dstage\[2\].id.delayint0 A ) ( ringosc.dstage\[2\].id.delayenb1 Z ) ( ringosc.dstage\[2\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 14490 50150 ) ( 16330 * )
+ NEW met1 ( 16330 49810 ) ( * 50150 )
+ NEW met1 ( 16330 49810 ) ( 19090 * )
+ NEW met1 ( 14490 44710 ) ( 14950 * )
+ NEW met2 ( 14490 44710 ) ( * 50150 )
+ NEW li1 ( 14490 50150 ) L1M1_PR
+ NEW li1 ( 19090 49810 ) L1M1_PR
+ NEW li1 ( 14950 44710 ) L1M1_PR
+ NEW met1 ( 14490 44710 ) M1M2_PR
+ NEW met1 ( 14490 50150 ) M1M2_PR
+ NEW met1 ( 14490 50150 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.d2 ( ringosc.dstage\[2\].id.delayint0 Y ) ( ringosc.dstage\[2\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 10810 50150 ) ( 11730 * )
+ NEW met1 ( 10810 50150 ) ( * 50830 )
+ NEW met1 ( 10810 50830 ) ( 13110 * )
+ NEW met1 ( 13110 50490 ) ( * 50830 )
+ NEW met1 ( 13110 50490 ) ( 19550 * )
+ NEW li1 ( 11730 50150 ) L1M1_PR
+ NEW li1 ( 19550 50490 ) L1M1_PR ;
+ - ringosc.dstage\[2\].id.out ( ringosc.dstage\[3\].id.delaybuf0 A ) ( ringosc.dstage\[2\].id.delayenb0 Z ) ( ringosc.dstage\[2\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 14030 47090 ) ( * 49810 )
+ NEW met1 ( 14030 47090 ) ( 14490 * )
+ NEW met2 ( 14030 49810 ) ( * 53210 )
+ NEW met1 ( 11270 49810 ) ( 14030 * )
+ NEW met1 ( 12650 53210 ) ( 14030 * )
+ NEW li1 ( 12650 53210 ) L1M1_PR
+ NEW li1 ( 11270 49810 ) L1M1_PR
+ NEW met1 ( 14030 49810 ) M1M2_PR
+ NEW met1 ( 14030 47090 ) M1M2_PR
+ NEW li1 ( 14490 47090 ) L1M1_PR
+ NEW met1 ( 14030 53210 ) M1M2_PR ;
+ - ringosc.dstage\[2\].id.trim\[0\] ( ringosc.dstage\[2\].id.delayenb0 TE_B ) ( ringosc.dstage\[2\].id.delayen0 TE ) ( _299_ X ) + USE SIGNAL
+ + ROUTED met1 ( 7590 50490 ) ( 8970 * )
+ NEW met2 ( 7590 50490 ) ( * 52190 )
+ NEW met1 ( 7590 47770 ) ( 8050 * )
+ NEW met2 ( 7590 47770 ) ( * 50490 )
+ NEW li1 ( 8970 50490 ) L1M1_PR
+ NEW met1 ( 7590 50490 ) M1M2_PR
+ NEW li1 ( 7590 52190 ) L1M1_PR
+ NEW met1 ( 7590 52190 ) M1M2_PR
+ NEW li1 ( 8050 47770 ) L1M1_PR
+ NEW met1 ( 7590 47770 ) M1M2_PR
+ NEW met1 ( 7590 52190 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.trim\[1\] ( ringosc.dstage\[2\].id.delayenb1 TE_B ) ( ringosc.dstage\[2\].id.delayen1 TE ) ( _334_ X ) + USE SIGNAL
+ + ROUTED met1 ( 11730 44710 ) ( 13110 * )
+ NEW met2 ( 13110 44710 ) ( * 48300 )
+ NEW met2 ( 12190 50150 ) ( * 50660 )
+ NEW met2 ( 12190 48300 ) ( 13110 * )
+ NEW met2 ( 12190 48300 ) ( * 50150 )
+ NEW met2 ( 49450 50660 ) ( * 50830 )
+ NEW met3 ( 12190 50660 ) ( 49450 * )
+ NEW met1 ( 13110 44710 ) M1M2_PR
+ NEW li1 ( 11730 44710 ) L1M1_PR
+ NEW li1 ( 12190 50150 ) L1M1_PR
+ NEW met1 ( 12190 50150 ) M1M2_PR
+ NEW met2 ( 12190 50660 ) M2M3_PR
+ NEW met2 ( 49450 50660 ) M2M3_PR
+ NEW li1 ( 49450 50830 ) L1M1_PR
+ NEW met1 ( 49450 50830 ) M1M2_PR
+ NEW met1 ( 12190 50150 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 49450 50830 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.ts ( ringosc.dstage\[2\].id.delayenb1 A ) ( ringosc.dstage\[2\].id.delayenb0 A ) ( ringosc.dstage\[2\].id.delaybuf1 A ) ( ringosc.dstage\[2\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 15410 47770 ) ( 16790 * )
+ NEW met2 ( 16330 44710 ) ( * 47770 )
+ NEW met1 ( 16330 44710 ) ( 17710 * )
+ NEW li1 ( 16790 47770 ) L1M1_PR
+ NEW li1 ( 15410 47770 ) L1M1_PR
+ NEW li1 ( 16330 44710 ) L1M1_PR
+ NEW met1 ( 16330 44710 ) M1M2_PR
+ NEW met1 ( 16330 47770 ) M1M2_PR
+ NEW li1 ( 17710 44710 ) L1M1_PR
+ NEW met1 ( 16330 44710 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16330 47770 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.d0 ( ringosc.dstage\[3\].id.delayen1 A ) ( ringosc.dstage\[3\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 10810 62050 ) ( 12190 * )
+ NEW met2 ( 10810 62050 ) ( * 66130 )
+ NEW li1 ( 10810 66130 ) L1M1_PR
+ NEW met1 ( 10810 66130 ) M1M2_PR
+ NEW li1 ( 12190 62050 ) L1M1_PR
+ NEW met1 ( 10810 62050 ) M1M2_PR
+ NEW met1 ( 10810 66130 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[3\].id.d1 ( ringosc.dstage\[3\].id.delayint0 A ) ( ringosc.dstage\[3\].id.delayenb1 Z ) ( ringosc.dstage\[3\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 8970 59330 ) ( 10350 * )
+ NEW met2 ( 10350 59330 ) ( * 61030 )
+ NEW met2 ( 10350 61030 ) ( * 66130 )
+ NEW li1 ( 10350 66130 ) L1M1_PR
+ NEW met1 ( 10350 66130 ) M1M2_PR
+ NEW li1 ( 10350 61030 ) L1M1_PR
+ NEW met1 ( 10350 61030 ) M1M2_PR
+ NEW li1 ( 8970 59330 ) L1M1_PR
+ NEW met1 ( 10350 59330 ) M1M2_PR
+ NEW met1 ( 10350 66130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 10350 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.d2 ( ringosc.dstage\[3\].id.delayint0 Y ) ( ringosc.dstage\[3\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 8510 58310 ) ( 9430 * )
+ NEW li1 ( 9430 58310 ) L1M1_PR
+ NEW li1 ( 8510 58310 ) L1M1_PR ;
+ - ringosc.dstage\[3\].id.out ( ringosc.dstage\[4\].id.delaybuf0 A ) ( ringosc.dstage\[3\].id.delayenb0 Z ) ( ringosc.dstage\[3\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 14490 57970 ) ( * 60690 )
+ NEW met1 ( 14490 60690 ) ( 19550 * )
+ NEW met2 ( 14490 56270 ) ( * 57970 )
+ NEW met1 ( 9890 57970 ) ( 14490 * )
+ NEW li1 ( 9890 57970 ) L1M1_PR
+ NEW met1 ( 14490 57970 ) M1M2_PR
+ NEW met1 ( 14490 60690 ) M1M2_PR
+ NEW li1 ( 19550 60690 ) L1M1_PR
+ NEW li1 ( 14490 56270 ) L1M1_PR
+ NEW met1 ( 14490 56270 ) M1M2_PR
+ NEW met1 ( 14490 56270 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.trim\[0\] ( ringosc.dstage\[3\].id.delayenb0 TE_B ) ( ringosc.dstage\[3\].id.delayen0 TE ) ( _300_ X ) + USE SIGNAL
+ + ROUTED met1 ( 11270 58310 ) ( 12190 * )
+ NEW met2 ( 11270 55590 ) ( * 58310 )
+ NEW met1 ( 7130 55590 ) ( 11270 * )
+ NEW met1 ( 12190 58310 ) ( 14950 * )
+ NEW li1 ( 12190 58310 ) L1M1_PR
+ NEW met1 ( 11270 58310 ) M1M2_PR
+ NEW met1 ( 11270 55590 ) M1M2_PR
+ NEW li1 ( 7130 55590 ) L1M1_PR
+ NEW li1 ( 14950 58310 ) L1M1_PR ;
+ - ringosc.dstage\[3\].id.trim\[1\] ( ringosc.dstage\[3\].id.delayenb1 TE_B ) ( ringosc.dstage\[3\].id.delayen1 TE ) ( _337_ X ) + USE SIGNAL
+ + ROUTED met2 ( 8050 66470 ) ( * 68510 )
+ NEW met1 ( 7130 61030 ) ( 8050 * )
+ NEW met2 ( 8050 61030 ) ( * 66470 )
+ NEW met1 ( 55890 63070 ) ( 56350 * )
+ NEW met2 ( 56350 63070 ) ( * 69190 )
+ NEW met1 ( 24610 69190 ) ( 56350 * )
+ NEW met1 ( 24610 68510 ) ( * 69190 )
+ NEW met1 ( 8050 68510 ) ( 24610 * )
+ NEW li1 ( 8050 66470 ) L1M1_PR
+ NEW met1 ( 8050 66470 ) M1M2_PR
+ NEW met1 ( 8050 68510 ) M1M2_PR
+ NEW met1 ( 8050 61030 ) M1M2_PR
+ NEW li1 ( 7130 61030 ) L1M1_PR
+ NEW li1 ( 55890 63070 ) L1M1_PR
+ NEW met1 ( 56350 63070 ) M1M2_PR
+ NEW met1 ( 56350 69190 ) M1M2_PR
+ NEW met1 ( 8050 66470 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.ts ( ringosc.dstage\[3\].id.delayenb1 A ) ( ringosc.dstage\[3\].id.delayenb0 A ) ( ringosc.dstage\[3\].id.delaybuf1 A ) ( ringosc.dstage\[3\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 12190 53890 ) ( * 55590 )
+ NEW met1 ( 11730 60690 ) ( 12190 * )
+ NEW met2 ( 12190 55590 ) ( * 60690 )
+ NEW met1 ( 12190 61030 ) ( 13110 * )
+ NEW met1 ( 12190 60690 ) ( * 61030 )
+ NEW li1 ( 12190 55590 ) L1M1_PR
+ NEW met1 ( 12190 55590 ) M1M2_PR
+ NEW li1 ( 12190 53890 ) L1M1_PR
+ NEW met1 ( 12190 53890 ) M1M2_PR
+ NEW li1 ( 11730 60690 ) L1M1_PR
+ NEW met1 ( 12190 60690 ) M1M2_PR
+ NEW li1 ( 13110 61030 ) L1M1_PR
+ NEW met1 ( 12190 55590 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 12190 53890 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.d0 ( ringosc.dstage\[4\].id.delayen1 A ) ( ringosc.dstage\[4\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 18170 65790 ) ( * 66130 )
+ NEW met1 ( 18170 65790 ) ( 19090 * )
+ NEW li1 ( 18170 66130 ) L1M1_PR
+ NEW li1 ( 19090 65790 ) L1M1_PR ;
+ - ringosc.dstage\[4\].id.d1 ( ringosc.dstage\[4\].id.delayint0 A ) ( ringosc.dstage\[4\].id.delayenb1 Z ) ( ringosc.dstage\[4\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 17250 66130 ) ( 17710 * )
+ NEW met1 ( 18630 66130 ) ( 20470 * )
+ NEW met1 ( 18630 66130 ) ( * 66470 )
+ NEW met1 ( 17710 66470 ) ( 18630 * )
+ NEW met1 ( 17710 66130 ) ( * 66470 )
+ NEW met2 ( 17250 61030 ) ( * 66130 )
+ NEW li1 ( 17710 66130 ) L1M1_PR
+ NEW met1 ( 17250 66130 ) M1M2_PR
+ NEW li1 ( 20470 66130 ) L1M1_PR
+ NEW li1 ( 17250 61030 ) L1M1_PR
+ NEW met1 ( 17250 61030 ) M1M2_PR
+ NEW met1 ( 17250 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.d2 ( ringosc.dstage\[4\].id.delayint0 Y ) ( ringosc.dstage\[4\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 14950 66810 ) ( 20930 * )
+ NEW li1 ( 14950 66810 ) L1M1_PR
+ NEW li1 ( 20930 66810 ) L1M1_PR ;
+ - ringosc.dstage\[4\].id.out ( ringosc.dstage\[5\].id.delaybuf0 A ) ( ringosc.dstage\[4\].id.delayenb0 Z ) ( ringosc.dstage\[4\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 14490 63410 ) ( 17710 * )
+ NEW met2 ( 14490 63410 ) ( * 66130 )
+ NEW met1 ( 17710 63410 ) ( 19090 * )
+ NEW met2 ( 19090 58990 ) ( * 63410 )
+ NEW li1 ( 17710 63410 ) L1M1_PR
+ NEW met1 ( 14490 63410 ) M1M2_PR
+ NEW li1 ( 14490 66130 ) L1M1_PR
+ NEW met1 ( 14490 66130 ) M1M2_PR
+ NEW met1 ( 19090 63410 ) M1M2_PR
+ NEW li1 ( 19090 58990 ) L1M1_PR
+ NEW met1 ( 19090 58990 ) M1M2_PR
+ NEW met1 ( 14490 66130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 19090 58990 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.trim\[0\] ( ringosc.dstage\[4\].id.delayenb0 TE_B ) ( ringosc.dstage\[4\].id.delayen0 TE ) ( _302_ X ) + USE SIGNAL
+ + ROUTED met2 ( 11270 64090 ) ( * 66470 )
+ NEW met1 ( 11270 66470 ) ( 12190 * )
+ NEW met1 ( 10350 64090 ) ( 11270 * )
+ NEW li1 ( 11270 64090 ) L1M1_PR
+ NEW met1 ( 11270 64090 ) M1M2_PR
+ NEW met1 ( 11270 66470 ) M1M2_PR
+ NEW li1 ( 12190 66470 ) L1M1_PR
+ NEW li1 ( 10350 64090 ) L1M1_PR
+ NEW met1 ( 11270 64090 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.trim\[1\] ( ringosc.dstage\[4\].id.delayenb1 TE_B ) ( ringosc.dstage\[4\].id.delayen1 TE ) ( _341_ X ) + USE SIGNAL
+ + ROUTED met2 ( 13570 61030 ) ( * 61540 )
+ NEW met3 ( 13570 61540 ) ( 46230 * )
+ NEW met2 ( 46230 60690 ) ( * 61540 )
+ NEW met1 ( 13570 66470 ) ( 15410 * )
+ NEW met2 ( 13570 61540 ) ( * 66470 )
+ NEW met1 ( 46230 60690 ) ( 50830 * )
+ NEW li1 ( 50830 60690 ) L1M1_PR
+ NEW li1 ( 13570 61030 ) L1M1_PR
+ NEW met1 ( 13570 61030 ) M1M2_PR
+ NEW met2 ( 13570 61540 ) M2M3_PR
+ NEW met2 ( 46230 61540 ) M2M3_PR
+ NEW met1 ( 46230 60690 ) M1M2_PR
+ NEW li1 ( 15410 66470 ) L1M1_PR
+ NEW met1 ( 13570 66470 ) M1M2_PR
+ NEW met1 ( 13570 61030 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[4\].id.ts ( ringosc.dstage\[4\].id.delayenb1 A ) ( ringosc.dstage\[4\].id.delayenb0 A ) ( ringosc.dstage\[4\].id.delaybuf1 A ) ( ringosc.dstage\[4\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 18170 64090 ) ( 19550 * )
+ NEW met1 ( 19550 66470 ) ( 20010 * )
+ NEW met2 ( 19550 64090 ) ( * 66470 )
+ NEW met1 ( 19550 62050 ) ( 20010 * )
+ NEW met1 ( 18170 61030 ) ( 19550 * )
+ NEW met1 ( 19550 61030 ) ( * 62050 )
+ NEW met2 ( 19550 62050 ) ( * 64090 )
+ NEW li1 ( 18170 64090 ) L1M1_PR
+ NEW met1 ( 19550 64090 ) M1M2_PR
+ NEW li1 ( 20010 66470 ) L1M1_PR
+ NEW met1 ( 19550 66470 ) M1M2_PR
+ NEW li1 ( 20010 62050 ) L1M1_PR
+ NEW met1 ( 19550 62050 ) M1M2_PR
+ NEW li1 ( 18170 61030 ) L1M1_PR ;
+ - ringosc.dstage\[5\].id.d0 ( ringosc.dstage\[5\].id.delayen1 A ) ( ringosc.dstage\[5\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 23690 53890 ) ( 26910 * )
+ NEW met2 ( 23690 53890 ) ( * 58310 )
+ NEW met1 ( 21390 58310 ) ( 23690 * )
+ NEW li1 ( 26910 53890 ) L1M1_PR
+ NEW met1 ( 23690 53890 ) M1M2_PR
+ NEW met1 ( 23690 58310 ) M1M2_PR
+ NEW li1 ( 21390 58310 ) L1M1_PR ;
+ - ringosc.dstage\[5\].id.d1 ( ringosc.dstage\[5\].id.delayint0 A ) ( ringosc.dstage\[5\].id.delayenb1 Z ) ( ringosc.dstage\[5\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 21850 53550 ) ( 23230 * )
+ NEW met2 ( 23230 53550 ) ( * 57970 )
+ NEW met1 ( 21850 57970 ) ( 23230 * )
+ NEW met1 ( 20010 53550 ) ( 21850 * )
+ NEW li1 ( 21850 53550 ) L1M1_PR
+ NEW met1 ( 23230 53550 ) M1M2_PR
+ NEW met1 ( 23230 57970 ) M1M2_PR
+ NEW li1 ( 21850 57970 ) L1M1_PR
+ NEW li1 ( 20010 53550 ) L1M1_PR ;
+ - ringosc.dstage\[5\].id.d2 ( ringosc.dstage\[5\].id.delayint0 Y ) ( ringosc.dstage\[5\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 18170 53550 ) ( 19090 * )
+ NEW met2 ( 18170 53550 ) ( * 55250 )
+ NEW li1 ( 19090 53550 ) L1M1_PR
+ NEW met1 ( 18170 53550 ) M1M2_PR
+ NEW li1 ( 18170 55250 ) L1M1_PR
+ NEW met1 ( 18170 55250 ) M1M2_PR
+ NEW met1 ( 18170 55250 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[5\].id.out ( ringosc.ibufp10 A ) ( ringosc.dstage\[6\].id.delaybuf0 A ) ( ringosc.dstage\[5\].id.delayenb0 Z ) ( ringosc.dstage\[5\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 9890 44710 ) ( * 45390 )
+ NEW met2 ( 17710 45390 ) ( * 55250 )
+ NEW met1 ( 17710 56270 ) ( 25530 * )
+ NEW met2 ( 17710 55250 ) ( * 56270 )
+ NEW met1 ( 25530 58650 ) ( 25990 * )
+ NEW met2 ( 25530 56270 ) ( * 58650 )
+ NEW met1 ( 9890 45390 ) ( 17710 * )
+ NEW li1 ( 9890 44710 ) L1M1_PR
+ NEW li1 ( 17710 55250 ) L1M1_PR
+ NEW met1 ( 17710 55250 ) M1M2_PR
+ NEW met1 ( 17710 45390 ) M1M2_PR
+ NEW li1 ( 25530 56270 ) L1M1_PR
+ NEW met1 ( 17710 56270 ) M1M2_PR
+ NEW li1 ( 25990 58650 ) L1M1_PR
+ NEW met1 ( 25530 58650 ) M1M2_PR
+ NEW met1 ( 25530 56270 ) M1M2_PR
+ NEW met1 ( 17710 55250 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 25530 56270 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[5\].id.trim\[0\] ( ringosc.dstage\[5\].id.delayenb0 TE_B ) ( ringosc.dstage\[5\].id.delayen0 TE ) ( _305_ X ) + USE SIGNAL
+ + ROUTED met2 ( 16790 53550 ) ( * 55590 )
+ NEW met1 ( 15410 55590 ) ( 16790 * )
+ NEW met1 ( 16790 55590 ) ( 19090 * )
+ NEW li1 ( 16790 53550 ) L1M1_PR
+ NEW met1 ( 16790 53550 ) M1M2_PR
+ NEW met1 ( 16790 55590 ) M1M2_PR
+ NEW li1 ( 15410 55590 ) L1M1_PR
+ NEW li1 ( 19090 55590 ) L1M1_PR
+ NEW met1 ( 16790 53550 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[5\].id.trim\[1\] ( ringosc.dstage\[5\].id.delayenb1 TE_B ) ( ringosc.dstage\[5\].id.delayen1 TE ) ( _342_ X ) + USE SIGNAL
+ + ROUTED met1 ( 50370 53550 ) ( * 53890 )
+ NEW met1 ( 50370 53550 ) ( 52670 * )
+ NEW met1 ( 52670 53550 ) ( * 53890 )
+ NEW met1 ( 52670 53890 ) ( 58105 * )
+ NEW met2 ( 25070 52700 ) ( * 53210 )
+ NEW met3 ( 25070 52700 ) ( 46230 * )
+ NEW met2 ( 46230 52700 ) ( * 53550 )
+ NEW met1 ( 46230 53550 ) ( 47610 * )
+ NEW met1 ( 47610 53550 ) ( * 53890 )
+ NEW met1 ( 24150 58310 ) ( 25070 * )
+ NEW met2 ( 25070 53210 ) ( * 58310 )
+ NEW met1 ( 47610 53890 ) ( 50370 * )
+ NEW li1 ( 58105 53890 ) L1M1_PR
+ NEW li1 ( 25070 53210 ) L1M1_PR
+ NEW met1 ( 25070 53210 ) M1M2_PR
+ NEW met2 ( 25070 52700 ) M2M3_PR
+ NEW met2 ( 46230 52700 ) M2M3_PR
+ NEW met1 ( 46230 53550 ) M1M2_PR
+ NEW li1 ( 24150 58310 ) L1M1_PR
+ NEW met1 ( 25070 58310 ) M1M2_PR
+ NEW met1 ( 25070 53210 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[5\].id.ts ( ringosc.dstage\[5\].id.delayenb1 A ) ( ringosc.dstage\[5\].id.delayenb0 A ) ( ringosc.dstage\[5\].id.delaybuf1 A ) ( ringosc.dstage\[5\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 19550 53210 ) ( 20470 * )
+ NEW met2 ( 19550 53210 ) ( * 57630 )
+ NEW met1 ( 19550 55590 ) ( 23690 * )
+ NEW met1 ( 27830 52870 ) ( * 53210 )
+ NEW met1 ( 20470 52870 ) ( 27830 * )
+ NEW met1 ( 20470 52870 ) ( * 53210 )
+ NEW li1 ( 20470 53210 ) L1M1_PR
+ NEW met1 ( 19550 53210 ) M1M2_PR
+ NEW li1 ( 19550 57630 ) L1M1_PR
+ NEW met1 ( 19550 57630 ) M1M2_PR
+ NEW li1 ( 23690 55590 ) L1M1_PR
+ NEW met1 ( 19550 55590 ) M1M2_PR
+ NEW li1 ( 27830 53210 ) L1M1_PR
+ NEW met1 ( 19550 57630 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 19550 55590 ) RECT ( -70 -485 70 0 ) ;
+ - ringosc.dstage\[6\].id.d0 ( ringosc.dstage\[6\].id.delayen1 A ) ( ringosc.dstage\[6\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 28290 66810 ) ( * 67150 )
+ NEW met1 ( 28290 67150 ) ( 31970 * )
+ NEW li1 ( 28290 66810 ) L1M1_PR
+ NEW li1 ( 31970 67150 ) L1M1_PR ;
+ - ringosc.dstage\[6\].id.d1 ( ringosc.dstage\[6\].id.delayint0 A ) ( ringosc.dstage\[6\].id.delayenb1 Z ) ( ringosc.dstage\[6\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 25070 66130 ) ( 27830 * )
+ NEW met2 ( 27830 61030 ) ( * 66130 )
+ NEW li1 ( 27830 66130 ) L1M1_PR
+ NEW met1 ( 27830 66130 ) M1M2_PR
+ NEW li1 ( 25070 66130 ) L1M1_PR
+ NEW li1 ( 27830 61030 ) L1M1_PR
+ NEW met1 ( 27830 61030 ) M1M2_PR
+ NEW met1 ( 27830 66130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 27830 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[6\].id.d2 ( ringosc.dstage\[6\].id.delayint0 Y ) ( ringosc.dstage\[6\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 24610 65790 ) ( 28290 * )
+ NEW met1 ( 28290 61370 ) ( 29670 * )
+ NEW met2 ( 28290 61370 ) ( * 65790 )
+ NEW met1 ( 28290 65790 ) M1M2_PR
+ NEW li1 ( 24610 65790 ) L1M1_PR
+ NEW li1 ( 29670 61370 ) L1M1_PR
+ NEW met1 ( 28290 61370 ) M1M2_PR ;
+ - ringosc.dstage\[6\].id.out ( ringosc.dstage\[7\].id.delaybuf0 A ) ( ringosc.dstage\[6\].id.delayenb0 Z ) ( ringosc.dstage\[6\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 29670 63410 ) ( 30130 * )
+ NEW met2 ( 30130 63410 ) ( * 66130 )
+ NEW met2 ( 30130 61710 ) ( * 63410 )
+ NEW li1 ( 29670 63410 ) L1M1_PR
+ NEW met1 ( 30130 63410 ) M1M2_PR
+ NEW li1 ( 30130 66130 ) L1M1_PR
+ NEW met1 ( 30130 66130 ) M1M2_PR
+ NEW li1 ( 30130 61710 ) L1M1_PR
+ NEW met1 ( 30130 61710 ) M1M2_PR
+ NEW met1 ( 30130 66130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 30130 61710 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[6\].id.trim\[0\] ( ringosc.dstage\[6\].id.delayenb0 TE_B ) ( ringosc.dstage\[6\].id.delayen0 TE ) ( _306_ X ) + USE SIGNAL
+ + ROUTED met1 ( 23230 64090 ) ( 25070 * )
+ NEW met1 ( 25070 63750 ) ( * 64090 )
+ NEW met1 ( 25070 63750 ) ( 32430 * )
+ NEW met2 ( 32430 61370 ) ( * 63750 )
+ NEW met1 ( 20010 63410 ) ( 23230 * )
+ NEW met1 ( 23230 63410 ) ( * 64090 )
+ NEW li1 ( 23230 64090 ) L1M1_PR
+ NEW met1 ( 32430 63750 ) M1M2_PR
+ NEW li1 ( 32430 61370 ) L1M1_PR
+ NEW met1 ( 32430 61370 ) M1M2_PR
+ NEW li1 ( 20010 63410 ) L1M1_PR
+ NEW met1 ( 32430 61370 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[6\].id.trim\[1\] ( ringosc.dstage\[6\].id.delayenb1 TE_B ) ( ringosc.dstage\[6\].id.delayen1 TE ) ( _343_ X ) + USE SIGNAL
+ + ROUTED met2 ( 25530 66810 ) ( * 68510 )
+ NEW met1 ( 25530 68510 ) ( 40710 * )
+ NEW met2 ( 40710 67150 ) ( * 68510 )
+ NEW met1 ( 24610 61030 ) ( 25530 * )
+ NEW met2 ( 25530 61030 ) ( * 66810 )
+ NEW li1 ( 25530 66810 ) L1M1_PR
+ NEW met1 ( 25530 66810 ) M1M2_PR
+ NEW met1 ( 25530 68510 ) M1M2_PR
+ NEW met1 ( 40710 68510 ) M1M2_PR
+ NEW li1 ( 40710 67150 ) L1M1_PR
+ NEW met1 ( 40710 67150 ) M1M2_PR
+ NEW met1 ( 25530 61030 ) M1M2_PR
+ NEW li1 ( 24610 61030 ) L1M1_PR
+ NEW met1 ( 25530 66810 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 40710 67150 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[6\].id.ts ( ringosc.dstage\[6\].id.delayenb1 A ) ( ringosc.dstage\[6\].id.delayenb0 A ) ( ringosc.dstage\[6\].id.delaybuf1 A ) ( ringosc.dstage\[6\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 28750 64090 ) ( * 66470 )
+ NEW met1 ( 28750 66470 ) ( 32890 * )
+ NEW met1 ( 29210 61030 ) ( 30590 * )
+ NEW met1 ( 26910 58310 ) ( 28290 * )
+ NEW met2 ( 28290 58140 ) ( * 58310 )
+ NEW met2 ( 28290 58140 ) ( 29210 * )
+ NEW met2 ( 29210 58140 ) ( * 61030 )
+ NEW met2 ( 30590 61030 ) ( * 66470 )
+ NEW li1 ( 28750 64090 ) L1M1_PR
+ NEW met1 ( 28750 64090 ) M1M2_PR
+ NEW met1 ( 28750 66470 ) M1M2_PR
+ NEW li1 ( 32890 66470 ) L1M1_PR
+ NEW met1 ( 30590 66470 ) M1M2_PR
+ NEW li1 ( 29210 61030 ) L1M1_PR
+ NEW met1 ( 30590 61030 ) M1M2_PR
+ NEW li1 ( 26910 58310 ) L1M1_PR
+ NEW met1 ( 28290 58310 ) M1M2_PR
+ NEW met1 ( 29210 61030 ) M1M2_PR
+ NEW met1 ( 28750 64090 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 30590 66470 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 29210 61030 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.d0 ( ringosc.dstage\[7\].id.delayen1 A ) ( ringosc.dstage\[7\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 39790 65790 ) ( * 66130 )
+ NEW met1 ( 39790 65790 ) ( 44850 * )
+ NEW li1 ( 39790 66130 ) L1M1_PR
+ NEW li1 ( 44850 65790 ) L1M1_PR ;
+ - ringosc.dstage\[7\].id.d1 ( ringosc.dstage\[7\].id.delayint0 A ) ( ringosc.dstage\[7\].id.delayenb1 Z ) ( ringosc.dstage\[7\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 43010 64430 ) ( 49450 * )
+ NEW met2 ( 49450 64430 ) ( * 65790 )
+ NEW met2 ( 39330 64770 ) ( * 66130 )
+ NEW met1 ( 39330 64770 ) ( 43010 * )
+ NEW met1 ( 43010 64430 ) ( * 64770 )
+ NEW li1 ( 43010 64430 ) L1M1_PR
+ NEW met1 ( 49450 64430 ) M1M2_PR
+ NEW li1 ( 49450 65790 ) L1M1_PR
+ NEW met1 ( 49450 65790 ) M1M2_PR
+ NEW li1 ( 39330 66130 ) L1M1_PR
+ NEW met1 ( 39330 66130 ) M1M2_PR
+ NEW met1 ( 39330 64770 ) M1M2_PR
+ NEW met1 ( 49450 65790 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 39330 66130 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.d2 ( ringosc.dstage\[7\].id.delayint0 Y ) ( ringosc.dstage\[7\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met2 ( 49910 61370 ) ( * 65790 )
+ NEW li1 ( 49910 65790 ) L1M1_PR
+ NEW met1 ( 49910 65790 ) M1M2_PR
+ NEW li1 ( 49910 61370 ) L1M1_PR
+ NEW met1 ( 49910 61370 ) M1M2_PR
+ NEW met1 ( 49910 65790 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 49910 61370 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.out ( ringosc.dstage\[8\].id.delaybuf0 A ) ( ringosc.dstage\[7\].id.delayenb0 Z ) ( ringosc.dstage\[7\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 53130 63750 ) ( * 66470 )
+ NEW met1 ( 53130 66470 ) ( 54510 * )
+ NEW met2 ( 49450 61030 ) ( * 63410 )
+ NEW met1 ( 49450 63410 ) ( 53130 * )
+ NEW met1 ( 53130 63410 ) ( * 63750 )
+ NEW li1 ( 53130 63750 ) L1M1_PR
+ NEW met1 ( 53130 63750 ) M1M2_PR
+ NEW met1 ( 53130 66470 ) M1M2_PR
+ NEW li1 ( 54510 66470 ) L1M1_PR
+ NEW li1 ( 49450 61030 ) L1M1_PR
+ NEW met1 ( 49450 61030 ) M1M2_PR
+ NEW met1 ( 49450 63410 ) M1M2_PR
+ NEW met1 ( 53130 63750 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 49450 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.trim\[0\] ( ringosc.dstage\[7\].id.delayenb0 TE_B ) ( ringosc.dstage\[7\].id.delayen0 TE ) ( _309_ X ) + USE SIGNAL
+ + ROUTED met2 ( 45310 64090 ) ( * 67150 )
+ NEW met1 ( 43010 67150 ) ( 45310 * )
+ NEW met1 ( 43010 67150 ) ( * 67490 )
+ NEW met1 ( 40250 67490 ) ( 43010 * )
+ NEW met1 ( 40250 67150 ) ( * 67490 )
+ NEW met1 ( 33810 67150 ) ( 40250 * )
+ NEW met1 ( 45310 61370 ) ( 47150 * )
+ NEW met2 ( 45310 61370 ) ( * 64090 )
+ NEW li1 ( 45310 64090 ) L1M1_PR
+ NEW met1 ( 45310 64090 ) M1M2_PR
+ NEW met1 ( 45310 67150 ) M1M2_PR
+ NEW li1 ( 33810 67150 ) L1M1_PR
+ NEW met1 ( 45310 61370 ) M1M2_PR
+ NEW li1 ( 47150 61370 ) L1M1_PR
+ NEW met1 ( 45310 64090 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.trim\[1\] ( ringosc.dstage\[7\].id.delayenb1 TE_B ) ( ringosc.dstage\[7\].id.delayen1 TE ) ( _346_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38870 64090 ) ( 39330 * )
+ NEW met2 ( 38870 64090 ) ( * 66470 )
+ NEW met1 ( 37030 66470 ) ( 38870 * )
+ NEW met1 ( 38870 62050 ) ( 40250 * )
+ NEW met2 ( 38870 62050 ) ( * 64090 )
+ NEW li1 ( 39330 64090 ) L1M1_PR
+ NEW met1 ( 38870 64090 ) M1M2_PR
+ NEW met1 ( 38870 66470 ) M1M2_PR
+ NEW li1 ( 37030 66470 ) L1M1_PR
+ NEW met1 ( 38870 62050 ) M1M2_PR
+ NEW li1 ( 40250 62050 ) L1M1_PR ;
+ - ringosc.dstage\[7\].id.ts ( ringosc.dstage\[7\].id.delayenb1 A ) ( ringosc.dstage\[7\].id.delayenb0 A ) ( ringosc.dstage\[7\].id.delaybuf1 A ) ( ringosc.dstage\[7\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 43930 63750 ) ( * 64090 )
+ NEW met1 ( 38410 63750 ) ( 43930 * )
+ NEW met2 ( 38410 63750 ) ( * 65790 )
+ NEW met1 ( 30590 65790 ) ( 38410 * )
+ NEW met1 ( 43930 66470 ) ( 45770 * )
+ NEW met2 ( 43930 64090 ) ( * 66470 )
+ NEW met1 ( 49910 63750 ) ( * 64090 )
+ NEW met1 ( 43930 63750 ) ( 49910 * )
+ NEW li1 ( 43930 64090 ) L1M1_PR
+ NEW met1 ( 38410 63750 ) M1M2_PR
+ NEW met1 ( 38410 65790 ) M1M2_PR
+ NEW li1 ( 30590 65790 ) L1M1_PR
+ NEW li1 ( 45770 66470 ) L1M1_PR
+ NEW met1 ( 43930 66470 ) M1M2_PR
+ NEW met1 ( 43930 64090 ) M1M2_PR
+ NEW li1 ( 49910 64090 ) L1M1_PR
+ NEW met1 ( 43930 64090 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.d0 ( ringosc.dstage\[8\].id.delayen1 A ) ( ringosc.dstage\[8\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 57730 65790 ) ( * 66130 )
+ NEW met1 ( 56810 65790 ) ( 57730 * )
+ NEW li1 ( 57730 66130 ) L1M1_PR
+ NEW li1 ( 56810 65790 ) L1M1_PR ;
+ - ringosc.dstage\[8\].id.d1 ( ringosc.dstage\[8\].id.delayint0 A ) ( ringosc.dstage\[8\].id.delayenb1 Z ) ( ringosc.dstage\[8\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 53590 66130 ) ( 56810 * )
+ NEW met1 ( 56810 66130 ) ( * 66470 )
+ NEW met1 ( 56810 66470 ) ( 58190 * )
+ NEW met1 ( 58190 66130 ) ( * 66470 )
+ NEW met2 ( 58190 61030 ) ( * 66130 )
+ NEW li1 ( 58190 66130 ) L1M1_PR
+ NEW met1 ( 58190 66130 ) M1M2_PR
+ NEW li1 ( 53590 66130 ) L1M1_PR
+ NEW li1 ( 58190 61030 ) L1M1_PR
+ NEW met1 ( 58190 61030 ) M1M2_PR
+ NEW met1 ( 58190 66130 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 58190 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.d2 ( ringosc.dstage\[8\].id.delayint0 Y ) ( ringosc.dstage\[8\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 62100 66810 ) ( 63710 * )
+ NEW met1 ( 62100 66810 ) ( * 67150 )
+ NEW met1 ( 53130 67150 ) ( 62100 * )
+ NEW li1 ( 63710 66810 ) L1M1_PR
+ NEW li1 ( 53130 67150 ) L1M1_PR ;
+ - ringosc.dstage\[8\].id.out ( ringosc.dstage\[9\].id.delaybuf0 A ) ( ringosc.dstage\[8\].id.delayenb0 Z ) ( ringosc.dstage\[8\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 63250 64430 ) ( 65550 * )
+ NEW met2 ( 63250 64430 ) ( * 66130 )
+ NEW met1 ( 65550 64430 ) ( 66930 * )
+ NEW li1 ( 65550 64430 ) L1M1_PR
+ NEW met1 ( 63250 64430 ) M1M2_PR
+ NEW li1 ( 63250 66130 ) L1M1_PR
+ NEW met1 ( 63250 66130 ) M1M2_PR
+ NEW li1 ( 66930 64430 ) L1M1_PR
+ NEW met1 ( 63250 66130 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.trim\[0\] ( ringosc.dstage\[8\].id.delayenb0 TE_B ) ( ringosc.dstage\[8\].id.delayen0 TE ) ( _310_ X ) + USE SIGNAL
+ + ROUTED met1 ( 57730 64090 ) ( 59570 * )
+ NEW met1 ( 59570 63410 ) ( * 64090 )
+ NEW met1 ( 55430 63410 ) ( 59570 * )
+ NEW met1 ( 55430 63070 ) ( * 63410 )
+ NEW met1 ( 35650 63070 ) ( 55430 * )
+ NEW met2 ( 60950 64090 ) ( * 66470 )
+ NEW met1 ( 59570 64090 ) ( 60950 * )
+ NEW li1 ( 57730 64090 ) L1M1_PR
+ NEW li1 ( 35650 63070 ) L1M1_PR
+ NEW li1 ( 60950 66470 ) L1M1_PR
+ NEW met1 ( 60950 66470 ) M1M2_PR
+ NEW met1 ( 60950 64090 ) M1M2_PR
+ NEW met1 ( 60950 66470 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[8\].id.trim\[1\] ( ringosc.dstage\[8\].id.delayenb1 TE_B ) ( ringosc.dstage\[8\].id.delayen1 TE ) ( _348_ X ) + USE SIGNAL
+ + ROUTED met1 ( 54970 61030 ) ( 56810 * )
+ NEW met1 ( 56810 60690 ) ( * 61030 )
+ NEW met1 ( 56810 60690 ) ( 60490 * )
+ NEW met1 ( 54510 56610 ) ( 56350 * )
+ NEW met2 ( 56350 56610 ) ( * 61030 )
+ NEW met2 ( 60490 60690 ) ( * 66470 )
+ NEW li1 ( 60490 66470 ) L1M1_PR
+ NEW met1 ( 60490 66470 ) M1M2_PR
+ NEW li1 ( 54970 61030 ) L1M1_PR
+ NEW met1 ( 60490 60690 ) M1M2_PR
+ NEW li1 ( 54510 56610 ) L1M1_PR
+ NEW met1 ( 56350 56610 ) M1M2_PR
+ NEW met1 ( 56350 61030 ) M1M2_PR
+ NEW met1 ( 60490 66470 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 56350 61030 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.ts ( ringosc.dstage\[8\].id.delayenb1 A ) ( ringosc.dstage\[8\].id.delayenb0 A ) ( ringosc.dstage\[8\].id.delaybuf1 A ) ( ringosc.dstage\[8\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 59570 61030 ) ( * 64430 )
+ NEW met1 ( 59570 64430 ) ( 62330 * )
+ NEW met1 ( 62330 64090 ) ( * 64430 )
+ NEW met1 ( 55890 66470 ) ( * 66810 )
+ NEW met1 ( 55890 66810 ) ( 59570 * )
+ NEW met2 ( 59570 64430 ) ( * 66810 )
+ NEW met1 ( 55430 66470 ) ( 55890 * )
+ NEW li1 ( 59570 61030 ) L1M1_PR
+ NEW met1 ( 59570 61030 ) M1M2_PR
+ NEW met1 ( 59570 64430 ) M1M2_PR
+ NEW li1 ( 62330 64090 ) L1M1_PR
+ NEW li1 ( 55890 66470 ) L1M1_PR
+ NEW met1 ( 59570 66810 ) M1M2_PR
+ NEW li1 ( 55430 66470 ) L1M1_PR
+ NEW met1 ( 59570 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.d0 ( ringosc.dstage\[9\].id.delayen1 A ) ( ringosc.dstage\[9\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 63710 58990 ) ( 64630 * )
+ NEW met2 ( 64630 58990 ) ( * 65790 )
+ NEW li1 ( 63710 58990 ) L1M1_PR
+ NEW met1 ( 64630 58990 ) M1M2_PR
+ NEW li1 ( 64630 65790 ) L1M1_PR
+ NEW met1 ( 64630 65790 ) M1M2_PR
+ NEW met1 ( 64630 65790 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.d1 ( ringosc.dstage\[9\].id.delayint0 A ) ( ringosc.dstage\[9\].id.delayenb1 Z ) ( ringosc.dstage\[9\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 63250 58650 ) ( 65550 * )
+ NEW met2 ( 65550 58650 ) ( * 65790 )
+ NEW met1 ( 65550 65790 ) ( 66010 * )
+ NEW met1 ( 64170 55250 ) ( 65550 * )
+ NEW met2 ( 65550 55250 ) ( * 58650 )
+ NEW li1 ( 63250 58650 ) L1M1_PR
+ NEW met1 ( 65550 58650 ) M1M2_PR
+ NEW met1 ( 65550 65790 ) M1M2_PR
+ NEW li1 ( 66010 65790 ) L1M1_PR
+ NEW li1 ( 64170 55250 ) L1M1_PR
+ NEW met1 ( 65550 55250 ) M1M2_PR ;
+ - ringosc.dstage\[9\].id.d2 ( ringosc.dstage\[9\].id.delayint0 Y ) ( ringosc.dstage\[9\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met2 ( 66930 58990 ) ( * 66130 )
+ NEW li1 ( 66930 58990 ) L1M1_PR
+ NEW met1 ( 66930 58990 ) M1M2_PR
+ NEW li1 ( 66930 66130 ) L1M1_PR
+ NEW met1 ( 66930 66130 ) M1M2_PR
+ NEW met1 ( 66930 58990 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66930 66130 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.trim\[0\] ( ringosc.dstage\[9\].id.delayenb0 TE_B ) ( ringosc.dstage\[9\].id.delayen0 TE ) ( _312_ X ) + USE SIGNAL
+ + ROUTED met1 ( 60030 61030 ) ( * 61710 )
+ NEW met2 ( 64170 58310 ) ( * 61030 )
+ NEW met1 ( 60030 61030 ) ( 64170 * )
+ NEW met1 ( 36570 61710 ) ( 60030 * )
+ NEW li1 ( 36570 61710 ) L1M1_PR
+ NEW li1 ( 60030 61030 ) L1M1_PR
+ NEW li1 ( 64170 58310 ) L1M1_PR
+ NEW met1 ( 64170 58310 ) M1M2_PR
+ NEW met1 ( 64170 61030 ) M1M2_PR
+ NEW met1 ( 64170 58310 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.trim\[1\] ( ringosc.dstage\[9\].id.delayenb1 TE_B ) ( ringosc.dstage\[9\].id.delayen1 TE ) ( _350_ X ) + USE SIGNAL
+ + ROUTED met2 ( 60490 55590 ) ( * 58310 )
+ NEW met1 ( 60490 58310 ) ( 60950 * )
+ NEW met1 ( 59110 55590 ) ( 60490 * )
+ NEW li1 ( 60490 55590 ) L1M1_PR
+ NEW met1 ( 60490 55590 ) M1M2_PR
+ NEW met1 ( 60490 58310 ) M1M2_PR
+ NEW li1 ( 60950 58310 ) L1M1_PR
+ NEW li1 ( 59110 55590 ) L1M1_PR
+ NEW met1 ( 60490 55590 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.ts ( ringosc.dstage\[9\].id.delayenb1 A ) ( ringosc.dstage\[9\].id.delayenb0 A ) ( ringosc.dstage\[9\].id.delaybuf1 A ) ( ringosc.dstage\[9\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 65090 55590 ) ( * 61030 )
+ NEW met1 ( 65090 63410 ) ( 66010 * )
+ NEW met2 ( 65090 61030 ) ( * 63410 )
+ NEW met1 ( 65090 66470 ) ( 65550 * )
+ NEW met2 ( 65090 63410 ) ( * 66470 )
+ NEW li1 ( 65090 61030 ) L1M1_PR
+ NEW met1 ( 65090 61030 ) M1M2_PR
+ NEW li1 ( 65090 55590 ) L1M1_PR
+ NEW met1 ( 65090 55590 ) M1M2_PR
+ NEW li1 ( 66010 63410 ) L1M1_PR
+ NEW met1 ( 65090 63410 ) M1M2_PR
+ NEW li1 ( 65550 66470 ) L1M1_PR
+ NEW met1 ( 65090 66470 ) M1M2_PR
+ NEW met1 ( 65090 61030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 65090 55590 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.ctrl0 ( ringosc.iss.delayenb0 TE_B ) ( ringosc.iss.ctrlen0 X ) + USE SIGNAL
+ + ROUTED met1 ( 58650 39270 ) ( 59110 * )
+ NEW li1 ( 59110 39270 ) L1M1_PR
+ NEW li1 ( 58650 39270 ) L1M1_PR ;
+ - ringosc.iss.d0 ( ringosc.iss.delayen1 A ) ( ringosc.iss.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 66010 44030 ) ( * 44370 )
+ NEW met1 ( 66010 44030 ) ( 66470 * )
+ NEW li1 ( 66010 44370 ) L1M1_PR
+ NEW li1 ( 66470 44030 ) L1M1_PR ;
+ - ringosc.iss.d1 ( ringosc.iss.delayint0 A ) ( ringosc.iss.delayenb1 Z ) ( ringosc.iss.delayen1 Z ) + USE SIGNAL
+ + ROUTED met2 ( 65550 42670 ) ( * 44370 )
+ NEW met1 ( 65550 42670 ) ( 66930 * )
+ NEW li1 ( 65550 42670 ) L1M1_PR
+ NEW met1 ( 65550 42670 ) M1M2_PR
+ NEW li1 ( 65550 44370 ) L1M1_PR
+ NEW met1 ( 65550 44370 ) M1M2_PR
+ NEW li1 ( 66930 42670 ) L1M1_PR
+ NEW met1 ( 65550 42670 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 65550 44370 ) RECT ( -355 -70 0 70 ) ;
- ringosc.iss.d2 ( ringosc.iss.delayint0 Y ) ( ringosc.iss.delayen0 A ) + USE SIGNAL
- + ROUTED met1 ( 27370 39610 ) ( 32430 * )
- NEW met2 ( 32430 39610 ) ( * 41310 )
- NEW li1 ( 27370 39610 ) L1M1_PR_MR
- NEW met1 ( 32430 39610 ) M1M2_PR
- NEW li1 ( 32430 41310 ) L1M1_PR_MR
- NEW met1 ( 32430 41310 ) M1M2_PR
- NEW met1 ( 32430 41310 ) RECT ( -355 -70 0 70 ) ;
+ + ROUTED met1 ( 60490 37230 ) ( 61870 * )
+ NEW met2 ( 60490 37230 ) ( * 41650 )
+ NEW met1 ( 60490 41650 ) ( 67390 * )
+ NEW li1 ( 67390 41650 ) L1M1_PR
+ NEW li1 ( 61870 37230 ) L1M1_PR
+ NEW met1 ( 60490 37230 ) M1M2_PR
+ NEW met1 ( 60490 41650 ) M1M2_PR ;
- ringosc.iss.one ( ringosc.iss.reseten0 A ) ( ringosc.iss.const1 HI ) + USE SIGNAL
- + ROUTED met1 ( 20930 39950 ) ( 24150 * )
- NEW met2 ( 24150 39950 ) ( * 44030 )
- NEW li1 ( 20930 39950 ) L1M1_PR_MR
- NEW met1 ( 24150 39950 ) M1M2_PR
- NEW li1 ( 24150 44030 ) L1M1_PR_MR
- NEW met1 ( 24150 44030 ) M1M2_PR
- NEW met1 ( 24150 44030 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.iss.reset ( ringosc.iss.reseten0 TE ) ( ringosc.iss.ctrlen0 A ) ( _182_ B ) ( _181_ Y ) + USE SIGNAL
- + ROUTED met1 ( 19090 39270 ) ( 22310 * )
- NEW met2 ( 19550 36890 ) ( * 39270 )
- NEW met2 ( 19550 34850 ) ( * 36890 )
- NEW li1 ( 19090 39270 ) L1M1_PR_MR
- NEW li1 ( 22310 39270 ) L1M1_PR_MR
- NEW li1 ( 19550 36890 ) L1M1_PR_MR
- NEW met1 ( 19550 36890 ) M1M2_PR
- NEW met1 ( 19550 39270 ) M1M2_PR
- NEW li1 ( 19550 34850 ) L1M1_PR_MR
- NEW met1 ( 19550 34850 ) M1M2_PR
- NEW met1 ( 19550 36890 ) RECT ( -355 -70 0 70 )
- NEW met1 ( 19550 39270 ) RECT ( -595 -70 0 70 )
- NEW met1 ( 19550 34850 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.iss.trim\[0\] ( ringosc.iss.delayen0 TE ) ( ringosc.iss.ctrlen0 B ) ( _354_ X ) + USE SIGNAL
- + ROUTED met2 ( 24610 39610 ) ( * 49470 )
- NEW met1 ( 24150 49470 ) ( 24610 * )
- NEW met1 ( 23230 39270 ) ( * 39610 )
- NEW met1 ( 23230 39610 ) ( 24610 * )
- NEW li1 ( 24610 39610 ) L1M1_PR_MR
- NEW met1 ( 24610 39610 ) M1M2_PR
- NEW met1 ( 24610 49470 ) M1M2_PR
- NEW li1 ( 24150 49470 ) L1M1_PR_MR
- NEW li1 ( 23230 39270 ) L1M1_PR_MR
- NEW met1 ( 24610 39610 ) RECT ( -355 -70 0 70 ) ;
- - ringosc.iss.trim\[1\] ( ringosc.iss.delayenb1 TE_B ) ( ringosc.iss.delayen1 TE ) ( _355_ X ) + USE SIGNAL
- + ROUTED met1 ( 36570 39610 ) ( * 39950 )
- NEW met1 ( 36570 39950 ) ( 44850 * )
- NEW met1 ( 33350 39270 ) ( 36570 * )
- NEW met1 ( 36570 39270 ) ( * 39610 )
- NEW li1 ( 36570 39610 ) L1M1_PR_MR
- NEW li1 ( 44850 39950 ) L1M1_PR_MR
- NEW li1 ( 33350 39270 ) L1M1_PR_MR ;
+ + ROUTED met1 ( 64170 36550 ) ( 64630 * )
+ NEW li1 ( 64170 36550 ) L1M1_PR
+ NEW li1 ( 64630 36550 ) L1M1_PR ;
+ - ringosc.iss.reset ( _361_ B ) ( _376_ B ) ( _359_ B ) ( _362_ B ) ( _374_ B ) ( _375_ B ) ( _370_ B )
+ ( _378_ B ) ( _363_ B ) ( _377_ B ) ( _364_ B ) ( _356_ B ) ( _365_ B ) ( _357_ B ) ( _360_ B )
+ ( _369_ B ) ( _373_ B ) ( _372_ B ) ( _358_ B ) ( _371_ B ) ( ringosc.iss.reseten0 TE ) ( ringosc.iss.ctrlen0 A ) ( _367_ B )
+ ( _366_ B ) ( _368_ B ) ( _355_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 19090 33830 ) ( 19550 * )
+ NEW met2 ( 19090 33830 ) ( * 39610 )
+ NEW met1 ( 14490 39610 ) ( 19090 * )
+ NEW met1 ( 14490 39270 ) ( * 39610 )
+ NEW met1 ( 27830 36890 ) ( 28290 * )
+ NEW met2 ( 27830 36890 ) ( * 37060 )
+ NEW met3 ( 19090 37060 ) ( 27830 * )
+ NEW met2 ( 33810 22950 ) ( * 37230 )
+ NEW met1 ( 28290 37230 ) ( 33810 * )
+ NEW met1 ( 28290 36890 ) ( * 37230 )
+ NEW met1 ( 30590 20570 ) ( 33350 * )
+ NEW met2 ( 33350 20570 ) ( 33810 * )
+ NEW met2 ( 33810 20570 ) ( * 22950 )
+ NEW met1 ( 20470 20570 ) ( * 20910 )
+ NEW met1 ( 18630 20910 ) ( 20470 * )
+ NEW met2 ( 18630 20910 ) ( * 33830 )
+ NEW met2 ( 18630 33830 ) ( 19090 * )
+ NEW met2 ( 33810 18530 ) ( * 20570 )
+ NEW met1 ( 30590 9690 ) ( 33810 * )
+ NEW met2 ( 33810 9690 ) ( * 18530 )
+ NEW met1 ( 35650 6630 ) ( 40250 * )
+ NEW met1 ( 35650 6630 ) ( * 6970 )
+ NEW met1 ( 33810 6970 ) ( 35650 * )
+ NEW met2 ( 33810 6970 ) ( * 9690 )
+ NEW met1 ( 20470 7650 ) ( 33810 * )
+ NEW met2 ( 22310 6630 ) ( * 7650 )
+ NEW met1 ( 33350 31110 ) ( * 31450 )
+ NEW met1 ( 33350 31110 ) ( 33810 * )
+ NEW met2 ( 8510 7650 ) ( * 12070 )
+ NEW met1 ( 8510 7650 ) ( 20470 * )
+ NEW met2 ( 60490 31450 ) ( * 36550 )
+ NEW met1 ( 60490 36550 ) ( 62330 * )
+ NEW met1 ( 58650 31450 ) ( * 31790 )
+ NEW met1 ( 58650 31790 ) ( 59110 * )
+ NEW met1 ( 59110 31790 ) ( * 32130 )
+ NEW met1 ( 59110 32130 ) ( 60490 * )
+ NEW met1 ( 57730 38930 ) ( 60030 * )
+ NEW met2 ( 60030 36550 ) ( * 38930 )
+ NEW met2 ( 60030 36550 ) ( 60490 * )
+ NEW met1 ( 60030 28390 ) ( 61870 * )
+ NEW met2 ( 60030 28390 ) ( * 31450 )
+ NEW met2 ( 60030 31450 ) ( 60490 * )
+ NEW met2 ( 60490 20570 ) ( * 21420 )
+ NEW met2 ( 60030 21420 ) ( 60490 * )
+ NEW met2 ( 60030 21420 ) ( * 28390 )
+ NEW met1 ( 58190 19890 ) ( * 20570 )
+ NEW met1 ( 58190 19890 ) ( 60490 * )
+ NEW met1 ( 60490 19890 ) ( * 20570 )
+ NEW met2 ( 60490 17510 ) ( * 20570 )
+ NEW met1 ( 63250 17170 ) ( * 17510 )
+ NEW met1 ( 60490 17170 ) ( 63250 * )
+ NEW met1 ( 60490 17170 ) ( * 17510 )
+ NEW met1 ( 59110 15130 ) ( * 15470 )
+ NEW met1 ( 59110 15470 ) ( 60490 * )
+ NEW met2 ( 60490 15470 ) ( * 17510 )
+ NEW met1 ( 55890 9690 ) ( * 10030 )
+ NEW met1 ( 55890 10030 ) ( 60490 * )
+ NEW met2 ( 60490 10030 ) ( * 15470 )
+ NEW met1 ( 53590 9690 ) ( * 10030 )
+ NEW met1 ( 53590 10030 ) ( 55890 * )
+ NEW met2 ( 49910 12070 ) ( 50830 * )
+ NEW met2 ( 50830 9690 ) ( * 12070 )
+ NEW met1 ( 50830 9690 ) ( 53590 * )
+ NEW met1 ( 45310 17170 ) ( * 17510 )
+ NEW met1 ( 45310 17170 ) ( 49450 * )
+ NEW met2 ( 49450 17170 ) ( 49910 * )
+ NEW met2 ( 49910 12070 ) ( * 17170 )
+ NEW met1 ( 45310 17510 ) ( * 18530 )
+ NEW met1 ( 33810 18530 ) ( 45310 * )
+ NEW li1 ( 19550 33830 ) L1M1_PR
+ NEW met1 ( 19090 33830 ) M1M2_PR
+ NEW met1 ( 19090 39610 ) M1M2_PR
+ NEW li1 ( 14490 39270 ) L1M1_PR
+ NEW li1 ( 28290 36890 ) L1M1_PR
+ NEW met1 ( 27830 36890 ) M1M2_PR
+ NEW met2 ( 27830 37060 ) M2M3_PR
+ NEW met2 ( 19090 37060 ) M2M3_PR
+ NEW li1 ( 33810 22950 ) L1M1_PR
+ NEW met1 ( 33810 22950 ) M1M2_PR
+ NEW met1 ( 33810 37230 ) M1M2_PR
+ NEW li1 ( 30590 20570 ) L1M1_PR
+ NEW met1 ( 33350 20570 ) M1M2_PR
+ NEW li1 ( 20470 20570 ) L1M1_PR
+ NEW met1 ( 18630 20910 ) M1M2_PR
+ NEW met1 ( 33810 18530 ) M1M2_PR
+ NEW li1 ( 30590 9690 ) L1M1_PR
+ NEW met1 ( 33810 9690 ) M1M2_PR
+ NEW li1 ( 40250 6630 ) L1M1_PR
+ NEW met1 ( 33810 6970 ) M1M2_PR
+ NEW li1 ( 20470 7650 ) L1M1_PR
+ NEW met1 ( 33810 7650 ) M1M2_PR
+ NEW li1 ( 22310 6630 ) L1M1_PR
+ NEW met1 ( 22310 6630 ) M1M2_PR
+ NEW met1 ( 22310 7650 ) M1M2_PR
+ NEW li1 ( 33350 31450 ) L1M1_PR
+ NEW met1 ( 33810 31110 ) M1M2_PR
+ NEW li1 ( 8510 12070 ) L1M1_PR
+ NEW met1 ( 8510 12070 ) M1M2_PR
+ NEW met1 ( 8510 7650 ) M1M2_PR
+ NEW li1 ( 60490 31450 ) L1M1_PR
+ NEW met1 ( 60490 31450 ) M1M2_PR
+ NEW met1 ( 60490 36550 ) M1M2_PR
+ NEW li1 ( 62330 36550 ) L1M1_PR
+ NEW li1 ( 58650 31450 ) L1M1_PR
+ NEW met1 ( 60490 32130 ) M1M2_PR
+ NEW li1 ( 57730 38930 ) L1M1_PR
+ NEW met1 ( 60030 38930 ) M1M2_PR
+ NEW li1 ( 61870 28390 ) L1M1_PR
+ NEW met1 ( 60030 28390 ) M1M2_PR
+ NEW li1 ( 60490 20570 ) L1M1_PR
+ NEW met1 ( 60490 20570 ) M1M2_PR
+ NEW li1 ( 58190 20570 ) L1M1_PR
+ NEW li1 ( 60490 17510 ) L1M1_PR
+ NEW met1 ( 60490 17510 ) M1M2_PR
+ NEW li1 ( 63250 17510 ) L1M1_PR
+ NEW li1 ( 59110 15130 ) L1M1_PR
+ NEW met1 ( 60490 15470 ) M1M2_PR
+ NEW li1 ( 55890 9690 ) L1M1_PR
+ NEW met1 ( 60490 10030 ) M1M2_PR
+ NEW li1 ( 53590 9690 ) L1M1_PR
+ NEW li1 ( 49910 12070 ) L1M1_PR
+ NEW met1 ( 49910 12070 ) M1M2_PR
+ NEW met1 ( 50830 9690 ) M1M2_PR
+ NEW li1 ( 45310 17510 ) L1M1_PR
+ NEW met1 ( 49450 17170 ) M1M2_PR
+ NEW met2 ( 19090 37060 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 33810 22950 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 33810 7650 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 22310 6630 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 22310 7650 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 33810 31110 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 8510 12070 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 60490 31450 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 60490 32130 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 60490 20570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 60490 17510 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 49910 12070 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.trim\[0\] ( ringosc.iss.delayen0 TE ) ( ringosc.iss.ctrlen0 B ) ( _317_ X ) + USE SIGNAL
+ + ROUTED met1 ( 55430 39270 ) ( 56810 * )
+ NEW met2 ( 55430 39270 ) ( * 47940 )
+ NEW met3 ( 36110 47940 ) ( 55430 * )
+ NEW met2 ( 36110 47940 ) ( * 49470 )
+ NEW met1 ( 32055 49470 ) ( 36110 * )
+ NEW met1 ( 55430 36890 ) ( 59110 * )
+ NEW met2 ( 55430 36890 ) ( * 39270 )
+ NEW li1 ( 56810 39270 ) L1M1_PR
+ NEW met1 ( 55430 39270 ) M1M2_PR
+ NEW met2 ( 55430 47940 ) M2M3_PR
+ NEW met2 ( 36110 47940 ) M2M3_PR
+ NEW met1 ( 36110 49470 ) M1M2_PR
+ NEW li1 ( 32055 49470 ) L1M1_PR
+ NEW li1 ( 59110 36890 ) L1M1_PR
+ NEW met1 ( 55430 36890 ) M1M2_PR ;
+ - ringosc.iss.trim\[1\] ( ringosc.iss.delayenb1 TE_B ) ( ringosc.iss.delayen1 TE ) ( _354_ X ) + USE SIGNAL
+ + ROUTED met1 ( 63250 45050 ) ( * 45390 )
+ NEW met2 ( 61870 42330 ) ( * 45390 )
+ NEW met1 ( 59110 45390 ) ( 63250 * )
+ NEW li1 ( 63250 45050 ) L1M1_PR
+ NEW li1 ( 59110 45390 ) L1M1_PR
+ NEW li1 ( 61870 42330 ) L1M1_PR
+ NEW met1 ( 61870 42330 ) M1M2_PR
+ NEW met1 ( 61870 45390 ) M1M2_PR
+ NEW met1 ( 61870 42330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 61870 45390 ) RECT ( -595 -70 0 70 ) ;
END NETS
END DESIGN
diff --git a/gds/digital_pll.gds.gz b/gds/digital_pll.gds.gz
index 911e5d76..f643663e 100644
Binary files a/gds/digital_pll.gds.gz and b/gds/digital_pll.gds.gz differ
diff --git a/lef/digital_pll.lef b/lef/digital_pll.lef
index f11f3e10..e1e26b9b 100644
--- a/lef/digital_pll.lef
+++ b/lef/digital_pll.lef
@@ -8,28 +8,20 @@ MACRO digital_pll
ORIGIN 0.000 0.000 ;
SIZE 75.000 BY 75.000 ;
PIN VGND
- DIRECTION INPUT ;
+ DIRECTION INOUT ;
USE GROUND ;
- PORT
- LAYER met5 ;
- RECT 5.520 41.050 69.460 42.650 ;
- END
PORT
LAYER met4 ;
RECT 41.040 5.200 42.640 68.240 ;
END
+ PORT
+ LAYER met5 ;
+ RECT 5.280 41.050 69.700 42.650 ;
+ END
END VGND
PIN VPWR
- DIRECTION INPUT ;
+ DIRECTION INOUT ;
USE POWER ;
- PORT
- LAYER met5 ;
- RECT 5.520 21.050 69.460 22.650 ;
- END
- PORT
- LAYER met5 ;
- RECT 5.520 61.050 69.460 62.650 ;
- END
PORT
LAYER met4 ;
RECT 21.040 5.200 22.640 68.240 ;
@@ -38,6 +30,14 @@ MACRO digital_pll
LAYER met4 ;
RECT 61.040 5.200 62.640 68.240 ;
END
+ PORT
+ LAYER met5 ;
+ RECT 5.280 21.050 69.700 22.650 ;
+ END
+ PORT
+ LAYER met5 ;
+ RECT 5.280 61.050 69.700 62.650 ;
+ END
END VPWR
PIN clockp[0]
DIRECTION OUTPUT TRISTATE ;
@@ -339,7 +339,7 @@ MACRO digital_pll
LAYER li1 ;
RECT 5.520 5.355 69.460 68.085 ;
LAYER met1 ;
- RECT 2.830 5.200 72.150 68.980 ;
+ RECT 4.210 4.800 72.150 69.660 ;
LAYER met2 ;
RECT 3.410 70.720 8.090 72.605 ;
RECT 8.930 70.720 14.070 72.605 ;
@@ -353,8 +353,8 @@ MACRO digital_pll
RECT 54.930 70.720 60.070 72.605 ;
RECT 60.910 70.720 65.590 72.605 ;
RECT 66.430 70.720 71.570 72.605 ;
- RECT 2.860 4.280 72.120 70.720 ;
- RECT 2.860 2.195 18.210 4.280 ;
+ RECT 3.130 4.280 72.120 70.720 ;
+ RECT 3.130 2.195 18.210 4.280 ;
RECT 19.050 2.195 55.470 4.280 ;
RECT 56.310 2.195 72.120 4.280 ;
LAYER met3 ;
@@ -397,6 +397,8 @@ MACRO digital_pll
RECT 4.400 5.760 70.600 7.160 ;
RECT 4.000 3.080 71.000 5.760 ;
RECT 4.400 2.215 71.000 3.080 ;
+ LAYER met4 ;
+ RECT 43.535 47.095 43.865 50.145 ;
END
END digital_pll
END LIBRARY
diff --git a/mag/digital_pll.mag b/mag/digital_pll.mag
index f2b2cb94..f293b467 100644
--- a/mag/digital_pll.mag
+++ b/mag/digital_pll.mag
@@ -1,1144 +1,1198 @@
magic
tech sky130A
magscale 1 2
-timestamp 1638875307
-<< locali >>
-rect 10333 11543 10367 11781
-rect 8309 7191 8343 7361
-rect 9873 6715 9907 6817
-rect 10609 5083 10643 5185
-rect 5549 3043 5583 3145
-rect 5917 1207 5951 1309
+timestamp 1665668947
<< viali >>
-rect 6377 13481 6411 13515
-rect 11161 13481 11195 13515
+rect 1409 13481 1443 13515
+rect 4721 13481 4755 13515
+rect 9505 13481 9539 13515
+rect 10241 13481 10275 13515
+rect 6377 13413 6411 13447
rect 6745 13413 6779 13447
-rect 7665 13413 7699 13447
-rect 11529 13413 11563 13447
-rect 13001 13413 13035 13447
-rect 2421 13345 2455 13379
-rect 3525 13345 3559 13379
-rect 4905 13345 4939 13379
-rect 5917 13345 5951 13379
-rect 7021 13345 7055 13379
-rect 7205 13345 7239 13379
-rect 8953 13345 8987 13379
-rect 10701 13345 10735 13379
-rect 10793 13345 10827 13379
-rect 11989 13345 12023 13379
-rect 12173 13345 12207 13379
-rect 13185 13345 13219 13379
-rect 1869 13277 1903 13311
-rect 2513 13277 2547 13311
-rect 2973 13277 3007 13311
-rect 3341 13277 3375 13311
-rect 4353 13277 4387 13311
-rect 5181 13277 5215 13311
-rect 5641 13277 5675 13311
-rect 5825 13277 5859 13311
-rect 9597 13277 9631 13311
-rect 11345 13277 11379 13311
-rect 12357 13277 12391 13311
-rect 2329 13209 2363 13243
-rect 3065 13209 3099 13243
-rect 3433 13209 3467 13243
-rect 4813 13209 4847 13243
-rect 5733 13209 5767 13243
-rect 9413 13209 9447 13243
+rect 8125 13413 8159 13447
+rect 10609 13413 10643 13447
+rect 2329 13345 2363 13379
+rect 2973 13345 3007 13379
+rect 4169 13345 4203 13379
+rect 5089 13345 5123 13379
+rect 5641 13345 5675 13379
+rect 5825 13345 5859 13379
+rect 12725 13345 12759 13379
+rect 1593 13277 1627 13311
+rect 2421 13277 2455 13311
+rect 3065 13277 3099 13311
+rect 3985 13277 4019 13311
+rect 6561 13277 6595 13311
+rect 6877 13277 6911 13311
+rect 7021 13277 7055 13311
+rect 7297 13277 7331 13311
+rect 7389 13277 7423 13311
+rect 8304 13277 8338 13311
+rect 8677 13277 8711 13311
+rect 9137 13277 9171 13311
+rect 10885 13277 10919 13311
+rect 11069 13277 11103 13311
+rect 11161 13277 11195 13311
+rect 12081 13277 12115 13311
+rect 12173 13277 12207 13311
+rect 13093 13277 13127 13311
+rect 13461 13277 13495 13311
+rect 2053 13209 2087 13243
+rect 2145 13209 2179 13243
+rect 2881 13209 2915 13243
+rect 3525 13209 3559 13243
+rect 3617 13209 3651 13243
+rect 4077 13209 4111 13243
+rect 4997 13209 5031 13243
+rect 5549 13209 5583 13243
+rect 6009 13209 6043 13243
+rect 7113 13209 7147 13243
+rect 7849 13209 7883 13243
+rect 7941 13209 7975 13243
+rect 8401 13209 8435 13243
+rect 8493 13209 8527 13243
+rect 9321 13209 9355 13243
rect 9505 13209 9539 13243
-rect 10057 13209 10091 13243
-rect 10149 13209 10183 13243
-rect 12817 13209 12851 13243
-rect 12909 13209 12943 13243
-rect 3157 13141 3191 13175
-rect 3893 13141 3927 13175
+rect 10701 13209 10735 13243
+rect 11529 13209 11563 13243
+rect 11621 13209 11655 13243
+rect 12633 13209 12667 13243
+rect 13369 13209 13403 13243
+rect 3801 13141 3835 13175
+rect 4445 13141 4479 13175
+rect 4905 13141 4939 13175
rect 6101 13141 6135 13175
-rect 6561 13141 6595 13175
-rect 7297 13141 7331 13175
-rect 10241 13141 10275 13175
-rect 10609 13141 10643 13175
-rect 11897 13141 11931 13175
+rect 8953 13141 8987 13175
+rect 9689 13141 9723 13175
+rect 9873 13141 9907 13175
+rect 9965 13141 9999 13175
+rect 10425 13141 10459 13175
+rect 11345 13141 11379 13175
+rect 12909 13141 12943 13175
+rect 13185 13141 13219 13175
rect 6377 12937 6411 12971
-rect 6929 12937 6963 12971
-rect 2973 12869 3007 12903
-rect 3249 12869 3283 12903
-rect 5825 12869 5859 12903
-rect 9597 12869 9631 12903
-rect 10333 12869 10367 12903
-rect 11621 12869 11655 12903
-rect 1409 12801 1443 12835
-rect 2789 12801 2823 12835
-rect 3525 12801 3559 12835
-rect 4445 12801 4479 12835
-rect 5181 12801 5215 12835
-rect 6101 12801 6135 12835
+rect 1593 12869 1627 12903
+rect 4261 12869 4295 12903
+rect 4353 12869 4387 12903
+rect 6837 12869 6871 12903
+rect 7297 12869 7331 12903
+rect 7665 12869 7699 12903
+rect 8585 12869 8619 12903
+rect 10701 12869 10735 12903
+rect 13093 12869 13127 12903
+rect 13369 12869 13403 12903
+rect 1685 12801 1719 12835
+rect 1869 12801 1903 12835
+rect 2053 12801 2087 12835
+rect 2237 12801 2271 12835
+rect 3617 12801 3651 12835
+rect 4117 12801 4151 12835
+rect 4537 12801 4571 12835
+rect 4629 12801 4663 12835
+rect 5733 12801 5767 12835
rect 6561 12801 6595 12835
-rect 7021 12801 7055 12835
-rect 7757 12801 7791 12835
-rect 8033 12801 8067 12835
-rect 8953 12801 8987 12835
-rect 9689 12801 9723 12835
-rect 10609 12801 10643 12835
+rect 6745 12801 6779 12835
+rect 6934 12801 6968 12835
+rect 7481 12801 7515 12835
+rect 7849 12801 7883 12835
+rect 8769 12801 8803 12835
+rect 8861 12801 8895 12835
+rect 9045 12801 9079 12835
+rect 9965 12801 9999 12835
rect 10793 12801 10827 12835
-rect 11897 12801 11931 12835
-rect 12817 12801 12851 12835
-rect 3433 12733 3467 12767
-rect 6745 12733 6779 12767
-rect 7941 12733 7975 12767
-rect 11345 12733 11379 12767
-rect 11805 12733 11839 12767
-rect 4813 12665 4847 12699
-rect 7389 12665 7423 12699
-rect 11253 12665 11287 12699
+rect 10977 12801 11011 12835
+rect 11529 12801 11563 12835
+rect 12449 12801 12483 12835
+rect 10609 12733 10643 12767
+rect 3525 12665 3559 12699
+rect 3985 12665 4019 12699
+rect 5917 12665 5951 12699
rect 13185 12665 13219 12699
-rect 3341 12393 3375 12427
-rect 3525 12393 3559 12427
-rect 3801 12393 3835 12427
-rect 8953 12393 8987 12427
-rect 9873 12393 9907 12427
-rect 10149 12393 10183 12427
-rect 10333 12393 10367 12427
-rect 12541 12393 12575 12427
-rect 12909 12393 12943 12427
-rect 5273 12325 5307 12359
-rect 6193 12325 6227 12359
-rect 7757 12325 7791 12359
-rect 8401 12325 8435 12359
-rect 4261 12257 4295 12291
-rect 4353 12257 4387 12291
-rect 5549 12257 5583 12291
-rect 5733 12257 5767 12291
-rect 7941 12257 7975 12291
-rect 9229 12257 9263 12291
+rect 1409 12597 1443 12631
+rect 7113 12597 7147 12631
+rect 11161 12597 11195 12631
+rect 2421 12393 2455 12427
+rect 3985 12393 4019 12427
+rect 7665 12393 7699 12427
+rect 8033 12393 8067 12427
+rect 9045 12393 9079 12427
+rect 6009 12325 6043 12359
+rect 7297 12325 7331 12359
+rect 5917 12257 5951 12291
+rect 6469 12257 6503 12291
+rect 8476 12257 8510 12291
rect 9413 12257 9447 12291
-rect 11069 12257 11103 12291
-rect 11345 12257 11379 12291
-rect 2145 12189 2179 12223
-rect 2881 12189 2915 12223
-rect 3065 12189 3099 12223
-rect 4997 12189 5031 12223
-rect 6285 12189 6319 12223
-rect 7481 12189 7515 12223
-rect 9965 12189 9999 12223
+rect 9965 12257 9999 12291
+rect 10592 12257 10626 12291
+rect 10793 12257 10827 12291
+rect 1409 12189 1443 12223
+rect 2053 12189 2087 12223
+rect 2605 12189 2639 12223
+rect 2697 12189 2731 12223
+rect 3433 12189 3467 12223
+rect 3617 12189 3651 12223
+rect 4353 12189 4387 12223
+rect 4813 12189 4847 12223
+rect 4905 12189 4939 12223
+rect 5549 12189 5583 12223
+rect 5825 12189 5859 12223
+rect 6745 12189 6779 12223
+rect 6929 12189 6963 12223
+rect 7118 12189 7152 12223
+rect 7941 12189 7975 12223
+rect 8769 12189 8803 12223
+rect 9321 12189 9355 12223
+rect 9873 12189 9907 12223
+rect 10333 12189 10367 12223
rect 10885 12189 10919 12223
-rect 11529 12189 11563 12223
-rect 12173 12189 12207 12223
-rect 12449 12189 12483 12223
-rect 12725 12189 12759 12223
-rect 13185 12189 13219 12223
-rect 3249 12121 3283 12155
-rect 4169 12121 4203 12155
-rect 8493 12121 8527 12155
-rect 8677 12121 8711 12155
-rect 12817 12121 12851 12155
-rect 1501 12053 1535 12087
-rect 4721 12053 4755 12087
-rect 5089 12053 5123 12087
-rect 5825 12053 5859 12087
+rect 10977 12189 11011 12223
+rect 11621 12189 11655 12223
+rect 11897 12189 11931 12223
+rect 11989 12189 12023 12223
+rect 13001 12189 13035 12223
+rect 2329 12121 2363 12155
+rect 3893 12121 3927 12155
+rect 4537 12121 4571 12155
+rect 7021 12121 7055 12155
+rect 7481 12121 7515 12155
+rect 8217 12121 8251 12155
+rect 9137 12121 9171 12155
+rect 10149 12121 10183 12155
+rect 13553 12121 13587 12155
+rect 6561 12053 6595 12087
+rect 7665 12053 7699 12087
rect 8585 12053 8619 12087
-rect 9505 12053 9539 12087
-rect 10425 12053 10459 12087
-rect 10793 12053 10827 12087
-rect 13277 12053 13311 12087
-rect 1409 11849 1443 11883
-rect 3525 11849 3559 11883
-rect 4077 11849 4111 11883
-rect 5917 11849 5951 11883
+rect 8677 12053 8711 12087
+rect 10701 12053 10735 12087
+rect 1777 11849 1811 11883
+rect 3433 11849 3467 11883
+rect 6469 11849 6503 11883
+rect 6837 11849 6871 11883
+rect 8401 11849 8435 11883
+rect 8953 11849 8987 11883
rect 9229 11849 9263 11883
-rect 10241 11849 10275 11883
-rect 11253 11849 11287 11883
-rect 1777 11781 1811 11815
-rect 2697 11781 2731 11815
-rect 7757 11781 7791 11815
+rect 10517 11849 10551 11883
+rect 12081 11849 12115 11883
+rect 13461 11849 13495 11883
+rect 1501 11781 1535 11815
+rect 2605 11781 2639 11815
+rect 3801 11781 3835 11815
+rect 5549 11781 5583 11815
+rect 7481 11781 7515 11815
+rect 8033 11781 8067 11815
rect 8585 11781 8619 11815
-rect 9597 11781 9631 11815
+rect 8769 11781 8803 11815
rect 10333 11781 10367 11815
-rect 10793 11781 10827 11815
-rect 12449 11781 12483 11815
-rect 13461 11781 13495 11815
-rect 2881 11713 2915 11747
-rect 4169 11713 4203 11747
-rect 6101 11713 6135 11747
-rect 6377 11713 6411 11747
-rect 6653 11713 6687 11747
+rect 10885 11781 10919 11815
+rect 12725 11781 12759 11815
+rect 13369 11781 13403 11815
+rect 2513 11713 2547 11747
+rect 2789 11713 2823 11747
+rect 5181 11713 5215 11747
+rect 5791 11713 5825 11747
+rect 5917 11713 5951 11747
+rect 6009 11713 6043 11747
+rect 6193 11713 6227 11747
+rect 7021 11713 7055 11747
rect 7113 11713 7147 11747
-rect 7297 11713 7331 11747
-rect 7481 11713 7515 11747
-rect 8401 11713 8435 11747
+rect 7389 11713 7423 11747
+rect 7665 11713 7699 11747
+rect 7849 11713 7883 11747
+rect 8217 11713 8251 11747
+rect 8493 11713 8527 11747
+rect 9505 11713 9539 11747
+rect 9688 11713 9722 11747
+rect 9873 11713 9907 11747
rect 10057 11713 10091 11747
-rect 1869 11645 1903 11679
-rect 1961 11645 1995 11679
-rect 2237 11645 2271 11679
-rect 2789 11645 2823 11679
-rect 3433 11645 3467 11679
-rect 3893 11645 3927 11679
-rect 4629 11645 4663 11679
-rect 5181 11645 5215 11679
-rect 5273 11645 5307 11679
-rect 5825 11645 5859 11679
-rect 8493 11645 8527 11679
-rect 9045 11645 9079 11679
-rect 9689 11645 9723 11679
-rect 9873 11645 9907 11679
-rect 3341 11577 3375 11611
-rect 5089 11577 5123 11611
-rect 5365 11577 5399 11611
-rect 6469 11577 6503 11611
-rect 10701 11713 10735 11747
+rect 11069 11713 11103 11747
+rect 11253 11713 11287 11747
rect 11529 11713 11563 11747
rect 11713 11713 11747 11747
-rect 12541 11713 12575 11747
+rect 12633 11713 12667 11747
rect 13277 11713 13311 11747
-rect 10517 11645 10551 11679
-rect 11989 11645 12023 11679
-rect 11621 11577 11655 11611
-rect 4537 11509 4571 11543
-rect 6837 11509 6871 11543
-rect 10333 11509 10367 11543
-rect 11161 11509 11195 11543
-rect 12357 11509 12391 11543
-rect 1409 11305 1443 11339
-rect 3341 11305 3375 11339
-rect 5825 11305 5859 11339
-rect 7481 11305 7515 11339
-rect 7849 11305 7883 11339
-rect 8309 11305 8343 11339
+rect 1685 11645 1719 11679
+rect 1869 11645 1903 11679
+rect 2421 11645 2455 11679
+rect 2973 11645 3007 11679
+rect 4261 11645 4295 11679
+rect 4813 11645 4847 11679
+rect 5365 11645 5399 11679
+rect 9781 11645 9815 11679
+rect 10241 11645 10275 11679
+rect 12173 11645 12207 11679
+rect 12817 11645 12851 11679
+rect 1961 11577 1995 11611
+rect 4077 11577 4111 11611
+rect 4353 11577 4387 11611
+rect 6653 11577 6687 11611
+rect 3157 11509 3191 11543
+rect 3525 11509 3559 11543
+rect 3893 11509 3927 11543
+rect 4905 11509 4939 11543
+rect 7297 11509 7331 11543
+rect 8769 11509 8803 11543
+rect 9413 11509 9447 11543
+rect 10517 11509 10551 11543
+rect 10701 11509 10735 11543
+rect 11805 11509 11839 11543
+rect 8677 11305 8711 11339
rect 8953 11305 8987 11339
+rect 9137 11305 9171 11339
+rect 9413 11305 9447 11339
rect 10149 11305 10183 11339
-rect 11253 11305 11287 11339
-rect 3065 11237 3099 11271
-rect 5273 11237 5307 11271
-rect 6105 11237 6139 11271
-rect 7205 11237 7239 11271
-rect 12817 11237 12851 11271
+rect 10885 11305 10919 11339
+rect 11989 11305 12023 11339
+rect 2881 11237 2915 11271
+rect 5089 11237 5123 11271
+rect 5641 11237 5675 11271
+rect 7665 11237 7699 11271
+rect 8217 11237 8251 11271
+rect 9873 11237 9907 11271
+rect 5897 11169 5931 11203
rect 6193 11169 6227 11203
-rect 6653 11169 6687 11203
-rect 7665 11169 7699 11203
-rect 9505 11169 9539 11203
-rect 10425 11169 10459 11203
-rect 10885 11169 10919 11203
-rect 1593 11101 1627 11135
+rect 8125 11169 8159 11203
+rect 9321 11169 9355 11203
+rect 11069 11169 11103 11203
+rect 11161 11169 11195 11203
+rect 13553 11169 13587 11203
+rect 1409 11101 1443 11135
+rect 2421 11101 2455 11135
rect 3065 11101 3099 11135
-rect 3249 11101 3283 11135
-rect 3985 11101 4019 11135
-rect 4905 11101 4939 11135
-rect 6000 11101 6034 11135
-rect 6285 11101 6319 11135
-rect 8125 11101 8159 11135
+rect 3801 11101 3835 11135
+rect 4721 11101 4755 11135
+rect 5641 11101 5675 11135
+rect 6560 11079 6594 11113
+rect 6652 11079 6686 11113
+rect 6763 11101 6797 11135
+rect 6929 11101 6963 11135
+rect 7021 11101 7055 11135
+rect 7205 11101 7239 11135
+rect 7298 11079 7332 11113
+rect 7423 11101 7457 11135
rect 8401 11101 8435 11135
-rect 8585 11101 8619 11135
+rect 8493 11101 8527 11135
+rect 9597 11101 9631 11135
+rect 9689 11101 9723 11135
rect 10333 11101 10367 11135
-rect 10517 11101 10551 11135
-rect 10608 11101 10642 11135
-rect 10793 11101 10827 11135
-rect 11069 11101 11103 11135
-rect 11529 11101 11563 11135
+rect 10609 11101 10643 11135
+rect 10706 11101 10740 11135
+rect 11391 11101 11425 11135
+rect 11805 11101 11839 11135
+rect 12081 11101 12115 11135
rect 13001 11101 13035 11135
-rect 13185 11101 13219 11135
-rect 3801 11033 3835 11067
-rect 6745 11033 6779 11067
-rect 6837 11033 6871 11067
-rect 8769 11033 8803 11067
-rect 9321 11033 9355 11067
-rect 9413 11033 9447 11067
-rect 9873 11033 9907 11067
-rect 7297 10965 7331 10999
-rect 13369 10965 13403 10999
-rect 2145 10761 2179 10795
-rect 3617 10761 3651 10795
-rect 4353 10761 4387 10795
-rect 6929 10761 6963 10795
-rect 9229 10761 9263 10795
-rect 11069 10761 11103 10795
-rect 11253 10761 11287 10795
-rect 11529 10761 11563 10795
-rect 11989 10761 12023 10795
+rect 13277 11101 13311 11135
+rect 3525 11033 3559 11067
+rect 3617 11033 3651 11067
+rect 6101 11033 6135 11067
+rect 6285 11033 6319 11067
+rect 10517 11033 10551 11067
+rect 11621 11033 11655 11067
+rect 12817 11033 12851 11067
+rect 6009 10965 6043 10999
+rect 7849 10965 7883 10999
+rect 11253 10965 11287 10999
+rect 13185 10965 13219 10999
+rect 2421 10761 2455 10795
+rect 3709 10761 3743 10795
+rect 5365 10761 5399 10795
+rect 5825 10761 5859 10795
+rect 6009 10761 6043 10795
+rect 8677 10761 8711 10795
+rect 9413 10761 9447 10795
+rect 10425 10761 10459 10795
+rect 11604 10761 11638 10795
+rect 2881 10693 2915 10727
rect 3341 10693 3375 10727
-rect 4445 10693 4479 10727
-rect 4813 10693 4847 10727
-rect 6377 10693 6411 10727
-rect 6745 10693 6779 10727
+rect 3801 10693 3835 10727
+rect 3985 10693 4019 10727
+rect 4353 10693 4387 10727
+rect 6193 10693 6227 10727
+rect 7021 10693 7055 10727
+rect 7389 10693 7423 10727
rect 7941 10693 7975 10727
-rect 8769 10693 8803 10727
-rect 12909 10693 12943 10727
-rect 13553 10693 13587 10727
-rect 1685 10625 1719 10659
+rect 8033 10693 8067 10727
+rect 9965 10693 9999 10727
+rect 10793 10693 10827 10727
+rect 11253 10693 11287 10727
+rect 13461 10693 13495 10727
+rect 1633 10625 1667 10659
rect 1777 10625 1811 10659
-rect 2605 10625 2639 10659
-rect 3525 10625 3559 10659
-rect 3801 10625 3835 10659
-rect 4537 10625 4571 10659
-rect 5457 10625 5491 10659
-rect 5825 10625 5859 10659
-rect 5917 10625 5951 10659
-rect 6101 10625 6135 10659
-rect 6561 10625 6595 10659
-rect 7113 10625 7147 10659
-rect 7297 10625 7331 10659
-rect 7389 10625 7423 10659
+rect 1869 10625 1903 10659
+rect 2053 10625 2087 10659
+rect 2513 10625 2547 10659
+rect 2973 10625 3007 10659
+rect 3157 10625 3191 10659
+rect 4077 10625 4111 10659
+rect 4997 10625 5031 10659
+rect 5273 10625 5307 10659
+rect 5549 10625 5583 10659
+rect 6929 10625 6963 10659
+rect 7205 10625 7239 10659
+rect 7844 10625 7878 10659
+rect 8217 10625 8251 10659
+rect 8309 10625 8343 10659
rect 8401 10625 8435 10659
-rect 8585 10625 8619 10659
+rect 9137 10625 9171 10659
+rect 9321 10625 9355 10659
+rect 9597 10625 9631 10659
rect 9781 10625 9815 10659
-rect 9873 10625 9907 10659
-rect 10149 10625 10183 10659
-rect 10425 10625 10459 10659
+rect 10057 10625 10091 10659
rect 10609 10625 10643 10659
-rect 10885 10625 10919 10659
+rect 11345 10625 11379 10659
+rect 11800 10625 11834 10659
rect 11897 10625 11931 10659
-rect 12357 10625 12391 10659
-rect 12817 10625 12851 10659
-rect 13001 10625 13035 10659
-rect 13461 10625 13495 10659
-rect 1501 10557 1535 10591
-rect 6009 10557 6043 10591
-rect 7665 10557 7699 10591
-rect 7849 10557 7883 10591
-rect 10793 10557 10827 10591
-rect 12081 10557 12115 10591
-rect 7209 10489 7243 10523
-rect 8309 10489 8343 10523
-rect 10701 10489 10735 10523
-rect 5641 10421 5675 10455
-rect 8861 10421 8895 10455
-rect 9045 10421 9079 10455
-rect 9505 10421 9539 10455
-rect 9965 10421 9999 10455
+rect 11989 10625 12023 10659
+rect 12173 10625 12207 10659
+rect 12725 10625 12759 10659
+rect 8953 10557 8987 10591
+rect 10149 10557 10183 10591
+rect 12265 10557 12299 10591
+rect 12817 10557 12851 10591
+rect 12909 10557 12943 10591
+rect 6653 10489 6687 10523
+rect 13369 10489 13403 10523
+rect 1501 10421 1535 10455
+rect 2145 10421 2179 10455
+rect 2789 10421 2823 10455
+rect 5181 10421 5215 10455
+rect 6009 10421 6043 10455
+rect 6469 10421 6503 10455
+rect 7665 10421 7699 10455
+rect 8493 10421 8527 10455
rect 10057 10421 10091 10455
-rect 1501 10217 1535 10251
-rect 3065 10217 3099 10251
-rect 4445 10217 4479 10251
-rect 4629 10217 4663 10251
-rect 5733 10217 5767 10251
-rect 6929 10217 6963 10251
-rect 7297 10217 7331 10251
-rect 7389 10217 7423 10251
-rect 10333 10217 10367 10251
-rect 11161 10217 11195 10251
-rect 11529 10217 11563 10251
-rect 11989 10217 12023 10251
-rect 12173 10217 12207 10251
-rect 12725 10217 12759 10251
-rect 6285 10149 6319 10183
-rect 7941 10149 7975 10183
-rect 9321 10149 9355 10183
-rect 10701 10149 10735 10183
-rect 10885 10149 10919 10183
-rect 5365 10081 5399 10115
-rect 6101 10081 6135 10115
-rect 8585 10081 8619 10115
-rect 9413 10081 9447 10115
-rect 11253 10081 11287 10115
-rect 13277 10081 13311 10115
-rect 3801 10013 3835 10047
-rect 5181 10013 5215 10047
-rect 6009 10013 6043 10047
-rect 6193 10013 6227 10047
-rect 6469 10013 6503 10047
-rect 7205 10013 7239 10047
-rect 7481 10013 7515 10047
+rect 10885 10421 10919 10455
+rect 1409 10217 1443 10251
+rect 1685 10217 1719 10251
+rect 7113 10217 7147 10251
+rect 8677 10217 8711 10251
+rect 9413 10217 9447 10251
+rect 8217 10149 8251 10183
+rect 9873 10149 9907 10183
+rect 13369 10149 13403 10183
+rect 1777 10081 1811 10115
+rect 3893 10081 3927 10115
+rect 4629 10081 4663 10115
+rect 4813 10081 4847 10115
+rect 6745 10081 6779 10115
+rect 10221 10081 10255 10115
+rect 10517 10081 10551 10115
+rect 10977 10081 11011 10115
+rect 2329 10013 2363 10047
+rect 2421 10013 2455 10047
+rect 2881 10013 2915 10047
+rect 3341 10013 3375 10047
+rect 3525 10013 3559 10047
+rect 4077 10013 4111 10047
+rect 5365 10013 5399 10047
+rect 5549 10013 5583 10047
+rect 5733 10013 5767 10047
+rect 5825 10013 5859 10047
+rect 6245 10013 6279 10047
+rect 6653 10013 6687 10047
+rect 6837 10013 6871 10047
+rect 6929 10013 6963 10047
+rect 7389 10013 7423 10047
rect 7573 10013 7607 10047
-rect 8401 10013 8435 10047
-rect 8953 10013 8987 10047
+rect 7757 10013 7791 10047
+rect 7850 9991 7884 10025
+rect 7975 10013 8009 10047
+rect 8585 10013 8619 10047
+rect 8769 10013 8803 10047
rect 9229 10013 9263 10047
+rect 9413 10013 9447 10047
rect 9505 10013 9539 10047
-rect 9689 10013 9723 10047
rect 10609 10013 10643 10047
rect 10793 10013 10827 10047
-rect 10977 10013 11011 10047
-rect 11161 10013 11195 10047
+rect 11069 10013 11103 10047
+rect 11345 10013 11379 10047
+rect 11713 10013 11747 10047
+rect 11897 10013 11931 10047
+rect 12817 10013 12851 10047
+rect 2237 9945 2271 9979
rect 2973 9945 3007 9979
-rect 8493 9945 8527 9979
-rect 12449 9945 12483 9979
-rect 13093 9945 13127 9979
-rect 1593 9877 1627 9911
-rect 3893 9877 3927 9911
-rect 4813 9877 4847 9911
-rect 5273 9877 5307 9911
-rect 8033 9877 8067 9911
-rect 12541 9877 12575 9911
-rect 13185 9877 13219 9911
-rect 8769 9673 8803 9707
-rect 10977 9673 11011 9707
-rect 3433 9605 3467 9639
-rect 5089 9605 5123 9639
-rect 5641 9605 5675 9639
-rect 5917 9605 5951 9639
-rect 7665 9605 7699 9639
-rect 8033 9605 8067 9639
-rect 9045 9605 9079 9639
-rect 10793 9605 10827 9639
-rect 1685 9537 1719 9571
-rect 3157 9537 3191 9571
+rect 3069 9945 3103 9979
+rect 3801 9945 3835 9979
+rect 4537 9945 4571 9979
+rect 4997 9945 5031 9979
+rect 5181 9945 5215 9979
+rect 6009 9945 6043 9979
+rect 6101 9945 6135 9979
+rect 9965 9945 9999 9979
+rect 10309 9945 10343 9979
+rect 10425 9945 10459 9979
+rect 11437 9945 11471 9979
+rect 3157 9877 3191 9911
+rect 6394 9877 6428 9911
+rect 9045 9877 9079 9911
+rect 9597 9877 9631 9911
+rect 11621 9877 11655 9911
+rect 7205 9673 7239 9707
+rect 8217 9673 8251 9707
+rect 8861 9673 8895 9707
+rect 9137 9673 9171 9707
+rect 10793 9673 10827 9707
+rect 1409 9605 1443 9639
+rect 3525 9605 3559 9639
+rect 5457 9605 5491 9639
+rect 7941 9605 7975 9639
+rect 10701 9605 10735 9639
+rect 11621 9605 11655 9639
+rect 12817 9605 12851 9639
+rect 13277 9605 13311 9639
+rect 1593 9537 1627 9571
+rect 3065 9537 3099 9571
+rect 3341 9537 3375 9571
rect 3709 9537 3743 9571
-rect 4353 9537 4387 9571
-rect 4629 9537 4663 9571
rect 5181 9537 5215 9571
-rect 6469 9537 6503 9571
-rect 6745 9537 6779 9571
-rect 6929 9537 6963 9571
-rect 7113 9537 7147 9571
-rect 7849 9537 7883 9571
-rect 8309 9537 8343 9571
-rect 8578 9537 8612 9571
-rect 9229 9537 9263 9571
-rect 9321 9537 9355 9571
+rect 6193 9537 6227 9571
+rect 6648 9537 6682 9571
+rect 6748 9537 6782 9571
+rect 6883 9537 6917 9571
+rect 7021 9537 7055 9571
+rect 7573 9537 7607 9571
+rect 8392 9549 8426 9583
+rect 8492 9537 8526 9571
+rect 8677 9537 8711 9571
+rect 8764 9537 8798 9571
rect 9505 9537 9539 9571
-rect 10425 9537 10459 9571
-rect 10609 9537 10643 9571
-rect 10885 9537 10919 9571
+rect 9781 9537 9815 9571
+rect 10057 9537 10091 9571
+rect 10333 9537 10367 9571
+rect 10517 9537 10551 9571
+rect 11161 9537 11195 9571
+rect 11345 9537 11379 9571
rect 11529 9537 11563 9571
-rect 12541 9537 12575 9571
-rect 13369 9537 13403 9571
-rect 4997 9469 5031 9503
-rect 6837 9469 6871 9503
-rect 8401 9469 8435 9503
-rect 2973 9401 3007 9435
-rect 9413 9401 9447 9435
-rect 12817 9401 12851 9435
-rect 1501 9333 1535 9367
-rect 4445 9333 4479 9367
-rect 5549 9333 5583 9367
-rect 7021 9333 7055 9367
-rect 8309 9333 8343 9367
-rect 13277 9333 13311 9367
-rect 13553 9333 13587 9367
-rect 2237 9129 2271 9163
-rect 6285 9129 6319 9163
-rect 8401 9129 8435 9163
+rect 11897 9537 11931 9571
+rect 12173 9537 12207 9571
+rect 13093 9537 13127 9571
+rect 5365 9469 5399 9503
+rect 5917 9469 5951 9503
+rect 7757 9469 7791 9503
+rect 9413 9469 9447 9503
+rect 10149 9469 10183 9503
+rect 11713 9469 11747 9503
+rect 2881 9401 2915 9435
+rect 4997 9401 5031 9435
+rect 6009 9401 6043 9435
+rect 7573 9401 7607 9435
+rect 11253 9401 11287 9435
+rect 13461 9401 13495 9435
+rect 6469 9333 6503 9367
+rect 9413 9333 9447 9367
+rect 9689 9333 9723 9367
+rect 1501 9129 1535 9163
+rect 3341 9129 3375 9163
+rect 6653 9129 6687 9163
+rect 7297 9129 7331 9163
+rect 8217 9129 8251 9163
rect 9137 9129 9171 9163
-rect 10701 9129 10735 9163
-rect 11253 9129 11287 9163
-rect 2881 9061 2915 9095
-rect 3341 9061 3375 9095
-rect 3893 9061 3927 9095
-rect 6565 9061 6599 9095
-rect 7297 9061 7331 9095
-rect 7941 9061 7975 9095
-rect 10241 9061 10275 9095
-rect 1593 8993 1627 9027
-rect 1777 8993 1811 9027
-rect 2421 8993 2455 9027
-rect 3801 8993 3835 9027
-rect 4353 8993 4387 9027
-rect 12449 8993 12483 9027
-rect 12541 8993 12575 9027
-rect 1869 8925 1903 8959
+rect 9689 9129 9723 9163
+rect 10885 9129 10919 9163
+rect 11161 9129 11195 9163
+rect 12081 9129 12115 9163
+rect 11805 9061 11839 9095
+rect 8033 8993 8067 9027
+rect 9045 8993 9079 9027
+rect 10333 8993 10367 9027
+rect 12633 8993 12667 9027
+rect 1961 8925 1995 8959
+rect 2329 8925 2363 8959
rect 2973 8925 3007 8959
-rect 3617 8925 3651 8959
-rect 4445 8925 4479 8959
-rect 6469 8925 6503 8959
+rect 3249 8925 3283 8959
+rect 3525 8925 3559 8959
+rect 3893 8925 3927 8959
+rect 4077 8925 4111 8959
+rect 4537 8925 4571 8959
+rect 4997 8925 5031 8959
+rect 5641 8925 5675 8959
+rect 6101 8925 6135 8959
+rect 6285 8925 6319 8959
+rect 6561 8925 6595 8959
rect 6653 8925 6687 8959
-rect 6745 8925 6779 8959
-rect 7481 8925 7515 8959
-rect 9137 8925 9171 8959
+rect 6929 8925 6963 8959
+rect 7113 8925 7147 8959
+rect 7849 8925 7883 8959
+rect 8125 8925 8159 8959
rect 9229 8925 9263 8959
+rect 9781 8925 9815 8959
+rect 9965 8925 9999 8959
rect 10149 8925 10183 8959
-rect 11713 8925 11747 8959
-rect 11989 8925 12023 8959
-rect 12633 8925 12667 8959
-rect 13369 8925 13403 8959
-rect 3157 8857 3191 8891
-rect 4629 8857 4663 8891
-rect 7849 8857 7883 8891
-rect 8125 8857 8159 8891
-rect 8309 8857 8343 8891
-rect 9689 8857 9723 8891
+rect 10701 8925 10735 8959
+rect 10977 8925 11011 8959
+rect 11253 8925 11287 8959
+rect 11626 8925 11660 8959
+rect 12265 8925 12299 8959
+rect 13461 8925 13495 8959
+rect 3801 8857 3835 8891
+rect 4261 8857 4295 8891
+rect 4721 8857 4755 8891
+rect 6837 8857 6871 8891
+rect 7665 8857 7699 8891
+rect 8953 8857 8987 8891
rect 9873 8857 9907 8891
-rect 10057 8857 10091 8891
-rect 10701 8857 10735 8891
-rect 10875 8857 10909 8891
-rect 10978 8857 11012 8891
-rect 11161 8857 11195 8891
-rect 13553 8857 13587 8891
-rect 3433 8789 3467 8823
-rect 4813 8789 4847 8823
-rect 7573 8789 7607 8823
-rect 7665 8789 7699 8823
-rect 9505 8789 9539 8823
-rect 10517 8789 10551 8823
-rect 11805 8789 11839 8823
-rect 1501 8585 1535 8619
-rect 7297 8585 7331 8619
-rect 7941 8585 7975 8619
-rect 8033 8585 8067 8619
-rect 8861 8585 8895 8619
-rect 3157 8517 3191 8551
-rect 3249 8517 3283 8551
-rect 8217 8517 8251 8551
-rect 8493 8517 8527 8551
-rect 8677 8517 8711 8551
-rect 10425 8517 10459 8551
-rect 10885 8517 10919 8551
+rect 10517 8857 10551 8891
+rect 11437 8857 11471 8891
+rect 11529 8857 11563 8891
+rect 12449 8857 12483 8891
+rect 12541 8857 12575 8891
+rect 13093 8857 13127 8891
+rect 13185 8857 13219 8891
+rect 1777 8789 1811 8823
+rect 2145 8789 2179 8823
+rect 4629 8789 4663 8823
+rect 5733 8789 5767 8823
+rect 6193 8789 6227 8823
+rect 9413 8789 9447 8823
+rect 13277 8789 13311 8823
+rect 9505 8585 9539 8619
+rect 11253 8585 11287 8619
+rect 12265 8585 12299 8619
+rect 5181 8517 5215 8551
+rect 5457 8517 5491 8551
+rect 6377 8517 6411 8551
+rect 11529 8517 11563 8551
+rect 11897 8517 11931 8551
rect 13093 8517 13127 8551
-rect 1593 8449 1627 8483
-rect 3065 8449 3099 8483
-rect 3433 8449 3467 8483
-rect 4181 8449 4215 8483
-rect 4445 8449 4479 8483
-rect 5917 8449 5951 8483
+rect 13369 8517 13403 8551
+rect 1501 8449 1535 8483
+rect 3617 8449 3651 8483
+rect 5089 8449 5123 8483
+rect 5273 8449 5307 8483
+rect 6193 8449 6227 8483
rect 6561 8449 6595 8483
-rect 7205 8449 7239 8483
-rect 7665 8449 7699 8483
-rect 7849 8449 7883 8483
-rect 8309 8449 8343 8483
-rect 8769 8449 8803 8483
-rect 9505 8449 9539 8483
-rect 9689 8449 9723 8483
-rect 9781 8449 9815 8483
-rect 9965 8449 9999 8483
-rect 10241 8449 10275 8483
-rect 10701 8449 10735 8483
-rect 11345 8449 11379 8483
-rect 11529 8449 11563 8483
-rect 12449 8449 12483 8483
-rect 13185 8449 13219 8483
-rect 7389 8381 7423 8415
+rect 6745 8449 6779 8483
+rect 7021 8449 7055 8483
+rect 7389 8449 7423 8483
+rect 8217 8449 8251 8483
+rect 9137 8449 9171 8483
+rect 9393 8449 9427 8483
+rect 10149 8449 10183 8483
+rect 11713 8449 11747 8483
+rect 12081 8449 12115 8483
+rect 12357 8449 12391 8483
+rect 13277 8449 13311 8483
+rect 6929 8381 6963 8415
+rect 8033 8381 8067 8415
+rect 8861 8381 8895 8415
rect 9597 8381 9631 8415
-rect 10609 8381 10643 8415
-rect 10793 8381 10827 8415
-rect 5733 8313 5767 8347
-rect 6837 8313 6871 8347
-rect 6469 8245 6503 8279
-rect 6745 8245 6779 8279
-rect 9045 8245 9079 8279
-rect 9321 8245 9355 8279
-rect 10149 8245 10183 8279
-rect 13369 8245 13403 8279
-rect 2145 8041 2179 8075
-rect 4353 8041 4387 8075
-rect 10977 8041 11011 8075
-rect 3065 7973 3099 8007
-rect 4169 7973 4203 8007
-rect 5365 7973 5399 8007
-rect 8953 7973 8987 8007
-rect 13277 7973 13311 8007
-rect 1501 7905 1535 7939
-rect 1685 7905 1719 7939
-rect 2237 7905 2271 7939
-rect 2973 7905 3007 7939
-rect 3525 7905 3559 7939
-rect 4905 7905 4939 7939
-rect 5457 7905 5491 7939
-rect 6837 7905 6871 7939
-rect 7297 7905 7331 7939
-rect 7665 7905 7699 7939
-rect 8677 7905 8711 7939
-rect 9505 7905 9539 7939
-rect 10333 7905 10367 7939
-rect 11253 7905 11287 7939
-rect 12817 7905 12851 7939
-rect 13369 7905 13403 7939
-rect 2697 7837 2731 7871
-rect 3801 7837 3835 7871
-rect 4445 7837 4479 7871
-rect 4629 7837 4663 7871
-rect 5733 7837 5767 7871
-rect 6009 7837 6043 7871
-rect 6653 7837 6687 7871
-rect 7757 7837 7791 7871
-rect 8217 7839 8251 7873
-rect 8769 7837 8803 7871
-rect 9321 7837 9355 7871
-rect 9413 7837 9447 7871
-rect 9873 7837 9907 7871
-rect 10057 7837 10091 7871
-rect 10517 7837 10551 7871
-rect 11069 7837 11103 7871
+rect 9689 8381 9723 8415
+rect 10241 8381 10275 8415
+rect 10885 8381 10919 8415
+rect 11161 8381 11195 8415
+rect 2973 8313 3007 8347
+rect 13461 8313 13495 8347
+rect 3433 8245 3467 8279
+rect 7297 8245 7331 8279
+rect 8953 8245 8987 8279
+rect 1501 8041 1535 8075
+rect 5917 8041 5951 8075
+rect 7849 8041 7883 8075
+rect 13461 8041 13495 8075
+rect 5181 7973 5215 8007
+rect 10241 7973 10275 8007
+rect 4169 7905 4203 7939
+rect 4721 7905 4755 7939
+rect 5641 7905 5675 7939
+rect 7021 7905 7055 7939
+rect 7941 7905 7975 7939
+rect 8217 7905 8251 7939
+rect 8769 7905 8803 7939
+rect 9597 7905 9631 7939
+rect 1777 7837 1811 7871
+rect 2881 7837 2915 7871
+rect 3065 7837 3099 7871
+rect 3893 7837 3927 7871
+rect 3985 7837 4019 7871
+rect 4813 7837 4847 7871
+rect 6745 7837 6779 7871
+rect 7573 7837 7607 7871
+rect 7665 7837 7699 7871
+rect 8125 7837 8159 7871
+rect 8584 7837 8618 7871
+rect 9505 7837 9539 7871
+rect 10483 7837 10517 7871
+rect 10609 7837 10643 7871
+rect 10701 7837 10735 7871
+rect 10885 7837 10919 7871
+rect 11345 7837 11379 7871
rect 11713 7837 11747 7871
rect 11805 7837 11839 7871
-rect 11989 7837 12023 7871
-rect 12725 7837 12759 7871
-rect 1777 7769 1811 7803
-rect 2789 7769 2823 7803
-rect 6745 7769 6779 7803
-rect 8033 7769 8067 7803
-rect 8125 7769 8159 7803
-rect 11161 7769 11195 7803
-rect 4169 7701 4203 7735
-rect 8309 7701 8343 7735
-rect 9873 7701 9907 7735
-rect 10609 7701 10643 7735
-rect 13461 7701 13495 7735
-rect 3065 7497 3099 7531
-rect 3157 7497 3191 7531
-rect 6653 7497 6687 7531
-rect 7941 7497 7975 7531
-rect 8033 7497 8067 7531
-rect 10885 7497 10919 7531
-rect 11989 7497 12023 7531
-rect 12265 7497 12299 7531
-rect 8677 7429 8711 7463
-rect 9505 7429 9539 7463
-rect 12633 7429 12667 7463
-rect 2421 7361 2455 7395
-rect 2605 7361 2639 7395
-rect 2881 7361 2915 7395
-rect 3617 7361 3651 7395
-rect 3893 7361 3927 7395
-rect 4445 7361 4479 7395
-rect 6469 7361 6503 7395
-rect 6929 7361 6963 7395
-rect 7297 7361 7331 7395
-rect 7573 7361 7607 7395
-rect 8309 7361 8343 7395
-rect 8401 7361 8435 7395
-rect 8539 7361 8573 7395
-rect 8774 7361 8808 7395
-rect 9137 7361 9171 7395
-rect 9321 7361 9355 7395
-rect 9689 7361 9723 7395
-rect 9873 7361 9907 7395
-rect 10149 7361 10183 7395
-rect 10333 7361 10367 7395
-rect 11529 7361 11563 7395
-rect 12173 7361 12207 7395
-rect 12725 7361 12759 7395
-rect 13369 7361 13403 7395
-rect 3433 7293 3467 7327
-rect 3985 7293 4019 7327
-rect 7113 7293 7147 7327
-rect 7829 7293 7863 7327
-rect 8125 7293 8159 7327
-rect 2421 7225 2455 7259
-rect 7021 7225 7055 7259
-rect 9965 7293 9999 7327
-rect 10057 7293 10091 7327
-rect 10977 7293 11011 7327
-rect 11069 7293 11103 7327
-rect 11897 7293 11931 7327
+rect 13277 7837 13311 7871
+rect 3157 7769 3191 7803
+rect 3341 7769 3375 7803
+rect 3525 7769 3559 7803
+rect 4629 7769 4663 7803
+rect 5089 7769 5123 7803
+rect 5825 7769 5859 7803
+rect 11529 7769 11563 7803
+rect 13369 7769 13403 7803
+rect 2789 7701 2823 7735
+rect 4997 7701 5031 7735
+rect 6101 7701 6135 7735
+rect 7389 7701 7423 7735
+rect 10149 7701 10183 7735
+rect 2421 7497 2455 7531
+rect 6469 7497 6503 7531
+rect 7481 7497 7515 7531
+rect 9689 7497 9723 7531
+rect 12817 7497 12851 7531
+rect 2329 7429 2363 7463
+rect 6929 7429 6963 7463
+rect 12357 7429 12391 7463
+rect 1685 7361 1719 7395
+rect 1777 7361 1811 7395
+rect 4721 7361 4755 7395
+rect 4905 7361 4939 7395
+rect 5457 7361 5491 7395
+rect 5641 7361 5675 7395
+rect 5825 7361 5859 7395
+rect 6009 7361 6043 7395
+rect 6653 7361 6687 7395
+rect 6837 7361 6871 7395
+rect 7113 7361 7147 7395
+rect 7369 7361 7403 7395
+rect 7665 7361 7699 7395
+rect 9229 7361 9263 7395
+rect 9413 7361 9447 7395
+rect 9965 7361 9999 7395
+rect 10241 7361 10275 7395
+rect 11805 7361 11839 7395
+rect 12265 7361 12299 7395
+rect 2605 7293 2639 7327
+rect 2789 7293 2823 7327
+rect 3065 7293 3099 7327
+rect 7573 7293 7607 7327
+rect 8033 7293 8067 7327
+rect 8861 7293 8895 7327
+rect 9781 7293 9815 7327
+rect 9873 7293 9907 7327
+rect 12449 7293 12483 7327
+rect 12817 7293 12851 7327
rect 12909 7293 12943 7327
-rect 4445 7157 4479 7191
-rect 7389 7157 7423 7191
-rect 8309 7157 8343 7191
-rect 8953 7157 8987 7191
-rect 10517 7157 10551 7191
-rect 11621 7157 11655 7191
-rect 13185 7157 13219 7191
-rect 13553 7157 13587 7191
-rect 1501 6953 1535 6987
-rect 3893 6953 3927 6987
-rect 5076 6953 5110 6987
-rect 6561 6953 6595 6987
-rect 7389 6953 7423 6987
-rect 11621 6953 11655 6987
-rect 12817 6953 12851 6987
-rect 10057 6885 10091 6919
-rect 11805 6885 11839 6919
-rect 1593 6817 1627 6851
-rect 4813 6817 4847 6851
-rect 6837 6817 6871 6851
-rect 8677 6817 8711 6851
-rect 9413 6817 9447 6851
-rect 9505 6817 9539 6851
-rect 9873 6817 9907 6851
-rect 11437 6817 11471 6851
-rect 13369 6817 13403 6851
-rect 2605 6749 2639 6783
-rect 3341 6749 3375 6783
-rect 3801 6749 3835 6783
-rect 3985 6749 4019 6783
-rect 4261 6749 4295 6783
-rect 6929 6749 6963 6783
-rect 7205 6749 7239 6783
-rect 7568 6749 7602 6783
-rect 7885 6749 7919 6783
-rect 8033 6749 8067 6783
+rect 4813 7225 4847 7259
+rect 5641 7225 5675 7259
+rect 1501 7157 1535 7191
+rect 1961 7157 1995 7191
+rect 4537 7157 4571 7191
+rect 5273 7157 5307 7191
+rect 1764 6953 1798 6987
+rect 3249 6953 3283 6987
+rect 4261 6953 4295 6987
+rect 5904 6953 5938 6987
+rect 13277 6885 13311 6919
+rect 1501 6817 1535 6851
+rect 4537 6817 4571 6851
+rect 5641 6817 5675 6851
+rect 7389 6817 7423 6851
+rect 7757 6817 7791 6851
+rect 8769 6817 8803 6851
+rect 9321 6817 9355 6851
+rect 11805 6817 11839 6851
+rect 3433 6749 3467 6783
+rect 3893 6749 3927 6783
+rect 4169 6749 4203 6783
+rect 5273 6749 5307 6783
+rect 7941 6749 7975 6783
+rect 8125 6749 8159 6783
+rect 8217 6749 8251 6783
rect 8401 6749 8435 6783
-rect 8493 6749 8527 6783
-rect 8769 6749 8803 6783
-rect 9229 6749 9263 6783
-rect 9321 6749 9355 6783
-rect 9689 6749 9723 6783
-rect 10189 6749 10223 6783
-rect 10333 6749 10367 6783
-rect 10609 6749 10643 6783
-rect 10793 6749 10827 6783
-rect 10977 6749 11011 6783
-rect 11069 6749 11103 6783
-rect 11162 6749 11196 6783
-rect 11713 6749 11747 6783
-rect 12235 6749 12269 6783
-rect 12541 6749 12575 6783
-rect 13185 6749 13219 6783
-rect 3249 6681 3283 6715
-rect 7113 6681 7147 6715
-rect 7665 6681 7699 6715
-rect 7757 6681 7791 6715
-rect 8217 6681 8251 6715
-rect 9873 6681 9907 6715
-rect 10425 6681 10459 6715
-rect 1869 6613 1903 6647
-rect 2789 6613 2823 6647
-rect 3525 6613 3559 6647
-rect 9045 6613 9079 6647
-rect 12173 6613 12207 6647
-rect 12357 6613 12391 6647
-rect 12725 6613 12759 6647
-rect 13277 6613 13311 6647
-rect 5825 6409 5859 6443
-rect 6193 6409 6227 6443
-rect 8125 6409 8159 6443
-rect 10425 6409 10459 6443
-rect 10517 6409 10551 6443
-rect 13461 6409 13495 6443
-rect 11069 6341 11103 6375
+rect 9505 6749 9539 6783
+rect 9597 6749 9631 6783
+rect 10057 6749 10091 6783
+rect 11989 6749 12023 6783
+rect 13461 6749 13495 6783
+rect 8677 6681 8711 6715
+rect 10333 6681 10367 6715
+rect 4077 6613 4111 6647
+rect 9965 6613 9999 6647
+rect 3525 6409 3559 6443
+rect 3893 6409 3927 6443
+rect 6101 6409 6135 6443
+rect 6561 6409 6595 6443
+rect 10149 6409 10183 6443
+rect 6929 6341 6963 6375
+rect 7113 6341 7147 6375
+rect 11161 6341 11195 6375
rect 11989 6341 12023 6375
-rect 3893 6273 3927 6307
-rect 4629 6273 4663 6307
-rect 5365 6273 5399 6307
-rect 5641 6273 5675 6307
-rect 6009 6273 6043 6307
-rect 8401 6273 8435 6307
+rect 13185 6341 13219 6375
+rect 1777 6273 1811 6307
+rect 1943 6273 1977 6307
+rect 2054 6295 2088 6329
+rect 2146 6263 2180 6297
+rect 2513 6273 2547 6307
+rect 2697 6273 2731 6307
+rect 3249 6273 3283 6307
+rect 3433 6273 3467 6307
+rect 4353 6273 4387 6307
+rect 6515 6273 6549 6307
+rect 6653 6273 6687 6307
+rect 7941 6273 7975 6307
+rect 8677 6273 8711 6307
rect 8861 6273 8895 6307
-rect 9045 6273 9079 6307
-rect 9597 6273 9631 6307
-rect 9781 6273 9815 6307
-rect 10057 6273 10091 6307
-rect 10313 6273 10347 6307
rect 10701 6273 10735 6307
-rect 10885 6273 10919 6307
-rect 11345 6273 11379 6307
-rect 1685 6205 1719 6239
-rect 1961 6205 1995 6239
-rect 4997 6205 5031 6239
-rect 6377 6205 6411 6239
-rect 6653 6205 6687 6239
-rect 10609 6205 10643 6239
-rect 11713 6205 11747 6239
-rect 8953 6137 8987 6171
-rect 9689 6137 9723 6171
+rect 10793 6273 10827 6307
+rect 10977 6273 11011 6307
+rect 11713 6273 11747 6307
+rect 11805 6273 11839 6307
+rect 12081 6273 12115 6307
+rect 12265 6273 12299 6307
+rect 12725 6273 12759 6307
+rect 3985 6205 4019 6239
+rect 4077 6205 4111 6239
+rect 4629 6205 4663 6239
+rect 7757 6205 7791 6239
+rect 9689 6205 9723 6239
+rect 10241 6205 10275 6239
+rect 10333 6205 10367 6239
+rect 10881 6205 10915 6239
+rect 13277 6205 13311 6239
+rect 2421 6137 2455 6171
+rect 3157 6137 3191 6171
+rect 11621 6137 11655 6171
rect 1409 6069 1443 6103
-rect 3433 6069 3467 6103
-rect 3801 6069 3835 6103
-rect 5181 6069 5215 6103
-rect 8493 6069 8527 6103
-rect 10057 6069 10091 6103
-rect 11161 6069 11195 6103
-rect 2145 5865 2179 5899
-rect 7665 5865 7699 5899
-rect 8677 5865 8711 5899
-rect 11529 5865 11563 5899
-rect 13461 5865 13495 5899
-rect 1869 5797 1903 5831
-rect 3157 5797 3191 5831
-rect 7941 5797 7975 5831
-rect 3893 5729 3927 5763
-rect 8125 5729 8159 5763
-rect 8217 5729 8251 5763
-rect 10057 5729 10091 5763
-rect 1685 5661 1719 5695
-rect 1961 5661 1995 5695
-rect 2053 5661 2087 5695
-rect 2237 5661 2271 5695
-rect 2513 5661 2547 5695
-rect 2881 5661 2915 5695
-rect 3065 5661 3099 5695
-rect 7573 5661 7607 5695
-rect 8033 5661 8067 5695
-rect 8401 5661 8435 5695
-rect 8493 5661 8527 5695
+rect 1593 6069 1627 6103
+rect 2881 6069 2915 6103
+rect 9781 6069 9815 6103
+rect 12449 6069 12483 6103
+rect 13369 6069 13403 6103
+rect 5365 5865 5399 5899
+rect 8033 5865 8067 5899
+rect 10149 5865 10183 5899
+rect 13277 5865 13311 5899
+rect 2329 5797 2363 5831
+rect 3801 5797 3835 5831
+rect 8493 5797 8527 5831
+rect 2145 5729 2179 5763
+rect 5549 5729 5583 5763
+rect 5733 5729 5767 5763
+rect 6009 5729 6043 5763
+rect 10333 5729 10367 5763
+rect 10609 5729 10643 5763
+rect 1501 5661 1535 5695
+rect 1593 5661 1627 5695
+rect 1777 5661 1811 5695
+rect 2421 5661 2455 5695
+rect 2605 5661 2639 5695
+rect 2973 5661 3007 5695
+rect 3433 5661 3467 5695
+rect 3525 5661 3559 5695
+rect 4721 5661 4755 5695
+rect 4905 5661 4939 5695
+rect 5825 5661 5859 5695
+rect 6193 5661 6227 5695
+rect 6285 5661 6319 5695
+rect 8585 5661 8619 5695
+rect 8769 5661 8803 5695
rect 8953 5661 8987 5695
-rect 9046 5661 9080 5695
-rect 9229 5661 9263 5695
+rect 9045 5661 9079 5695
rect 9321 5661 9355 5695
-rect 9418 5661 9452 5695
-rect 9781 5661 9815 5695
-rect 11713 5661 11747 5695
-rect 3341 5593 3375 5627
-rect 3525 5593 3559 5627
-rect 4169 5593 4203 5627
-rect 11989 5593 12023 5627
-rect 1501 5525 1535 5559
-rect 5641 5525 5675 5559
+rect 9719 5661 9753 5695
+rect 10241 5661 10275 5695
+rect 12357 5661 12391 5695
+rect 12541 5661 12575 5695
+rect 2789 5593 2823 5627
+rect 3249 5593 3283 5627
+rect 3985 5593 4019 5627
+rect 4353 5593 4387 5627
+rect 4537 5593 4571 5627
+rect 8125 5593 8159 5627
+rect 8309 5593 8343 5627
+rect 12265 5593 12299 5627
+rect 1961 5525 1995 5559
+rect 3157 5525 3191 5559
+rect 4077 5525 4111 5559
+rect 4169 5525 4203 5559
rect 9597 5525 9631 5559
-rect 1961 5321 1995 5355
-rect 4169 5321 4203 5355
-rect 6469 5321 6503 5355
-rect 12725 5321 12759 5355
-rect 3709 5253 3743 5287
-rect 9229 5253 9263 5287
-rect 9321 5253 9355 5287
-rect 10793 5253 10827 5287
-rect 1409 5185 1443 5219
-rect 1593 5185 1627 5219
-rect 2054 5185 2088 5219
-rect 2876 5185 2910 5219
-rect 2973 5185 3007 5219
-rect 3111 5185 3145 5219
-rect 3249 5185 3283 5219
-rect 3612 5185 3646 5219
-rect 3801 5185 3835 5219
-rect 3985 5185 4019 5219
-rect 4445 5185 4479 5219
-rect 4997 5185 5031 5219
-rect 5180 5185 5214 5219
-rect 5549 5185 5583 5219
-rect 5825 5185 5859 5219
+rect 9781 5525 9815 5559
+rect 12081 5525 12115 5559
+rect 12725 5525 12759 5559
+rect 13185 5525 13219 5559
+rect 8401 5321 8435 5355
+rect 12182 5321 12216 5355
+rect 1409 5253 1443 5287
+rect 3525 5253 3559 5287
+rect 3617 5253 3651 5287
+rect 4445 5253 4479 5287
+rect 4629 5253 4663 5287
+rect 4997 5253 5031 5287
+rect 5181 5253 5215 5287
+rect 8861 5253 8895 5287
+rect 11713 5253 11747 5287
+rect 13277 5253 13311 5287
+rect 1501 5185 1535 5219
+rect 1685 5185 1719 5219
+rect 2145 5185 2179 5219
+rect 2329 5185 2363 5219
+rect 2421 5185 2455 5219
+rect 2789 5185 2823 5219
+rect 3341 5185 3375 5219
+rect 3709 5185 3743 5219
+rect 4077 5185 4111 5219
+rect 4353 5185 4387 5219
+rect 5365 5185 5399 5219
+rect 5641 5185 5675 5219
rect 6009 5185 6043 5219
-rect 8217 5185 8251 5219
-rect 8309 5185 8343 5219
+rect 6377 5185 6411 5219
+rect 6561 5185 6595 5219
+rect 7113 5185 7147 5219
+rect 7573 5185 7607 5219
rect 8953 5185 8987 5219
-rect 10517 5185 10551 5219
-rect 10609 5185 10643 5219
-rect 10977 5185 11011 5219
-rect 11069 5185 11103 5219
-rect 11713 5185 11747 5219
-rect 12541 5185 12575 5219
-rect 2421 5117 2455 5151
-rect 2513 5117 2547 5151
-rect 4077 5117 4111 5151
-rect 4629 5117 4663 5151
-rect 5273 5117 5307 5151
-rect 5365 5117 5399 5151
-rect 5733 5117 5767 5151
+rect 9137 5185 9171 5219
+rect 11805 5185 11839 5219
+rect 12633 5185 12667 5219
+rect 13553 5185 13587 5219
+rect 2973 5117 3007 5151
+rect 4813 5117 4847 5151
+rect 6745 5117 6779 5151
rect 7941 5117 7975 5151
-rect 9137 5117 9171 5151
-rect 10425 5117 10459 5151
-rect 11345 5117 11379 5151
-rect 2697 5049 2731 5083
-rect 3433 5049 3467 5083
-rect 5917 5049 5951 5083
-rect 10609 5049 10643 5083
-rect 11621 5049 11655 5083
-rect 1685 4981 1719 5015
-rect 8401 4981 8435 5015
-rect 10149 4981 10183 5015
-rect 10333 4981 10367 5015
-rect 11253 4981 11287 5015
-rect 4445 4777 4479 4811
-rect 6745 4777 6779 4811
-rect 9689 4777 9723 4811
-rect 2881 4709 2915 4743
-rect 3893 4709 3927 4743
-rect 7573 4709 7607 4743
-rect 10057 4709 10091 4743
-rect 12173 4709 12207 4743
+rect 8493 5117 8527 5151
+rect 8585 5117 8619 5151
+rect 9505 5117 9539 5151
+rect 9781 5117 9815 5151
+rect 2513 5049 2547 5083
+rect 11253 5049 11287 5083
+rect 1961 4981 1995 5015
+rect 2329 4981 2363 5015
+rect 3157 4981 3191 5015
+rect 3801 4981 3835 5015
+rect 6009 4981 6043 5015
+rect 8033 4981 8067 5015
+rect 12173 4981 12207 5015
+rect 12357 4981 12391 5015
+rect 1409 4777 1443 4811
+rect 8677 4777 8711 4811
+rect 9505 4777 9539 4811
+rect 11989 4777 12023 4811
+rect 13185 4709 13219 4743
rect 2329 4641 2363 4675
-rect 3045 4641 3079 4675
-rect 3525 4641 3559 4675
-rect 6377 4641 6411 4675
-rect 11069 4641 11103 4675
-rect 1501 4573 1535 4607
+rect 3433 4641 3467 4675
+rect 5641 4641 5675 4675
+rect 6929 4641 6963 4675
+rect 7205 4641 7239 4675
+rect 10241 4641 10275 4675
+rect 10517 4641 10551 4675
+rect 1593 4573 1627 4607
rect 1777 4573 1811 4607
-rect 2053 4573 2087 4607
-rect 2237 4573 2271 4607
+rect 2145 4573 2179 4607
rect 2421 4573 2455 4607
-rect 3157 4573 3191 4607
-rect 3433 4573 3467 4607
-rect 3801 4573 3835 4607
-rect 4263 4573 4297 4607
-rect 5181 4573 5215 4607
-rect 5365 4573 5399 4607
-rect 5457 4573 5491 4607
-rect 5641 4573 5675 4607
+rect 3137 4573 3171 4607
+rect 3341 4573 3375 4607
+rect 5733 4573 5767 4607
rect 5917 4573 5951 4607
-rect 6469 4573 6503 4607
+rect 6009 4573 6043 4607
+rect 6135 4573 6169 4607
rect 6561 4573 6595 4607
-rect 7205 4573 7239 4607
+rect 6745 4573 6779 4607
+rect 9045 4573 9079 4607
rect 9137 4573 9171 4607
rect 9505 4573 9539 4607
-rect 9873 4573 9907 4607
-rect 9965 4573 9999 4607
-rect 10149 4573 10183 4607
-rect 10701 4573 10735 4607
-rect 10885 4573 10919 4607
-rect 11161 4573 11195 4607
-rect 11345 4573 11379 4607
-rect 11621 4573 11655 4607
-rect 11897 4573 11931 4607
-rect 12009 4573 12043 4607
-rect 5273 4505 5307 4539
-rect 7389 4505 7423 4539
-rect 11529 4505 11563 4539
-rect 2513 4437 2547 4471
-rect 4261 4437 4295 4471
-rect 4997 4437 5031 4471
-rect 5733 4437 5767 4471
-rect 8953 4437 8987 4471
-rect 9413 4437 9447 4471
-rect 1501 4233 1535 4267
-rect 3893 4233 3927 4267
-rect 9597 4233 9631 4267
-rect 9873 4233 9907 4267
-rect 3525 4165 3559 4199
-rect 3985 4165 4019 4199
-rect 4629 4165 4663 4199
-rect 6653 4165 6687 4199
-rect 6745 4165 6779 4199
-rect 10885 4165 10919 4199
-rect 11805 4165 11839 4199
-rect 1593 4097 1627 4131
-rect 1777 4097 1811 4131
-rect 1960 4097 1994 4131
-rect 2145 4097 2179 4131
+rect 12725 4573 12759 4607
+rect 13553 4573 13587 4607
+rect 2697 4505 2731 4539
+rect 2881 4505 2915 4539
+rect 5365 4505 5399 4539
+rect 6377 4505 6411 4539
+rect 13277 4505 13311 4539
+rect 2237 4437 2271 4471
+rect 3249 4437 3283 4471
+rect 3525 4437 3559 4471
+rect 3893 4437 3927 4471
+rect 6745 4437 6779 4471
+rect 9689 4437 9723 4471
+rect 13369 4437 13403 4471
+rect 3341 4233 3375 4267
+rect 4629 4233 4663 4267
+rect 5089 4233 5123 4267
+rect 5549 4233 5583 4267
+rect 6469 4233 6503 4267
+rect 9689 4233 9723 4267
+rect 11161 4233 11195 4267
+rect 11253 4233 11287 4267
+rect 11621 4233 11655 4267
+rect 6193 4165 6227 4199
+rect 12541 4165 12575 4199
+rect 1869 4097 1903 4131
+rect 2053 4097 2087 4131
+rect 2237 4097 2271 4131
rect 2329 4097 2363 4131
-rect 2605 4097 2639 4131
-rect 3065 4097 3099 4131
-rect 3249 4097 3283 4131
+rect 2697 4097 2731 4131
+rect 2881 4097 2915 4131
rect 3341 4097 3375 4131
-rect 3709 4097 3743 4131
-rect 4353 4097 4387 4131
-rect 6469 4097 6503 4131
-rect 6842 4097 6876 4131
-rect 7389 4097 7423 4131
-rect 7573 4097 7607 4131
-rect 7849 4097 7883 4131
+rect 3525 4097 3559 4131
+rect 3985 4097 4019 4131
+rect 4077 4097 4111 4131
+rect 4261 4097 4295 4131
+rect 4537 4097 4571 4131
+rect 4721 4097 4755 4131
+rect 5181 4097 5215 4131
+rect 5825 4097 5859 4131
+rect 6101 4097 6135 4131
+rect 8217 4097 8251 4131
+rect 8769 4097 8803 4131
+rect 9045 4097 9079 4131
+rect 9505 4097 9539 4131
+rect 9597 4097 9631 4131
rect 9965 4097 9999 4131
-rect 10241 4097 10275 4131
-rect 10334 4097 10368 4131
-rect 10517 4097 10551 4131
-rect 10701 4097 10735 4131
-rect 10977 4097 11011 4131
-rect 11074 4097 11108 4131
-rect 2053 4029 2087 4063
-rect 8125 4029 8159 4063
-rect 10149 4029 10183 4063
-rect 11529 4029 11563 4063
-rect 13277 4029 13311 4063
-rect 2513 3961 2547 3995
-rect 7297 3961 7331 3995
-rect 2697 3893 2731 3927
-rect 6101 3893 6135 3927
-rect 7021 3893 7055 3927
-rect 7757 3893 7791 3927
-rect 11253 3893 11287 3927
+rect 10057 4097 10091 4131
+rect 10793 4097 10827 4131
+rect 10885 4097 10919 4131
+rect 11621 4097 11655 4131
+rect 11805 4097 11839 4131
+rect 12081 4097 12115 4131
+rect 12265 4097 12299 4131
+rect 12725 4097 12759 4131
+rect 12909 4097 12943 4131
+rect 13001 4097 13035 4131
+rect 1777 4029 1811 4063
+rect 4905 4029 4939 4063
+rect 7941 4029 7975 4063
+rect 10241 4029 10275 4063
+rect 10609 4029 10643 4063
+rect 11989 4029 12023 4063
+rect 13093 4029 13127 4063
+rect 1501 3961 1535 3995
+rect 2145 3961 2179 3995
+rect 3065 3961 3099 3995
+rect 3801 3961 3835 3995
+rect 5733 3961 5767 3995
+rect 8585 3961 8619 3995
+rect 9321 3961 9355 3995
+rect 9873 3961 9907 3995
+rect 1869 3893 1903 3927
+rect 2513 3893 2547 3927
+rect 8953 3893 8987 3927
+rect 10425 3893 10459 3927
+rect 13369 3893 13403 3927
rect 1501 3689 1535 3723
-rect 3893 3689 3927 3723
-rect 9045 3689 9079 3723
-rect 9689 3689 9723 3723
-rect 10057 3689 10091 3723
-rect 12633 3689 12667 3723
-rect 2421 3621 2455 3655
-rect 3525 3621 3559 3655
-rect 5733 3621 5767 3655
-rect 2559 3553 2593 3587
-rect 5365 3553 5399 3587
-rect 5641 3553 5675 3587
-rect 6653 3553 6687 3587
-rect 6929 3553 6963 3587
-rect 8401 3553 8435 3587
-rect 9505 3553 9539 3587
-rect 9597 3553 9631 3587
-rect 9781 3553 9815 3587
-rect 1835 3485 1869 3519
-rect 1961 3485 1995 3519
-rect 2053 3485 2087 3519
+rect 2237 3689 2271 3723
+rect 6469 3689 6503 3723
+rect 6653 3689 6687 3723
+rect 9597 3689 9631 3723
+rect 9781 3689 9815 3723
+rect 11805 3689 11839 3723
+rect 6009 3621 6043 3655
+rect 1869 3553 1903 3587
+rect 2605 3553 2639 3587
+rect 3433 3553 3467 3587
+rect 3801 3553 3835 3587
+rect 4169 3553 4203 3587
+rect 5549 3553 5583 3587
+rect 6377 3553 6411 3587
+rect 8769 3553 8803 3587
+rect 10057 3553 10091 3587
+rect 10333 3553 10367 3587
+rect 12909 3553 12943 3587
+rect 13093 3553 13127 3587
+rect 1501 3485 1535 3519
+rect 1593 3485 1627 3519
rect 2237 3485 2271 3519
-rect 2329 3485 2363 3519
-rect 2697 3485 2731 3519
-rect 2973 3485 3007 3519
-rect 3157 3485 3191 3519
-rect 3249 3485 3283 3519
-rect 3393 3485 3427 3519
-rect 6009 3485 6043 3519
+rect 3341 3485 3375 3519
+rect 4353 3485 4387 3519
+rect 4445 3485 4479 3519
+rect 4629 3485 4663 3519
+rect 4721 3485 4755 3519
+rect 5181 3485 5215 3519
+rect 5365 3485 5399 3519
+rect 5733 3485 5767 3519
+rect 5917 3485 5951 3519
+rect 9045 3485 9079 3519
rect 9229 3485 9263 3519
-rect 9321 3485 9355 3519
-rect 9689 3485 9723 3519
-rect 10241 3485 10275 3519
+rect 12081 3485 12115 3519
+rect 12265 3485 12299 3519
rect 12449 3485 12483 3519
-rect 12725 3485 12759 3519
-rect 1593 3417 1627 3451
-rect 6101 3417 6135 3451
-rect 10517 3417 10551 3451
-rect 5917 3349 5951 3383
-rect 6285 3349 6319 3383
-rect 11989 3349 12023 3383
-rect 12909 3349 12943 3383
-rect 3525 3145 3559 3179
-rect 4997 3145 5031 3179
+rect 12633 3485 12667 3519
+rect 4997 3417 5031 3451
+rect 8493 3417 8527 3451
+rect 9965 3417 9999 3451
+rect 11989 3417 12023 3451
+rect 12817 3417 12851 3451
+rect 2053 3349 2087 3383
+rect 4813 3349 4847 3383
+rect 7021 3349 7055 3383
+rect 9045 3349 9079 3383
+rect 9781 3349 9815 3383
+rect 1593 3145 1627 3179
+rect 2329 3145 2363 3179
rect 5181 3145 5215 3179
-rect 5273 3145 5307 3179
-rect 5549 3145 5583 3179
-rect 10701 3145 10735 3179
-rect 10977 3145 11011 3179
-rect 5457 3077 5491 3111
-rect 7297 3077 7331 3111
-rect 10057 3077 10091 3111
-rect 1593 3009 1627 3043
+rect 7481 3145 7515 3179
+rect 10793 3145 10827 3179
+rect 11345 3145 11379 3179
+rect 2789 3077 2823 3111
+rect 3341 3077 3375 3111
+rect 3709 3077 3743 3111
+rect 5733 3077 5767 3111
+rect 5917 3077 5951 3111
+rect 8033 3077 8067 3111
rect 1777 3009 1811 3043
-rect 1961 3009 1995 3043
-rect 2237 3009 2271 3043
-rect 2421 3009 2455 3043
-rect 2605 3009 2639 3043
-rect 2973 3009 3007 3043
+rect 1869 3009 1903 3043
+rect 2513 3009 2547 3043
+rect 2881 3009 2915 3043
rect 3157 3009 3191 3043
-rect 3249 3009 3283 3043
-rect 3893 3009 3927 3043
-rect 4813 3009 4847 3043
-rect 5121 3009 5155 3043
+rect 3525 3009 3559 3043
+rect 3801 3009 3835 3043
+rect 4721 3009 4755 3043
+rect 5365 3009 5399 3043
rect 5549 3009 5583 3043
-rect 5641 3009 5675 3043
-rect 5825 3009 5859 3043
-rect 6469 3009 6503 3043
-rect 6745 3009 6779 3043
-rect 7200 3009 7234 3043
-rect 7389 3009 7423 3043
-rect 7573 3009 7607 3043
-rect 9873 3009 9907 3043
-rect 10149 3009 10183 3043
-rect 10293 3009 10327 3043
-rect 10885 3009 10919 3043
-rect 11069 3009 11103 3043
-rect 6101 2941 6135 2975
-rect 6193 2941 6227 2975
-rect 6837 2941 6871 2975
-rect 9413 2941 9447 2975
-rect 9689 2941 9723 2975
-rect 11253 2941 11287 2975
-rect 11713 2941 11747 2975
-rect 11989 2941 12023 2975
+rect 6561 3009 6595 3043
+rect 7021 3009 7055 3043
+rect 7205 3009 7239 3043
+rect 7665 3009 7699 3043
+rect 7849 3009 7883 3043
+rect 8309 3009 8343 3043
+rect 10701 3009 10735 3043
+rect 11529 3009 11563 3043
+rect 11805 3009 11839 3043
+rect 12909 3009 12943 3043
+rect 13093 3009 13127 3043
+rect 2145 2941 2179 2975
+rect 2697 2941 2731 2975
+rect 3893 2941 3927 2975
+rect 4629 2941 4663 2975
+rect 6377 2941 6411 2975
+rect 6929 2941 6963 2975
+rect 7573 2941 7607 2975
+rect 8585 2941 8619 2975
+rect 10057 2941 10091 2975
+rect 10977 2941 11011 2975
+rect 12081 2941 12115 2975
rect 2053 2873 2087 2907
-rect 4721 2873 4755 2907
-rect 7021 2873 7055 2907
-rect 7941 2873 7975 2907
-rect 10425 2873 10459 2907
-rect 1777 2805 1811 2839
-rect 3341 2805 3375 2839
-rect 3985 2805 4019 2839
-rect 13461 2805 13495 2839
-rect 1501 2601 1535 2635
-rect 2973 2601 3007 2635
-rect 3893 2601 3927 2635
-rect 4445 2601 4479 2635
-rect 7573 2601 7607 2635
-rect 8585 2601 8619 2635
-rect 9045 2601 9079 2635
-rect 11897 2601 11931 2635
-rect 2421 2533 2455 2567
-rect 5089 2533 5123 2567
-rect 8125 2533 8159 2567
-rect 12725 2533 12759 2567
+rect 6837 2873 6871 2907
+rect 11805 2873 11839 2907
+rect 5917 2805 5951 2839
+rect 6101 2805 6135 2839
+rect 10333 2805 10367 2839
+rect 2697 2601 2731 2635
+rect 4169 2601 4203 2635
+rect 8953 2601 8987 2635
+rect 12081 2601 12115 2635
+rect 9873 2533 9907 2567
rect 1961 2465 1995 2499
-rect 2605 2465 2639 2499
-rect 3137 2465 3171 2499
-rect 3617 2465 3651 2499
-rect 5825 2465 5859 2499
-rect 5917 2465 5951 2499
-rect 6653 2465 6687 2499
-rect 7113 2465 7147 2499
-rect 13277 2465 13311 2499
+rect 3525 2465 3559 2499
+rect 4537 2465 4571 2499
+rect 5641 2465 5675 2499
+rect 9597 2465 9631 2499
+rect 12265 2465 12299 2499
+rect 1593 2397 1627 2431
rect 1685 2397 1719 2431
-rect 1868 2397 1902 2431
-rect 2053 2397 2087 2431
-rect 2237 2397 2271 2431
-rect 2697 2397 2731 2431
-rect 3249 2397 3283 2431
-rect 3801 2397 3835 2431
-rect 4323 2397 4357 2431
-rect 4629 2397 4663 2431
-rect 5089 2397 5123 2431
-rect 5273 2397 5307 2431
-rect 5549 2397 5583 2431
-rect 5732 2397 5766 2431
-rect 6055 2397 6089 2431
-rect 6561 2397 6595 2431
-rect 7205 2397 7239 2431
-rect 7695 2397 7729 2431
-rect 8217 2397 8251 2431
-rect 8769 2397 8803 2431
-rect 9224 2397 9258 2431
+rect 2145 2397 2179 2431
+rect 2329 2397 2363 2431
+rect 2605 2397 2639 2431
+rect 2789 2397 2823 2431
+rect 3341 2397 3375 2431
+rect 3893 2397 3927 2431
+rect 3985 2397 4019 2431
+rect 5457 2397 5491 2431
+rect 7573 2397 7607 2431
+rect 7757 2397 7791 2431
+rect 8125 2397 8159 2431
rect 9321 2397 9355 2431
-rect 9597 2397 9631 2431
-rect 9689 2397 9723 2431
rect 9965 2397 9999 2431
-rect 12081 2397 12115 2431
-rect 12357 2397 12391 2431
-rect 12889 2397 12923 2431
-rect 13001 2397 13035 2431
-rect 3525 2329 3559 2363
-rect 4721 2329 4755 2363
-rect 4905 2329 4939 2363
-rect 6285 2329 6319 2363
-rect 6837 2329 6871 2363
+rect 10057 2397 10091 2431
+rect 10333 2397 10367 2431
+rect 13277 2397 13311 2431
+rect 1869 2329 1903 2363
+rect 5917 2329 5951 2363
rect 9413 2329 9447 2363
-rect 9781 2329 9815 2363
-rect 10241 2329 10275 2363
-rect 13369 2329 13403 2363
-rect 4261 2261 4295 2295
-rect 7757 2261 7791 2295
-rect 11713 2261 11747 2295
-rect 12541 2261 12575 2295
-rect 1501 2057 1535 2091
+rect 10609 2329 10643 2363
+rect 2881 2261 2915 2295
+rect 3249 2261 3283 2295
+rect 7389 2261 7423 2295
+rect 8033 2261 8067 2295
+rect 8309 2261 8343 2295
+rect 8677 2261 8711 2295
+rect 1593 2057 1627 2091
+rect 1777 2057 1811 2091
rect 3617 2057 3651 2091
-rect 10408 2057 10442 2091
-rect 11069 2057 11103 2091
-rect 5733 1989 5767 2023
-rect 10701 1989 10735 2023
+rect 5549 2057 5583 2091
+rect 6101 2057 6135 2091
+rect 6469 2057 6503 2091
+rect 10333 2057 10367 2091
+rect 13277 2057 13311 2091
+rect 3249 1989 3283 2023
+rect 8217 1989 8251 2023
+rect 10517 1989 10551 2023
rect 3525 1921 3559 1955
-rect 3893 1921 3927 1955
-rect 4077 1921 4111 1955
-rect 8401 1921 8435 1955
-rect 10557 1921 10591 1955
+rect 3801 1921 3835 1955
+rect 5917 1921 5951 1955
+rect 6101 1921 6135 1955
+rect 10701 1921 10735 1955
rect 10793 1921 10827 1955
-rect 10977 1921 11011 1955
+rect 11161 1921 11195 1955
rect 11253 1921 11287 1955
-rect 11529 1921 11563 1955
-rect 1593 1853 1627 1887
-rect 1869 1853 1903 1887
-rect 6009 1853 6043 1887
-rect 6377 1853 6411 1887
-rect 6653 1853 6687 1887
-rect 8125 1853 8159 1887
-rect 8677 1853 8711 1887
-rect 10149 1853 10183 1887
+rect 4077 1853 4111 1887
+rect 8493 1853 8527 1887
+rect 8585 1853 8619 1887
+rect 8861 1853 8895 1887
+rect 11529 1853 11563 1887
rect 11805 1853 11839 1887
-rect 3341 1717 3375 1751
-rect 4261 1717 4295 1751
-rect 13277 1717 13311 1751
-rect 1869 1513 1903 1547
-rect 2789 1513 2823 1547
-rect 3893 1513 3927 1547
-rect 5181 1513 5215 1547
-rect 5549 1513 5583 1547
-rect 6101 1513 6135 1547
-rect 6653 1513 6687 1547
-rect 7481 1513 7515 1547
-rect 9597 1513 9631 1547
-rect 11345 1513 11379 1547
-rect 13461 1513 13495 1547
+rect 11069 1785 11103 1819
+rect 6745 1717 6779 1751
+rect 13461 1717 13495 1751
+rect 4077 1513 4111 1547
+rect 8493 1513 8527 1547
+rect 8677 1513 8711 1547
+rect 9768 1513 9802 1547
+rect 13277 1513 13311 1547
rect 8953 1445 8987 1479
-rect 11713 1377 11747 1411
-rect 11989 1377 12023 1411
-rect 1777 1309 1811 1343
-rect 2605 1309 2639 1343
-rect 3433 1309 3467 1343
-rect 3525 1309 3559 1343
-rect 4025 1309 4059 1343
-rect 4169 1309 4203 1343
+rect 1409 1377 1443 1411
+rect 5273 1377 5307 1411
+rect 5917 1377 5951 1411
+rect 7297 1377 7331 1411
+rect 7757 1377 7791 1411
+rect 7941 1377 7975 1411
+rect 9505 1377 9539 1411
+rect 11529 1377 11563 1411
+rect 3157 1309 3191 1343
+rect 3617 1309 3651 1343
+rect 3893 1309 3927 1343
+rect 4077 1309 4111 1343
+rect 4261 1309 4295 1343
rect 4445 1309 4479 1343
-rect 5457 1309 5491 1343
+rect 4629 1309 4663 1343
+rect 5181 1309 5215 1343
rect 5549 1309 5583 1343
-rect 5825 1309 5859 1343
-rect 5917 1309 5951 1343
+rect 5733 1309 5767 1343
rect 6193 1309 6227 1343
-rect 6377 1309 6411 1343
rect 6561 1309 6595 1343
-rect 7297 1309 7331 1343
-rect 9413 1309 9447 1343
-rect 11161 1309 11195 1343
-rect 4261 1241 4295 1275
-rect 9137 1241 9171 1275
+rect 7021 1309 7055 1343
+rect 8033 1309 8067 1343
+rect 8309 1309 8343 1343
+rect 9137 1309 9171 1343
+rect 13461 1309 13495 1343
+rect 3249 1241 3283 1275
+rect 3433 1241 3467 1275
rect 9321 1241 9355 1275
-rect 1593 1173 1627 1207
-rect 3525 1173 3559 1207
-rect 5641 1173 5675 1207
-rect 5917 1173 5951 1207
-rect 11529 1173 11563 1207
+rect 11805 1241 11839 1275
+rect 4721 1173 4755 1207
+rect 5089 1173 5123 1207
+rect 6377 1173 6411 1207
+rect 11253 1173 11287 1207
<< metal1 >>
-rect 2866 13744 2872 13796
-rect 2924 13784 2930 13796
-rect 6638 13784 6644 13796
-rect 2924 13756 6644 13784
-rect 2924 13744 2930 13756
-rect 6638 13744 6644 13756
-rect 6696 13744 6702 13796
-rect 2498 13676 2504 13728
-rect 2556 13716 2562 13728
-rect 11514 13716 11520 13728
-rect 2556 13688 11520 13716
-rect 2556 13676 2562 13688
-rect 11514 13676 11520 13688
-rect 11572 13676 11578 13728
+rect 6638 13920 6644 13932
+rect 2746 13892 6644 13920
+rect 2314 13744 2320 13796
+rect 2372 13784 2378 13796
+rect 2746 13784 2774 13892
+rect 6638 13880 6644 13892
+rect 6696 13880 6702 13932
+rect 11238 13852 11244 13864
+rect 2372 13756 2774 13784
+rect 4908 13824 11244 13852
+rect 2372 13744 2378 13756
+rect 1578 13676 1584 13728
+rect 1636 13716 1642 13728
+rect 4908 13716 4936 13824
+rect 11238 13812 11244 13824
+rect 11296 13812 11302 13864
+rect 1636 13688 4936 13716
+rect 1636 13676 1642 13688
+rect 5074 13676 5080 13728
+rect 5132 13716 5138 13728
+rect 8110 13716 8116 13728
+rect 5132 13688 8116 13716
+rect 5132 13676 5138 13688
+rect 8110 13676 8116 13688
+rect 8168 13676 8174 13728
rect 1104 13626 13892 13648
rect 1104 13574 4214 13626
rect 4266 13574 4278 13626
@@ -1152,315 +1206,457 @@ rect 12394 13574 12406 13626
rect 12458 13574 12470 13626
rect 12522 13574 13892 13626
rect 1104 13552 13892 13574
-rect 1670 13472 1676 13524
-rect 1728 13512 1734 13524
-rect 6365 13515 6423 13521
-rect 6365 13512 6377 13515
-rect 1728 13484 6377 13512
-rect 1728 13472 1734 13484
-rect 6365 13481 6377 13484
-rect 6411 13512 6423 13515
-rect 6454 13512 6460 13524
-rect 6411 13484 6460 13512
-rect 6411 13481 6423 13484
-rect 6365 13475 6423 13481
-rect 6454 13472 6460 13484
-rect 6512 13472 6518 13524
-rect 11149 13515 11207 13521
-rect 11149 13481 11161 13515
-rect 11195 13512 11207 13515
-rect 12066 13512 12072 13524
-rect 11195 13484 12072 13512
-rect 11195 13481 11207 13484
-rect 11149 13475 11207 13481
-rect 566 13404 572 13456
-rect 624 13444 630 13456
+rect 1394 13512 1400 13524
+rect 1355 13484 1400 13512
+rect 1394 13472 1400 13484
+rect 1452 13512 1458 13524
+rect 4062 13512 4068 13524
+rect 1452 13484 4068 13512
+rect 1452 13472 1458 13484
+rect 4062 13472 4068 13484
+rect 4120 13472 4126 13524
+rect 4709 13515 4767 13521
+rect 4709 13481 4721 13515
+rect 4755 13512 4767 13515
+rect 6914 13512 6920 13524
+rect 4755 13484 6920 13512
+rect 4755 13481 4767 13484
+rect 4709 13475 4767 13481
+rect 1670 13404 1676 13456
+rect 1728 13444 1734 13456
+rect 4724 13444 4752 13475
+rect 6914 13472 6920 13484
+rect 6972 13472 6978 13524
+rect 8036 13484 8616 13512
+rect 6365 13447 6423 13453
+rect 6365 13444 6377 13447
+rect 1728 13416 4752 13444
+rect 5644 13416 6377 13444
+rect 1728 13404 1734 13416
+rect 842 13336 848 13388
+rect 900 13376 906 13388
+rect 2314 13376 2320 13388
+rect 900 13348 2320 13376
+rect 900 13336 906 13348
+rect 2314 13336 2320 13348
+rect 2372 13336 2378 13388
+rect 2961 13379 3019 13385
+rect 2961 13345 2973 13379
+rect 3007 13376 3019 13379
+rect 4157 13379 4215 13385
+rect 4157 13376 4169 13379
+rect 3007 13348 4169 13376
+rect 3007 13345 3019 13348
+rect 2961 13339 3019 13345
+rect 4157 13345 4169 13348
+rect 4203 13345 4215 13379
+rect 5074 13376 5080 13388
+rect 5035 13348 5080 13376
+rect 4157 13339 4215 13345
+rect 5074 13336 5080 13348
+rect 5132 13336 5138 13388
+rect 5644 13385 5672 13416
+rect 6365 13413 6377 13416
+rect 6411 13413 6423 13447
+rect 6365 13407 6423 13413
rect 6733 13447 6791 13453
-rect 6733 13444 6745 13447
-rect 624 13416 6745 13444
-rect 624 13404 630 13416
-rect 6733 13413 6745 13416
+rect 6733 13413 6745 13447
rect 6779 13444 6791 13447
-rect 7653 13447 7711 13453
-rect 6779 13416 7236 13444
+rect 8036 13444 8064 13484
+rect 6779 13416 8064 13444
rect 6779 13413 6791 13416
rect 6733 13407 6791 13413
-rect 7208 13385 7236 13416
-rect 7653 13413 7665 13447
-rect 7699 13413 7711 13447
-rect 11164 13444 11192 13475
-rect 12066 13472 12072 13484
-rect 12124 13472 12130 13524
-rect 11514 13444 11520 13456
-rect 7653 13407 7711 13413
-rect 10704 13416 11192 13444
-rect 11475 13416 11520 13444
-rect 2409 13379 2467 13385
-rect 2409 13345 2421 13379
-rect 2455 13376 2467 13379
-rect 3513 13379 3571 13385
-rect 3513 13376 3525 13379
-rect 2455 13348 3525 13376
-rect 2455 13345 2467 13348
-rect 2409 13339 2467 13345
-rect 3513 13345 3525 13348
-rect 3559 13345 3571 13379
-rect 3513 13339 3571 13345
-rect 4893 13379 4951 13385
-rect 4893 13345 4905 13379
-rect 4939 13376 4951 13379
-rect 5905 13379 5963 13385
-rect 5905 13376 5917 13379
-rect 4939 13348 5917 13376
-rect 4939 13345 4951 13348
-rect 4893 13339 4951 13345
-rect 5905 13345 5917 13348
-rect 5951 13345 5963 13379
-rect 7009 13379 7067 13385
-rect 7009 13376 7021 13379
-rect 5905 13339 5963 13345
-rect 6748 13348 7021 13376
-rect 1394 13268 1400 13320
-rect 1452 13308 1458 13320
-rect 1857 13311 1915 13317
-rect 1857 13308 1869 13311
-rect 1452 13280 1869 13308
-rect 1452 13268 1458 13280
-rect 1857 13277 1869 13280
-rect 1903 13277 1915 13311
-rect 2498 13308 2504 13320
-rect 2459 13280 2504 13308
-rect 1857 13271 1915 13277
-rect 2498 13268 2504 13280
-rect 2556 13268 2562 13320
-rect 2958 13308 2964 13320
-rect 2919 13280 2964 13308
-rect 2958 13268 2964 13280
-rect 3016 13308 3022 13320
-rect 3326 13308 3332 13320
-rect 3016 13280 3188 13308
-rect 3287 13280 3332 13308
-rect 3016 13268 3022 13280
-rect 2317 13243 2375 13249
-rect 2317 13209 2329 13243
-rect 2363 13240 2375 13243
+rect 8110 13404 8116 13456
+rect 8168 13444 8174 13456
+rect 8588 13444 8616 13484
+rect 8662 13472 8668 13524
+rect 8720 13512 8726 13524
+rect 9493 13515 9551 13521
+rect 9493 13512 9505 13515
+rect 8720 13484 9505 13512
+rect 8720 13472 8726 13484
+rect 9493 13481 9505 13484
+rect 9539 13481 9551 13515
+rect 9493 13475 9551 13481
+rect 10229 13515 10287 13521
+rect 10229 13481 10241 13515
+rect 10275 13512 10287 13515
+rect 14366 13512 14372 13524
+rect 10275 13484 14372 13512
+rect 10275 13481 10287 13484
+rect 10229 13475 10287 13481
+rect 9030 13444 9036 13456
+rect 8168 13416 8213 13444
+rect 8588 13416 9036 13444
+rect 8168 13404 8174 13416
+rect 9030 13404 9036 13416
+rect 9088 13404 9094 13456
+rect 5629 13379 5687 13385
+rect 5629 13345 5641 13379
+rect 5675 13345 5687 13379
+rect 5629 13339 5687 13345
+rect 5813 13379 5871 13385
+rect 5813 13345 5825 13379
+rect 5859 13376 5871 13379
+rect 5859 13348 7052 13376
+rect 5859 13345 5871 13348
+rect 5813 13339 5871 13345
+rect 7024 13320 7052 13348
+rect 7098 13336 7104 13388
+rect 7156 13376 7162 13388
+rect 8202 13376 8208 13388
+rect 7156 13348 8208 13376
+rect 7156 13336 7162 13348
+rect 8202 13336 8208 13348
+rect 8260 13336 8266 13388
+rect 10244 13376 10272 13475
+rect 14366 13472 14372 13484
+rect 14424 13472 14430 13524
+rect 10597 13447 10655 13453
+rect 10597 13413 10609 13447
+rect 10643 13444 10655 13447
+rect 10643 13416 12434 13444
+rect 10643 13413 10655 13416
+rect 10597 13407 10655 13413
+rect 11882 13376 11888 13388
+rect 8312 13348 10272 13376
+rect 11164 13348 11888 13376
+rect 1578 13308 1584 13320
+rect 1539 13280 1584 13308
+rect 1578 13268 1584 13280
+rect 1636 13268 1642 13320
+rect 2222 13268 2228 13320
+rect 2280 13308 2286 13320
+rect 2409 13311 2467 13317
+rect 2409 13308 2421 13311
+rect 2280 13280 2421 13308
+rect 2280 13268 2286 13280
+rect 2409 13277 2421 13280
+rect 2455 13277 2467 13311
+rect 2409 13271 2467 13277
+rect 2682 13268 2688 13320
+rect 2740 13308 2746 13320
+rect 3053 13311 3111 13317
+rect 3053 13308 3065 13311
+rect 2740 13280 3065 13308
+rect 2740 13268 2746 13280
+rect 3053 13277 3065 13280
+rect 3099 13277 3111 13311
+rect 3053 13271 3111 13277
+rect 3528 13280 3740 13308
+rect 2038 13240 2044 13252
+rect 1999 13212 2044 13240
+rect 2038 13200 2044 13212
+rect 2096 13200 2102 13252
+rect 2130 13200 2136 13252
+rect 2188 13240 2194 13252
rect 2866 13240 2872 13252
-rect 2363 13212 2872 13240
-rect 2363 13209 2375 13212
-rect 2317 13203 2375 13209
+rect 2188 13212 2233 13240
+rect 2827 13212 2872 13240
+rect 2188 13200 2194 13212
rect 2866 13200 2872 13212
rect 2924 13200 2930 13252
-rect 3053 13243 3111 13249
-rect 3053 13209 3065 13243
-rect 3099 13209 3111 13243
-rect 3160 13240 3188 13280
-rect 3326 13268 3332 13280
-rect 3384 13268 3390 13320
-rect 3602 13268 3608 13320
-rect 3660 13308 3666 13320
-rect 4341 13311 4399 13317
-rect 4341 13308 4353 13311
-rect 3660 13280 4353 13308
-rect 3660 13268 3666 13280
-rect 4341 13277 4353 13280
-rect 4387 13277 4399 13311
-rect 5166 13308 5172 13320
-rect 5127 13280 5172 13308
-rect 4341 13271 4399 13277
-rect 5166 13268 5172 13280
-rect 5224 13268 5230 13320
-rect 5629 13311 5687 13317
-rect 5629 13277 5641 13311
-rect 5675 13308 5687 13311
-rect 5810 13308 5816 13320
-rect 5675 13280 5816 13308
-rect 5675 13277 5687 13280
-rect 5629 13271 5687 13277
-rect 5810 13268 5816 13280
-rect 5868 13268 5874 13320
-rect 3421 13243 3479 13249
-rect 3421 13240 3433 13243
-rect 3160 13212 3433 13240
-rect 3053 13203 3111 13209
-rect 3421 13209 3433 13212
-rect 3467 13209 3479 13243
-rect 4798 13240 4804 13252
-rect 4759 13212 4804 13240
-rect 3421 13203 3479 13209
-rect 3068 13172 3096 13203
-rect 4798 13200 4804 13212
-rect 4856 13200 4862 13252
-rect 5718 13240 5724 13252
-rect 5679 13212 5724 13240
-rect 5718 13200 5724 13212
-rect 5776 13200 5782 13252
-rect 6748 13184 6776 13348
-rect 7009 13345 7021 13348
-rect 7055 13345 7067 13379
-rect 7009 13339 7067 13345
-rect 7193 13379 7251 13385
-rect 7193 13345 7205 13379
-rect 7239 13345 7251 13379
-rect 7668 13376 7696 13407
-rect 8018 13376 8024 13388
-rect 7668 13348 8024 13376
-rect 7193 13339 7251 13345
-rect 8018 13336 8024 13348
-rect 8076 13376 8082 13388
-rect 10704 13385 10732 13416
-rect 11514 13404 11520 13416
-rect 11572 13404 11578 13456
-rect 12989 13447 13047 13453
-rect 12989 13444 13001 13447
-rect 11992 13416 13001 13444
-rect 8941 13379 8999 13385
-rect 8941 13376 8953 13379
-rect 8076 13348 8953 13376
-rect 8076 13336 8082 13348
-rect 8941 13345 8953 13348
-rect 8987 13345 8999 13379
-rect 8941 13339 8999 13345
-rect 10689 13379 10747 13385
-rect 10689 13345 10701 13379
-rect 10735 13345 10747 13379
-rect 10689 13339 10747 13345
-rect 10781 13379 10839 13385
-rect 10781 13345 10793 13379
-rect 10827 13345 10839 13379
-rect 10781 13339 10839 13345
-rect 9585 13311 9643 13317
-rect 9585 13277 9597 13311
-rect 9631 13308 9643 13311
-rect 9674 13308 9680 13320
-rect 9631 13280 9680 13308
-rect 9631 13277 9643 13280
-rect 9585 13271 9643 13277
-rect 9674 13268 9680 13280
-rect 9732 13268 9738 13320
-rect 10796 13308 10824 13339
-rect 10870 13336 10876 13388
-rect 10928 13376 10934 13388
-rect 11992 13385 12020 13416
-rect 12989 13413 13001 13416
-rect 13035 13413 13047 13447
-rect 12989 13407 13047 13413
-rect 11977 13379 12035 13385
-rect 11977 13376 11989 13379
-rect 10928 13348 11989 13376
-rect 10928 13336 10934 13348
-rect 11977 13345 11989 13348
-rect 12023 13345 12035 13379
-rect 11977 13339 12035 13345
-rect 12161 13379 12219 13385
-rect 12161 13345 12173 13379
-rect 12207 13376 12219 13379
-rect 13173 13379 13231 13385
-rect 13173 13376 13185 13379
-rect 12207 13348 13185 13376
-rect 12207 13345 12219 13348
-rect 12161 13339 12219 13345
-rect 13173 13345 13185 13348
-rect 13219 13345 13231 13379
-rect 13173 13339 13231 13345
-rect 11054 13308 11060 13320
-rect 10796 13280 11060 13308
-rect 11054 13268 11060 13280
-rect 11112 13308 11118 13320
-rect 11333 13311 11391 13317
-rect 11333 13308 11345 13311
-rect 11112 13280 11345 13308
-rect 11112 13268 11118 13280
-rect 11333 13277 11345 13280
-rect 11379 13308 11391 13311
-rect 12176 13308 12204 13339
-rect 12342 13308 12348 13320
-rect 11379 13280 12204 13308
-rect 12303 13280 12348 13308
-rect 11379 13277 11391 13280
-rect 11333 13271 11391 13277
-rect 12342 13268 12348 13280
-rect 12400 13268 12406 13320
-rect 9398 13240 9404 13252
-rect 9359 13212 9404 13240
-rect 9398 13200 9404 13212
-rect 9456 13200 9462 13252
+rect 3418 13200 3424 13252
+rect 3476 13240 3482 13252
+rect 3528 13249 3556 13280
+rect 3513 13243 3571 13249
+rect 3513 13240 3525 13243
+rect 3476 13212 3525 13240
+rect 3476 13200 3482 13212
+rect 3513 13209 3525 13212
+rect 3559 13209 3571 13243
+rect 3513 13203 3571 13209
+rect 3605 13243 3663 13249
+rect 3605 13209 3617 13243
+rect 3651 13209 3663 13243
+rect 3712 13240 3740 13280
+rect 3878 13268 3884 13320
+rect 3936 13308 3942 13320
+rect 3973 13311 4031 13317
+rect 3973 13308 3985 13311
+rect 3936 13280 3985 13308
+rect 3936 13268 3942 13280
+rect 3973 13277 3985 13280
+rect 4019 13277 4031 13311
+rect 3973 13271 4031 13277
+rect 5718 13268 5724 13320
+rect 5776 13308 5782 13320
+rect 6086 13308 6092 13320
+rect 5776 13280 6092 13308
+rect 5776 13268 5782 13280
+rect 6086 13268 6092 13280
+rect 6144 13308 6150 13320
+rect 6549 13311 6607 13317
+rect 6549 13308 6561 13311
+rect 6144 13280 6561 13308
+rect 6144 13268 6150 13280
+rect 6549 13277 6561 13280
+rect 6595 13277 6607 13311
+rect 6549 13271 6607 13277
+rect 6638 13268 6644 13320
+rect 6696 13308 6702 13320
+rect 6865 13311 6923 13317
+rect 6865 13308 6877 13311
+rect 6696 13280 6877 13308
+rect 6696 13268 6702 13280
+rect 6865 13277 6877 13280
+rect 6911 13277 6923 13311
+rect 6865 13271 6923 13277
+rect 7006 13268 7012 13320
+rect 7064 13308 7070 13320
+rect 7282 13308 7288 13320
+rect 7064 13280 7109 13308
+rect 7243 13280 7288 13308
+rect 7064 13268 7070 13280
+rect 7282 13268 7288 13280
+rect 7340 13268 7346 13320
+rect 7377 13311 7435 13317
+rect 7377 13277 7389 13311
+rect 7423 13308 7435 13311
+rect 7742 13308 7748 13320
+rect 7423 13280 7748 13308
+rect 7423 13277 7435 13280
+rect 7377 13271 7435 13277
+rect 7742 13268 7748 13280
+rect 7800 13268 7806 13320
+rect 8312 13317 8340 13348
+rect 8292 13311 8350 13317
+rect 8292 13277 8304 13311
+rect 8338 13277 8350 13311
+rect 8662 13308 8668 13320
+rect 8623 13280 8668 13308
+rect 8292 13271 8350 13277
+rect 8662 13268 8668 13280
+rect 8720 13268 8726 13320
+rect 8754 13268 8760 13320
+rect 8812 13308 8818 13320
+rect 9125 13311 9183 13317
+rect 9125 13308 9137 13311
+rect 8812 13280 9137 13308
+rect 8812 13268 8818 13280
+rect 9125 13277 9137 13280
+rect 9171 13277 9183 13311
+rect 9125 13271 9183 13277
+rect 10594 13268 10600 13320
+rect 10652 13308 10658 13320
+rect 11164 13317 11192 13348
+rect 11882 13336 11888 13348
+rect 11940 13336 11946 13388
+rect 12406 13376 12434 13416
+rect 12713 13379 12771 13385
+rect 12713 13376 12725 13379
+rect 12406 13348 12725 13376
+rect 12713 13345 12725 13348
+rect 12759 13345 12771 13379
+rect 12713 13339 12771 13345
+rect 10873 13311 10931 13317
+rect 10873 13308 10885 13311
+rect 10652 13280 10885 13308
+rect 10652 13268 10658 13280
+rect 10873 13277 10885 13280
+rect 10919 13277 10931 13311
+rect 10873 13271 10931 13277
+rect 11057 13311 11115 13317
+rect 11057 13277 11069 13311
+rect 11103 13308 11115 13311
+rect 11149 13311 11207 13317
+rect 11149 13308 11161 13311
+rect 11103 13280 11161 13308
+rect 11103 13277 11115 13280
+rect 11057 13271 11115 13277
+rect 11149 13277 11161 13280
+rect 11195 13277 11207 13311
+rect 12066 13308 12072 13320
+rect 11149 13271 11207 13277
+rect 11348 13280 11652 13308
+rect 12027 13280 12072 13308
+rect 4065 13243 4123 13249
+rect 4065 13240 4077 13243
+rect 3712 13212 4077 13240
+rect 3605 13203 3663 13209
+rect 4065 13209 4077 13212
+rect 4111 13209 4123 13243
+rect 4065 13203 4123 13209
+rect 4985 13243 5043 13249
+rect 4985 13209 4997 13243
+rect 5031 13240 5043 13243
+rect 5534 13240 5540 13252
+rect 5031 13212 5540 13240
+rect 5031 13209 5043 13212
+rect 4985 13203 5043 13209
+rect 3620 13172 3648 13203
+rect 5534 13200 5540 13212
+rect 5592 13200 5598 13252
+rect 5994 13240 6000 13252
+rect 5955 13212 6000 13240
+rect 5994 13200 6000 13212
+rect 6052 13200 6058 13252
+rect 6178 13200 6184 13252
+rect 6236 13240 6242 13252
+rect 7098 13240 7104 13252
+rect 6236 13212 7104 13240
+rect 6236 13200 6242 13212
+rect 7098 13200 7104 13212
+rect 7156 13200 7162 13252
+rect 7834 13240 7840 13252
+rect 7795 13212 7840 13240
+rect 7834 13200 7840 13212
+rect 7892 13200 7898 13252
+rect 7929 13243 7987 13249
+rect 7929 13209 7941 13243
+rect 7975 13209 7987 13243
+rect 7929 13203 7987 13209
+rect 3789 13175 3847 13181
+rect 3789 13172 3801 13175
+rect 3620 13144 3801 13172
+rect 3789 13141 3801 13144
+rect 3835 13141 3847 13175
+rect 3789 13135 3847 13141
+rect 4246 13132 4252 13184
+rect 4304 13172 4310 13184
+rect 4433 13175 4491 13181
+rect 4433 13172 4445 13175
+rect 4304 13144 4445 13172
+rect 4304 13132 4310 13144
+rect 4433 13141 4445 13144
+rect 4479 13141 4491 13175
+rect 4433 13135 4491 13141
+rect 4893 13175 4951 13181
+rect 4893 13141 4905 13175
+rect 4939 13172 4951 13175
+rect 5626 13172 5632 13184
+rect 4939 13144 5632 13172
+rect 4939 13141 4951 13144
+rect 4893 13135 4951 13141
+rect 5626 13132 5632 13144
+rect 5684 13132 5690 13184
+rect 6089 13175 6147 13181
+rect 6089 13141 6101 13175
+rect 6135 13172 6147 13175
+rect 7650 13172 7656 13184
+rect 6135 13144 7656 13172
+rect 6135 13141 6147 13144
+rect 6089 13135 6147 13141
+rect 7650 13132 7656 13144
+rect 7708 13132 7714 13184
+rect 7944 13172 7972 13203
+rect 8110 13200 8116 13252
+rect 8168 13240 8174 13252
+rect 8389 13243 8447 13249
+rect 8389 13240 8401 13243
+rect 8168 13212 8401 13240
+rect 8168 13200 8174 13212
+rect 8389 13209 8401 13212
+rect 8435 13209 8447 13243
+rect 8389 13203 8447 13209
+rect 8478 13200 8484 13252
+rect 8536 13240 8542 13252
+rect 9309 13243 9367 13249
+rect 9309 13240 9321 13243
+rect 8536 13212 9321 13240
+rect 8536 13200 8542 13212
+rect 9309 13209 9321 13212
+rect 9355 13209 9367 13243
+rect 9309 13203 9367 13209
rect 9493 13243 9551 13249
rect 9493 13209 9505 13243
rect 9539 13240 9551 13243
-rect 9858 13240 9864 13252
-rect 9539 13212 9864 13240
+rect 10042 13240 10048 13252
+rect 9539 13212 10048 13240
rect 9539 13209 9551 13212
rect 9493 13203 9551 13209
-rect 9858 13200 9864 13212
-rect 9916 13200 9922 13252
-rect 10042 13240 10048 13252
-rect 10003 13212 10048 13240
rect 10042 13200 10048 13212
rect 10100 13200 10106 13252
-rect 10134 13200 10140 13252
-rect 10192 13240 10198 13252
-rect 12802 13240 12808 13252
-rect 10192 13212 10237 13240
-rect 12763 13212 12808 13240
-rect 10192 13200 10198 13212
-rect 12802 13200 12808 13212
-rect 12860 13200 12866 13252
-rect 12894 13200 12900 13252
-rect 12952 13240 12958 13252
-rect 12952 13212 12997 13240
-rect 12952 13200 12958 13212
-rect 3145 13175 3203 13181
-rect 3145 13172 3157 13175
-rect 3068 13144 3157 13172
-rect 3145 13141 3157 13144
-rect 3191 13141 3203 13175
-rect 3878 13172 3884 13184
-rect 3839 13144 3884 13172
-rect 3145 13135 3203 13141
-rect 3878 13132 3884 13144
-rect 3936 13132 3942 13184
-rect 5534 13132 5540 13184
-rect 5592 13172 5598 13184
-rect 6089 13175 6147 13181
-rect 6089 13172 6101 13175
-rect 5592 13144 6101 13172
-rect 5592 13132 5598 13144
-rect 6089 13141 6101 13144
-rect 6135 13172 6147 13175
-rect 6549 13175 6607 13181
-rect 6549 13172 6561 13175
-rect 6135 13144 6561 13172
-rect 6135 13141 6147 13144
-rect 6089 13135 6147 13141
-rect 6549 13141 6561 13144
-rect 6595 13172 6607 13175
-rect 6730 13172 6736 13184
-rect 6595 13144 6736 13172
-rect 6595 13141 6607 13144
-rect 6549 13135 6607 13141
-rect 6730 13132 6736 13144
-rect 6788 13132 6794 13184
-rect 7282 13172 7288 13184
-rect 7243 13144 7288 13172
-rect 7282 13132 7288 13144
-rect 7340 13132 7346 13184
-rect 8570 13132 8576 13184
-rect 8628 13172 8634 13184
-rect 10229 13175 10287 13181
-rect 10229 13172 10241 13175
-rect 8628 13144 10241 13172
-rect 8628 13132 8634 13144
-rect 10229 13141 10241 13144
-rect 10275 13141 10287 13175
-rect 10594 13172 10600 13184
-rect 10555 13144 10600 13172
-rect 10229 13135 10287 13141
-rect 10594 13132 10600 13144
-rect 10652 13132 10658 13184
-rect 11882 13172 11888 13184
-rect 11843 13144 11888 13172
-rect 11882 13132 11888 13144
-rect 11940 13132 11946 13184
+rect 10689 13243 10747 13249
+rect 10689 13209 10701 13243
+rect 10735 13240 10747 13243
+rect 11348 13240 11376 13280
+rect 11624 13252 11652 13280
+rect 12066 13268 12072 13280
+rect 12124 13268 12130 13320
+rect 12158 13268 12164 13320
+rect 12216 13308 12222 13320
+rect 12216 13280 12261 13308
+rect 12216 13268 12222 13280
+rect 12986 13268 12992 13320
+rect 13044 13308 13050 13320
+rect 13081 13311 13139 13317
+rect 13081 13308 13093 13311
+rect 13044 13280 13093 13308
+rect 13044 13268 13050 13280
+rect 13081 13277 13093 13280
+rect 13127 13277 13139 13311
+rect 13081 13271 13139 13277
+rect 13170 13268 13176 13320
+rect 13228 13308 13234 13320
+rect 13449 13311 13507 13317
+rect 13449 13308 13461 13311
+rect 13228 13280 13461 13308
+rect 13228 13268 13234 13280
+rect 13449 13277 13461 13280
+rect 13495 13277 13507 13311
+rect 13449 13271 13507 13277
+rect 10735 13212 11376 13240
+rect 11517 13243 11575 13249
+rect 10735 13209 10747 13212
+rect 10689 13203 10747 13209
+rect 11517 13209 11529 13243
+rect 11563 13209 11575 13243
+rect 11517 13203 11575 13209
+rect 8941 13175 8999 13181
+rect 8941 13172 8953 13175
+rect 7944 13144 8953 13172
+rect 8941 13141 8953 13144
+rect 8987 13141 8999 13175
+rect 9674 13172 9680 13184
+rect 9635 13144 9680 13172
+rect 8941 13135 8999 13141
+rect 9674 13132 9680 13144
+rect 9732 13132 9738 13184
+rect 9858 13172 9864 13184
+rect 9819 13144 9864 13172
+rect 9858 13132 9864 13144
+rect 9916 13132 9922 13184
+rect 9950 13132 9956 13184
+rect 10008 13172 10014 13184
+rect 10410 13172 10416 13184
+rect 10008 13144 10053 13172
+rect 10371 13144 10416 13172
+rect 10008 13132 10014 13144
+rect 10410 13132 10416 13144
+rect 10468 13132 10474 13184
+rect 11333 13175 11391 13181
+rect 11333 13141 11345 13175
+rect 11379 13172 11391 13175
+rect 11532 13172 11560 13203
+rect 11606 13200 11612 13252
+rect 11664 13240 11670 13252
+rect 12618 13240 12624 13252
+rect 11664 13212 11709 13240
+rect 12579 13212 12624 13240
+rect 11664 13200 11670 13212
+rect 12618 13200 12624 13212
+rect 12676 13200 12682 13252
+rect 13354 13240 13360 13252
+rect 13315 13212 13360 13240
+rect 13354 13200 13360 13212
+rect 13412 13200 13418 13252
+rect 12894 13172 12900 13184
+rect 11379 13144 11560 13172
+rect 12855 13144 12900 13172
+rect 11379 13141 11391 13144
+rect 11333 13135 11391 13141
+rect 12894 13132 12900 13144
+rect 12952 13132 12958 13184
+rect 13078 13132 13084 13184
+rect 13136 13172 13142 13184
+rect 13173 13175 13231 13181
+rect 13173 13172 13185 13175
+rect 13136 13144 13185 13172
+rect 13136 13132 13142 13144
+rect 13173 13141 13185 13144
+rect 13219 13141 13231 13175
+rect 13173 13135 13231 13141
rect 1104 13082 13892 13104
rect 1104 13030 8214 13082
rect 8266 13030 8278 13082
@@ -1469,233 +1665,366 @@ rect 8394 13030 8406 13082
rect 8458 13030 8470 13082
rect 8522 13030 13892 13082
rect 1104 13008 13892 13030
-rect 5718 12928 5724 12980
-rect 5776 12968 5782 12980
+rect 3142 12928 3148 12980
+rect 3200 12968 3206 12980
rect 6365 12971 6423 12977
rect 6365 12968 6377 12971
-rect 5776 12940 6377 12968
-rect 5776 12928 5782 12940
+rect 3200 12940 6377 12968
+rect 3200 12928 3206 12940
rect 6365 12937 6377 12940
-rect 6411 12937 6423 12971
+rect 6411 12968 6423 12971
+rect 7098 12968 7104 12980
+rect 6411 12940 7104 12968
+rect 6411 12937 6423 12940
rect 6365 12931 6423 12937
-rect 6454 12928 6460 12980
-rect 6512 12968 6518 12980
-rect 6917 12971 6975 12977
-rect 6917 12968 6929 12971
-rect 6512 12940 6929 12968
-rect 6512 12928 6518 12940
-rect 6917 12937 6929 12940
-rect 6963 12937 6975 12971
-rect 6917 12931 6975 12937
-rect 9398 12928 9404 12980
-rect 9456 12968 9462 12980
-rect 9456 12940 11652 12968
-rect 9456 12928 9462 12940
-rect 2866 12860 2872 12912
-rect 2924 12900 2930 12912
-rect 2961 12903 3019 12909
-rect 2961 12900 2973 12903
-rect 2924 12872 2973 12900
-rect 2924 12860 2930 12872
-rect 2961 12869 2973 12872
-rect 3007 12900 3019 12903
-rect 3237 12903 3295 12909
-rect 3237 12900 3249 12903
-rect 3007 12872 3249 12900
-rect 3007 12869 3019 12872
-rect 2961 12863 3019 12869
-rect 3237 12869 3249 12872
-rect 3283 12869 3295 12903
-rect 5810 12900 5816 12912
-rect 5771 12872 5816 12900
-rect 3237 12863 3295 12869
-rect 5810 12860 5816 12872
-rect 5868 12860 5874 12912
-rect 8570 12900 8576 12912
-rect 5920 12872 8576 12900
-rect 1394 12832 1400 12844
-rect 1355 12804 1400 12832
-rect 1394 12792 1400 12804
-rect 1452 12792 1458 12844
-rect 2777 12835 2835 12841
-rect 2777 12801 2789 12835
-rect 2823 12832 2835 12835
-rect 3326 12832 3332 12844
-rect 2823 12804 3332 12832
-rect 2823 12801 2835 12804
-rect 2777 12795 2835 12801
-rect 3326 12792 3332 12804
-rect 3384 12792 3390 12844
-rect 3513 12835 3571 12841
-rect 3513 12801 3525 12835
-rect 3559 12832 3571 12835
-rect 3602 12832 3608 12844
-rect 3559 12804 3608 12832
-rect 3559 12801 3571 12804
-rect 3513 12795 3571 12801
-rect 3602 12792 3608 12804
-rect 3660 12792 3666 12844
-rect 4433 12835 4491 12841
-rect 4433 12801 4445 12835
-rect 4479 12801 4491 12835
-rect 5166 12832 5172 12844
-rect 5079 12804 5172 12832
-rect 4433 12795 4491 12801
-rect 3421 12767 3479 12773
-rect 3421 12733 3433 12767
-rect 3467 12764 3479 12767
-rect 4448 12764 4476 12795
-rect 5166 12792 5172 12804
-rect 5224 12832 5230 12844
-rect 5920 12832 5948 12872
-rect 8570 12860 8576 12872
-rect 8628 12860 8634 12912
-rect 9600 12909 9628 12940
-rect 9585 12903 9643 12909
-rect 9585 12869 9597 12903
-rect 9631 12869 9643 12903
-rect 9585 12863 9643 12869
-rect 10042 12860 10048 12912
-rect 10100 12900 10106 12912
-rect 11624 12909 11652 12940
-rect 10321 12903 10379 12909
-rect 10321 12900 10333 12903
-rect 10100 12872 10333 12900
-rect 10100 12860 10106 12872
-rect 10321 12869 10333 12872
-rect 10367 12869 10379 12903
-rect 11609 12903 11667 12909
-rect 10321 12863 10379 12869
-rect 10704 12872 11560 12900
-rect 5224 12804 5948 12832
-rect 6089 12835 6147 12841
-rect 5224 12792 5230 12804
-rect 6089 12801 6101 12835
-rect 6135 12832 6147 12835
+rect 7098 12928 7104 12940
+rect 7156 12928 7162 12980
+rect 7834 12928 7840 12980
+rect 7892 12968 7898 12980
+rect 7892 12940 8616 12968
+rect 7892 12928 7898 12940
+rect 1486 12860 1492 12912
+rect 1544 12900 1550 12912
+rect 1581 12903 1639 12909
+rect 1581 12900 1593 12903
+rect 1544 12872 1593 12900
+rect 1544 12860 1550 12872
+rect 1581 12869 1593 12872
+rect 1627 12900 1639 12903
+rect 3234 12900 3240 12912
+rect 1627 12872 3240 12900
+rect 1627 12869 1639 12872
+rect 1581 12863 1639 12869
+rect 3234 12860 3240 12872
+rect 3292 12860 3298 12912
+rect 3694 12860 3700 12912
+rect 3752 12900 3758 12912
+rect 4246 12900 4252 12912
+rect 3752 12872 4252 12900
+rect 3752 12860 3758 12872
+rect 4246 12860 4252 12872
+rect 4304 12860 4310 12912
+rect 4341 12903 4399 12909
+rect 4341 12869 4353 12903
+rect 4387 12900 4399 12903
+rect 6638 12900 6644 12912
+rect 4387 12872 6644 12900
+rect 4387 12869 4399 12872
+rect 4341 12863 4399 12869
+rect 6638 12860 6644 12872
+rect 6696 12860 6702 12912
+rect 6825 12903 6883 12909
+rect 6825 12869 6837 12903
+rect 6871 12900 6883 12903
+rect 7006 12900 7012 12912
+rect 6871 12872 7012 12900
+rect 6871 12869 6883 12872
+rect 6825 12863 6883 12869
+rect 7006 12860 7012 12872
+rect 7064 12860 7070 12912
+rect 7282 12900 7288 12912
+rect 7243 12872 7288 12900
+rect 7282 12860 7288 12872
+rect 7340 12860 7346 12912
+rect 7653 12903 7711 12909
+rect 7653 12869 7665 12903
+rect 7699 12900 7711 12903
+rect 8478 12900 8484 12912
+rect 7699 12872 8484 12900
+rect 7699 12869 7711 12872
+rect 7653 12863 7711 12869
+rect 8478 12860 8484 12872
+rect 8536 12860 8542 12912
+rect 8588 12909 8616 12940
+rect 9674 12928 9680 12980
+rect 9732 12968 9738 12980
+rect 10502 12968 10508 12980
+rect 9732 12940 10508 12968
+rect 9732 12928 9738 12940
+rect 10502 12928 10508 12940
+rect 10560 12968 10566 12980
+rect 10560 12940 11008 12968
+rect 10560 12928 10566 12940
+rect 8573 12903 8631 12909
+rect 8573 12869 8585 12903
+rect 8619 12900 8631 12903
+rect 9858 12900 9864 12912
+rect 8619 12872 9864 12900
+rect 8619 12869 8631 12872
+rect 8573 12863 8631 12869
+rect 9858 12860 9864 12872
+rect 9916 12860 9922 12912
+rect 10226 12860 10232 12912
+rect 10284 12900 10290 12912
+rect 10689 12903 10747 12909
+rect 10689 12900 10701 12903
+rect 10284 12872 10701 12900
+rect 10284 12860 10290 12872
+rect 10689 12869 10701 12872
+rect 10735 12900 10747 12903
+rect 10870 12900 10876 12912
+rect 10735 12872 10876 12900
+rect 10735 12869 10747 12872
+rect 10689 12863 10747 12869
+rect 10870 12860 10876 12872
+rect 10928 12860 10934 12912
+rect 1394 12792 1400 12844
+rect 1452 12832 1458 12844
+rect 1673 12835 1731 12841
+rect 1673 12832 1685 12835
+rect 1452 12804 1685 12832
+rect 1452 12792 1458 12804
+rect 1673 12801 1685 12804
+rect 1719 12801 1731 12835
+rect 1854 12832 1860 12844
+rect 1815 12804 1860 12832
+rect 1673 12795 1731 12801
+rect 1854 12792 1860 12804
+rect 1912 12792 1918 12844
+rect 2041 12835 2099 12841
+rect 2041 12801 2053 12835
+rect 2087 12832 2099 12835
+rect 2222 12832 2228 12844
+rect 2087 12804 2228 12832
+rect 2087 12801 2099 12804
+rect 2041 12795 2099 12801
+rect 2222 12792 2228 12804
+rect 2280 12792 2286 12844
+rect 3605 12835 3663 12841
+rect 3605 12801 3617 12835
+rect 3651 12832 3663 12835
+rect 3878 12832 3884 12844
+rect 3651 12804 3884 12832
+rect 3651 12801 3663 12804
+rect 3605 12795 3663 12801
+rect 3878 12792 3884 12804
+rect 3936 12792 3942 12844
+rect 4062 12792 4068 12844
+rect 4120 12841 4126 12844
+rect 4120 12835 4163 12841
+rect 4151 12801 4163 12835
+rect 4120 12795 4163 12801
+rect 4120 12792 4126 12795
+rect 4430 12792 4436 12844
+rect 4488 12832 4494 12844
+rect 4525 12835 4583 12841
+rect 4525 12832 4537 12835
+rect 4488 12804 4537 12832
+rect 4488 12792 4494 12804
+rect 4525 12801 4537 12804
+rect 4571 12801 4583 12835
+rect 4525 12795 4583 12801
+rect 4617 12835 4675 12841
+rect 4617 12801 4629 12835
+rect 4663 12832 4675 12835
+rect 5718 12832 5724 12844
+rect 4663 12804 5028 12832
+rect 5679 12804 5724 12832
+rect 4663 12801 4675 12804
+rect 4617 12795 4675 12801
+rect 2866 12656 2872 12708
+rect 2924 12696 2930 12708
+rect 3513 12699 3571 12705
+rect 3513 12696 3525 12699
+rect 2924 12668 3525 12696
+rect 2924 12656 2930 12668
+rect 3513 12665 3525 12668
+rect 3559 12696 3571 12699
+rect 3786 12696 3792 12708
+rect 3559 12668 3792 12696
+rect 3559 12665 3571 12668
+rect 3513 12659 3571 12665
+rect 3786 12656 3792 12668
+rect 3844 12656 3850 12708
+rect 3973 12699 4031 12705
+rect 3973 12665 3985 12699
+rect 4019 12696 4031 12699
+rect 4632 12696 4660 12795
+rect 5000 12764 5028 12804
+rect 5718 12792 5724 12804
+rect 5776 12792 5782 12844
rect 6549 12835 6607 12841
-rect 6549 12832 6561 12835
-rect 6135 12804 6561 12832
-rect 6135 12801 6147 12804
-rect 6089 12795 6147 12801
-rect 6549 12801 6561 12804
+rect 6549 12801 6561 12835
rect 6595 12801 6607 12835
-rect 7006 12832 7012 12844
-rect 6967 12804 7012 12832
+rect 6730 12832 6736 12844
+rect 6691 12804 6736 12832
rect 6549 12795 6607 12801
-rect 6104 12764 6132 12795
-rect 7006 12792 7012 12804
-rect 7064 12792 7070 12844
-rect 7742 12832 7748 12844
-rect 7703 12804 7748 12832
-rect 7742 12792 7748 12804
-rect 7800 12792 7806 12844
-rect 8018 12832 8024 12844
-rect 7979 12804 8024 12832
-rect 8018 12792 8024 12804
-rect 8076 12792 8082 12844
-rect 8941 12835 8999 12841
-rect 8941 12801 8953 12835
-rect 8987 12801 8999 12835
-rect 9674 12832 9680 12844
-rect 9635 12804 9680 12832
-rect 8941 12795 8999 12801
-rect 6730 12764 6736 12776
-rect 3467 12736 6132 12764
-rect 6691 12736 6736 12764
-rect 3467 12733 3479 12736
-rect 3421 12727 3479 12733
-rect 6730 12724 6736 12736
-rect 6788 12724 6794 12776
-rect 7929 12767 7987 12773
-rect 7929 12733 7941 12767
-rect 7975 12764 7987 12767
-rect 8956 12764 8984 12795
-rect 9674 12792 9680 12804
-rect 9732 12792 9738 12844
-rect 10597 12835 10655 12841
-rect 10597 12832 10609 12835
-rect 9968 12804 10609 12832
-rect 9968 12776 9996 12804
-rect 10597 12801 10609 12804
-rect 10643 12801 10655 12835
-rect 10597 12795 10655 12801
-rect 9950 12764 9956 12776
-rect 7975 12736 9956 12764
-rect 7975 12733 7987 12736
-rect 7929 12727 7987 12733
-rect 9950 12724 9956 12736
-rect 10008 12724 10014 12776
-rect 4798 12696 4804 12708
-rect 4759 12668 4804 12696
-rect 4798 12656 4804 12668
-rect 4856 12656 4862 12708
-rect 7377 12699 7435 12705
-rect 7377 12665 7389 12699
-rect 7423 12696 7435 12699
-rect 10704 12696 10732 12872
+rect 6454 12764 6460 12776
+rect 5000 12736 6460 12764
+rect 6454 12724 6460 12736
+rect 6512 12724 6518 12776
+rect 6564 12764 6592 12795
+rect 6730 12792 6736 12804
+rect 6788 12792 6794 12844
+rect 6914 12832 6920 12844
+rect 6972 12841 6978 12844
+rect 6880 12804 6920 12832
+rect 6914 12792 6920 12804
+rect 6972 12795 6980 12841
+rect 6972 12792 6978 12795
+rect 7374 12792 7380 12844
+rect 7432 12832 7438 12844
+rect 7469 12835 7527 12841
+rect 7469 12832 7481 12835
+rect 7432 12804 7481 12832
+rect 7432 12792 7438 12804
+rect 7469 12801 7481 12804
+rect 7515 12801 7527 12835
+rect 7469 12795 7527 12801
+rect 7742 12792 7748 12844
+rect 7800 12832 7806 12844
+rect 7837 12835 7895 12841
+rect 7837 12832 7849 12835
+rect 7800 12804 7849 12832
+rect 7800 12792 7806 12804
+rect 7837 12801 7849 12804
+rect 7883 12801 7895 12835
+rect 8754 12832 8760 12844
+rect 8667 12804 8760 12832
+rect 7837 12795 7895 12801
+rect 8754 12792 8760 12804
+rect 8812 12792 8818 12844
+rect 8846 12792 8852 12844
+rect 8904 12832 8910 12844
+rect 9030 12832 9036 12844
+rect 8904 12804 8949 12832
+rect 8991 12804 9036 12832
+rect 8904 12792 8910 12804
+rect 9030 12792 9036 12804
+rect 9088 12792 9094 12844
+rect 9953 12835 10011 12841
+rect 9953 12801 9965 12835
+rect 9999 12801 10011 12835
+rect 9953 12795 10011 12801
+rect 7282 12764 7288 12776
+rect 6564 12736 7288 12764
+rect 7282 12724 7288 12736
+rect 7340 12724 7346 12776
+rect 7650 12724 7656 12776
+rect 7708 12764 7714 12776
+rect 8772 12764 8800 12792
+rect 9968 12764 9996 12795
+rect 10410 12792 10416 12844
+rect 10468 12832 10474 12844
+rect 10980 12841 11008 12940
+rect 11882 12860 11888 12912
+rect 11940 12900 11946 12912
+rect 11940 12872 12480 12900
+rect 11940 12860 11946 12872
rect 10781 12835 10839 12841
-rect 10781 12801 10793 12835
-rect 10827 12832 10839 12835
-rect 11422 12832 11428 12844
-rect 10827 12804 11428 12832
-rect 10827 12801 10839 12804
+rect 10781 12832 10793 12835
+rect 10468 12804 10793 12832
+rect 10468 12792 10474 12804
+rect 10781 12801 10793 12804
+rect 10827 12801 10839 12835
rect 10781 12795 10839 12801
-rect 11422 12792 11428 12804
-rect 11480 12792 11486 12844
-rect 11532 12832 11560 12872
-rect 11609 12869 11621 12903
-rect 11655 12869 11667 12903
-rect 11609 12863 11667 12869
-rect 11885 12835 11943 12841
-rect 11885 12832 11897 12835
-rect 11532 12804 11897 12832
-rect 11885 12801 11897 12804
-rect 11931 12832 11943 12835
-rect 12342 12832 12348 12844
-rect 11931 12804 12348 12832
-rect 11931 12801 11943 12804
-rect 11885 12795 11943 12801
-rect 12342 12792 12348 12804
-rect 12400 12792 12406 12844
-rect 12710 12792 12716 12844
-rect 12768 12832 12774 12844
-rect 12805 12835 12863 12841
-rect 12805 12832 12817 12835
-rect 12768 12804 12817 12832
-rect 12768 12792 12774 12804
-rect 12805 12801 12817 12804
-rect 12851 12801 12863 12835
-rect 12805 12795 12863 12801
-rect 11330 12764 11336 12776
-rect 11291 12736 11336 12764
-rect 11330 12724 11336 12736
-rect 11388 12724 11394 12776
-rect 11793 12767 11851 12773
-rect 11793 12733 11805 12767
-rect 11839 12764 11851 12767
-rect 12728 12764 12756 12792
-rect 11839 12736 12756 12764
-rect 11839 12733 11851 12736
-rect 11793 12727 11851 12733
-rect 7423 12668 10732 12696
-rect 11241 12699 11299 12705
-rect 7423 12665 7435 12668
-rect 7377 12659 7435 12665
-rect 11241 12665 11253 12699
-rect 11287 12696 11299 12699
-rect 12066 12696 12072 12708
-rect 11287 12668 12072 12696
-rect 11287 12665 11299 12668
-rect 11241 12659 11299 12665
-rect 12066 12656 12072 12668
-rect 12124 12656 12130 12708
-rect 12802 12656 12808 12708
-rect 12860 12696 12866 12708
-rect 13170 12696 13176 12708
-rect 12860 12668 13176 12696
-rect 12860 12656 12866 12668
-rect 13170 12656 13176 12668
-rect 13228 12656 13234 12708
+rect 10965 12835 11023 12841
+rect 10965 12801 10977 12835
+rect 11011 12801 11023 12835
+rect 10965 12795 11023 12801
+rect 11517 12835 11575 12841
+rect 11517 12801 11529 12835
+rect 11563 12832 11575 12835
+rect 12158 12832 12164 12844
+rect 11563 12804 12164 12832
+rect 11563 12801 11575 12804
+rect 11517 12795 11575 12801
+rect 10594 12764 10600 12776
+rect 7708 12736 9996 12764
+rect 10555 12736 10600 12764
+rect 7708 12724 7714 12736
+rect 10594 12724 10600 12736
+rect 10652 12724 10658 12776
+rect 10796 12764 10824 12795
+rect 11790 12764 11796 12776
+rect 10796 12736 11796 12764
+rect 11790 12724 11796 12736
+rect 11848 12724 11854 12776
+rect 4019 12668 4660 12696
+rect 5905 12699 5963 12705
+rect 4019 12665 4031 12668
+rect 3973 12659 4031 12665
+rect 5905 12665 5917 12699
+rect 5951 12696 5963 12699
+rect 5994 12696 6000 12708
+rect 5951 12668 6000 12696
+rect 5951 12665 5963 12668
+rect 5905 12659 5963 12665
+rect 5994 12656 6000 12668
+rect 6052 12656 6058 12708
+rect 8478 12696 8484 12708
+rect 7024 12668 8484 12696
+rect 1394 12628 1400 12640
+rect 1355 12600 1400 12628
+rect 1394 12588 1400 12600
+rect 1452 12588 1458 12640
+rect 4430 12588 4436 12640
+rect 4488 12628 4494 12640
+rect 7024 12628 7052 12668
+rect 8478 12656 8484 12668
+rect 8536 12696 8542 12708
+rect 8938 12696 8944 12708
+rect 8536 12668 8944 12696
+rect 8536 12656 8542 12668
+rect 8938 12656 8944 12668
+rect 8996 12656 9002 12708
+rect 9858 12656 9864 12708
+rect 9916 12696 9922 12708
+rect 10612 12696 10640 12724
+rect 11900 12696 11928 12804
+rect 12158 12792 12164 12804
+rect 12216 12792 12222 12844
+rect 12452 12841 12480 12872
+rect 12618 12860 12624 12912
+rect 12676 12900 12682 12912
+rect 13081 12903 13139 12909
+rect 13081 12900 13093 12903
+rect 12676 12872 13093 12900
+rect 12676 12860 12682 12872
+rect 13081 12869 13093 12872
+rect 13127 12900 13139 12903
+rect 13357 12903 13415 12909
+rect 13357 12900 13369 12903
+rect 13127 12872 13369 12900
+rect 13127 12869 13139 12872
+rect 13081 12863 13139 12869
+rect 13357 12869 13369 12872
+rect 13403 12869 13415 12903
+rect 13357 12863 13415 12869
+rect 12437 12835 12495 12841
+rect 12437 12801 12449 12835
+rect 12483 12801 12495 12835
+rect 12437 12795 12495 12801
+rect 9916 12668 10640 12696
+rect 11072 12668 11928 12696
+rect 9916 12656 9922 12668
+rect 4488 12600 7052 12628
+rect 7101 12631 7159 12637
+rect 4488 12588 4494 12600
+rect 7101 12597 7113 12631
+rect 7147 12628 7159 12631
+rect 11072 12628 11100 12668
+rect 12986 12656 12992 12708
+rect 13044 12696 13050 12708
+rect 13173 12699 13231 12705
+rect 13173 12696 13185 12699
+rect 13044 12668 13185 12696
+rect 13044 12656 13050 12668
+rect 13173 12665 13185 12668
+rect 13219 12665 13231 12699
+rect 13173 12659 13231 12665
+rect 7147 12600 11100 12628
+rect 11149 12631 11207 12637
+rect 7147 12597 7159 12600
+rect 7101 12591 7159 12597
+rect 11149 12597 11161 12631
+rect 11195 12628 11207 12631
+rect 11238 12628 11244 12640
+rect 11195 12600 11244 12628
+rect 11195 12597 11207 12600
+rect 11149 12591 11207 12597
+rect 11238 12588 11244 12600
+rect 11296 12588 11302 12640
rect 1104 12538 13892 12560
rect 1104 12486 4214 12538
rect 4266 12486 4278 12538
@@ -1709,335 +2038,421 @@ rect 12394 12486 12406 12538
rect 12458 12486 12470 12538
rect 12522 12486 13892 12538
rect 1104 12464 13892 12486
-rect 3326 12424 3332 12436
-rect 3287 12396 3332 12424
-rect 3326 12384 3332 12396
-rect 3384 12384 3390 12436
-rect 3510 12424 3516 12436
-rect 3471 12396 3516 12424
-rect 3510 12384 3516 12396
-rect 3568 12384 3574 12436
-rect 3602 12384 3608 12436
-rect 3660 12424 3666 12436
-rect 3789 12427 3847 12433
-rect 3789 12424 3801 12427
-rect 3660 12396 3801 12424
-rect 3660 12384 3666 12396
-rect 3789 12393 3801 12396
-rect 3835 12393 3847 12427
-rect 3789 12387 3847 12393
-rect 6730 12384 6736 12436
-rect 6788 12424 6794 12436
-rect 8941 12427 8999 12433
-rect 8941 12424 8953 12427
-rect 6788 12396 8953 12424
-rect 6788 12384 6794 12396
-rect 8941 12393 8953 12396
-rect 8987 12393 8999 12427
-rect 8941 12387 8999 12393
-rect 3528 12288 3556 12384
-rect 4154 12316 4160 12368
-rect 4212 12356 4218 12368
-rect 5261 12359 5319 12365
-rect 5261 12356 5273 12359
-rect 4212 12328 5273 12356
-rect 4212 12316 4218 12328
-rect 5261 12325 5273 12328
-rect 5307 12356 5319 12359
-rect 6181 12359 6239 12365
-rect 5307 12328 5764 12356
-rect 5307 12325 5319 12328
-rect 5261 12319 5319 12325
-rect 4249 12291 4307 12297
-rect 4249 12288 4261 12291
-rect 3528 12260 4261 12288
-rect 4249 12257 4261 12260
-rect 4295 12257 4307 12291
-rect 4249 12251 4307 12257
-rect 4341 12291 4399 12297
-rect 4341 12257 4353 12291
-rect 4387 12288 4399 12291
-rect 5534 12288 5540 12300
-rect 4387 12260 5540 12288
-rect 4387 12257 4399 12260
-rect 4341 12251 4399 12257
-rect 2133 12223 2191 12229
-rect 2133 12189 2145 12223
-rect 2179 12220 2191 12223
-rect 2498 12220 2504 12232
-rect 2179 12192 2504 12220
-rect 2179 12189 2191 12192
-rect 2133 12183 2191 12189
-rect 2498 12180 2504 12192
-rect 2556 12180 2562 12232
-rect 2869 12223 2927 12229
-rect 2869 12189 2881 12223
-rect 2915 12220 2927 12223
-rect 2958 12220 2964 12232
-rect 2915 12192 2964 12220
-rect 2915 12189 2927 12192
-rect 2869 12183 2927 12189
-rect 2958 12180 2964 12192
-rect 3016 12180 3022 12232
-rect 3053 12223 3111 12229
-rect 3053 12189 3065 12223
-rect 3099 12220 3111 12223
-rect 3326 12220 3332 12232
-rect 3099 12192 3332 12220
-rect 3099 12189 3111 12192
-rect 3053 12183 3111 12189
-rect 3326 12180 3332 12192
-rect 3384 12180 3390 12232
-rect 4356 12220 4384 12251
-rect 5534 12248 5540 12260
-rect 5592 12248 5598 12300
-rect 5736 12297 5764 12328
-rect 6181 12325 6193 12359
-rect 6227 12325 6239 12359
-rect 7742 12356 7748 12368
-rect 7703 12328 7748 12356
-rect 6181 12319 6239 12325
-rect 5721 12291 5779 12297
-rect 5721 12257 5733 12291
-rect 5767 12257 5779 12291
-rect 6196 12288 6224 12319
-rect 7742 12316 7748 12328
-rect 7800 12356 7806 12368
-rect 8389 12359 8447 12365
-rect 8389 12356 8401 12359
-rect 7800 12328 8401 12356
-rect 7800 12316 7806 12328
-rect 8389 12325 8401 12328
-rect 8435 12325 8447 12359
-rect 8389 12319 8447 12325
-rect 7929 12291 7987 12297
-rect 7929 12288 7941 12291
-rect 6196 12260 7941 12288
-rect 5721 12251 5779 12257
-rect 4080 12192 4384 12220
-rect 3234 12152 3240 12164
-rect 3195 12124 3240 12152
-rect 3234 12112 3240 12124
-rect 3292 12112 3298 12164
-rect 1486 12084 1492 12096
-rect 1447 12056 1492 12084
-rect 1486 12044 1492 12056
-rect 1544 12044 1550 12096
-rect 3878 12044 3884 12096
-rect 3936 12084 3942 12096
-rect 4080 12084 4108 12192
-rect 4798 12180 4804 12232
-rect 4856 12220 4862 12232
-rect 6288 12229 6316 12260
-rect 7929 12257 7941 12260
-rect 7975 12257 7987 12291
-rect 8956 12288 8984 12387
-rect 9674 12384 9680 12436
-rect 9732 12424 9738 12436
-rect 9861 12427 9919 12433
-rect 9861 12424 9873 12427
-rect 9732 12396 9873 12424
-rect 9732 12384 9738 12396
-rect 9861 12393 9873 12396
-rect 9907 12393 9919 12427
-rect 10134 12424 10140 12436
-rect 10095 12396 10140 12424
-rect 9861 12387 9919 12393
-rect 10134 12384 10140 12396
-rect 10192 12384 10198 12436
-rect 10318 12424 10324 12436
-rect 10279 12396 10324 12424
-rect 10318 12384 10324 12396
-rect 10376 12384 10382 12436
-rect 11330 12384 11336 12436
-rect 11388 12424 11394 12436
-rect 12529 12427 12587 12433
-rect 12529 12424 12541 12427
-rect 11388 12396 12541 12424
-rect 11388 12384 11394 12396
-rect 12529 12393 12541 12396
-rect 12575 12393 12587 12427
-rect 12894 12424 12900 12436
-rect 12855 12396 12900 12424
-rect 12529 12387 12587 12393
-rect 12894 12384 12900 12396
-rect 12952 12384 12958 12436
-rect 9217 12291 9275 12297
-rect 9217 12288 9229 12291
-rect 8956 12260 9229 12288
-rect 7929 12251 7987 12257
-rect 9217 12257 9229 12260
-rect 9263 12257 9275 12291
-rect 9217 12251 9275 12257
+rect 2130 12384 2136 12436
+rect 2188 12424 2194 12436
+rect 2409 12427 2467 12433
+rect 2409 12424 2421 12427
+rect 2188 12396 2421 12424
+rect 2188 12384 2194 12396
+rect 2409 12393 2421 12396
+rect 2455 12393 2467 12427
+rect 2409 12387 2467 12393
+rect 3878 12384 3884 12436
+rect 3936 12424 3942 12436
+rect 3973 12427 4031 12433
+rect 3973 12424 3985 12427
+rect 3936 12396 3985 12424
+rect 3936 12384 3942 12396
+rect 3973 12393 3985 12396
+rect 4019 12393 4031 12427
+rect 7650 12424 7656 12436
+rect 7611 12396 7656 12424
+rect 3973 12387 4031 12393
+rect 7650 12384 7656 12396
+rect 7708 12384 7714 12436
+rect 7742 12384 7748 12436
+rect 7800 12424 7806 12436
+rect 8021 12427 8079 12433
+rect 8021 12424 8033 12427
+rect 7800 12396 8033 12424
+rect 7800 12384 7806 12396
+rect 8021 12393 8033 12396
+rect 8067 12393 8079 12427
+rect 8021 12387 8079 12393
+rect 8662 12384 8668 12436
+rect 8720 12424 8726 12436
+rect 9033 12427 9091 12433
+rect 9033 12424 9045 12427
+rect 8720 12396 9045 12424
+rect 8720 12384 8726 12396
+rect 9033 12393 9045 12396
+rect 9079 12424 9091 12427
+rect 9490 12424 9496 12436
+rect 9079 12396 9496 12424
+rect 9079 12393 9091 12396
+rect 9033 12387 9091 12393
+rect 9490 12384 9496 12396
+rect 9548 12384 9554 12436
+rect 10686 12384 10692 12436
+rect 10744 12424 10750 12436
+rect 11974 12424 11980 12436
+rect 10744 12396 11980 12424
+rect 10744 12384 10750 12396
+rect 11974 12384 11980 12396
+rect 12032 12384 12038 12436
+rect 1397 12223 1455 12229
+rect 1397 12189 1409 12223
+rect 1443 12220 1455 12223
+rect 1578 12220 1584 12232
+rect 1443 12192 1584 12220
+rect 1443 12189 1455 12192
+rect 1397 12183 1455 12189
+rect 1578 12180 1584 12192
+rect 1636 12180 1642 12232
+rect 2038 12220 2044 12232
+rect 1999 12192 2044 12220
+rect 2038 12180 2044 12192
+rect 2096 12180 2102 12232
+rect 2593 12223 2651 12229
+rect 2593 12220 2605 12223
+rect 2424 12192 2605 12220
+rect 2424 12164 2452 12192
+rect 2593 12189 2605 12192
+rect 2639 12189 2651 12223
+rect 2593 12183 2651 12189
+rect 2682 12180 2688 12232
+rect 2740 12220 2746 12232
+rect 3418 12220 3424 12232
+rect 2740 12192 2785 12220
+rect 3379 12192 3424 12220
+rect 2740 12180 2746 12192
+rect 3418 12180 3424 12192
+rect 3476 12180 3482 12232
+rect 3605 12223 3663 12229
+rect 3605 12189 3617 12223
+rect 3651 12220 3663 12223
+rect 3896 12220 3924 12384
+rect 5994 12356 6000 12368
+rect 5955 12328 6000 12356
+rect 5994 12316 6000 12328
+rect 6052 12316 6058 12368
+rect 6638 12316 6644 12368
+rect 6696 12356 6702 12368
+rect 6914 12356 6920 12368
+rect 6696 12328 6920 12356
+rect 6696 12316 6702 12328
+rect 6914 12316 6920 12328
+rect 6972 12356 6978 12368
+rect 7285 12359 7343 12365
+rect 6972 12328 7236 12356
+rect 6972 12316 6978 12328
+rect 5626 12248 5632 12300
+rect 5684 12288 5690 12300
+rect 5905 12291 5963 12297
+rect 5905 12288 5917 12291
+rect 5684 12260 5917 12288
+rect 5684 12248 5690 12260
+rect 5905 12257 5917 12260
+rect 5951 12257 5963 12291
+rect 6454 12288 6460 12300
+rect 6415 12260 6460 12288
+rect 5905 12251 5963 12257
+rect 6454 12248 6460 12260
+rect 6512 12248 6518 12300
+rect 6656 12260 6960 12288
+rect 3651 12192 3924 12220
+rect 3651 12189 3663 12192
+rect 3605 12183 3663 12189
+rect 4062 12180 4068 12232
+rect 4120 12220 4126 12232
+rect 4341 12223 4399 12229
+rect 4341 12220 4353 12223
+rect 4120 12192 4353 12220
+rect 4120 12180 4126 12192
+rect 4341 12189 4353 12192
+rect 4387 12189 4399 12223
+rect 4798 12220 4804 12232
+rect 4759 12192 4804 12220
+rect 4341 12183 4399 12189
+rect 4798 12180 4804 12192
+rect 4856 12180 4862 12232
+rect 4893 12223 4951 12229
+rect 4893 12189 4905 12223
+rect 4939 12220 4951 12223
+rect 5074 12220 5080 12232
+rect 4939 12192 5080 12220
+rect 4939 12189 4951 12192
+rect 4893 12183 4951 12189
+rect 5074 12180 5080 12192
+rect 5132 12180 5138 12232
+rect 5534 12220 5540 12232
+rect 5495 12192 5540 12220
+rect 5534 12180 5540 12192
+rect 5592 12180 5598 12232
+rect 5810 12220 5816 12232
+rect 5723 12192 5816 12220
+rect 5810 12180 5816 12192
+rect 5868 12220 5874 12232
+rect 6086 12220 6092 12232
+rect 5868 12192 6092 12220
+rect 5868 12180 5874 12192
+rect 6086 12180 6092 12192
+rect 6144 12180 6150 12232
+rect 6270 12180 6276 12232
+rect 6328 12220 6334 12232
+rect 6656 12220 6684 12260
+rect 6328 12192 6684 12220
+rect 6733 12223 6791 12229
+rect 6328 12180 6334 12192
+rect 6733 12189 6745 12223
+rect 6779 12220 6791 12223
+rect 6822 12220 6828 12232
+rect 6779 12192 6828 12220
+rect 6779 12189 6791 12192
+rect 6733 12183 6791 12189
+rect 6822 12180 6828 12192
+rect 6880 12180 6886 12232
+rect 6932 12229 6960 12260
+rect 6917 12223 6975 12229
+rect 6917 12189 6929 12223
+rect 6963 12189 6975 12223
+rect 7098 12220 7104 12232
+rect 7156 12229 7162 12232
+rect 7064 12192 7104 12220
+rect 6917 12183 6975 12189
+rect 7098 12180 7104 12192
+rect 7156 12183 7164 12229
+rect 7156 12180 7162 12183
+rect 2317 12155 2375 12161
+rect 2317 12121 2329 12155
+rect 2363 12152 2375 12155
+rect 2406 12152 2412 12164
+rect 2363 12124 2412 12152
+rect 2363 12121 2375 12124
+rect 2317 12115 2375 12121
+rect 2406 12112 2412 12124
+rect 2464 12112 2470 12164
+rect 2866 12112 2872 12164
+rect 2924 12152 2930 12164
+rect 3881 12155 3939 12161
+rect 3881 12152 3893 12155
+rect 2924 12124 3893 12152
+rect 2924 12112 2930 12124
+rect 3881 12121 3893 12124
+rect 3927 12121 3939 12155
+rect 3881 12115 3939 12121
+rect 4525 12155 4583 12161
+rect 4525 12121 4537 12155
+rect 4571 12152 4583 12155
+rect 6638 12152 6644 12164
+rect 4571 12124 6644 12152
+rect 4571 12121 4583 12124
+rect 4525 12115 4583 12121
+rect 6638 12112 6644 12124
+rect 6696 12112 6702 12164
+rect 7006 12152 7012 12164
+rect 6919 12124 7012 12152
+rect 7006 12112 7012 12124
+rect 7064 12112 7070 12164
+rect 7208 12152 7236 12328
+rect 7285 12325 7297 12359
+rect 7331 12356 7343 12359
+rect 7331 12328 12020 12356
+rect 7331 12325 7343 12328
+rect 7285 12319 7343 12325
+rect 8464 12291 8522 12297
+rect 8464 12257 8476 12291
+rect 8510 12288 8522 12291
+rect 8846 12288 8852 12300
+rect 8510 12260 8852 12288
+rect 8510 12257 8522 12260
+rect 8464 12251 8522 12257
+rect 8846 12248 8852 12260
+rect 8904 12248 8910 12300
+rect 9030 12248 9036 12300
+rect 9088 12288 9094 12300
rect 9401 12291 9459 12297
-rect 9401 12257 9413 12291
-rect 9447 12288 9459 12291
-rect 10336 12288 10364 12384
-rect 11054 12288 11060 12300
-rect 9447 12260 10364 12288
-rect 11015 12260 11060 12288
-rect 9447 12257 9459 12260
+rect 9401 12288 9413 12291
+rect 9088 12260 9413 12288
+rect 9088 12248 9094 12260
+rect 9401 12257 9413 12260
+rect 9447 12257 9459 12291
+rect 9950 12288 9956 12300
+rect 9911 12260 9956 12288
rect 9401 12251 9459 12257
-rect 4985 12223 5043 12229
-rect 4985 12220 4997 12223
-rect 4856 12192 4997 12220
-rect 4856 12180 4862 12192
-rect 4985 12189 4997 12192
-rect 5031 12189 5043 12223
-rect 4985 12183 5043 12189
-rect 6273 12223 6331 12229
-rect 6273 12189 6285 12223
-rect 6319 12189 6331 12223
-rect 7466 12220 7472 12232
-rect 7427 12192 7472 12220
-rect 6273 12183 6331 12189
-rect 7466 12180 7472 12192
-rect 7524 12180 7530 12232
-rect 4157 12155 4215 12161
-rect 4157 12121 4169 12155
-rect 4203 12152 4215 12155
-rect 5718 12152 5724 12164
-rect 4203 12124 5724 12152
-rect 4203 12121 4215 12124
-rect 4157 12115 4215 12121
-rect 5718 12112 5724 12124
-rect 5776 12112 5782 12164
-rect 8481 12155 8539 12161
-rect 8481 12121 8493 12155
-rect 8527 12152 8539 12155
-rect 8665 12155 8723 12161
-rect 8665 12152 8677 12155
-rect 8527 12124 8677 12152
-rect 8527 12121 8539 12124
-rect 8481 12115 8539 12121
-rect 8665 12121 8677 12124
-rect 8711 12121 8723 12155
-rect 9232 12152 9260 12251
-rect 11054 12248 11060 12260
-rect 11112 12248 11118 12300
-rect 11333 12291 11391 12297
-rect 11333 12257 11345 12291
-rect 11379 12288 11391 12291
-rect 14366 12288 14372 12300
-rect 11379 12260 14372 12288
-rect 11379 12257 11391 12260
-rect 11333 12251 11391 12257
-rect 9950 12220 9956 12232
-rect 9911 12192 9956 12220
-rect 9950 12180 9956 12192
-rect 10008 12180 10014 12232
-rect 10873 12223 10931 12229
-rect 10873 12189 10885 12223
-rect 10919 12220 10931 12223
-rect 11348 12220 11376 12251
-rect 14366 12248 14372 12260
-rect 14424 12248 14430 12300
-rect 11514 12220 11520 12232
-rect 10919 12192 11376 12220
-rect 11475 12192 11520 12220
-rect 10919 12189 10931 12192
-rect 10873 12183 10931 12189
-rect 11514 12180 11520 12192
-rect 11572 12180 11578 12232
-rect 12066 12180 12072 12232
-rect 12124 12220 12130 12232
-rect 12161 12223 12219 12229
-rect 12161 12220 12173 12223
-rect 12124 12192 12173 12220
-rect 12124 12180 12130 12192
-rect 12161 12189 12173 12192
-rect 12207 12189 12219 12223
-rect 12161 12183 12219 12189
-rect 12437 12223 12495 12229
-rect 12437 12189 12449 12223
-rect 12483 12220 12495 12223
-rect 12710 12220 12716 12232
-rect 12483 12192 12716 12220
-rect 12483 12189 12495 12192
-rect 12437 12183 12495 12189
-rect 10318 12152 10324 12164
-rect 9232 12124 10324 12152
-rect 8665 12115 8723 12121
-rect 10318 12112 10324 12124
-rect 10376 12112 10382 12164
-rect 12176 12152 12204 12183
-rect 12710 12180 12716 12192
-rect 12768 12180 12774 12232
-rect 13170 12220 13176 12232
-rect 13131 12192 13176 12220
-rect 13170 12180 13176 12192
-rect 13228 12180 13234 12232
-rect 12805 12155 12863 12161
-rect 12805 12152 12817 12155
-rect 12176 12124 12817 12152
-rect 12805 12121 12817 12124
-rect 12851 12121 12863 12155
-rect 12805 12115 12863 12121
-rect 4709 12087 4767 12093
-rect 4709 12084 4721 12087
-rect 3936 12056 4721 12084
-rect 3936 12044 3942 12056
-rect 4709 12053 4721 12056
-rect 4755 12053 4767 12087
-rect 4709 12047 4767 12053
-rect 4890 12044 4896 12096
-rect 4948 12084 4954 12096
-rect 5077 12087 5135 12093
-rect 5077 12084 5089 12087
-rect 4948 12056 5089 12084
-rect 4948 12044 4954 12056
-rect 5077 12053 5089 12056
-rect 5123 12053 5135 12087
-rect 5077 12047 5135 12053
-rect 5813 12087 5871 12093
-rect 5813 12053 5825 12087
-rect 5859 12084 5871 12087
-rect 6362 12084 6368 12096
-rect 5859 12056 6368 12084
-rect 5859 12053 5871 12056
-rect 5813 12047 5871 12053
-rect 6362 12044 6368 12056
-rect 6420 12044 6426 12096
-rect 8570 12084 8576 12096
-rect 8531 12056 8576 12084
-rect 8570 12044 8576 12056
-rect 8628 12044 8634 12096
-rect 9030 12044 9036 12096
-rect 9088 12084 9094 12096
-rect 9493 12087 9551 12093
-rect 9493 12084 9505 12087
-rect 9088 12056 9505 12084
-rect 9088 12044 9094 12056
-rect 9493 12053 9505 12056
-rect 9539 12053 9551 12087
-rect 9493 12047 9551 12053
-rect 9582 12044 9588 12096
-rect 9640 12084 9646 12096
-rect 10413 12087 10471 12093
-rect 10413 12084 10425 12087
-rect 9640 12056 10425 12084
-rect 9640 12044 9646 12056
-rect 10413 12053 10425 12056
-rect 10459 12053 10471 12087
-rect 10413 12047 10471 12053
-rect 10781 12087 10839 12093
-rect 10781 12053 10793 12087
-rect 10827 12084 10839 12087
-rect 11054 12084 11060 12096
-rect 10827 12056 11060 12084
-rect 10827 12053 10839 12056
-rect 10781 12047 10839 12053
-rect 11054 12044 11060 12056
-rect 11112 12044 11118 12096
-rect 13265 12087 13323 12093
-rect 13265 12053 13277 12087
-rect 13311 12084 13323 12087
-rect 13446 12084 13452 12096
-rect 13311 12056 13452 12084
-rect 13311 12053 13323 12056
-rect 13265 12047 13323 12053
-rect 13446 12044 13452 12056
-rect 13504 12044 13510 12096
+rect 9950 12248 9956 12260
+rect 10008 12248 10014 12300
+rect 10580 12291 10638 12297
+rect 10580 12257 10592 12291
+rect 10626 12288 10638 12291
+rect 10686 12288 10692 12300
+rect 10626 12260 10692 12288
+rect 10626 12257 10638 12260
+rect 10580 12251 10638 12257
+rect 10686 12248 10692 12260
+rect 10744 12248 10750 12300
+rect 10781 12291 10839 12297
+rect 10781 12257 10793 12291
+rect 10827 12288 10839 12291
+rect 11330 12288 11336 12300
+rect 10827 12260 11336 12288
+rect 10827 12257 10839 12260
+rect 10781 12251 10839 12257
+rect 11330 12248 11336 12260
+rect 11388 12248 11394 12300
+rect 7929 12223 7987 12229
+rect 7929 12189 7941 12223
+rect 7975 12220 7987 12223
+rect 8662 12220 8668 12232
+rect 7975 12192 8668 12220
+rect 7975 12189 7987 12192
+rect 7929 12183 7987 12189
+rect 8662 12180 8668 12192
+rect 8720 12180 8726 12232
+rect 8757 12223 8815 12229
+rect 8757 12189 8769 12223
+rect 8803 12220 8815 12223
+rect 9306 12220 9312 12232
+rect 8803 12192 8984 12220
+rect 9267 12192 9312 12220
+rect 8803 12189 8815 12192
+rect 8757 12183 8815 12189
+rect 7469 12155 7527 12161
+rect 7469 12152 7481 12155
+rect 7208 12124 7481 12152
+rect 7469 12121 7481 12124
+rect 7515 12121 7527 12155
+rect 8110 12152 8116 12164
+rect 7469 12115 7527 12121
+rect 7576 12124 8116 12152
+rect 1854 12044 1860 12096
+rect 1912 12084 1918 12096
+rect 5442 12084 5448 12096
+rect 1912 12056 5448 12084
+rect 1912 12044 1918 12056
+rect 5442 12044 5448 12056
+rect 5500 12044 5506 12096
+rect 6546 12084 6552 12096
+rect 6507 12056 6552 12084
+rect 6546 12044 6552 12056
+rect 6604 12084 6610 12096
+rect 7024 12084 7052 12112
+rect 7576 12084 7604 12124
+rect 8110 12112 8116 12124
+rect 8168 12152 8174 12164
+rect 8205 12155 8263 12161
+rect 8205 12152 8217 12155
+rect 8168 12124 8217 12152
+rect 8168 12112 8174 12124
+rect 8205 12121 8217 12124
+rect 8251 12121 8263 12155
+rect 8205 12115 8263 12121
+rect 6604 12056 7604 12084
+rect 7653 12087 7711 12093
+rect 6604 12044 6610 12056
+rect 7653 12053 7665 12087
+rect 7699 12084 7711 12087
+rect 7742 12084 7748 12096
+rect 7699 12056 7748 12084
+rect 7699 12053 7711 12056
+rect 7653 12047 7711 12053
+rect 7742 12044 7748 12056
+rect 7800 12044 7806 12096
+rect 8478 12044 8484 12096
+rect 8536 12084 8542 12096
+rect 8573 12087 8631 12093
+rect 8573 12084 8585 12087
+rect 8536 12056 8585 12084
+rect 8536 12044 8542 12056
+rect 8573 12053 8585 12056
+rect 8619 12053 8631 12087
+rect 8573 12047 8631 12053
+rect 8662 12044 8668 12096
+rect 8720 12084 8726 12096
+rect 8956 12084 8984 12192
+rect 9306 12180 9312 12192
+rect 9364 12180 9370 12232
+rect 9858 12220 9864 12232
+rect 9819 12192 9864 12220
+rect 9858 12180 9864 12192
+rect 9916 12180 9922 12232
+rect 10321 12223 10379 12229
+rect 10321 12189 10333 12223
+rect 10367 12220 10379 12223
+rect 10410 12220 10416 12232
+rect 10367 12192 10416 12220
+rect 10367 12189 10379 12192
+rect 10321 12183 10379 12189
+rect 10410 12180 10416 12192
+rect 10468 12180 10474 12232
+rect 10870 12220 10876 12232
+rect 10831 12192 10876 12220
+rect 10870 12180 10876 12192
+rect 10928 12180 10934 12232
+rect 10965 12223 11023 12229
+rect 10965 12189 10977 12223
+rect 11011 12220 11023 12223
+rect 11238 12220 11244 12232
+rect 11011 12192 11244 12220
+rect 11011 12189 11023 12192
+rect 10965 12183 11023 12189
+rect 11238 12180 11244 12192
+rect 11296 12220 11302 12232
+rect 11606 12220 11612 12232
+rect 11296 12192 11376 12220
+rect 11567 12192 11612 12220
+rect 11296 12180 11302 12192
+rect 9122 12152 9128 12164
+rect 9083 12124 9128 12152
+rect 9122 12112 9128 12124
+rect 9180 12112 9186 12164
+rect 9214 12112 9220 12164
+rect 9272 12152 9278 12164
+rect 10137 12155 10195 12161
+rect 10137 12152 10149 12155
+rect 9272 12124 10149 12152
+rect 9272 12112 9278 12124
+rect 10137 12121 10149 12124
+rect 10183 12121 10195 12155
+rect 11348 12152 11376 12192
+rect 11606 12180 11612 12192
+rect 11664 12180 11670 12232
+rect 11882 12220 11888 12232
+rect 11843 12192 11888 12220
+rect 11882 12180 11888 12192
+rect 11940 12180 11946 12232
+rect 11992 12229 12020 12328
+rect 11977 12223 12035 12229
+rect 11977 12189 11989 12223
+rect 12023 12220 12035 12223
+rect 12802 12220 12808 12232
+rect 12023 12192 12808 12220
+rect 12023 12189 12035 12192
+rect 11977 12183 12035 12189
+rect 12802 12180 12808 12192
+rect 12860 12180 12866 12232
+rect 12986 12220 12992 12232
+rect 12947 12192 12992 12220
+rect 12986 12180 12992 12192
+rect 13044 12180 13050 12232
+rect 12066 12152 12072 12164
+rect 10137 12115 10195 12121
+rect 10520 12124 11100 12152
+rect 11348 12124 12072 12152
+rect 10520 12084 10548 12124
+rect 10686 12084 10692 12096
+rect 8720 12056 8765 12084
+rect 8956 12056 10548 12084
+rect 10647 12056 10692 12084
+rect 8720 12044 8726 12056
+rect 10686 12044 10692 12056
+rect 10744 12044 10750 12096
+rect 11072 12084 11100 12124
+rect 12066 12112 12072 12124
+rect 12124 12112 12130 12164
+rect 13262 12112 13268 12164
+rect 13320 12152 13326 12164
+rect 13541 12155 13599 12161
+rect 13541 12152 13553 12155
+rect 13320 12124 13553 12152
+rect 13320 12112 13326 12124
+rect 13541 12121 13553 12124
+rect 13587 12121 13599 12155
+rect 13541 12115 13599 12121
+rect 11422 12084 11428 12096
+rect 11072 12056 11428 12084
+rect 11422 12044 11428 12056
+rect 11480 12044 11486 12096
rect 1104 11994 13892 12016
rect 1104 11942 8214 11994
rect 8266 11942 8278 11994
@@ -2046,269 +2461,403 @@ rect 8394 11942 8406 11994
rect 8458 11942 8470 11994
rect 8522 11942 13892 11994
rect 1104 11920 13892 11942
-rect 1394 11880 1400 11892
-rect 1355 11852 1400 11880
-rect 1394 11840 1400 11852
-rect 1452 11840 1458 11892
-rect 3418 11840 3424 11892
-rect 3476 11880 3482 11892
-rect 3513 11883 3571 11889
-rect 3513 11880 3525 11883
-rect 3476 11852 3525 11880
-rect 3476 11840 3482 11852
-rect 3513 11849 3525 11852
-rect 3559 11880 3571 11883
-rect 4065 11883 4123 11889
-rect 4065 11880 4077 11883
-rect 3559 11852 4077 11880
-rect 3559 11849 3571 11852
-rect 3513 11843 3571 11849
-rect 4065 11849 4077 11852
-rect 4111 11849 4123 11883
-rect 4065 11843 4123 11849
-rect 5258 11840 5264 11892
-rect 5316 11880 5322 11892
-rect 5905 11883 5963 11889
-rect 5905 11880 5917 11883
-rect 5316 11852 5917 11880
-rect 5316 11840 5322 11852
-rect 5905 11849 5917 11852
-rect 5951 11849 5963 11883
-rect 9217 11883 9275 11889
-rect 9217 11880 9229 11883
-rect 5905 11843 5963 11849
-rect 6012 11852 9229 11880
-rect 1762 11812 1768 11824
-rect 1723 11784 1768 11812
-rect 1762 11772 1768 11784
-rect 1820 11772 1826 11824
-rect 2685 11815 2743 11821
-rect 2685 11781 2697 11815
-rect 2731 11812 2743 11815
-rect 3234 11812 3240 11824
-rect 2731 11784 3240 11812
-rect 2731 11781 2743 11784
-rect 2685 11775 2743 11781
-rect 3234 11772 3240 11784
-rect 3292 11772 3298 11824
-rect 6012 11812 6040 11852
-rect 9217 11849 9229 11852
-rect 9263 11849 9275 11883
-rect 9217 11843 9275 11849
-rect 10042 11840 10048 11892
-rect 10100 11880 10106 11892
-rect 10229 11883 10287 11889
-rect 10229 11880 10241 11883
-rect 10100 11852 10241 11880
-rect 10100 11840 10106 11852
-rect 10229 11849 10241 11852
-rect 10275 11849 10287 11883
-rect 10229 11843 10287 11849
-rect 10410 11840 10416 11892
-rect 10468 11880 10474 11892
-rect 11146 11880 11152 11892
-rect 10468 11852 11152 11880
-rect 10468 11840 10474 11852
-rect 11146 11840 11152 11852
-rect 11204 11880 11210 11892
-rect 11241 11883 11299 11889
-rect 11241 11880 11253 11883
-rect 11204 11852 11253 11880
-rect 11204 11840 11210 11852
-rect 11241 11849 11253 11852
-rect 11287 11849 11299 11883
-rect 11241 11843 11299 11849
-rect 4080 11784 6040 11812
-rect 7745 11815 7803 11821
-rect 2866 11744 2872 11756
-rect 2779 11716 2872 11744
-rect 2866 11704 2872 11716
-rect 2924 11744 2930 11756
-rect 4080 11744 4108 11784
-rect 7745 11781 7757 11815
-rect 7791 11812 7803 11815
-rect 8570 11812 8576 11824
-rect 7791 11784 8576 11812
-rect 7791 11781 7803 11784
-rect 7745 11775 7803 11781
-rect 8570 11772 8576 11784
-rect 8628 11772 8634 11824
-rect 9585 11815 9643 11821
-rect 9585 11781 9597 11815
-rect 9631 11812 9643 11815
-rect 10134 11812 10140 11824
-rect 9631 11784 10140 11812
-rect 9631 11781 9643 11784
-rect 9585 11775 9643 11781
-rect 10134 11772 10140 11784
-rect 10192 11772 10198 11824
-rect 10321 11815 10379 11821
-rect 10321 11781 10333 11815
-rect 10367 11812 10379 11815
-rect 10781 11815 10839 11821
-rect 10781 11812 10793 11815
-rect 10367 11784 10793 11812
-rect 10367 11781 10379 11784
-rect 10321 11775 10379 11781
-rect 10781 11781 10793 11784
-rect 10827 11781 10839 11815
-rect 10781 11775 10839 11781
-rect 12437 11815 12495 11821
-rect 12437 11781 12449 11815
-rect 12483 11812 12495 11815
-rect 13446 11812 13452 11824
-rect 12483 11784 13308 11812
-rect 13407 11784 13452 11812
-rect 12483 11781 12495 11784
-rect 12437 11775 12495 11781
-rect 2924 11716 4108 11744
-rect 4157 11747 4215 11753
-rect 2924 11704 2930 11716
-rect 4157 11713 4169 11747
-rect 4203 11744 4215 11747
-rect 5626 11744 5632 11756
-rect 4203 11716 5632 11744
-rect 4203 11713 4215 11716
-rect 4157 11707 4215 11713
-rect 5626 11704 5632 11716
-rect 5684 11704 5690 11756
-rect 6089 11747 6147 11753
-rect 6089 11744 6101 11747
-rect 5735 11716 6101 11744
-rect 1394 11636 1400 11688
-rect 1452 11676 1458 11688
+rect 1765 11883 1823 11889
+rect 1765 11849 1777 11883
+rect 1811 11880 1823 11883
+rect 2038 11880 2044 11892
+rect 1811 11852 2044 11880
+rect 1811 11849 1823 11852
+rect 1765 11843 1823 11849
+rect 2038 11840 2044 11852
+rect 2096 11840 2102 11892
+rect 3421 11883 3479 11889
+rect 3421 11849 3433 11883
+rect 3467 11880 3479 11883
+rect 4062 11880 4068 11892
+rect 3467 11852 4068 11880
+rect 3467 11849 3479 11852
+rect 3421 11843 3479 11849
+rect 4062 11840 4068 11852
+rect 4120 11840 4126 11892
+rect 6457 11883 6515 11889
+rect 6457 11849 6469 11883
+rect 6503 11880 6515 11883
+rect 6546 11880 6552 11892
+rect 6503 11852 6552 11880
+rect 6503 11849 6515 11852
+rect 6457 11843 6515 11849
+rect 6546 11840 6552 11852
+rect 6604 11840 6610 11892
+rect 6822 11880 6828 11892
+rect 6783 11852 6828 11880
+rect 6822 11840 6828 11852
+rect 6880 11840 6886 11892
+rect 7834 11880 7840 11892
+rect 7024 11852 7840 11880
+rect 1394 11772 1400 11824
+rect 1452 11812 1458 11824
+rect 1489 11815 1547 11821
+rect 1489 11812 1501 11815
+rect 1452 11784 1501 11812
+rect 1452 11772 1458 11784
+rect 1489 11781 1501 11784
+rect 1535 11812 1547 11815
+rect 2593 11815 2651 11821
+rect 2593 11812 2605 11815
+rect 1535 11784 2605 11812
+rect 1535 11781 1547 11784
+rect 1489 11775 1547 11781
+rect 2593 11781 2605 11784
+rect 2639 11812 2651 11815
+rect 3142 11812 3148 11824
+rect 2639 11784 3148 11812
+rect 2639 11781 2651 11784
+rect 2593 11775 2651 11781
+rect 3142 11772 3148 11784
+rect 3200 11772 3206 11824
+rect 3786 11812 3792 11824
+rect 3747 11784 3792 11812
+rect 3786 11772 3792 11784
+rect 3844 11772 3850 11824
+rect 5442 11772 5448 11824
+rect 5500 11812 5506 11824
+rect 5537 11815 5595 11821
+rect 5537 11812 5549 11815
+rect 5500 11784 5549 11812
+rect 5500 11772 5506 11784
+rect 5537 11781 5549 11784
+rect 5583 11781 5595 11815
+rect 7024 11812 7052 11852
+rect 7834 11840 7840 11852
+rect 7892 11880 7898 11892
+rect 8386 11880 8392 11892
+rect 7892 11852 8294 11880
+rect 8347 11852 8392 11880
+rect 7892 11840 7898 11852
+rect 5537 11775 5595 11781
+rect 6012 11784 7052 11812
+rect 6012 11756 6040 11784
+rect 2498 11744 2504 11756
+rect 2459 11716 2504 11744
+rect 2498 11704 2504 11716
+rect 2556 11704 2562 11756
+rect 2682 11704 2688 11756
+rect 2740 11744 2746 11756
+rect 2777 11747 2835 11753
+rect 2777 11744 2789 11747
+rect 2740 11716 2789 11744
+rect 2740 11704 2746 11716
+rect 2777 11713 2789 11716
+rect 2823 11713 2835 11747
+rect 2777 11707 2835 11713
+rect 5074 11704 5080 11756
+rect 5132 11744 5138 11756
+rect 5169 11747 5227 11753
+rect 5169 11744 5181 11747
+rect 5132 11716 5181 11744
+rect 5132 11704 5138 11716
+rect 5169 11713 5181 11716
+rect 5215 11713 5227 11747
+rect 5169 11707 5227 11713
+rect 5779 11747 5837 11753
+rect 5779 11713 5791 11747
+rect 5825 11744 5837 11747
+rect 5905 11747 5963 11753
+rect 5825 11713 5855 11744
+rect 5779 11707 5855 11713
+rect 5905 11713 5917 11747
+rect 5951 11713 5963 11747
+rect 5905 11707 5963 11713
+rect 1673 11679 1731 11685
+rect 1673 11645 1685 11679
+rect 1719 11676 1731 11679
rect 1857 11679 1915 11685
rect 1857 11676 1869 11679
-rect 1452 11648 1869 11676
-rect 1452 11636 1458 11648
+rect 1719 11648 1869 11676
+rect 1719 11645 1731 11648
+rect 1673 11639 1731 11645
rect 1857 11645 1869 11648
rect 1903 11645 1915 11679
rect 1857 11639 1915 11645
-rect 1949 11679 2007 11685
-rect 1949 11645 1961 11679
-rect 1995 11645 2007 11679
-rect 2222 11676 2228 11688
-rect 2183 11648 2228 11676
-rect 1949 11639 2007 11645
-rect 1486 11568 1492 11620
-rect 1544 11608 1550 11620
-rect 1964 11608 1992 11639
-rect 2222 11636 2228 11648
-rect 2280 11636 2286 11688
-rect 2774 11636 2780 11688
-rect 2832 11676 2838 11688
-rect 3418 11676 3424 11688
-rect 2832 11648 2877 11676
-rect 3379 11648 3424 11676
-rect 2832 11636 2838 11648
-rect 3418 11636 3424 11648
-rect 3476 11636 3482 11688
-rect 3878 11676 3884 11688
-rect 3839 11648 3884 11676
-rect 3878 11636 3884 11648
-rect 3936 11636 3942 11688
-rect 4617 11679 4675 11685
-rect 4617 11676 4629 11679
-rect 4540 11648 4629 11676
-rect 3326 11608 3332 11620
-rect 1544 11580 1992 11608
-rect 3287 11580 3332 11608
-rect 1544 11568 1550 11580
-rect 3326 11568 3332 11580
-rect 3384 11568 3390 11620
-rect 3970 11500 3976 11552
-rect 4028 11540 4034 11552
-rect 4540 11549 4568 11648
-rect 4617 11645 4629 11648
-rect 4663 11645 4675 11679
-rect 5166 11676 5172 11688
-rect 5127 11648 5172 11676
-rect 4617 11639 4675 11645
-rect 5166 11636 5172 11648
-rect 5224 11636 5230 11688
-rect 5258 11636 5264 11688
-rect 5316 11676 5322 11688
-rect 5316 11648 5361 11676
-rect 5316 11636 5322 11648
-rect 4982 11568 4988 11620
-rect 5040 11608 5046 11620
-rect 5077 11611 5135 11617
-rect 5077 11608 5089 11611
-rect 5040 11580 5089 11608
-rect 5040 11568 5046 11580
-rect 5077 11577 5089 11580
-rect 5123 11577 5135 11611
-rect 5350 11608 5356 11620
-rect 5311 11580 5356 11608
-rect 5077 11571 5135 11577
-rect 5350 11568 5356 11580
-rect 5408 11568 5414 11620
-rect 4525 11543 4583 11549
-rect 4525 11540 4537 11543
-rect 4028 11512 4537 11540
-rect 4028 11500 4034 11512
-rect 4525 11509 4537 11512
-rect 4571 11509 4583 11543
-rect 4525 11503 4583 11509
-rect 4890 11500 4896 11552
-rect 4948 11540 4954 11552
-rect 5735 11540 5763 11716
-rect 6089 11713 6101 11716
-rect 6135 11713 6147 11747
-rect 6089 11707 6147 11713
+rect 2222 11636 2228 11688
+rect 2280 11676 2286 11688
+rect 2409 11679 2467 11685
+rect 2409 11676 2421 11679
+rect 2280 11648 2421 11676
+rect 2280 11636 2286 11648
+rect 2409 11645 2421 11648
+rect 2455 11676 2467 11679
+rect 2961 11679 3019 11685
+rect 2961 11676 2973 11679
+rect 2455 11648 2973 11676
+rect 2455 11645 2467 11648
+rect 2409 11639 2467 11645
+rect 2961 11645 2973 11648
+rect 3007 11645 3019 11679
+rect 2961 11639 3019 11645
+rect 4249 11679 4307 11685
+rect 4249 11645 4261 11679
+rect 4295 11676 4307 11679
+rect 4706 11676 4712 11688
+rect 4295 11648 4712 11676
+rect 4295 11645 4307 11648
+rect 4249 11639 4307 11645
+rect 4706 11636 4712 11648
+rect 4764 11636 4770 11688
+rect 4801 11679 4859 11685
+rect 4801 11645 4813 11679
+rect 4847 11676 4859 11679
+rect 4982 11676 4988 11688
+rect 4847 11648 4988 11676
+rect 4847 11645 4859 11648
+rect 4801 11639 4859 11645
+rect 4982 11636 4988 11648
+rect 5040 11636 5046 11688
+rect 5353 11679 5411 11685
+rect 5353 11645 5365 11679
+rect 5399 11676 5411 11679
+rect 5626 11676 5632 11688
+rect 5399 11648 5632 11676
+rect 5399 11645 5411 11648
+rect 5353 11639 5411 11645
+rect 5626 11636 5632 11648
+rect 5684 11636 5690 11688
+rect 1949 11611 2007 11617
+rect 1949 11577 1961 11611
+rect 1995 11608 2007 11611
+rect 2866 11608 2872 11620
+rect 1995 11580 2872 11608
+rect 1995 11577 2007 11580
+rect 1949 11571 2007 11577
+rect 2866 11568 2872 11580
+rect 2924 11568 2930 11620
+rect 3694 11608 3700 11620
+rect 3528 11580 3700 11608
+rect 3142 11540 3148 11552
+rect 3103 11512 3148 11540
+rect 3142 11500 3148 11512
+rect 3200 11540 3206 11552
+rect 3528 11549 3556 11580
+rect 3694 11568 3700 11580
+rect 3752 11608 3758 11620
+rect 4065 11611 4123 11617
+rect 4065 11608 4077 11611
+rect 3752 11580 4077 11608
+rect 3752 11568 3758 11580
+rect 4065 11577 4077 11580
+rect 4111 11577 4123 11611
+rect 4065 11571 4123 11577
+rect 4341 11611 4399 11617
+rect 4341 11577 4353 11611
+rect 4387 11608 4399 11611
+rect 4614 11608 4620 11620
+rect 4387 11580 4620 11608
+rect 4387 11577 4399 11580
+rect 4341 11571 4399 11577
+rect 3513 11543 3571 11549
+rect 3513 11540 3525 11543
+rect 3200 11512 3525 11540
+rect 3200 11500 3206 11512
+rect 3513 11509 3525 11512
+rect 3559 11509 3571 11543
+rect 3878 11540 3884 11552
+rect 3839 11512 3884 11540
+rect 3513 11503 3571 11509
+rect 3878 11500 3884 11512
+rect 3936 11500 3942 11552
+rect 4080 11540 4108 11571
+rect 4614 11568 4620 11580
+rect 4672 11568 4678 11620
+rect 5534 11568 5540 11620
+rect 5592 11608 5598 11620
+rect 5827 11608 5855 11707
+rect 5920 11676 5948 11707
+rect 5994 11704 6000 11756
+rect 6052 11744 6058 11756
+rect 6052 11716 6097 11744
+rect 6052 11704 6058 11716
rect 6178 11704 6184 11756
rect 6236 11744 6242 11756
-rect 6365 11747 6423 11753
-rect 6365 11744 6377 11747
-rect 6236 11716 6377 11744
+rect 6730 11744 6736 11756
+rect 6236 11716 6736 11744
rect 6236 11704 6242 11716
-rect 6365 11713 6377 11716
-rect 6411 11713 6423 11747
-rect 6365 11707 6423 11713
-rect 6546 11704 6552 11756
-rect 6604 11744 6610 11756
-rect 6641 11747 6699 11753
-rect 6641 11744 6653 11747
-rect 6604 11716 6653 11744
-rect 6604 11704 6610 11716
-rect 6641 11713 6653 11716
-rect 6687 11713 6699 11747
-rect 7098 11744 7104 11756
-rect 7059 11716 7104 11744
-rect 6641 11707 6699 11713
-rect 7098 11704 7104 11716
-rect 7156 11704 7162 11756
-rect 7285 11747 7343 11753
-rect 7285 11713 7297 11747
-rect 7331 11744 7343 11747
-rect 7466 11744 7472 11756
-rect 7331 11716 7472 11744
-rect 7331 11713 7343 11716
-rect 7285 11707 7343 11713
-rect 7466 11704 7472 11716
-rect 7524 11704 7530 11756
-rect 8389 11747 8447 11753
-rect 8389 11713 8401 11747
-rect 8435 11744 8447 11747
-rect 8435 11716 9076 11744
-rect 8435 11713 8447 11716
-rect 8389 11707 8447 11713
-rect 5810 11636 5816 11688
-rect 5868 11676 5874 11688
-rect 5868 11648 6592 11676
-rect 5868 11636 5874 11648
-rect 6086 11568 6092 11620
-rect 6144 11608 6150 11620
-rect 6457 11611 6515 11617
-rect 6457 11608 6469 11611
-rect 6144 11580 6469 11608
-rect 6144 11568 6150 11580
-rect 6457 11577 6469 11580
-rect 6503 11577 6515 11611
-rect 6564 11608 6592 11648
-rect 8294 11636 8300 11688
-rect 8352 11676 8358 11688
-rect 9048 11685 9076 11716
+rect 6730 11704 6736 11716
+rect 6788 11704 6794 11756
+rect 7024 11753 7052 11784
+rect 7282 11772 7288 11824
+rect 7340 11812 7346 11824
+rect 7469 11815 7527 11821
+rect 7469 11812 7481 11815
+rect 7340 11784 7481 11812
+rect 7340 11772 7346 11784
+rect 7469 11781 7481 11784
+rect 7515 11781 7527 11815
+rect 7469 11775 7527 11781
+rect 7742 11772 7748 11824
+rect 7800 11812 7806 11824
+rect 8021 11815 8079 11821
+rect 8021 11812 8033 11815
+rect 7800 11784 8033 11812
+rect 7800 11772 7806 11784
+rect 8021 11781 8033 11784
+rect 8067 11781 8079 11815
+rect 8266 11812 8294 11852
+rect 8386 11840 8392 11852
+rect 8444 11840 8450 11892
+rect 8662 11840 8668 11892
+rect 8720 11880 8726 11892
+rect 8941 11883 8999 11889
+rect 8941 11880 8953 11883
+rect 8720 11852 8953 11880
+rect 8720 11840 8726 11852
+rect 8941 11849 8953 11852
+rect 8987 11849 8999 11883
+rect 8941 11843 8999 11849
+rect 9217 11883 9275 11889
+rect 9217 11849 9229 11883
+rect 9263 11880 9275 11883
+rect 10505 11883 10563 11889
+rect 9263 11852 10456 11880
+rect 9263 11849 9275 11852
+rect 9217 11843 9275 11849
+rect 8573 11815 8631 11821
+rect 8266 11784 8524 11812
+rect 8021 11775 8079 11781
+rect 7009 11747 7067 11753
+rect 7009 11713 7021 11747
+rect 7055 11713 7067 11747
+rect 7009 11707 7067 11713
+rect 7101 11747 7159 11753
+rect 7101 11713 7113 11747
+rect 7147 11713 7159 11747
+rect 7374 11744 7380 11756
+rect 7335 11716 7380 11744
+rect 7101 11707 7159 11713
+rect 6454 11676 6460 11688
+rect 5920 11648 6460 11676
+rect 6454 11636 6460 11648
+rect 6512 11636 6518 11688
+rect 5592 11580 5855 11608
+rect 5592 11568 5598 11580
+rect 6546 11568 6552 11620
+rect 6604 11608 6610 11620
+rect 6641 11611 6699 11617
+rect 6641 11608 6653 11611
+rect 6604 11580 6653 11608
+rect 6604 11568 6610 11580
+rect 6641 11577 6653 11580
+rect 6687 11608 6699 11611
+rect 6730 11608 6736 11620
+rect 6687 11580 6736 11608
+rect 6687 11577 6699 11580
+rect 6641 11571 6699 11577
+rect 6730 11568 6736 11580
+rect 6788 11568 6794 11620
+rect 7116 11608 7144 11707
+rect 7374 11704 7380 11716
+rect 7432 11744 7438 11756
+rect 7650 11744 7656 11756
+rect 7432 11716 7656 11744
+rect 7432 11704 7438 11716
+rect 7650 11704 7656 11716
+rect 7708 11704 7714 11756
+rect 7834 11744 7840 11756
+rect 7795 11716 7840 11744
+rect 7834 11704 7840 11716
+rect 7892 11704 7898 11756
+rect 8202 11744 8208 11756
+rect 8163 11716 8208 11744
+rect 8202 11704 8208 11716
+rect 8260 11704 8266 11756
+rect 8496 11753 8524 11784
+rect 8573 11781 8585 11815
+rect 8619 11781 8631 11815
+rect 8754 11812 8760 11824
+rect 8715 11784 8760 11812
+rect 8573 11775 8631 11781
+rect 8481 11747 8539 11753
+rect 8481 11713 8493 11747
+rect 8527 11713 8539 11747
+rect 8481 11707 8539 11713
+rect 7742 11636 7748 11688
+rect 7800 11676 7806 11688
+rect 8588 11676 8616 11775
+rect 8754 11772 8760 11784
+rect 8812 11772 8818 11824
+rect 9582 11772 9588 11824
+rect 9640 11812 9646 11824
+rect 10321 11815 10379 11821
+rect 10321 11812 10333 11815
+rect 9640 11784 10333 11812
+rect 9640 11772 9646 11784
+rect 10321 11781 10333 11784
+rect 10367 11781 10379 11815
+rect 10428 11812 10456 11852
+rect 10505 11849 10517 11883
+rect 10551 11880 10563 11883
+rect 10778 11880 10784 11892
+rect 10551 11852 10784 11880
+rect 10551 11849 10563 11852
+rect 10505 11843 10563 11849
+rect 10778 11840 10784 11852
+rect 10836 11840 10842 11892
+rect 11974 11840 11980 11892
+rect 12032 11880 12038 11892
+rect 12069 11883 12127 11889
+rect 12069 11880 12081 11883
+rect 12032 11852 12081 11880
+rect 12032 11840 12038 11852
+rect 12069 11849 12081 11852
+rect 12115 11880 12127 11883
+rect 13170 11880 13176 11892
+rect 12115 11852 13176 11880
+rect 12115 11849 12127 11852
+rect 12069 11843 12127 11849
+rect 13170 11840 13176 11852
+rect 13228 11840 13234 11892
+rect 13446 11880 13452 11892
+rect 13407 11852 13452 11880
+rect 13446 11840 13452 11852
+rect 13504 11840 13510 11892
+rect 10594 11812 10600 11824
+rect 10428 11784 10600 11812
+rect 10321 11775 10379 11781
+rect 10594 11772 10600 11784
+rect 10652 11772 10658 11824
+rect 10686 11772 10692 11824
+rect 10744 11812 10750 11824
+rect 10873 11815 10931 11821
+rect 10873 11812 10885 11815
+rect 10744 11784 10885 11812
+rect 10744 11772 10750 11784
+rect 10873 11781 10885 11784
+rect 10919 11781 10931 11815
+rect 10873 11775 10931 11781
+rect 12713 11815 12771 11821
+rect 12713 11781 12725 11815
+rect 12759 11812 12771 11815
+rect 12894 11812 12900 11824
+rect 12759 11784 12900 11812
+rect 12759 11781 12771 11784
+rect 12713 11775 12771 11781
+rect 12894 11772 12900 11784
+rect 12952 11772 12958 11824
+rect 13354 11812 13360 11824
+rect 13315 11784 13360 11812
+rect 13354 11772 13360 11784
+rect 13412 11772 13418 11824
+rect 9490 11744 9496 11756
+rect 9451 11716 9496 11744
+rect 9490 11704 9496 11716
+rect 9548 11704 9554 11756
+rect 9674 11704 9680 11756
+rect 9732 11744 9738 11756
+rect 9858 11744 9864 11756
+rect 9732 11716 9776 11744
+rect 9819 11716 9864 11744
+rect 9732 11704 9738 11716
+rect 9858 11704 9864 11716
+rect 9916 11704 9922 11756
rect 9950 11704 9956 11756
rect 10008 11744 10014 11756
rect 10045 11747 10103 11753
@@ -2317,23 +2866,37 @@ rect 10008 11716 10057 11744
rect 10008 11704 10014 11716
rect 10045 11713 10057 11716
rect 10091 11713 10103 11747
+rect 11057 11747 11115 11753
+rect 11057 11744 11069 11747
rect 10045 11707 10103 11713
-rect 10410 11704 10416 11756
-rect 10468 11744 10474 11756
-rect 10689 11747 10747 11753
-rect 10689 11744 10701 11747
-rect 10468 11716 10701 11744
-rect 10468 11704 10474 11716
-rect 10689 11713 10701 11716
-rect 10735 11713 10747 11747
-rect 10796 11744 10824 11775
-rect 13280 11756 13308 11784
-rect 13446 11772 13452 11784
-rect 13504 11772 13510 11824
+rect 10152 11716 11069 11744
+rect 7800 11648 8616 11676
+rect 7800 11636 7806 11648
+rect 9398 11636 9404 11688
+rect 9456 11676 9462 11688
+rect 9769 11679 9827 11685
+rect 9769 11676 9781 11679
+rect 9456 11648 9781 11676
+rect 9456 11636 9462 11648
+rect 9769 11645 9781 11648
+rect 9815 11645 9827 11679
+rect 10152 11676 10180 11716
+rect 11057 11713 11069 11716
+rect 11103 11713 11115 11747
+rect 11057 11707 11115 11713
+rect 11146 11704 11152 11756
+rect 11204 11744 11210 11756
+rect 11241 11747 11299 11753
+rect 11241 11744 11253 11747
+rect 11204 11716 11253 11744
+rect 11204 11704 11210 11716
+rect 11241 11713 11253 11716
+rect 11287 11744 11299 11747
rect 11517 11747 11575 11753
rect 11517 11744 11529 11747
-rect 10796 11716 11529 11744
-rect 10689 11707 10747 11713
+rect 11287 11716 11529 11744
+rect 11287 11713 11299 11716
+rect 11241 11707 11299 11713
rect 11517 11713 11529 11716
rect 11563 11713 11575 11747
rect 11698 11744 11704 11756
@@ -2341,111 +2904,132 @@ rect 11659 11716 11704 11744
rect 11517 11707 11575 11713
rect 11698 11704 11704 11716
rect 11756 11704 11762 11756
-rect 12529 11747 12587 11753
-rect 12529 11713 12541 11747
-rect 12575 11744 12587 11747
-rect 12986 11744 12992 11756
-rect 12575 11716 12992 11744
-rect 12575 11713 12587 11716
-rect 12529 11707 12587 11713
-rect 12986 11704 12992 11716
-rect 13044 11704 13050 11756
+rect 12621 11747 12679 11753
+rect 12621 11713 12633 11747
+rect 12667 11744 12679 11747
+rect 13078 11744 13084 11756
+rect 12667 11716 13084 11744
+rect 12667 11713 12679 11716
+rect 12621 11707 12679 11713
+rect 13078 11704 13084 11716
+rect 13136 11704 13142 11756
rect 13262 11744 13268 11756
rect 13223 11716 13268 11744
rect 13262 11704 13268 11716
rect 13320 11704 13326 11756
-rect 8481 11679 8539 11685
-rect 8481 11676 8493 11679
-rect 8352 11648 8493 11676
-rect 8352 11636 8358 11648
-rect 8481 11645 8493 11648
-rect 8527 11645 8539 11679
-rect 8481 11639 8539 11645
-rect 9033 11679 9091 11685
-rect 9033 11645 9045 11679
-rect 9079 11676 9091 11679
-rect 9582 11676 9588 11688
-rect 9079 11648 9588 11676
-rect 9079 11645 9091 11648
-rect 9033 11639 9091 11645
-rect 9582 11636 9588 11648
-rect 9640 11636 9646 11688
-rect 9674 11636 9680 11688
-rect 9732 11676 9738 11688
-rect 9732 11648 9825 11676
-rect 9732 11636 9738 11648
-rect 9858 11636 9864 11688
-rect 9916 11676 9922 11688
-rect 10318 11676 10324 11688
-rect 9916 11648 10324 11676
-rect 9916 11636 9922 11648
-rect 10318 11636 10324 11648
-rect 10376 11636 10382 11688
-rect 10502 11676 10508 11688
-rect 10463 11648 10508 11676
-rect 10502 11636 10508 11648
-rect 10560 11636 10566 11688
-rect 11977 11679 12035 11685
-rect 11977 11676 11989 11679
-rect 10612 11648 11989 11676
-rect 8938 11608 8944 11620
-rect 6564 11580 8944 11608
-rect 6457 11571 6515 11577
-rect 8938 11568 8944 11580
-rect 8996 11568 9002 11620
-rect 9692 11608 9720 11636
-rect 10612 11608 10640 11648
-rect 11977 11645 11989 11648
-rect 12023 11645 12035 11679
-rect 11977 11639 12035 11645
-rect 9692 11580 10640 11608
-rect 11054 11568 11060 11620
-rect 11112 11608 11118 11620
-rect 11609 11611 11667 11617
-rect 11609 11608 11621 11611
-rect 11112 11580 11621 11608
-rect 11112 11568 11118 11580
-rect 11609 11577 11621 11580
-rect 11655 11577 11667 11611
-rect 11609 11571 11667 11577
-rect 4948 11512 5763 11540
-rect 4948 11500 4954 11512
-rect 6730 11500 6736 11552
-rect 6788 11540 6794 11552
-rect 6825 11543 6883 11549
-rect 6825 11540 6837 11543
-rect 6788 11512 6837 11540
-rect 6788 11500 6794 11512
-rect 6825 11509 6837 11512
-rect 6871 11509 6883 11543
-rect 6825 11503 6883 11509
-rect 9766 11500 9772 11552
-rect 9824 11540 9830 11552
-rect 10321 11543 10379 11549
-rect 10321 11540 10333 11543
-rect 9824 11512 10333 11540
-rect 9824 11500 9830 11512
-rect 10321 11509 10333 11512
-rect 10367 11509 10379 11543
-rect 10321 11503 10379 11509
-rect 10870 11500 10876 11552
-rect 10928 11540 10934 11552
-rect 11149 11543 11207 11549
-rect 11149 11540 11161 11543
-rect 10928 11512 11161 11540
-rect 10928 11500 10934 11512
-rect 11149 11509 11161 11512
-rect 11195 11509 11207 11543
-rect 11149 11503 11207 11509
-rect 12345 11543 12403 11549
-rect 12345 11509 12357 11543
-rect 12391 11540 12403 11543
-rect 12894 11540 12900 11552
-rect 12391 11512 12900 11540
-rect 12391 11509 12403 11512
-rect 12345 11503 12403 11509
-rect 12894 11500 12900 11512
-rect 12952 11500 12958 11552
+rect 9769 11639 9827 11645
+rect 9968 11648 10180 11676
+rect 10229 11679 10287 11685
+rect 8202 11608 8208 11620
+rect 7116 11580 8208 11608
+rect 8202 11568 8208 11580
+rect 8260 11568 8266 11620
+rect 9214 11568 9220 11620
+rect 9272 11608 9278 11620
+rect 9968 11608 9996 11648
+rect 10229 11645 10241 11679
+rect 10275 11676 10287 11679
+rect 11330 11676 11336 11688
+rect 10275 11648 11336 11676
+rect 10275 11645 10287 11648
+rect 10229 11639 10287 11645
+rect 11330 11636 11336 11648
+rect 11388 11636 11394 11688
+rect 12066 11636 12072 11688
+rect 12124 11676 12130 11688
+rect 12161 11679 12219 11685
+rect 12161 11676 12173 11679
+rect 12124 11648 12173 11676
+rect 12124 11636 12130 11648
+rect 12161 11645 12173 11648
+rect 12207 11645 12219 11679
+rect 12802 11676 12808 11688
+rect 12763 11648 12808 11676
+rect 12161 11639 12219 11645
+rect 12802 11636 12808 11648
+rect 12860 11636 12866 11688
+rect 9272 11580 9996 11608
+rect 9272 11568 9278 11580
+rect 10042 11568 10048 11620
+rect 10100 11608 10106 11620
+rect 10100 11580 10548 11608
+rect 10100 11568 10106 11580
+rect 4798 11540 4804 11552
+rect 4080 11512 4804 11540
+rect 4798 11500 4804 11512
+rect 4856 11540 4862 11552
+rect 4893 11543 4951 11549
+rect 4893 11540 4905 11543
+rect 4856 11512 4905 11540
+rect 4856 11500 4862 11512
+rect 4893 11509 4905 11512
+rect 4939 11509 4951 11543
+rect 4893 11503 4951 11509
+rect 6454 11500 6460 11552
+rect 6512 11540 6518 11552
+rect 7285 11543 7343 11549
+rect 7285 11540 7297 11543
+rect 6512 11512 7297 11540
+rect 6512 11500 6518 11512
+rect 7285 11509 7297 11512
+rect 7331 11540 7343 11543
+rect 7374 11540 7380 11552
+rect 7331 11512 7380 11540
+rect 7331 11509 7343 11512
+rect 7285 11503 7343 11509
+rect 7374 11500 7380 11512
+rect 7432 11540 7438 11552
+rect 8386 11540 8392 11552
+rect 7432 11512 8392 11540
+rect 7432 11500 7438 11512
+rect 8386 11500 8392 11512
+rect 8444 11500 8450 11552
+rect 8662 11500 8668 11552
+rect 8720 11540 8726 11552
+rect 8757 11543 8815 11549
+rect 8757 11540 8769 11543
+rect 8720 11512 8769 11540
+rect 8720 11500 8726 11512
+rect 8757 11509 8769 11512
+rect 8803 11509 8815 11543
+rect 8757 11503 8815 11509
+rect 9030 11500 9036 11552
+rect 9088 11540 9094 11552
+rect 9401 11543 9459 11549
+rect 9401 11540 9413 11543
+rect 9088 11512 9413 11540
+rect 9088 11500 9094 11512
+rect 9401 11509 9413 11512
+rect 9447 11540 9459 11543
+rect 9490 11540 9496 11552
+rect 9447 11512 9496 11540
+rect 9447 11509 9459 11512
+rect 9401 11503 9459 11509
+rect 9490 11500 9496 11512
+rect 9548 11500 9554 11552
+rect 10520 11549 10548 11580
+rect 10505 11543 10563 11549
+rect 10505 11509 10517 11543
+rect 10551 11509 10563 11543
+rect 10686 11540 10692 11552
+rect 10647 11512 10692 11540
+rect 10505 11503 10563 11509
+rect 10686 11500 10692 11512
+rect 10744 11540 10750 11552
+rect 10870 11540 10876 11552
+rect 10744 11512 10876 11540
+rect 10744 11500 10750 11512
+rect 10870 11500 10876 11512
+rect 10928 11500 10934 11552
+rect 11054 11500 11060 11552
+rect 11112 11540 11118 11552
+rect 11793 11543 11851 11549
+rect 11793 11540 11805 11543
+rect 11112 11512 11805 11540
+rect 11112 11500 11118 11512
+rect 11793 11509 11805 11512
+rect 11839 11509 11851 11543
+rect 11793 11503 11851 11509
rect 1104 11450 13892 11472
rect 1104 11398 4214 11450
rect 4266 11398 4278 11450
@@ -2459,376 +3043,473 @@ rect 12394 11398 12406 11450
rect 12458 11398 12470 11450
rect 12522 11398 13892 11450
rect 1104 11376 13892 11398
-rect 1394 11336 1400 11348
-rect 1355 11308 1400 11336
-rect 1394 11296 1400 11308
-rect 1452 11296 1458 11348
-rect 2774 11296 2780 11348
-rect 2832 11336 2838 11348
-rect 3329 11339 3387 11345
-rect 3329 11336 3341 11339
-rect 2832 11308 3341 11336
-rect 2832 11296 2838 11308
-rect 3329 11305 3341 11308
-rect 3375 11305 3387 11339
-rect 3329 11299 3387 11305
-rect 5626 11296 5632 11348
-rect 5684 11336 5690 11348
-rect 5813 11339 5871 11345
-rect 5813 11336 5825 11339
-rect 5684 11308 5825 11336
-rect 5684 11296 5690 11308
-rect 5813 11305 5825 11308
-rect 5859 11305 5871 11339
-rect 7469 11339 7527 11345
-rect 7469 11336 7481 11339
-rect 5813 11299 5871 11305
-rect 6656 11308 7481 11336
-rect 3053 11271 3111 11277
-rect 3053 11237 3065 11271
-rect 3099 11268 3111 11271
-rect 3234 11268 3240 11280
-rect 3099 11240 3240 11268
-rect 3099 11237 3111 11240
-rect 3053 11231 3111 11237
-rect 3234 11228 3240 11240
-rect 3292 11228 3298 11280
-rect 4982 11228 4988 11280
-rect 5040 11268 5046 11280
-rect 5258 11268 5264 11280
-rect 5040 11240 5264 11268
-rect 5040 11228 5046 11240
-rect 5258 11228 5264 11240
-rect 5316 11228 5322 11280
+rect 8662 11336 8668 11348
+rect 8623 11308 8668 11336
+rect 8662 11296 8668 11308
+rect 8720 11296 8726 11348
+rect 8846 11296 8852 11348
+rect 8904 11336 8910 11348
+rect 8941 11339 8999 11345
+rect 8941 11336 8953 11339
+rect 8904 11308 8953 11336
+rect 8904 11296 8910 11308
+rect 8941 11305 8953 11308
+rect 8987 11305 8999 11339
+rect 9122 11336 9128 11348
+rect 9083 11308 9128 11336
+rect 8941 11299 8999 11305
+rect 9122 11296 9128 11308
+rect 9180 11296 9186 11348
+rect 9306 11336 9312 11348
+rect 9232 11308 9312 11336
+rect 2866 11268 2872 11280
+rect 2827 11240 2872 11268
+rect 2866 11228 2872 11240
+rect 2924 11228 2930 11280
+rect 3510 11228 3516 11280
+rect 3568 11268 3574 11280
+rect 5074 11268 5080 11280
+rect 3568 11240 5080 11268
+rect 3568 11228 3574 11240
+rect 5074 11228 5080 11240
+rect 5132 11228 5138 11280
+rect 5629 11271 5687 11277
+rect 5629 11237 5641 11271
+rect 5675 11268 5687 11271
rect 6086 11268 6092 11280
-rect 6144 11277 6150 11280
-rect 6051 11240 6092 11268
+rect 5675 11240 6092 11268
+rect 5675 11237 5687 11240
+rect 5629 11231 5687 11237
rect 6086 11228 6092 11240
-rect 6144 11231 6151 11277
-rect 6144 11228 6150 11231
+rect 6144 11228 6150 11280
+rect 7653 11271 7711 11277
+rect 6196 11240 7144 11268
+rect 4522 11160 4528 11212
+rect 4580 11200 4586 11212
+rect 5166 11200 5172 11212
+rect 4580 11172 5172 11200
+rect 4580 11160 4586 11172
+rect 5166 11160 5172 11172
+rect 5224 11200 5230 11212
+rect 6196 11209 6224 11240
+rect 5885 11203 5943 11209
+rect 5885 11200 5897 11203
+rect 5224 11172 5897 11200
+rect 5224 11160 5230 11172
+rect 5885 11169 5897 11172
+rect 5931 11169 5943 11203
+rect 5885 11163 5943 11169
rect 6181 11203 6239 11209
rect 6181 11169 6193 11203
-rect 6227 11200 6239 11203
-rect 6546 11200 6552 11212
-rect 6227 11172 6552 11200
-rect 6227 11169 6239 11172
+rect 6227 11169 6239 11203
rect 6181 11163 6239 11169
-rect 6546 11160 6552 11172
-rect 6604 11160 6610 11212
-rect 6656 11209 6684 11308
-rect 7469 11305 7481 11308
-rect 7515 11336 7527 11339
-rect 7650 11336 7656 11348
-rect 7515 11308 7656 11336
-rect 7515 11305 7527 11308
-rect 7469 11299 7527 11305
-rect 7650 11296 7656 11308
-rect 7708 11336 7714 11348
-rect 7837 11339 7895 11345
-rect 7837 11336 7849 11339
-rect 7708 11308 7849 11336
-rect 7708 11296 7714 11308
-rect 7837 11305 7849 11308
-rect 7883 11305 7895 11339
-rect 8294 11336 8300 11348
-rect 8255 11308 8300 11336
-rect 7837 11299 7895 11305
-rect 8294 11296 8300 11308
-rect 8352 11296 8358 11348
-rect 8938 11336 8944 11348
-rect 8899 11308 8944 11336
-rect 8938 11296 8944 11308
-rect 8996 11296 9002 11348
-rect 9674 11296 9680 11348
-rect 9732 11336 9738 11348
-rect 10134 11336 10140 11348
-rect 9732 11308 10140 11336
-rect 9732 11296 9738 11308
-rect 10134 11296 10140 11308
-rect 10192 11296 10198 11348
-rect 11146 11336 11152 11348
-rect 10520 11308 11152 11336
-rect 7193 11271 7251 11277
-rect 7193 11237 7205 11271
-rect 7239 11268 7251 11271
-rect 10520 11268 10548 11308
-rect 11146 11296 11152 11308
-rect 11204 11296 11210 11348
-rect 11241 11339 11299 11345
-rect 11241 11305 11253 11339
-rect 11287 11336 11299 11339
-rect 11882 11336 11888 11348
-rect 11287 11308 11888 11336
-rect 11287 11305 11299 11308
-rect 11241 11299 11299 11305
-rect 11882 11296 11888 11308
-rect 11940 11296 11946 11348
-rect 11422 11268 11428 11280
-rect 7239 11240 10548 11268
-rect 10612 11240 11428 11268
-rect 7239 11237 7251 11240
-rect 7193 11231 7251 11237
-rect 6641 11203 6699 11209
-rect 6641 11169 6653 11203
-rect 6687 11169 6699 11203
-rect 7653 11203 7711 11209
-rect 7653 11200 7665 11203
-rect 6641 11163 6699 11169
-rect 6840 11172 7665 11200
-rect 1581 11135 1639 11141
-rect 1581 11101 1593 11135
-rect 1627 11132 1639 11135
+rect 6380 11172 6868 11200
+rect 1397 11135 1455 11141
+rect 1397 11101 1409 11135
+rect 1443 11132 1455 11135
rect 2222 11132 2228 11144
-rect 1627 11104 2228 11132
-rect 1627 11101 1639 11104
-rect 1581 11095 1639 11101
+rect 1443 11104 2228 11132
+rect 1443 11101 1455 11104
+rect 1397 11095 1455 11101
rect 2222 11092 2228 11104
rect 2280 11092 2286 11144
-rect 3050 11132 3056 11144
-rect 3011 11104 3056 11132
-rect 3050 11092 3056 11104
-rect 3108 11092 3114 11144
-rect 3237 11135 3295 11141
-rect 3237 11101 3249 11135
-rect 3283 11132 3295 11135
+rect 2406 11132 2412 11144
+rect 2367 11104 2412 11132
+rect 2406 11092 2412 11104
+rect 2464 11092 2470 11144
+rect 3053 11135 3111 11141
+rect 3053 11101 3065 11135
+rect 3099 11132 3111 11135
rect 3326 11132 3332 11144
-rect 3283 11104 3332 11132
-rect 3283 11101 3295 11104
-rect 3237 11095 3295 11101
+rect 3099 11104 3332 11132
+rect 3099 11101 3111 11104
+rect 3053 11095 3111 11101
rect 3326 11092 3332 11104
-rect 3384 11092 3390 11144
-rect 3970 11132 3976 11144
-rect 3931 11104 3976 11132
-rect 3970 11092 3976 11104
-rect 4028 11092 4034 11144
-rect 4522 11092 4528 11144
-rect 4580 11132 4586 11144
-rect 4890 11132 4896 11144
-rect 4580 11104 4896 11132
-rect 4580 11092 4586 11104
-rect 4890 11092 4896 11104
-rect 4948 11092 4954 11144
-rect 5994 11141 6000 11144
-rect 5988 11132 6000 11141
-rect 5955 11104 6000 11132
-rect 5988 11095 6000 11104
-rect 5994 11092 6000 11095
-rect 6052 11092 6058 11144
-rect 6273 11135 6331 11141
-rect 6273 11101 6285 11135
-rect 6319 11134 6331 11135
-rect 6319 11132 6408 11134
-rect 6454 11132 6460 11144
-rect 6319 11106 6460 11132
-rect 6319 11101 6331 11106
-rect 6380 11104 6460 11106
-rect 6273 11095 6331 11101
-rect 6454 11092 6460 11104
-rect 6512 11092 6518 11144
-rect 6656 11132 6776 11134
+rect 3384 11132 3390 11144
+rect 3789 11135 3847 11141
+rect 3789 11132 3801 11135
+rect 3384 11104 3801 11132
+rect 3384 11092 3390 11104
+rect 3789 11101 3801 11104
+rect 3835 11101 3847 11135
+rect 3789 11095 3847 11101
+rect 3878 11092 3884 11144
+rect 3936 11132 3942 11144
+rect 4709 11135 4767 11141
+rect 4709 11132 4721 11135
+rect 3936 11104 4721 11132
+rect 3936 11092 3942 11104
+rect 4709 11101 4721 11104
+rect 4755 11101 4767 11135
+rect 4709 11095 4767 11101
+rect 4798 11092 4804 11144
+rect 4856 11132 4862 11144
+rect 5629 11135 5687 11141
+rect 5629 11132 5641 11135
+rect 4856 11104 5641 11132
+rect 4856 11092 4862 11104
+rect 5629 11101 5641 11104
+rect 5675 11101 5687 11135
+rect 6380 11132 6408 11172
+rect 5629 11095 5687 11101
+rect 6104 11104 6408 11132
+rect 6104 11076 6132 11104
+rect 6546 11092 6552 11144
+rect 6604 11092 6610 11144
+rect 6751 11135 6809 11141
+rect 6640 11113 6698 11119
+rect 6548 11079 6560 11092
+rect 6594 11079 6606 11092
+rect 3510 11064 3516 11076
+rect 3471 11036 3516 11064
+rect 3510 11024 3516 11036
+rect 3568 11024 3574 11076
+rect 3602 11024 3608 11076
+rect 3660 11064 3666 11076
+rect 5902 11064 5908 11076
+rect 3660 11036 3705 11064
+rect 5815 11036 5908 11064
+rect 3660 11024 3666 11036
+rect 2682 10956 2688 11008
+rect 2740 10996 2746 11008
+rect 5828 10996 5856 11036
+rect 5902 11024 5908 11036
+rect 5960 11064 5966 11076
+rect 6086 11064 6092 11076
+rect 5960 11036 6092 11064
+rect 5960 11024 5966 11036
+rect 6086 11024 6092 11036
+rect 6144 11024 6150 11076
+rect 6270 11064 6276 11076
+rect 6231 11036 6276 11064
+rect 6270 11024 6276 11036
+rect 6328 11024 6334 11076
+rect 6548 11073 6606 11079
+rect 6640 11079 6652 11113
+rect 6686 11079 6698 11113
+rect 6751 11101 6763 11135
+rect 6797 11132 6809 11135
rect 6840 11132 6868 11172
-rect 7653 11169 7665 11172
-rect 7699 11169 7711 11203
-rect 7653 11163 7711 11169
-rect 8846 11160 8852 11212
-rect 8904 11200 8910 11212
-rect 9493 11203 9551 11209
-rect 9493 11200 9505 11203
-rect 8904 11172 9505 11200
-rect 8904 11160 8910 11172
-rect 9493 11169 9505 11172
-rect 9539 11200 9551 11203
-rect 9858 11200 9864 11212
-rect 9539 11172 9864 11200
-rect 9539 11169 9551 11172
-rect 9493 11163 9551 11169
-rect 9858 11160 9864 11172
-rect 9916 11160 9922 11212
-rect 10226 11160 10232 11212
-rect 10284 11200 10290 11212
-rect 10413 11203 10471 11209
-rect 10413 11200 10425 11203
-rect 10284 11172 10425 11200
-rect 10284 11160 10290 11172
-rect 10413 11169 10425 11172
-rect 10459 11169 10471 11203
-rect 10413 11163 10471 11169
-rect 6656 11106 6868 11132
-rect 6656 11076 6684 11106
-rect 6748 11104 6868 11106
-rect 7466 11092 7472 11144
-rect 7524 11132 7530 11144
-rect 8113 11135 8171 11141
-rect 8113 11132 8125 11135
-rect 7524 11104 8125 11132
-rect 7524 11092 7530 11104
-rect 8113 11101 8125 11104
-rect 8159 11101 8171 11135
-rect 8113 11095 8171 11101
+rect 6797 11104 6868 11132
+rect 6917 11135 6975 11141
+rect 6797 11101 6809 11104
+rect 6751 11095 6809 11101
+rect 6917 11101 6929 11135
+rect 6963 11101 6975 11135
+rect 6917 11095 6975 11101
+rect 6640 11073 6698 11079
+rect 5994 10996 6000 11008
+rect 2740 10968 5856 10996
+rect 5955 10968 6000 10996
+rect 2740 10956 2746 10968
+rect 5994 10956 6000 10968
+rect 6052 10996 6058 11008
+rect 6655 10996 6683 11073
+rect 6932 11064 6960 11095
+rect 7006 11092 7012 11144
+rect 7064 11132 7070 11144
+rect 7116 11132 7144 11240
+rect 7653 11237 7665 11271
+rect 7699 11268 7711 11271
+rect 7742 11268 7748 11280
+rect 7699 11240 7748 11268
+rect 7699 11237 7711 11240
+rect 7653 11231 7711 11237
+rect 7742 11228 7748 11240
+rect 7800 11228 7806 11280
+rect 7834 11228 7840 11280
+rect 7892 11268 7898 11280
+rect 8205 11271 8263 11277
+rect 8205 11268 8217 11271
+rect 7892 11240 8217 11268
+rect 7892 11228 7898 11240
+rect 8205 11237 8217 11240
+rect 8251 11268 8263 11271
+rect 8570 11268 8576 11280
+rect 8251 11240 8576 11268
+rect 8251 11237 8263 11240
+rect 8205 11231 8263 11237
+rect 8570 11228 8576 11240
+rect 8628 11228 8634 11280
+rect 7282 11200 7288 11212
+rect 7208 11172 7288 11200
+rect 7208 11141 7236 11172
+rect 7282 11160 7288 11172
+rect 7340 11160 7346 11212
+rect 7558 11160 7564 11212
+rect 7616 11200 7622 11212
+rect 8113 11203 8171 11209
+rect 8113 11200 8125 11203
+rect 7616 11172 8125 11200
+rect 7616 11160 7622 11172
+rect 8113 11169 8125 11172
+rect 8159 11169 8171 11203
+rect 8113 11163 8171 11169
+rect 8294 11160 8300 11212
+rect 8352 11200 8358 11212
+rect 8662 11200 8668 11212
+rect 8352 11172 8668 11200
+rect 8352 11160 8358 11172
+rect 7193 11135 7251 11141
+rect 7064 11104 7157 11132
+rect 7064 11092 7070 11104
+rect 7193 11101 7205 11135
+rect 7239 11101 7251 11135
+rect 7411 11135 7469 11141
+rect 7193 11095 7251 11101
+rect 7286 11113 7344 11119
+rect 7208 11064 7236 11095
+rect 7286 11079 7298 11113
+rect 7332 11079 7344 11113
+rect 7411 11101 7423 11135
+rect 7457 11132 7469 11135
+rect 8018 11132 8024 11144
+rect 7457 11104 8024 11132
+rect 7457 11101 7469 11104
+rect 7411 11095 7469 11101
+rect 8018 11092 8024 11104
+rect 8076 11132 8082 11144
+rect 8404 11141 8432 11172
+rect 8662 11160 8668 11172
+rect 8720 11200 8726 11212
+rect 9122 11200 9128 11212
+rect 8720 11172 9128 11200
+rect 8720 11160 8726 11172
+rect 9122 11160 9128 11172
+rect 9180 11160 9186 11212
rect 8389 11135 8447 11141
+rect 8076 11104 8340 11132
+rect 8076 11092 8082 11104
+rect 7286 11076 7344 11079
+rect 6932 11036 7236 11064
+rect 7282 11024 7288 11076
+rect 7340 11024 7346 11076
+rect 7558 11024 7564 11076
+rect 7616 11064 7622 11076
+rect 8312 11064 8340 11104
rect 8389 11101 8401 11135
rect 8435 11101 8447 11135
-rect 8570 11132 8576 11144
-rect 8531 11104 8576 11132
rect 8389 11095 8447 11101
-rect 1486 11024 1492 11076
-rect 1544 11064 1550 11076
-rect 3789 11067 3847 11073
-rect 3789 11064 3801 11067
-rect 1544 11036 3801 11064
-rect 1544 11024 1550 11036
-rect 3789 11033 3801 11036
-rect 3835 11064 3847 11067
-rect 3878 11064 3884 11076
-rect 3835 11036 3884 11064
-rect 3835 11033 3847 11036
-rect 3789 11027 3847 11033
-rect 3878 11024 3884 11036
-rect 3936 11024 3942 11076
-rect 6638 11024 6644 11076
-rect 6696 11064 6702 11076
-rect 6733 11067 6791 11073
-rect 6733 11064 6745 11067
-rect 6696 11036 6745 11064
-rect 6696 11024 6702 11036
-rect 6733 11033 6745 11036
-rect 6779 11033 6791 11067
-rect 6733 11027 6791 11033
-rect 6825 11067 6883 11073
-rect 6825 11033 6837 11067
-rect 6871 11064 6883 11067
-rect 6914 11064 6920 11076
-rect 6871 11036 6920 11064
-rect 6871 11033 6883 11036
-rect 6825 11027 6883 11033
-rect 6914 11024 6920 11036
-rect 6972 11024 6978 11076
-rect 8404 11064 8432 11095
-rect 8570 11092 8576 11104
-rect 8628 11092 8634 11144
-rect 10042 11132 10048 11144
-rect 8680 11104 10048 11132
-rect 8680 11064 8708 11104
-rect 10042 11092 10048 11104
-rect 10100 11132 10106 11144
+rect 8481 11135 8539 11141
+rect 8481 11101 8493 11135
+rect 8527 11132 8539 11135
+rect 9030 11132 9036 11144
+rect 8527 11104 9036 11132
+rect 8527 11101 8539 11104
+rect 8481 11095 8539 11101
+rect 9030 11092 9036 11104
+rect 9088 11132 9094 11144
+rect 9232 11132 9260 11308
+rect 9306 11296 9312 11308
+rect 9364 11336 9370 11348
+rect 9401 11339 9459 11345
+rect 9401 11336 9413 11339
+rect 9364 11308 9413 11336
+rect 9364 11296 9370 11308
+rect 9401 11305 9413 11308
+rect 9447 11305 9459 11339
+rect 9401 11299 9459 11305
+rect 9766 11296 9772 11348
+rect 9824 11336 9830 11348
+rect 10137 11339 10195 11345
+rect 10137 11336 10149 11339
+rect 9824 11308 10149 11336
+rect 9824 11296 9830 11308
+rect 10137 11305 10149 11308
+rect 10183 11305 10195 11339
+rect 10137 11299 10195 11305
+rect 10873 11339 10931 11345
+rect 10873 11305 10885 11339
+rect 10919 11336 10931 11339
+rect 11238 11336 11244 11348
+rect 10919 11308 11244 11336
+rect 10919 11305 10931 11308
+rect 10873 11299 10931 11305
+rect 11238 11296 11244 11308
+rect 11296 11296 11302 11348
+rect 11974 11336 11980 11348
+rect 11935 11308 11980 11336
+rect 11974 11296 11980 11308
+rect 12032 11296 12038 11348
+rect 9861 11271 9919 11277
+rect 9861 11237 9873 11271
+rect 9907 11268 9919 11271
+rect 10042 11268 10048 11280
+rect 9907 11240 10048 11268
+rect 9907 11237 9919 11240
+rect 9861 11231 9919 11237
+rect 10042 11228 10048 11240
+rect 10100 11228 10106 11280
+rect 10686 11228 10692 11280
+rect 10744 11268 10750 11280
+rect 10744 11240 11192 11268
+rect 10744 11228 10750 11240
+rect 9306 11160 9312 11212
+rect 9364 11200 9370 11212
+rect 11054 11200 11060 11212
+rect 9364 11172 9409 11200
+rect 9600 11172 10088 11200
+rect 11015 11172 11060 11200
+rect 9364 11160 9370 11172
+rect 9600 11144 9628 11172
+rect 10060 11144 10088 11172
+rect 11054 11160 11060 11172
+rect 11112 11160 11118 11212
+rect 11164 11209 11192 11240
+rect 11790 11228 11796 11280
+rect 11848 11268 11854 11280
+rect 13446 11268 13452 11280
+rect 11848 11240 13452 11268
+rect 11848 11228 11854 11240
+rect 13446 11228 13452 11240
+rect 13504 11228 13510 11280
+rect 11149 11203 11207 11209
+rect 11149 11169 11161 11203
+rect 11195 11169 11207 11203
+rect 13538 11200 13544 11212
+rect 11149 11163 11207 11169
+rect 11256 11172 13544 11200
+rect 9582 11132 9588 11144
+rect 9088 11104 9260 11132
+rect 9543 11104 9588 11132
+rect 9088 11092 9094 11104
+rect 9582 11092 9588 11104
+rect 9640 11092 9646 11144
+rect 9674 11092 9680 11144
+rect 9732 11132 9738 11144
+rect 9732 11104 9777 11132
+rect 9732 11092 9738 11104
+rect 10042 11092 10048 11144
+rect 10100 11092 10106 11144
rect 10318 11132 10324 11144
-rect 10100 11104 10324 11132
-rect 10100 11092 10106 11104
+rect 10279 11104 10324 11132
rect 10318 11092 10324 11104
rect 10376 11092 10382 11144
-rect 10612 11141 10640 11240
-rect 11422 11228 11428 11240
-rect 11480 11228 11486 11280
-rect 12802 11268 12808 11280
-rect 12763 11240 12808 11268
-rect 12802 11228 12808 11240
-rect 12860 11228 12866 11280
-rect 10870 11200 10876 11212
-rect 10831 11172 10876 11200
-rect 10870 11160 10876 11172
-rect 10928 11160 10934 11212
-rect 10505 11135 10563 11141
-rect 10505 11101 10517 11135
-rect 10551 11101 10563 11135
-rect 10505 11095 10563 11101
-rect 10596 11135 10654 11141
-rect 10596 11101 10608 11135
-rect 10642 11101 10654 11135
-rect 10596 11095 10654 11101
-rect 10781 11135 10839 11141
-rect 10781 11101 10793 11135
-rect 10827 11101 10839 11135
-rect 11054 11132 11060 11144
-rect 11015 11104 11060 11132
-rect 10781 11095 10839 11101
-rect 8404 11036 8708 11064
-rect 8757 11067 8815 11073
-rect 8757 11033 8769 11067
-rect 8803 11064 8815 11067
-rect 9309 11067 9367 11073
-rect 9309 11064 9321 11067
-rect 8803 11036 9321 11064
-rect 8803 11033 8815 11036
-rect 8757 11027 8815 11033
-rect 9309 11033 9321 11036
-rect 9355 11033 9367 11067
-rect 9309 11027 9367 11033
-rect 9401 11067 9459 11073
-rect 9401 11033 9413 11067
-rect 9447 11064 9459 11067
-rect 9861 11067 9919 11073
-rect 9861 11064 9873 11067
-rect 9447 11036 9873 11064
-rect 9447 11033 9459 11036
-rect 9401 11027 9459 11033
-rect 9861 11033 9873 11036
-rect 9907 11064 9919 11067
+rect 10594 11132 10600 11144
+rect 10555 11104 10600 11132
+rect 10594 11092 10600 11104
+rect 10652 11092 10658 11144
+rect 10694 11135 10752 11141
+rect 10694 11101 10706 11135
+rect 10740 11126 10752 11135
+rect 11256 11132 11284 11172
+rect 13538 11160 13544 11172
+rect 13596 11160 13602 11212
+rect 10888 11126 11284 11132
+rect 10740 11104 11284 11126
+rect 11379 11135 11437 11141
+rect 10740 11101 10916 11104
+rect 10694 11098 10916 11101
+rect 11379 11101 11391 11135
+rect 11425 11132 11437 11135
+rect 11793 11135 11851 11141
+rect 11425 11104 11560 11132
+rect 11425 11101 11437 11104
+rect 10694 11095 10752 11098
+rect 11379 11095 11437 11101
rect 9950 11064 9956 11076
-rect 9907 11036 9956 11064
-rect 9907 11033 9919 11036
-rect 9861 11027 9919 11033
+rect 7616 11036 8064 11064
+rect 8312 11036 9956 11064
+rect 7616 11024 7622 11036
+rect 6052 10968 6683 10996
+rect 6052 10956 6058 10968
+rect 6730 10956 6736 11008
+rect 6788 10996 6794 11008
+rect 7837 10999 7895 11005
+rect 7837 10996 7849 10999
+rect 6788 10968 7849 10996
+rect 6788 10956 6794 10968
+rect 7837 10965 7849 10968
+rect 7883 10996 7895 10999
+rect 7926 10996 7932 11008
+rect 7883 10968 7932 10996
+rect 7883 10965 7895 10968
+rect 7837 10959 7895 10965
+rect 7926 10956 7932 10968
+rect 7984 10956 7990 11008
+rect 8036 10996 8064 11036
rect 9950 11024 9956 11036
rect 10008 11024 10014 11076
-rect 4062 10956 4068 11008
-rect 4120 10996 4126 11008
-rect 7285 10999 7343 11005
-rect 7285 10996 7297 10999
-rect 4120 10968 7297 10996
-rect 4120 10956 4126 10968
-rect 7285 10965 7297 10968
-rect 7331 10996 7343 10999
-rect 7558 10996 7564 11008
-rect 7331 10968 7564 10996
-rect 7331 10965 7343 10968
-rect 7285 10959 7343 10965
-rect 7558 10956 7564 10968
-rect 7616 10956 7622 11008
-rect 10520 10996 10548 11095
-rect 10796 11064 10824 11095
-rect 11054 11092 11060 11104
-rect 11112 11092 11118 11144
-rect 11146 11092 11152 11144
-rect 11204 11132 11210 11144
-rect 11517 11135 11575 11141
-rect 11517 11132 11529 11135
-rect 11204 11104 11529 11132
-rect 11204 11092 11210 11104
-rect 11517 11101 11529 11104
-rect 11563 11132 11575 11135
-rect 12342 11132 12348 11144
-rect 11563 11104 12348 11132
-rect 11563 11101 11575 11104
-rect 11517 11095 11575 11101
-rect 12342 11092 12348 11104
-rect 12400 11092 12406 11144
-rect 12989 11135 13047 11141
-rect 12989 11101 13001 11135
-rect 13035 11132 13047 11135
-rect 13173 11135 13231 11141
-rect 13173 11132 13185 11135
-rect 13035 11104 13185 11132
-rect 13035 11101 13047 11104
-rect 12989 11095 13047 11101
-rect 13173 11101 13185 11104
-rect 13219 11132 13231 11135
-rect 13446 11132 13452 11144
-rect 13219 11104 13452 11132
-rect 13219 11101 13231 11104
-rect 13173 11095 13231 11101
-rect 13446 11092 13452 11104
-rect 13504 11092 13510 11144
-rect 10796 11036 11100 11064
-rect 11072 11008 11100 11036
-rect 10778 10996 10784 11008
-rect 10520 10968 10784 10996
-rect 10778 10956 10784 10968
-rect 10836 10956 10842 11008
-rect 11054 10956 11060 11008
-rect 11112 10956 11118 11008
-rect 13354 10996 13360 11008
-rect 13315 10968 13360 10996
-rect 13354 10956 13360 10968
-rect 13412 10956 13418 11008
+rect 10502 11064 10508 11076
+rect 10463 11036 10508 11064
+rect 10502 11024 10508 11036
+rect 10560 11024 10566 11076
+rect 10962 11024 10968 11076
+rect 11020 11064 11026 11076
+rect 11532 11064 11560 11104
+rect 11793 11101 11805 11135
+rect 11839 11132 11851 11135
+rect 12066 11132 12072 11144
+rect 11839 11104 12072 11132
+rect 11839 11101 11851 11104
+rect 11793 11095 11851 11101
+rect 12066 11092 12072 11104
+rect 12124 11092 12130 11144
+rect 12986 11132 12992 11144
+rect 12947 11104 12992 11132
+rect 12986 11092 12992 11104
+rect 13044 11092 13050 11144
+rect 13262 11132 13268 11144
+rect 13223 11104 13268 11132
+rect 13262 11092 13268 11104
+rect 13320 11092 13326 11144
+rect 11020 11036 11560 11064
+rect 11020 11024 11026 11036
+rect 9030 10996 9036 11008
+rect 8036 10968 9036 10996
+rect 9030 10956 9036 10968
+rect 9088 10996 9094 11008
+rect 10686 10996 10692 11008
+rect 9088 10968 10692 10996
+rect 9088 10956 9094 10968
+rect 10686 10956 10692 10968
+rect 10744 10956 10750 11008
+rect 11241 10999 11299 11005
+rect 11241 10965 11253 10999
+rect 11287 10996 11299 10999
+rect 11330 10996 11336 11008
+rect 11287 10968 11336 10996
+rect 11287 10965 11299 10968
+rect 11241 10959 11299 10965
+rect 11330 10956 11336 10968
+rect 11388 10956 11394 11008
+rect 11532 10996 11560 11036
+rect 11609 11067 11667 11073
+rect 11609 11033 11621 11067
+rect 11655 11064 11667 11067
+rect 11974 11064 11980 11076
+rect 11655 11036 11980 11064
+rect 11655 11033 11667 11036
+rect 11609 11027 11667 11033
+rect 11974 11024 11980 11036
+rect 12032 11064 12038 11076
+rect 12250 11064 12256 11076
+rect 12032 11036 12256 11064
+rect 12032 11024 12038 11036
+rect 12250 11024 12256 11036
+rect 12308 11024 12314 11076
+rect 12805 11067 12863 11073
+rect 12805 11033 12817 11067
+rect 12851 11064 12863 11067
+rect 13078 11064 13084 11076
+rect 12851 11036 13084 11064
+rect 12851 11033 12863 11036
+rect 12805 11027 12863 11033
+rect 13078 11024 13084 11036
+rect 13136 11024 13142 11076
+rect 12526 10996 12532 11008
+rect 11532 10968 12532 10996
+rect 12526 10956 12532 10968
+rect 12584 10956 12590 11008
+rect 12710 10956 12716 11008
+rect 12768 10996 12774 11008
+rect 13173 10999 13231 11005
+rect 13173 10996 13185 10999
+rect 12768 10968 13185 10996
+rect 12768 10956 12774 10968
+rect 13173 10965 13185 10968
+rect 13219 10965 13231 10999
+rect 13173 10959 13231 10965
rect 1104 10906 13892 10928
rect 1104 10854 8214 10906
rect 8266 10854 8278 10906
@@ -2837,471 +3518,541 @@ rect 8394 10854 8406 10906
rect 8458 10854 8470 10906
rect 8522 10854 13892 10906
rect 1104 10832 13892 10854
-rect 2133 10795 2191 10801
-rect 2133 10761 2145 10795
-rect 2179 10792 2191 10795
-rect 2222 10792 2228 10804
-rect 2179 10764 2228 10792
-rect 2179 10761 2191 10764
-rect 2133 10755 2191 10761
-rect 2222 10752 2228 10764
-rect 2280 10752 2286 10804
-rect 3418 10752 3424 10804
-rect 3476 10792 3482 10804
-rect 3605 10795 3663 10801
-rect 3605 10792 3617 10795
-rect 3476 10764 3617 10792
-rect 3476 10752 3482 10764
-rect 3605 10761 3617 10764
-rect 3651 10761 3663 10795
-rect 3605 10755 3663 10761
-rect 4341 10795 4399 10801
-rect 4341 10761 4353 10795
-rect 4387 10792 4399 10795
-rect 5166 10792 5172 10804
-rect 4387 10764 5172 10792
-rect 4387 10761 4399 10764
-rect 4341 10755 4399 10761
-rect 5166 10752 5172 10764
-rect 5224 10752 5230 10804
-rect 5810 10752 5816 10804
+rect 2406 10792 2412 10804
+rect 2367 10764 2412 10792
+rect 2406 10752 2412 10764
+rect 2464 10752 2470 10804
+rect 2774 10752 2780 10804
+rect 2832 10792 2838 10804
+rect 3697 10795 3755 10801
+rect 2832 10764 2912 10792
+rect 2832 10752 2838 10764
+rect 2682 10724 2688 10736
+rect 2056 10696 2688 10724
+rect 1578 10616 1584 10668
+rect 1636 10665 1642 10668
+rect 2056 10665 2084 10696
+rect 2682 10684 2688 10696
+rect 2740 10684 2746 10736
+rect 2884 10733 2912 10764
+rect 3697 10761 3709 10795
+rect 3743 10792 3755 10795
+rect 4522 10792 4528 10804
+rect 3743 10764 4528 10792
+rect 3743 10761 3755 10764
+rect 3697 10755 3755 10761
+rect 4522 10752 4528 10764
+rect 4580 10752 4586 10804
+rect 4706 10752 4712 10804
+rect 4764 10792 4770 10804
+rect 5353 10795 5411 10801
+rect 5353 10792 5365 10795
+rect 4764 10764 5365 10792
+rect 4764 10752 4770 10764
+rect 5353 10761 5365 10764
+rect 5399 10761 5411 10795
+rect 5810 10792 5816 10804
+rect 5771 10764 5816 10792
+rect 5353 10755 5411 10761
+rect 5810 10752 5816 10764
rect 5868 10752 5874 10804
-rect 6546 10752 6552 10804
-rect 6604 10792 6610 10804
-rect 6822 10792 6828 10804
-rect 6604 10764 6828 10792
-rect 6604 10752 6610 10764
-rect 6822 10752 6828 10764
-rect 6880 10752 6886 10804
-rect 6917 10795 6975 10801
-rect 6917 10761 6929 10795
-rect 6963 10792 6975 10795
-rect 7006 10792 7012 10804
-rect 6963 10764 7012 10792
-rect 6963 10761 6975 10764
-rect 6917 10755 6975 10761
-rect 7006 10752 7012 10764
-rect 7064 10752 7070 10804
-rect 7834 10792 7840 10804
-rect 7300 10764 7840 10792
+rect 5902 10752 5908 10804
+rect 5960 10792 5966 10804
+rect 5997 10795 6055 10801
+rect 5997 10792 6009 10795
+rect 5960 10764 6009 10792
+rect 5960 10752 5966 10764
+rect 5997 10761 6009 10764
+rect 6043 10761 6055 10795
+rect 5997 10755 6055 10761
+rect 6270 10752 6276 10804
+rect 6328 10792 6334 10804
+rect 6328 10764 8064 10792
+rect 6328 10752 6334 10764
+rect 2869 10727 2927 10733
+rect 2869 10693 2881 10727
+rect 2915 10693 2927 10727
rect 3326 10724 3332 10736
rect 3287 10696 3332 10724
+rect 2869 10687 2927 10693
rect 3326 10684 3332 10696
rect 3384 10684 3390 10736
-rect 4433 10727 4491 10733
-rect 4433 10693 4445 10727
-rect 4479 10724 4491 10727
-rect 4801 10727 4859 10733
-rect 4801 10724 4813 10727
-rect 4479 10696 4813 10724
-rect 4479 10693 4491 10696
-rect 4433 10687 4491 10693
-rect 4801 10693 4813 10696
-rect 4847 10724 4859 10727
-rect 5350 10724 5356 10736
-rect 4847 10696 5356 10724
-rect 4847 10693 4859 10696
-rect 4801 10687 4859 10693
-rect 5350 10684 5356 10696
-rect 5408 10684 5414 10736
-rect 5828 10724 5856 10752
-rect 6178 10724 6184 10736
-rect 5460 10696 5856 10724
-rect 5920 10696 6184 10724
-rect 1670 10656 1676 10668
-rect 1631 10628 1676 10656
-rect 1670 10616 1676 10628
-rect 1728 10616 1734 10668
+rect 3602 10684 3608 10736
+rect 3660 10724 3666 10736
+rect 3789 10727 3847 10733
+rect 3789 10724 3801 10727
+rect 3660 10696 3801 10724
+rect 3660 10684 3666 10696
+rect 3789 10693 3801 10696
+rect 3835 10693 3847 10727
+rect 3789 10687 3847 10693
+rect 3973 10727 4031 10733
+rect 3973 10693 3985 10727
+rect 4019 10724 4031 10727
+rect 4341 10727 4399 10733
+rect 4341 10724 4353 10727
+rect 4019 10696 4353 10724
+rect 4019 10693 4031 10696
+rect 3973 10687 4031 10693
+rect 4341 10693 4353 10696
+rect 4387 10724 4399 10727
+rect 4614 10724 4620 10736
+rect 4387 10696 4620 10724
+rect 4387 10693 4399 10696
+rect 4341 10687 4399 10693
+rect 4614 10684 4620 10696
+rect 4672 10684 4678 10736
+rect 6181 10727 6239 10733
+rect 6181 10693 6193 10727
+rect 6227 10724 6239 10727
+rect 6454 10724 6460 10736
+rect 6227 10696 6460 10724
+rect 6227 10693 6239 10696
+rect 6181 10687 6239 10693
+rect 6454 10684 6460 10696
+rect 6512 10684 6518 10736
+rect 7006 10724 7012 10736
+rect 6967 10696 7012 10724
+rect 7006 10684 7012 10696
+rect 7064 10684 7070 10736
+rect 7374 10724 7380 10736
+rect 7335 10696 7380 10724
+rect 7374 10684 7380 10696
+rect 7432 10684 7438 10736
+rect 7926 10724 7932 10736
+rect 7887 10696 7932 10724
+rect 7926 10684 7932 10696
+rect 7984 10684 7990 10736
+rect 8036 10733 8064 10764
+rect 8386 10752 8392 10804
+rect 8444 10752 8450 10804
+rect 8665 10795 8723 10801
+rect 8665 10761 8677 10795
+rect 8711 10792 8723 10795
+rect 8938 10792 8944 10804
+rect 8711 10764 8944 10792
+rect 8711 10761 8723 10764
+rect 8665 10755 8723 10761
+rect 8938 10752 8944 10764
+rect 8996 10752 9002 10804
+rect 9401 10795 9459 10801
+rect 9401 10792 9413 10795
+rect 9048 10764 9413 10792
+rect 8021 10727 8079 10733
+rect 8021 10693 8033 10727
+rect 8067 10693 8079 10727
+rect 8021 10687 8079 10693
+rect 1636 10659 1679 10665
+rect 1667 10625 1679 10659
+rect 1636 10619 1679 10625
rect 1765 10659 1823 10665
rect 1765 10625 1777 10659
rect 1811 10625 1823 10659
rect 1765 10619 1823 10625
-rect 2593 10659 2651 10665
-rect 2593 10625 2605 10659
-rect 2639 10656 2651 10659
-rect 2866 10656 2872 10668
-rect 2639 10628 2872 10656
-rect 2639 10625 2651 10628
-rect 2593 10619 2651 10625
-rect 1486 10588 1492 10600
-rect 1447 10560 1492 10588
-rect 1486 10548 1492 10560
-rect 1544 10548 1550 10600
-rect 1780 10588 1808 10619
-rect 2866 10616 2872 10628
-rect 2924 10616 2930 10668
-rect 3050 10616 3056 10668
-rect 3108 10656 3114 10668
-rect 3513 10659 3571 10665
-rect 3513 10656 3525 10659
-rect 3108 10628 3525 10656
-rect 3108 10616 3114 10628
-rect 3513 10625 3525 10628
-rect 3559 10656 3571 10659
-rect 3789 10659 3847 10665
-rect 3789 10656 3801 10659
-rect 3559 10628 3801 10656
-rect 3559 10625 3571 10628
-rect 3513 10619 3571 10625
-rect 3789 10625 3801 10628
-rect 3835 10625 3847 10659
-rect 4522 10656 4528 10668
-rect 4483 10628 4528 10656
-rect 3789 10619 3847 10625
-rect 4522 10616 4528 10628
-rect 4580 10616 4586 10668
-rect 5460 10665 5488 10696
-rect 5445 10659 5503 10665
-rect 5445 10625 5457 10659
-rect 5491 10625 5503 10659
-rect 5810 10656 5816 10668
-rect 5771 10628 5816 10656
-rect 5445 10619 5503 10625
-rect 5810 10616 5816 10628
-rect 5868 10616 5874 10668
-rect 5920 10665 5948 10696
-rect 6178 10684 6184 10696
-rect 6236 10684 6242 10736
-rect 6362 10724 6368 10736
-rect 6323 10696 6368 10724
-rect 6362 10684 6368 10696
-rect 6420 10684 6426 10736
-rect 6733 10727 6791 10733
-rect 6733 10724 6745 10727
-rect 6472 10696 6745 10724
-rect 6472 10668 6500 10696
-rect 6733 10693 6745 10696
-rect 6779 10693 6791 10727
-rect 6733 10687 6791 10693
-rect 5905 10659 5963 10665
-rect 5905 10625 5917 10659
-rect 5951 10625 5963 10659
-rect 5905 10619 5963 10625
-rect 6089 10659 6147 10665
-rect 6089 10625 6101 10659
-rect 6135 10656 6147 10659
-rect 6454 10656 6460 10668
-rect 6135 10628 6460 10656
-rect 6135 10625 6147 10628
-rect 6089 10619 6147 10625
-rect 6454 10616 6460 10628
-rect 6512 10616 6518 10668
-rect 6546 10616 6552 10668
-rect 6604 10656 6610 10668
-rect 6604 10628 6649 10656
-rect 6604 10616 6610 10628
-rect 5997 10591 6055 10597
-rect 1780 10560 2774 10588
-rect 2746 10520 2774 10560
-rect 5997 10557 6009 10591
-rect 6043 10588 6055 10591
-rect 6564 10588 6592 10616
-rect 6043 10560 6592 10588
-rect 6748 10588 6776 10687
-rect 7101 10659 7159 10665
-rect 7101 10625 7113 10659
-rect 7147 10656 7159 10659
-rect 7190 10656 7196 10668
-rect 7147 10628 7196 10656
-rect 7147 10625 7159 10628
-rect 7101 10619 7159 10625
-rect 7190 10616 7196 10628
-rect 7248 10616 7254 10668
-rect 7300 10665 7328 10764
-rect 7834 10752 7840 10764
-rect 7892 10752 7898 10804
-rect 8662 10752 8668 10804
-rect 8720 10792 8726 10804
-rect 9217 10795 9275 10801
-rect 9217 10792 9229 10795
-rect 8720 10764 9229 10792
-rect 8720 10752 8726 10764
-rect 9217 10761 9229 10764
-rect 9263 10761 9275 10795
-rect 10042 10792 10048 10804
-rect 9217 10755 9275 10761
-rect 9876 10764 10048 10792
-rect 7742 10724 7748 10736
-rect 7484 10696 7748 10724
-rect 7285 10659 7343 10665
-rect 7285 10625 7297 10659
-rect 7331 10625 7343 10659
-rect 7285 10619 7343 10625
-rect 7377 10660 7435 10665
-rect 7484 10660 7512 10696
-rect 7742 10684 7748 10696
-rect 7800 10724 7806 10736
-rect 7929 10727 7987 10733
-rect 7800 10696 7880 10724
-rect 7800 10684 7806 10696
-rect 7377 10659 7512 10660
-rect 7377 10625 7389 10659
-rect 7423 10632 7512 10659
-rect 7423 10625 7435 10632
-rect 7377 10619 7435 10625
-rect 7484 10588 7512 10632
-rect 7558 10616 7564 10668
-rect 7616 10656 7622 10668
-rect 7852 10656 7880 10696
-rect 7929 10693 7941 10727
-rect 7975 10724 7987 10727
-rect 8757 10727 8815 10733
-rect 8757 10724 8769 10727
-rect 7975 10696 8769 10724
-rect 7975 10693 7987 10696
-rect 7929 10687 7987 10693
-rect 8757 10693 8769 10696
-rect 8803 10693 8815 10727
-rect 8757 10687 8815 10693
+rect 1857 10659 1915 10665
+rect 1857 10625 1869 10659
+rect 1903 10625 1915 10659
+rect 1857 10619 1915 10625
+rect 2041 10659 2099 10665
+rect 2041 10625 2053 10659
+rect 2087 10625 2099 10659
+rect 2041 10619 2099 10625
+rect 2501 10659 2559 10665
+rect 2501 10625 2513 10659
+rect 2547 10656 2559 10659
+rect 2774 10656 2780 10668
+rect 2547 10628 2780 10656
+rect 2547 10625 2559 10628
+rect 2501 10619 2559 10625
+rect 1636 10616 1642 10619
+rect 1394 10480 1400 10532
+rect 1452 10520 1458 10532
+rect 1780 10520 1808 10619
+rect 1872 10588 1900 10619
+rect 2774 10616 2780 10628
+rect 2832 10616 2838 10668
+rect 2961 10659 3019 10665
+rect 2961 10625 2973 10659
+rect 3007 10625 3019 10659
+rect 2961 10619 3019 10625
+rect 3145 10659 3203 10665
+rect 3145 10625 3157 10659
+rect 3191 10625 3203 10659
+rect 3145 10619 3203 10625
+rect 2682 10588 2688 10600
+rect 1872 10560 2688 10588
+rect 2682 10548 2688 10560
+rect 2740 10548 2746 10600
+rect 2976 10520 3004 10619
+rect 1452 10492 3004 10520
+rect 3160 10520 3188 10619
+rect 3878 10616 3884 10668
+rect 3936 10656 3942 10668
+rect 4065 10659 4123 10665
+rect 4065 10656 4077 10659
+rect 3936 10628 4077 10656
+rect 3936 10616 3942 10628
+rect 4065 10625 4077 10628
+rect 4111 10625 4123 10659
+rect 4982 10656 4988 10668
+rect 4943 10628 4988 10656
+rect 4065 10619 4123 10625
+rect 4080 10588 4108 10619
+rect 4982 10616 4988 10628
+rect 5040 10616 5046 10668
+rect 5258 10656 5264 10668
+rect 5219 10628 5264 10656
+rect 5258 10616 5264 10628
+rect 5316 10616 5322 10668
+rect 5537 10659 5595 10665
+rect 5537 10625 5549 10659
+rect 5583 10625 5595 10659
+rect 5537 10619 5595 10625
+rect 5552 10588 5580 10619
+rect 6730 10616 6736 10668
+rect 6788 10656 6794 10668
+rect 6917 10659 6975 10665
+rect 6917 10656 6929 10659
+rect 6788 10628 6929 10656
+rect 6788 10616 6794 10628
+rect 6917 10625 6929 10628
+rect 6963 10625 6975 10659
+rect 6917 10619 6975 10625
+rect 7193 10659 7251 10665
+rect 7193 10625 7205 10659
+rect 7239 10656 7251 10659
+rect 7650 10656 7656 10668
+rect 7239 10628 7656 10656
+rect 7239 10625 7251 10628
+rect 7193 10619 7251 10625
+rect 7650 10616 7656 10628
+rect 7708 10616 7714 10668
+rect 7834 10665 7840 10668
+rect 7832 10656 7840 10665
+rect 7795 10628 7840 10656
+rect 7832 10619 7840 10628
+rect 7834 10616 7840 10619
+rect 7892 10616 7898 10668
+rect 8202 10656 8208 10668
+rect 8163 10628 8208 10656
+rect 8202 10616 8208 10628
+rect 8260 10616 8266 10668
+rect 8404 10665 8432 10752
+rect 8754 10684 8760 10736
+rect 8812 10724 8818 10736
+rect 9048 10724 9076 10764
+rect 9401 10761 9413 10764
+rect 9447 10761 9459 10795
+rect 9401 10755 9459 10761
+rect 9508 10764 10088 10792
+rect 8812 10696 9076 10724
+rect 8812 10684 8818 10696
+rect 9214 10684 9220 10736
+rect 9272 10724 9278 10736
+rect 9508 10724 9536 10764
+rect 9272 10696 9536 10724
+rect 9272 10684 9278 10696
+rect 9674 10684 9680 10736
+rect 9732 10724 9738 10736
+rect 9953 10727 10011 10733
+rect 9953 10724 9965 10727
+rect 9732 10696 9965 10724
+rect 9732 10684 9738 10696
+rect 9953 10693 9965 10696
+rect 9999 10693 10011 10727
+rect 10060 10724 10088 10764
+rect 10318 10752 10324 10804
+rect 10376 10792 10382 10804
+rect 10413 10795 10471 10801
+rect 10413 10792 10425 10795
+rect 10376 10764 10425 10792
+rect 10376 10752 10382 10764
+rect 10413 10761 10425 10764
+rect 10459 10761 10471 10795
+rect 11592 10795 11650 10801
+rect 11592 10792 11604 10795
+rect 10413 10755 10471 10761
+rect 10520 10764 11604 10792
+rect 10520 10724 10548 10764
+rect 11592 10761 11604 10764
+rect 11638 10761 11650 10795
+rect 11592 10755 11650 10761
+rect 11716 10764 13492 10792
+rect 10060 10696 10548 10724
+rect 9953 10687 10011 10693
+rect 8297 10659 8355 10665
+rect 8297 10625 8309 10659
+rect 8343 10625 8355 10659
+rect 8297 10619 8355 10625
rect 8389 10659 8447 10665
-rect 8389 10656 8401 10659
-rect 7616 10628 7788 10656
-rect 7852 10628 8401 10656
-rect 7616 10616 7622 10628
-rect 7650 10588 7656 10600
-rect 6748 10560 7512 10588
-rect 7611 10560 7656 10588
-rect 6043 10557 6055 10560
-rect 5997 10551 6055 10557
-rect 7650 10548 7656 10560
-rect 7708 10548 7714 10600
-rect 7760 10588 7788 10628
-rect 8389 10625 8401 10628
+rect 8389 10625 8401 10659
rect 8435 10625 8447 10659
+rect 9122 10656 9128 10668
+rect 9083 10628 9128 10656
rect 8389 10619 8447 10625
-rect 8573 10659 8631 10665
-rect 8573 10625 8585 10659
-rect 8619 10625 8631 10659
-rect 8573 10619 8631 10625
-rect 7837 10591 7895 10597
-rect 7837 10588 7849 10591
-rect 7760 10560 7849 10588
-rect 7837 10557 7849 10560
-rect 7883 10557 7895 10591
-rect 8588 10588 8616 10619
-rect 9674 10616 9680 10668
-rect 9732 10656 9738 10668
-rect 9876 10665 9904 10764
-rect 10042 10752 10048 10764
-rect 10100 10752 10106 10804
-rect 10134 10752 10140 10804
-rect 10192 10792 10198 10804
-rect 10870 10792 10876 10804
-rect 10192 10764 10876 10792
-rect 10192 10752 10198 10764
-rect 10870 10752 10876 10764
-rect 10928 10752 10934 10804
-rect 11054 10792 11060 10804
-rect 11015 10764 11060 10792
-rect 11054 10752 11060 10764
-rect 11112 10752 11118 10804
-rect 11238 10792 11244 10804
-rect 11199 10764 11244 10792
-rect 11238 10752 11244 10764
-rect 11296 10752 11302 10804
-rect 11514 10792 11520 10804
-rect 11475 10764 11520 10792
-rect 11514 10752 11520 10764
-rect 11572 10752 11578 10804
-rect 11974 10792 11980 10804
-rect 11935 10764 11980 10792
-rect 11974 10752 11980 10764
-rect 12032 10752 12038 10804
-rect 13078 10792 13084 10804
-rect 12406 10764 13084 10792
-rect 9950 10684 9956 10736
-rect 10008 10724 10014 10736
-rect 12406 10724 12434 10764
-rect 13078 10752 13084 10764
-rect 13136 10752 13142 10804
-rect 12894 10724 12900 10736
-rect 10008 10696 12434 10724
-rect 12855 10696 12900 10724
-rect 10008 10684 10014 10696
-rect 12894 10684 12900 10696
-rect 12952 10684 12958 10736
-rect 13354 10684 13360 10736
-rect 13412 10724 13418 10736
-rect 13541 10727 13599 10733
-rect 13541 10724 13553 10727
-rect 13412 10696 13553 10724
-rect 13412 10684 13418 10696
-rect 13541 10693 13553 10696
-rect 13587 10693 13599 10727
-rect 13541 10687 13599 10693
+rect 4080 10560 5580 10588
+rect 5902 10548 5908 10600
+rect 5960 10588 5966 10600
+rect 6822 10588 6828 10600
+rect 5960 10560 6592 10588
+rect 5960 10548 5966 10560
+rect 6270 10520 6276 10532
+rect 3160 10492 6276 10520
+rect 1452 10480 1458 10492
+rect 1486 10452 1492 10464
+rect 1447 10424 1492 10452
+rect 1486 10412 1492 10424
+rect 1544 10412 1550 10464
+rect 2130 10452 2136 10464
+rect 2091 10424 2136 10452
+rect 2130 10412 2136 10424
+rect 2188 10412 2194 10464
+rect 2777 10455 2835 10461
+rect 2777 10421 2789 10455
+rect 2823 10452 2835 10455
+rect 2976 10452 3004 10492
+rect 6270 10480 6276 10492
+rect 6328 10480 6334 10532
+rect 3142 10452 3148 10464
+rect 2823 10424 3148 10452
+rect 2823 10421 2835 10424
+rect 2777 10415 2835 10421
+rect 3142 10412 3148 10424
+rect 3200 10412 3206 10464
+rect 4614 10412 4620 10464
+rect 4672 10452 4678 10464
+rect 5169 10455 5227 10461
+rect 5169 10452 5181 10455
+rect 4672 10424 5181 10452
+rect 4672 10412 4678 10424
+rect 5169 10421 5181 10424
+rect 5215 10421 5227 10455
+rect 5169 10415 5227 10421
+rect 5350 10412 5356 10464
+rect 5408 10452 5414 10464
+rect 5994 10452 6000 10464
+rect 5408 10424 6000 10452
+rect 5408 10412 5414 10424
+rect 5994 10412 6000 10424
+rect 6052 10412 6058 10464
+rect 6178 10412 6184 10464
+rect 6236 10452 6242 10464
+rect 6457 10455 6515 10461
+rect 6457 10452 6469 10455
+rect 6236 10424 6469 10452
+rect 6236 10412 6242 10424
+rect 6457 10421 6469 10424
+rect 6503 10421 6515 10455
+rect 6564 10452 6592 10560
+rect 6656 10560 6828 10588
+rect 6656 10529 6684 10560
+rect 6822 10548 6828 10560
+rect 6880 10588 6886 10600
+rect 7098 10588 7104 10600
+rect 6880 10560 7104 10588
+rect 6880 10548 6886 10560
+rect 7098 10548 7104 10560
+rect 7156 10588 7162 10600
+rect 7282 10588 7288 10600
+rect 7156 10560 7288 10588
+rect 7156 10548 7162 10560
+rect 7282 10548 7288 10560
+rect 7340 10588 7346 10600
+rect 8307 10588 8335 10619
+rect 9122 10616 9128 10628
+rect 9180 10616 9186 10668
+rect 9309 10659 9367 10665
+rect 9309 10625 9321 10659
+rect 9355 10656 9367 10659
+rect 9398 10656 9404 10668
+rect 9355 10628 9404 10656
+rect 9355 10625 9367 10628
+rect 9309 10619 9367 10625
+rect 9398 10616 9404 10628
+rect 9456 10616 9462 10668
+rect 9582 10656 9588 10668
+rect 9543 10628 9588 10656
+rect 9582 10616 9588 10628
+rect 9640 10616 9646 10668
rect 9769 10659 9827 10665
-rect 9769 10656 9781 10659
-rect 9732 10628 9781 10656
-rect 9732 10616 9738 10628
-rect 9769 10625 9781 10628
+rect 9769 10625 9781 10659
rect 9815 10625 9827 10659
+rect 9968 10656 9996 10687
+rect 10686 10684 10692 10736
+rect 10744 10724 10750 10736
+rect 10781 10727 10839 10733
+rect 10781 10724 10793 10727
+rect 10744 10696 10793 10724
+rect 10744 10684 10750 10696
+rect 10781 10693 10793 10696
+rect 10827 10693 10839 10727
+rect 10781 10687 10839 10693
+rect 11241 10727 11299 10733
+rect 11241 10693 11253 10727
+rect 11287 10724 11299 10727
+rect 11716 10724 11744 10764
+rect 12250 10724 12256 10736
+rect 11287 10696 11744 10724
+rect 11900 10696 12256 10724
+rect 11287 10693 11299 10696
+rect 11241 10687 11299 10693
+rect 10045 10659 10103 10665
+rect 10045 10656 10057 10659
+rect 9968 10628 10057 10656
rect 9769 10619 9827 10625
-rect 9861 10659 9919 10665
-rect 9861 10625 9873 10659
-rect 9907 10625 9919 10659
-rect 10134 10656 10140 10668
-rect 10095 10628 10140 10656
-rect 9861 10619 9919 10625
-rect 10134 10616 10140 10628
-rect 10192 10616 10198 10668
-rect 10410 10656 10416 10668
-rect 10371 10628 10416 10656
-rect 10410 10616 10416 10628
-rect 10468 10616 10474 10668
-rect 10502 10616 10508 10668
-rect 10560 10656 10566 10668
+rect 10045 10625 10057 10628
+rect 10091 10656 10103 10659
rect 10597 10659 10655 10665
rect 10597 10656 10609 10659
-rect 10560 10628 10609 10656
-rect 10560 10616 10566 10628
+rect 10091 10628 10609 10656
+rect 10091 10625 10103 10628
+rect 10045 10619 10103 10625
rect 10597 10625 10609 10628
rect 10643 10625 10655 10659
+rect 11330 10656 11336 10668
+rect 11291 10628 11336 10656
rect 10597 10619 10655 10625
-rect 10870 10616 10876 10668
-rect 10928 10656 10934 10668
-rect 10928 10628 11021 10656
-rect 10928 10616 10934 10628
-rect 11054 10616 11060 10668
-rect 11112 10656 11118 10668
-rect 11885 10659 11943 10665
-rect 11885 10656 11897 10659
-rect 11112 10628 11897 10656
-rect 11112 10616 11118 10628
-rect 11885 10625 11897 10628
-rect 11931 10625 11943 10659
-rect 12342 10656 12348 10668
-rect 12303 10628 12348 10656
-rect 11885 10619 11943 10625
-rect 12342 10616 12348 10628
-rect 12400 10616 12406 10668
-rect 12802 10656 12808 10668
-rect 12763 10628 12808 10656
-rect 12802 10616 12808 10628
-rect 12860 10616 12866 10668
-rect 12986 10656 12992 10668
-rect 12947 10628 12992 10656
-rect 12986 10616 12992 10628
-rect 13044 10616 13050 10668
-rect 13262 10616 13268 10668
-rect 13320 10656 13326 10668
-rect 13449 10659 13507 10665
-rect 13449 10656 13461 10659
-rect 13320 10628 13461 10656
-rect 13320 10616 13326 10628
-rect 13449 10625 13461 10628
-rect 13495 10625 13507 10659
-rect 13449 10619 13507 10625
-rect 10226 10588 10232 10600
-rect 7837 10551 7895 10557
-rect 8220 10560 10232 10588
-rect 5902 10520 5908 10532
-rect 2746 10492 5908 10520
-rect 5902 10480 5908 10492
-rect 5960 10480 5966 10532
-rect 6178 10480 6184 10532
-rect 6236 10520 6242 10532
-rect 7197 10523 7255 10529
-rect 7197 10520 7209 10523
-rect 6236 10492 7209 10520
-rect 6236 10480 6242 10492
-rect 7197 10489 7209 10492
-rect 7243 10520 7255 10523
-rect 8110 10520 8116 10532
-rect 7243 10492 8116 10520
-rect 7243 10489 7255 10492
-rect 7197 10483 7255 10489
-rect 8110 10480 8116 10492
-rect 8168 10480 8174 10532
-rect 5166 10412 5172 10464
-rect 5224 10452 5230 10464
-rect 5629 10455 5687 10461
-rect 5629 10452 5641 10455
-rect 5224 10424 5641 10452
-rect 5224 10412 5230 10424
-rect 5629 10421 5641 10424
-rect 5675 10421 5687 10455
-rect 5629 10415 5687 10421
-rect 5810 10412 5816 10464
-rect 5868 10452 5874 10464
-rect 6362 10452 6368 10464
-rect 5868 10424 6368 10452
-rect 5868 10412 5874 10424
-rect 6362 10412 6368 10424
-rect 6420 10412 6426 10464
-rect 6822 10412 6828 10464
-rect 6880 10452 6886 10464
-rect 8220 10452 8248 10560
-rect 10226 10548 10232 10560
-rect 10284 10548 10290 10600
-rect 10781 10591 10839 10597
-rect 10781 10588 10793 10591
-rect 10612 10560 10793 10588
-rect 8297 10523 8355 10529
-rect 8297 10489 8309 10523
-rect 8343 10520 8355 10523
+rect 8938 10588 8944 10600
+rect 7340 10560 8335 10588
+rect 8899 10560 8944 10588
+rect 7340 10548 7346 10560
+rect 8938 10548 8944 10560
+rect 8996 10548 9002 10600
+rect 6641 10523 6699 10529
+rect 6641 10489 6653 10523
+rect 6687 10489 6699 10523
+rect 6641 10483 6699 10489
+rect 7374 10480 7380 10532
+rect 7432 10520 7438 10532
rect 8386 10520 8392 10532
-rect 8343 10492 8392 10520
-rect 8343 10489 8355 10492
-rect 8297 10483 8355 10489
+rect 7432 10492 8392 10520
+rect 7432 10480 7438 10492
rect 8386 10480 8392 10492
rect 8444 10480 8450 10532
-rect 8478 10480 8484 10532
-rect 8536 10520 8542 10532
-rect 9858 10520 9864 10532
-rect 8536 10492 9864 10520
-rect 8536 10480 8542 10492
-rect 9858 10480 9864 10492
-rect 9916 10480 9922 10532
-rect 8846 10452 8852 10464
-rect 6880 10424 8248 10452
-rect 8807 10424 8852 10452
-rect 6880 10412 6886 10424
-rect 8846 10412 8852 10424
-rect 8904 10452 8910 10464
-rect 9033 10455 9091 10461
-rect 9033 10452 9045 10455
-rect 8904 10424 9045 10452
-rect 8904 10412 8910 10424
-rect 9033 10421 9045 10424
-rect 9079 10421 9091 10455
-rect 9033 10415 9091 10421
-rect 9493 10455 9551 10461
-rect 9493 10421 9505 10455
-rect 9539 10452 9551 10455
-rect 9674 10452 9680 10464
-rect 9539 10424 9680 10452
-rect 9539 10421 9551 10424
-rect 9493 10415 9551 10421
-rect 9674 10412 9680 10424
-rect 9732 10412 9738 10464
+rect 8570 10480 8576 10532
+rect 8628 10520 8634 10532
+rect 9784 10520 9812 10619
+rect 11330 10616 11336 10628
+rect 11388 10616 11394 10668
+rect 11790 10665 11796 10668
+rect 11788 10656 11796 10665
+rect 11751 10628 11796 10656
+rect 11788 10619 11796 10628
+rect 11790 10616 11796 10619
+rect 11848 10616 11854 10668
+rect 11900 10665 11928 10696
+rect 12250 10684 12256 10696
+rect 12308 10684 12314 10736
+rect 13464 10733 13492 10764
+rect 13449 10727 13507 10733
+rect 13449 10693 13461 10727
+rect 13495 10693 13507 10727
+rect 13449 10687 13507 10693
+rect 11885 10659 11943 10665
+rect 11885 10625 11897 10659
+rect 11931 10625 11943 10659
+rect 11885 10619 11943 10625
+rect 11977 10659 12035 10665
+rect 11977 10625 11989 10659
+rect 12023 10625 12035 10659
+rect 12158 10656 12164 10668
+rect 12119 10628 12164 10656
+rect 11977 10619 12035 10625
+rect 9858 10548 9864 10600
+rect 9916 10588 9922 10600
+rect 10137 10591 10195 10597
+rect 10137 10588 10149 10591
+rect 9916 10560 10149 10588
+rect 9916 10548 9922 10560
+rect 10137 10557 10149 10560
+rect 10183 10588 10195 10591
+rect 11238 10588 11244 10600
+rect 10183 10560 11244 10588
+rect 10183 10557 10195 10560
+rect 10137 10551 10195 10557
+rect 11238 10548 11244 10560
+rect 11296 10548 11302 10600
+rect 11992 10520 12020 10619
+rect 12158 10616 12164 10628
+rect 12216 10616 12222 10668
+rect 12618 10616 12624 10668
+rect 12676 10656 12682 10668
+rect 12713 10659 12771 10665
+rect 12713 10656 12725 10659
+rect 12676 10628 12725 10656
+rect 12676 10616 12682 10628
+rect 12713 10625 12725 10628
+rect 12759 10625 12771 10659
+rect 12713 10619 12771 10625
+rect 12066 10548 12072 10600
+rect 12124 10588 12130 10600
+rect 12253 10591 12311 10597
+rect 12253 10588 12265 10591
+rect 12124 10560 12265 10588
+rect 12124 10548 12130 10560
+rect 12253 10557 12265 10560
+rect 12299 10557 12311 10591
+rect 12253 10551 12311 10557
+rect 12342 10548 12348 10600
+rect 12400 10588 12406 10600
+rect 12805 10591 12863 10597
+rect 12805 10588 12817 10591
+rect 12400 10560 12817 10588
+rect 12400 10548 12406 10560
+rect 12805 10557 12817 10560
+rect 12851 10557 12863 10591
+rect 12805 10551 12863 10557
+rect 12894 10548 12900 10600
+rect 12952 10588 12958 10600
+rect 12952 10560 12997 10588
+rect 12952 10548 12958 10560
+rect 13354 10520 13360 10532
+rect 8628 10492 10640 10520
+rect 8628 10480 8634 10492
+rect 10612 10464 10640 10492
+rect 11716 10492 12020 10520
+rect 13315 10492 13360 10520
+rect 11716 10464 11744 10492
+rect 13354 10480 13360 10492
+rect 13412 10480 13418 10532
+rect 7653 10455 7711 10461
+rect 7653 10452 7665 10455
+rect 6564 10424 7665 10452
+rect 6457 10415 6515 10421
+rect 7653 10421 7665 10424
+rect 7699 10421 7711 10455
+rect 7653 10415 7711 10421
+rect 8481 10455 8539 10461
+rect 8481 10421 8493 10455
+rect 8527 10452 8539 10455
rect 9950 10452 9956 10464
-rect 9911 10424 9956 10452
+rect 8527 10424 9956 10452
+rect 8527 10421 8539 10424
+rect 8481 10415 8539 10421
rect 9950 10412 9956 10424
-rect 10008 10412 10014 10464
-rect 10042 10412 10048 10464
-rect 10100 10452 10106 10464
-rect 10612 10452 10640 10560
-rect 10781 10557 10793 10560
-rect 10827 10557 10839 10591
-rect 10888 10588 10916 10616
-rect 11146 10588 11152 10600
-rect 10888 10560 11152 10588
-rect 10781 10551 10839 10557
-rect 11146 10548 11152 10560
-rect 11204 10548 11210 10600
-rect 11238 10548 11244 10600
-rect 11296 10588 11302 10600
-rect 12066 10588 12072 10600
-rect 11296 10560 12072 10588
-rect 11296 10548 11302 10560
-rect 12066 10548 12072 10560
-rect 12124 10548 12130 10600
-rect 10686 10480 10692 10532
-rect 10744 10520 10750 10532
-rect 10744 10492 10789 10520
-rect 10744 10480 10750 10492
-rect 10100 10424 10640 10452
-rect 10100 10412 10106 10424
+rect 10008 10452 10014 10464
+rect 10045 10455 10103 10461
+rect 10045 10452 10057 10455
+rect 10008 10424 10057 10452
+rect 10008 10412 10014 10424
+rect 10045 10421 10057 10424
+rect 10091 10421 10103 10455
+rect 10045 10415 10103 10421
+rect 10594 10412 10600 10464
+rect 10652 10412 10658 10464
+rect 10870 10452 10876 10464
+rect 10831 10424 10876 10452
+rect 10870 10412 10876 10424
+rect 10928 10412 10934 10464
+rect 11698 10412 11704 10464
+rect 11756 10412 11762 10464
+rect 11974 10412 11980 10464
+rect 12032 10452 12038 10464
+rect 12250 10452 12256 10464
+rect 12032 10424 12256 10452
+rect 12032 10412 12038 10424
+rect 12250 10412 12256 10424
+rect 12308 10412 12314 10464
rect 1104 10362 13892 10384
rect 1104 10310 4214 10362
rect 4266 10310 4278 10362
@@ -3315,439 +4066,501 @@ rect 12394 10310 12406 10362
rect 12458 10310 12470 10362
rect 12522 10310 13892 10362
rect 1104 10288 13892 10310
-rect 1489 10251 1547 10257
-rect 1489 10217 1501 10251
-rect 1535 10248 1547 10251
-rect 1670 10248 1676 10260
-rect 1535 10220 1676 10248
-rect 1535 10217 1547 10220
-rect 1489 10211 1547 10217
-rect 1670 10208 1676 10220
-rect 1728 10208 1734 10260
-rect 3050 10248 3056 10260
-rect 3011 10220 3056 10248
-rect 3050 10208 3056 10220
-rect 3108 10208 3114 10260
-rect 3878 10208 3884 10260
-rect 3936 10248 3942 10260
-rect 4433 10251 4491 10257
-rect 4433 10248 4445 10251
-rect 3936 10220 4445 10248
-rect 3936 10208 3942 10220
-rect 4433 10217 4445 10220
-rect 4479 10248 4491 10251
-rect 4617 10251 4675 10257
-rect 4617 10248 4629 10251
-rect 4479 10220 4629 10248
-rect 4479 10217 4491 10220
-rect 4433 10211 4491 10217
-rect 4617 10217 4629 10220
-rect 4663 10217 4675 10251
-rect 5718 10248 5724 10260
-rect 5679 10220 5724 10248
-rect 4617 10211 4675 10217
-rect 4632 10112 4660 10211
-rect 5718 10208 5724 10220
-rect 5776 10208 5782 10260
-rect 6914 10248 6920 10260
-rect 6875 10220 6920 10248
-rect 6914 10208 6920 10220
-rect 6972 10208 6978 10260
-rect 7190 10208 7196 10260
-rect 7248 10248 7254 10260
-rect 7285 10251 7343 10257
-rect 7285 10248 7297 10251
-rect 7248 10220 7297 10248
-rect 7248 10208 7254 10220
-rect 7285 10217 7297 10220
-rect 7331 10217 7343 10251
-rect 7285 10211 7343 10217
-rect 7377 10251 7435 10257
-rect 7377 10217 7389 10251
-rect 7423 10248 7435 10251
-rect 7834 10248 7840 10260
-rect 7423 10220 7840 10248
-rect 7423 10217 7435 10220
-rect 7377 10211 7435 10217
-rect 7834 10208 7840 10220
-rect 7892 10208 7898 10260
-rect 8478 10248 8484 10260
-rect 7944 10220 8484 10248
-rect 6273 10183 6331 10189
-rect 6273 10149 6285 10183
-rect 6319 10180 6331 10183
-rect 7466 10180 7472 10192
-rect 6319 10152 7472 10180
-rect 6319 10149 6331 10152
-rect 6273 10143 6331 10149
-rect 7466 10140 7472 10152
-rect 7524 10140 7530 10192
-rect 7558 10140 7564 10192
-rect 7616 10180 7622 10192
-rect 7944 10189 7972 10220
-rect 8478 10208 8484 10220
+rect 1394 10248 1400 10260
+rect 1355 10220 1400 10248
+rect 1394 10208 1400 10220
+rect 1452 10208 1458 10260
+rect 1673 10251 1731 10257
+rect 1673 10217 1685 10251
+rect 1719 10248 1731 10251
+rect 2866 10248 2872 10260
+rect 1719 10220 2872 10248
+rect 1719 10217 1731 10220
+rect 1673 10211 1731 10217
+rect 2866 10208 2872 10220
+rect 2924 10208 2930 10260
+rect 3326 10208 3332 10260
+rect 3384 10248 3390 10260
+rect 5810 10248 5816 10260
+rect 3384 10220 5816 10248
+rect 3384 10208 3390 10220
+rect 5810 10208 5816 10220
+rect 5868 10208 5874 10260
+rect 7101 10251 7159 10257
+rect 7101 10217 7113 10251
+rect 7147 10248 7159 10251
+rect 7190 10248 7196 10260
+rect 7147 10220 7196 10248
+rect 7147 10217 7159 10220
+rect 7101 10211 7159 10217
+rect 7190 10208 7196 10220
+rect 7248 10208 7254 10260
+rect 8478 10208 8484 10260
rect 8536 10248 8542 10260
-rect 8846 10248 8852 10260
-rect 8536 10220 8852 10248
+rect 8665 10251 8723 10257
+rect 8665 10248 8677 10251
+rect 8536 10220 8677 10248
rect 8536 10208 8542 10220
-rect 8846 10208 8852 10220
-rect 8904 10208 8910 10260
-rect 10321 10251 10379 10257
-rect 10321 10217 10333 10251
-rect 10367 10248 10379 10251
-rect 10594 10248 10600 10260
-rect 10367 10220 10600 10248
-rect 10367 10217 10379 10220
-rect 10321 10211 10379 10217
-rect 10594 10208 10600 10220
-rect 10652 10208 10658 10260
-rect 10778 10248 10784 10260
-rect 10704 10220 10784 10248
-rect 7929 10183 7987 10189
-rect 7929 10180 7941 10183
-rect 7616 10152 7941 10180
-rect 7616 10140 7622 10152
-rect 7929 10149 7941 10152
-rect 7975 10149 7987 10183
-rect 7929 10143 7987 10149
-rect 8110 10140 8116 10192
-rect 8168 10180 8174 10192
-rect 9309 10183 9367 10189
-rect 9309 10180 9321 10183
-rect 8168 10152 9321 10180
-rect 8168 10140 8174 10152
-rect 9309 10149 9321 10152
-rect 9355 10180 9367 10183
-rect 9950 10180 9956 10192
-rect 9355 10152 9956 10180
-rect 9355 10149 9367 10152
-rect 9309 10143 9367 10149
-rect 9950 10140 9956 10152
-rect 10008 10180 10014 10192
-rect 10704 10189 10732 10220
-rect 10778 10208 10784 10220
-rect 10836 10248 10842 10260
-rect 11149 10251 11207 10257
-rect 11149 10248 11161 10251
-rect 10836 10220 11161 10248
-rect 10836 10208 10842 10220
-rect 11149 10217 11161 10220
-rect 11195 10217 11207 10251
-rect 11149 10211 11207 10217
-rect 11422 10208 11428 10260
-rect 11480 10248 11486 10260
-rect 11517 10251 11575 10257
-rect 11517 10248 11529 10251
-rect 11480 10220 11529 10248
-rect 11480 10208 11486 10220
-rect 11517 10217 11529 10220
-rect 11563 10217 11575 10251
-rect 11974 10248 11980 10260
-rect 11935 10220 11980 10248
-rect 11517 10211 11575 10217
-rect 11974 10208 11980 10220
-rect 12032 10208 12038 10260
-rect 12066 10208 12072 10260
-rect 12124 10248 12130 10260
-rect 12161 10251 12219 10257
-rect 12161 10248 12173 10251
-rect 12124 10220 12173 10248
-rect 12124 10208 12130 10220
-rect 12161 10217 12173 10220
-rect 12207 10217 12219 10251
-rect 12161 10211 12219 10217
-rect 12713 10251 12771 10257
-rect 12713 10217 12725 10251
-rect 12759 10248 12771 10251
-rect 12986 10248 12992 10260
-rect 12759 10220 12992 10248
-rect 12759 10217 12771 10220
-rect 12713 10211 12771 10217
-rect 10689 10183 10747 10189
-rect 10689 10180 10701 10183
-rect 10008 10152 10701 10180
-rect 10008 10140 10014 10152
-rect 10689 10149 10701 10152
-rect 10735 10149 10747 10183
-rect 10689 10143 10747 10149
-rect 10873 10183 10931 10189
-rect 10873 10149 10885 10183
-rect 10919 10180 10931 10183
-rect 10962 10180 10968 10192
-rect 10919 10152 10968 10180
-rect 10919 10149 10931 10152
-rect 10873 10143 10931 10149
-rect 10962 10140 10968 10152
-rect 11020 10140 11026 10192
-rect 12176 10180 12204 10211
-rect 12986 10208 12992 10220
-rect 13044 10208 13050 10260
-rect 12176 10152 13308 10180
-rect 5353 10115 5411 10121
-rect 5353 10112 5365 10115
-rect 4632 10084 5365 10112
-rect 5353 10081 5365 10084
-rect 5399 10112 5411 10115
-rect 5442 10112 5448 10124
-rect 5399 10084 5448 10112
-rect 5399 10081 5411 10084
-rect 5353 10075 5411 10081
-rect 5442 10072 5448 10084
-rect 5500 10072 5506 10124
-rect 6089 10115 6147 10121
-rect 6089 10081 6101 10115
-rect 6135 10112 6147 10115
-rect 6546 10112 6552 10124
-rect 6135 10084 6552 10112
-rect 6135 10081 6147 10084
-rect 6089 10075 6147 10081
-rect 6546 10072 6552 10084
-rect 6604 10112 6610 10124
-rect 7650 10112 7656 10124
-rect 6604 10084 7656 10112
-rect 6604 10072 6610 10084
-rect 7650 10072 7656 10084
-rect 7708 10112 7714 10124
-rect 7708 10084 8156 10112
-rect 7708 10072 7714 10084
-rect 3789 10047 3847 10053
-rect 3789 10013 3801 10047
-rect 3835 10044 3847 10047
-rect 3878 10044 3884 10056
-rect 3835 10016 3884 10044
-rect 3835 10013 3847 10016
-rect 3789 10007 3847 10013
-rect 3878 10004 3884 10016
-rect 3936 10004 3942 10056
-rect 5166 10044 5172 10056
-rect 5127 10016 5172 10044
-rect 5166 10004 5172 10016
-rect 5224 10004 5230 10056
-rect 5902 10004 5908 10056
-rect 5960 10044 5966 10056
-rect 5997 10047 6055 10053
-rect 5997 10044 6009 10047
-rect 5960 10016 6009 10044
-rect 5960 10004 5966 10016
-rect 5997 10013 6009 10016
-rect 6043 10013 6055 10047
-rect 5997 10007 6055 10013
-rect 6181 10047 6239 10053
-rect 6181 10013 6193 10047
-rect 6227 10044 6239 10047
-rect 6362 10044 6368 10056
-rect 6227 10016 6368 10044
-rect 6227 10013 6239 10016
-rect 6181 10007 6239 10013
+rect 8665 10217 8677 10220
+rect 8711 10217 8723 10251
+rect 8665 10211 8723 10217
+rect 9401 10251 9459 10257
+rect 9401 10217 9413 10251
+rect 9447 10248 9459 10251
+rect 9490 10248 9496 10260
+rect 9447 10220 9496 10248
+rect 9447 10217 9459 10220
+rect 9401 10211 9459 10217
+rect 9490 10208 9496 10220
+rect 9548 10208 9554 10260
+rect 10962 10248 10968 10260
+rect 9691 10220 10968 10248
+rect 2148 10152 2636 10180
+rect 1486 10072 1492 10124
+rect 1544 10112 1550 10124
+rect 1765 10115 1823 10121
+rect 1765 10112 1777 10115
+rect 1544 10084 1777 10112
+rect 1544 10072 1550 10084
+rect 1765 10081 1777 10084
+rect 1811 10081 1823 10115
+rect 1765 10075 1823 10081
+rect 2148 10044 2176 10152
+rect 2608 10112 2636 10152
+rect 2682 10140 2688 10192
+rect 2740 10180 2746 10192
+rect 8202 10180 8208 10192
+rect 2740 10152 4752 10180
+rect 2740 10140 2746 10152
+rect 3881 10115 3939 10121
+rect 3881 10112 3893 10115
+rect 2608 10084 3893 10112
+rect 3881 10081 3893 10084
+rect 3927 10081 3939 10115
+rect 4614 10112 4620 10124
+rect 4575 10084 4620 10112
+rect 3881 10075 3939 10081
+rect 4614 10072 4620 10084
+rect 4672 10072 4678 10124
+rect 2317 10047 2375 10053
+rect 2317 10044 2329 10047
+rect 2148 10016 2329 10044
+rect 2317 10013 2329 10016
+rect 2363 10013 2375 10047
+rect 2317 10007 2375 10013
+rect 2406 10004 2412 10056
+rect 2464 10044 2470 10056
+rect 2866 10044 2872 10056
+rect 2464 10016 2509 10044
+rect 2779 10016 2872 10044
+rect 2464 10004 2470 10016
+rect 2866 10004 2872 10016
+rect 2924 10044 2930 10056
+rect 2924 10016 3280 10044
+rect 2924 10004 2930 10016
+rect 2225 9979 2283 9985
+rect 2225 9945 2237 9979
+rect 2271 9976 2283 9979
+rect 2774 9976 2780 9988
+rect 2271 9948 2780 9976
+rect 2271 9945 2283 9948
+rect 2225 9939 2283 9945
+rect 2774 9936 2780 9948
+rect 2832 9936 2838 9988
rect 2958 9976 2964 9988
rect 2919 9948 2964 9976
rect 2958 9936 2964 9948
rect 3016 9936 3022 9988
-rect 6012 9976 6040 10007
+rect 3057 9979 3115 9985
+rect 3057 9945 3069 9979
+rect 3103 9945 3115 9979
+rect 3252 9976 3280 10016
+rect 3326 10004 3332 10056
+rect 3384 10044 3390 10056
+rect 3513 10047 3571 10053
+rect 3384 10016 3429 10044
+rect 3384 10004 3390 10016
+rect 3513 10013 3525 10047
+rect 3559 10044 3571 10047
+rect 3694 10044 3700 10056
+rect 3559 10016 3700 10044
+rect 3559 10013 3571 10016
+rect 3513 10007 3571 10013
+rect 3694 10004 3700 10016
+rect 3752 10044 3758 10056
+rect 4065 10047 4123 10053
+rect 4065 10044 4077 10047
+rect 3752 10016 4077 10044
+rect 3752 10004 3758 10016
+rect 4065 10013 4077 10016
+rect 4111 10013 4123 10047
+rect 4724 10044 4752 10152
+rect 7668 10152 8064 10180
+rect 8163 10152 8208 10180
+rect 7668 10124 7696 10152
+rect 4801 10115 4859 10121
+rect 4801 10081 4813 10115
+rect 4847 10112 4859 10115
+rect 6733 10115 6791 10121
+rect 4847 10084 5948 10112
+rect 4847 10081 4859 10084
+rect 4801 10075 4859 10081
+rect 5350 10044 5356 10056
+rect 4724 10016 5356 10044
+rect 4065 10007 4123 10013
+rect 5350 10004 5356 10016
+rect 5408 10004 5414 10056
+rect 5534 10044 5540 10056
+rect 5495 10016 5540 10044
+rect 5534 10004 5540 10016
+rect 5592 10004 5598 10056
+rect 5721 10047 5779 10053
+rect 5721 10013 5733 10047
+rect 5767 10044 5779 10047
+rect 5813 10047 5871 10053
+rect 5813 10044 5825 10047
+rect 5767 10016 5825 10044
+rect 5767 10013 5779 10016
+rect 5721 10007 5779 10013
+rect 5813 10013 5825 10016
+rect 5859 10013 5871 10047
+rect 5920 10044 5948 10084
+rect 6733 10081 6745 10115
+rect 6779 10112 6791 10115
+rect 7650 10112 7656 10124
+rect 6779 10084 7656 10112
+rect 6779 10081 6791 10084
+rect 6733 10075 6791 10081
+rect 7650 10072 7656 10084
+rect 7708 10072 7714 10124
+rect 7834 10072 7840 10124
+rect 7892 10072 7898 10124
+rect 8036 10112 8064 10152
+rect 8202 10140 8208 10152
+rect 8260 10140 8266 10192
+rect 8478 10112 8484 10124
+rect 8036 10084 8484 10112
+rect 8478 10072 8484 10084
+rect 8536 10072 8542 10124
+rect 8938 10112 8944 10124
+rect 8772 10084 8944 10112
+rect 6233 10047 6291 10053
+rect 6233 10044 6245 10047
+rect 5920 10016 6245 10044
+rect 5813 10007 5871 10013
+rect 6233 10013 6245 10016
+rect 6279 10044 6291 10047
+rect 6362 10044 6368 10056
+rect 6279 10016 6368 10044
+rect 6279 10013 6291 10016
+rect 6233 10007 6291 10013
rect 6362 10004 6368 10016
rect 6420 10004 6426 10056
-rect 6457 10047 6515 10053
-rect 6457 10013 6469 10047
-rect 6503 10044 6515 10047
+rect 6638 10044 6644 10056
+rect 6599 10016 6644 10044
+rect 6638 10004 6644 10016
+rect 6696 10004 6702 10056
+rect 6822 10044 6828 10056
+rect 6783 10016 6828 10044
+rect 6822 10004 6828 10016
+rect 6880 10004 6886 10056
+rect 6917 10047 6975 10053
+rect 6917 10013 6929 10047
+rect 6963 10044 6975 10047
rect 7098 10044 7104 10056
-rect 6503 10016 7104 10044
-rect 6503 10013 6515 10016
-rect 6457 10007 6515 10013
-rect 7098 10004 7104 10016
-rect 7156 10004 7162 10056
-rect 7193 10047 7251 10053
-rect 7193 10013 7205 10047
-rect 7239 10013 7251 10047
-rect 7466 10044 7472 10056
-rect 7427 10016 7472 10044
-rect 7193 10007 7251 10013
+rect 6963 10016 7104 10044
+rect 6963 10013 6975 10016
+rect 6917 10007 6975 10013
+rect 3789 9979 3847 9985
+rect 3789 9976 3801 9979
+rect 3252 9948 3801 9976
+rect 3057 9939 3115 9945
+rect 3789 9945 3801 9948
+rect 3835 9945 3847 9979
+rect 4522 9976 4528 9988
+rect 4483 9948 4528 9976
+rect 3789 9939 3847 9945
+rect 2130 9868 2136 9920
+rect 2188 9908 2194 9920
+rect 3068 9908 3096 9939
+rect 4522 9936 4528 9948
+rect 4580 9936 4586 9988
+rect 4982 9976 4988 9988
+rect 4943 9948 4988 9976
+rect 4982 9936 4988 9948
+rect 5040 9936 5046 9988
+rect 5166 9976 5172 9988
+rect 5127 9948 5172 9976
+rect 5166 9936 5172 9948
+rect 5224 9936 5230 9988
+rect 5997 9979 6055 9985
+rect 5997 9945 6009 9979
+rect 6043 9945 6055 9979
+rect 5997 9939 6055 9945
+rect 6089 9979 6147 9985
+rect 6089 9945 6101 9979
+rect 6135 9976 6147 9979
rect 6730 9976 6736 9988
-rect 6012 9948 6736 9976
+rect 6135 9948 6736 9976
+rect 6135 9945 6147 9948
+rect 6089 9939 6147 9945
+rect 2188 9880 3096 9908
+rect 2188 9868 2194 9880
+rect 3142 9868 3148 9920
+rect 3200 9908 3206 9920
+rect 3970 9908 3976 9920
+rect 3200 9880 3976 9908
+rect 3200 9868 3206 9880
+rect 3970 9868 3976 9880
+rect 4028 9868 4034 9920
+rect 6012 9908 6040 9939
rect 6730 9936 6736 9948
rect 6788 9976 6794 9988
-rect 7208 9976 7236 10007
-rect 7466 10004 7472 10016
-rect 7524 10004 7530 10056
-rect 7561 10047 7619 10053
-rect 7561 10013 7573 10047
-rect 7607 10013 7619 10047
-rect 7561 10007 7619 10013
-rect 6788 9948 7236 9976
-rect 6788 9936 6794 9948
-rect 1486 9868 1492 9920
-rect 1544 9908 1550 9920
-rect 1581 9911 1639 9917
-rect 1581 9908 1593 9911
-rect 1544 9880 1593 9908
-rect 1544 9868 1550 9880
-rect 1581 9877 1593 9880
-rect 1627 9877 1639 9911
-rect 1581 9871 1639 9877
-rect 3234 9868 3240 9920
-rect 3292 9908 3298 9920
-rect 3881 9911 3939 9917
-rect 3881 9908 3893 9911
-rect 3292 9880 3893 9908
-rect 3292 9868 3298 9880
-rect 3881 9877 3893 9880
-rect 3927 9877 3939 9911
-rect 3881 9871 3939 9877
-rect 4801 9911 4859 9917
-rect 4801 9877 4813 9911
-rect 4847 9908 4859 9911
-rect 4890 9908 4896 9920
-rect 4847 9880 4896 9908
-rect 4847 9877 4859 9880
-rect 4801 9871 4859 9877
-rect 4890 9868 4896 9880
-rect 4948 9868 4954 9920
-rect 5261 9911 5319 9917
-rect 5261 9877 5273 9911
-rect 5307 9908 5319 9911
-rect 6270 9908 6276 9920
-rect 5307 9880 6276 9908
-rect 5307 9877 5319 9880
-rect 5261 9871 5319 9877
-rect 6270 9868 6276 9880
-rect 6328 9868 6334 9920
-rect 7098 9868 7104 9920
-rect 7156 9908 7162 9920
-rect 7576 9908 7604 10007
-rect 8018 9908 8024 9920
-rect 7156 9880 7604 9908
-rect 7979 9880 8024 9908
-rect 7156 9868 7162 9880
-rect 8018 9868 8024 9880
-rect 8076 9868 8082 9920
-rect 8128 9908 8156 10084
-rect 8478 10072 8484 10124
-rect 8536 10112 8542 10124
-rect 8573 10115 8631 10121
-rect 8573 10112 8585 10115
-rect 8536 10084 8585 10112
-rect 8536 10072 8542 10084
-rect 8573 10081 8585 10084
-rect 8619 10081 8631 10115
-rect 8573 10075 8631 10081
-rect 9401 10115 9459 10121
-rect 9401 10081 9413 10115
-rect 9447 10112 9459 10115
-rect 9858 10112 9864 10124
-rect 9447 10084 9864 10112
-rect 9447 10081 9459 10084
-rect 9401 10075 9459 10081
-rect 9858 10072 9864 10084
-rect 9916 10112 9922 10124
-rect 9916 10084 10916 10112
-rect 9916 10072 9922 10084
-rect 10888 10056 10916 10084
-rect 11238 10072 11244 10124
-rect 11296 10112 11302 10124
-rect 13280 10121 13308 10152
-rect 13265 10115 13323 10121
-rect 11296 10084 11341 10112
-rect 11296 10072 11302 10084
-rect 13265 10081 13277 10115
-rect 13311 10081 13323 10115
-rect 13265 10075 13323 10081
-rect 8389 10047 8447 10053
-rect 8389 10013 8401 10047
-rect 8435 10044 8447 10047
-rect 8941 10047 8999 10053
-rect 8941 10044 8953 10047
-rect 8435 10016 8953 10044
-rect 8435 10013 8447 10016
-rect 8389 10007 8447 10013
-rect 8941 10013 8953 10016
-rect 8987 10013 8999 10047
-rect 8941 10007 8999 10013
+rect 6932 9976 6960 10007
+rect 7098 10004 7104 10016
+rect 7156 10044 7162 10056
+rect 7377 10047 7435 10053
+rect 7377 10044 7389 10047
+rect 7156 10016 7389 10044
+rect 7156 10004 7162 10016
+rect 7377 10013 7389 10016
+rect 7423 10013 7435 10047
+rect 7558 10044 7564 10056
+rect 7519 10016 7564 10044
+rect 7377 10007 7435 10013
+rect 7558 10004 7564 10016
+rect 7616 10004 7622 10056
+rect 7742 10044 7748 10056
+rect 7703 10016 7748 10044
+rect 7742 10004 7748 10016
+rect 7800 10004 7806 10056
+rect 7853 10031 7881 10072
+rect 7963 10047 8021 10053
+rect 7838 10025 7896 10031
+rect 7838 9991 7850 10025
+rect 7884 9991 7896 10025
+rect 7963 10013 7975 10047
+rect 8009 10013 8021 10047
+rect 8570 10044 8576 10056
+rect 8531 10016 8576 10044
+rect 7963 10007 8021 10013
+rect 7838 9985 7896 9991
+rect 6788 9948 6960 9976
+rect 7978 9976 8006 10007
+rect 8570 10004 8576 10016
+rect 8628 10004 8634 10056
+rect 8772 10053 8800 10084
+rect 8938 10072 8944 10084
+rect 8996 10112 9002 10124
+rect 9691 10112 9719 10220
+rect 10962 10208 10968 10220
+rect 11020 10208 11026 10260
+rect 9858 10180 9864 10192
+rect 9819 10152 9864 10180
+rect 9858 10140 9864 10152
+rect 9916 10140 9922 10192
+rect 11054 10140 11060 10192
+rect 11112 10180 11118 10192
+rect 12894 10180 12900 10192
+rect 11112 10152 12900 10180
+rect 11112 10140 11118 10152
+rect 8996 10084 9719 10112
+rect 8996 10072 9002 10084
+rect 9766 10072 9772 10124
+rect 9824 10112 9830 10124
+rect 10209 10115 10267 10121
+rect 10209 10112 10221 10115
+rect 9824 10084 10221 10112
+rect 9824 10072 9830 10084
+rect 10209 10081 10221 10084
+rect 10255 10081 10267 10115
+rect 10209 10075 10267 10081
+rect 10410 10072 10416 10124
+rect 10468 10072 10474 10124
+rect 10505 10115 10563 10121
+rect 10505 10081 10517 10115
+rect 10551 10112 10563 10115
+rect 10686 10112 10692 10124
+rect 10551 10084 10692 10112
+rect 10551 10081 10563 10084
+rect 10505 10075 10563 10081
+rect 10686 10072 10692 10084
+rect 10744 10072 10750 10124
+rect 10965 10115 11023 10121
+rect 10965 10112 10977 10115
+rect 10888 10084 10977 10112
+rect 8757 10047 8815 10053
+rect 8757 10013 8769 10047
+rect 8803 10013 8815 10047
+rect 8757 10007 8815 10013
rect 9217 10047 9275 10053
rect 9217 10013 9229 10047
rect 9263 10013 9275 10047
rect 9217 10007 9275 10013
-rect 8481 9979 8539 9985
-rect 8481 9945 8493 9979
-rect 8527 9976 8539 9979
-rect 8662 9976 8668 9988
-rect 8527 9948 8668 9976
-rect 8527 9945 8539 9948
-rect 8481 9939 8539 9945
-rect 8662 9936 8668 9948
-rect 8720 9936 8726 9988
-rect 8754 9936 8760 9988
-rect 8812 9976 8818 9988
+rect 9401 10047 9459 10053
+rect 9401 10013 9413 10047
+rect 9447 10013 9459 10047
+rect 9401 10007 9459 10013
+rect 9493 10047 9551 10053
+rect 9493 10013 9505 10047
+rect 9539 10044 9551 10047
+rect 9582 10044 9588 10056
+rect 9539 10016 9588 10044
+rect 9539 10013 9551 10016
+rect 9493 10007 9551 10013
rect 9232 9976 9260 10007
-rect 9490 10004 9496 10056
-rect 9548 10044 9554 10056
-rect 9548 10016 9593 10044
-rect 9548 10004 9554 10016
-rect 9674 10004 9680 10056
-rect 9732 10044 9738 10056
+rect 9416 9976 9444 10007
+rect 9582 10004 9588 10016
+rect 9640 10044 9646 10056
+rect 10428 10044 10456 10072
rect 10594 10044 10600 10056
-rect 9732 10016 10600 10044
-rect 9732 10004 9738 10016
+rect 9640 10016 10456 10044
+rect 10555 10016 10600 10044
+rect 9640 10004 9646 10016
rect 10594 10004 10600 10016
rect 10652 10004 10658 10056
-rect 10781 10047 10839 10053
-rect 10781 10013 10793 10047
-rect 10827 10013 10839 10047
-rect 10781 10007 10839 10013
-rect 10318 9976 10324 9988
-rect 8812 9948 10324 9976
-rect 8812 9936 8818 9948
-rect 10318 9936 10324 9948
-rect 10376 9976 10382 9988
-rect 10796 9976 10824 10007
-rect 10870 10004 10876 10056
-rect 10928 10044 10934 10056
-rect 10965 10047 11023 10053
-rect 10965 10044 10977 10047
-rect 10928 10016 10977 10044
-rect 10928 10004 10934 10016
-rect 10965 10013 10977 10016
-rect 11011 10013 11023 10047
-rect 10965 10007 11023 10013
-rect 11149 10047 11207 10053
-rect 11149 10013 11161 10047
-rect 11195 10044 11207 10047
-rect 11195 10016 11284 10044
-rect 11195 10013 11207 10016
-rect 11149 10007 11207 10013
-rect 10376 9948 10824 9976
-rect 10376 9936 10382 9948
-rect 10134 9908 10140 9920
-rect 8128 9880 10140 9908
-rect 10134 9868 10140 9880
-rect 10192 9868 10198 9920
-rect 10796 9908 10824 9948
-rect 11256 9908 11284 10016
-rect 12437 9979 12495 9985
-rect 12437 9945 12449 9979
-rect 12483 9976 12495 9979
-rect 12802 9976 12808 9988
-rect 12483 9948 12808 9976
-rect 12483 9945 12495 9948
-rect 12437 9939 12495 9945
-rect 12802 9936 12808 9948
-rect 12860 9936 12866 9988
-rect 13078 9976 13084 9988
-rect 13039 9948 13084 9976
-rect 13078 9936 13084 9948
-rect 13136 9936 13142 9988
-rect 12526 9908 12532 9920
-rect 10796 9880 11284 9908
-rect 12487 9880 12532 9908
-rect 12526 9868 12532 9880
-rect 12584 9868 12590 9920
-rect 13173 9911 13231 9917
-rect 13173 9877 13185 9911
-rect 13219 9908 13231 9911
-rect 13538 9908 13544 9920
-rect 13219 9880 13544 9908
-rect 13219 9877 13231 9880
-rect 13173 9871 13231 9877
-rect 13538 9868 13544 9880
-rect 13596 9868 13602 9920
+rect 10778 10044 10784 10056
+rect 10739 10016 10784 10044
+rect 10778 10004 10784 10016
+rect 10836 10004 10842 10056
+rect 9766 9976 9772 9988
+rect 7978 9948 9352 9976
+rect 9416 9948 9772 9976
+rect 6788 9936 6794 9948
+rect 6178 9908 6184 9920
+rect 6012 9880 6184 9908
+rect 6178 9868 6184 9880
+rect 6236 9868 6242 9920
+rect 6382 9911 6440 9917
+rect 6382 9877 6394 9911
+rect 6428 9908 6440 9911
+rect 7190 9908 7196 9920
+rect 6428 9880 7196 9908
+rect 6428 9877 6440 9880
+rect 6382 9871 6440 9877
+rect 7190 9868 7196 9880
+rect 7248 9868 7254 9920
+rect 7834 9868 7840 9920
+rect 7892 9908 7898 9920
+rect 7978 9908 8006 9948
+rect 9324 9920 9352 9948
+rect 9766 9936 9772 9948
+rect 9824 9936 9830 9988
+rect 9953 9979 10011 9985
+rect 9953 9945 9965 9979
+rect 9999 9976 10011 9979
+rect 10134 9976 10140 9988
+rect 9999 9948 10140 9976
+rect 9999 9945 10011 9948
+rect 9953 9939 10011 9945
+rect 10134 9936 10140 9948
+rect 10192 9936 10198 9988
+rect 10318 9985 10324 9988
+rect 10297 9979 10324 9985
+rect 10297 9945 10309 9979
+rect 10297 9939 10324 9945
+rect 10318 9936 10324 9939
+rect 10376 9936 10382 9988
+rect 10413 9979 10471 9985
+rect 10413 9945 10425 9979
+rect 10459 9976 10471 9979
+rect 10888 9976 10916 10084
+rect 10965 10081 10977 10084
+rect 11011 10112 11023 10115
+rect 11790 10112 11796 10124
+rect 11011 10084 11796 10112
+rect 11011 10081 11023 10084
+rect 10965 10075 11023 10081
+rect 11790 10072 11796 10084
+rect 11848 10072 11854 10124
+rect 11054 10044 11060 10056
+rect 11015 10016 11060 10044
+rect 11054 10004 11060 10016
+rect 11112 10004 11118 10056
+rect 11146 10004 11152 10056
+rect 11204 10044 11210 10056
+rect 11900 10053 11928 10152
+rect 12894 10140 12900 10152
+rect 12952 10140 12958 10192
+rect 13354 10180 13360 10192
+rect 13315 10152 13360 10180
+rect 13354 10140 13360 10152
+rect 13412 10140 13418 10192
+rect 11333 10047 11391 10053
+rect 11333 10044 11345 10047
+rect 11204 10016 11345 10044
+rect 11204 10004 11210 10016
+rect 11333 10013 11345 10016
+rect 11379 10044 11391 10047
+rect 11701 10047 11759 10053
+rect 11701 10044 11713 10047
+rect 11379 10016 11713 10044
+rect 11379 10013 11391 10016
+rect 11333 10007 11391 10013
+rect 11701 10013 11713 10016
+rect 11747 10044 11759 10047
+rect 11885 10047 11943 10053
+rect 11747 10016 11836 10044
+rect 11747 10013 11759 10016
+rect 11701 10007 11759 10013
+rect 11808 9988 11836 10016
+rect 11885 10013 11897 10047
+rect 11931 10013 11943 10047
+rect 11885 10007 11943 10013
+rect 12710 10004 12716 10056
+rect 12768 10044 12774 10056
+rect 12805 10047 12863 10053
+rect 12805 10044 12817 10047
+rect 12768 10016 12817 10044
+rect 12768 10004 12774 10016
+rect 12805 10013 12817 10016
+rect 12851 10013 12863 10047
+rect 12805 10007 12863 10013
+rect 10459 9948 10916 9976
+rect 10459 9945 10471 9948
+rect 10413 9939 10471 9945
+rect 11238 9936 11244 9988
+rect 11296 9976 11302 9988
+rect 11425 9979 11483 9985
+rect 11425 9976 11437 9979
+rect 11296 9948 11437 9976
+rect 11296 9936 11302 9948
+rect 11425 9945 11437 9948
+rect 11471 9945 11483 9979
+rect 11425 9939 11483 9945
+rect 11790 9936 11796 9988
+rect 11848 9936 11854 9988
+rect 7892 9880 8006 9908
+rect 7892 9868 7898 9880
+rect 8386 9868 8392 9920
+rect 8444 9908 8450 9920
+rect 9033 9911 9091 9917
+rect 9033 9908 9045 9911
+rect 8444 9880 9045 9908
+rect 8444 9868 8450 9880
+rect 9033 9877 9045 9880
+rect 9079 9877 9091 9911
+rect 9033 9871 9091 9877
+rect 9306 9868 9312 9920
+rect 9364 9868 9370 9920
+rect 9490 9868 9496 9920
+rect 9548 9908 9554 9920
+rect 9585 9911 9643 9917
+rect 9585 9908 9597 9911
+rect 9548 9880 9597 9908
+rect 9548 9868 9554 9880
+rect 9585 9877 9597 9880
+rect 9631 9877 9643 9911
+rect 9585 9871 9643 9877
+rect 10594 9868 10600 9920
+rect 10652 9908 10658 9920
+rect 11609 9911 11667 9917
+rect 11609 9908 11621 9911
+rect 10652 9880 11621 9908
+rect 10652 9868 10658 9880
+rect 11609 9877 11621 9880
+rect 11655 9877 11667 9911
+rect 11609 9871 11667 9877
rect 1104 9818 13892 9840
rect 1104 9766 8214 9818
rect 8266 9766 8278 9818
@@ -3756,330 +4569,448 @@ rect 8394 9766 8406 9818
rect 8458 9766 8470 9818
rect 8522 9766 13892 9818
rect 1104 9744 13892 9766
-rect 8757 9707 8815 9713
-rect 8757 9673 8769 9707
-rect 8803 9704 8815 9707
-rect 9490 9704 9496 9716
-rect 8803 9676 9496 9704
-rect 8803 9673 8815 9676
-rect 8757 9667 8815 9673
-rect 9490 9664 9496 9676
-rect 9548 9664 9554 9716
-rect 10410 9664 10416 9716
-rect 10468 9704 10474 9716
-rect 10468 9676 10824 9704
-rect 10468 9664 10474 9676
-rect 3326 9636 3332 9648
-rect 3160 9608 3332 9636
-rect 1673 9571 1731 9577
-rect 1673 9537 1685 9571
-rect 1719 9568 1731 9571
-rect 2222 9568 2228 9580
-rect 1719 9540 2228 9568
-rect 1719 9537 1731 9540
-rect 1673 9531 1731 9537
-rect 2222 9528 2228 9540
-rect 2280 9528 2286 9580
-rect 3160 9577 3188 9608
-rect 3326 9596 3332 9608
-rect 3384 9636 3390 9648
-rect 3421 9639 3479 9645
-rect 3421 9636 3433 9639
-rect 3384 9608 3433 9636
-rect 3384 9596 3390 9608
-rect 3421 9605 3433 9608
-rect 3467 9636 3479 9639
-rect 5074 9636 5080 9648
-rect 3467 9608 4660 9636
-rect 5035 9608 5080 9636
-rect 3467 9605 3479 9608
-rect 3421 9599 3479 9605
-rect 3145 9571 3203 9577
-rect 3145 9537 3157 9571
-rect 3191 9537 3203 9571
-rect 3145 9531 3203 9537
-rect 3697 9571 3755 9577
-rect 3697 9537 3709 9571
-rect 3743 9568 3755 9571
-rect 3878 9568 3884 9580
-rect 3743 9540 3884 9568
-rect 3743 9537 3755 9540
-rect 3697 9531 3755 9537
-rect 3878 9528 3884 9540
-rect 3936 9528 3942 9580
-rect 4632 9577 4660 9608
-rect 5074 9596 5080 9608
-rect 5132 9636 5138 9648
-rect 5629 9639 5687 9645
-rect 5629 9636 5641 9639
-rect 5132 9608 5641 9636
-rect 5132 9596 5138 9608
-rect 5629 9605 5641 9608
-rect 5675 9605 5687 9639
-rect 5629 9599 5687 9605
-rect 5905 9639 5963 9645
-rect 5905 9605 5917 9639
-rect 5951 9636 5963 9639
-rect 6270 9636 6276 9648
-rect 5951 9608 6276 9636
-rect 5951 9605 5963 9608
-rect 5905 9599 5963 9605
-rect 6270 9596 6276 9608
-rect 6328 9596 6334 9648
-rect 6362 9596 6368 9648
-rect 6420 9636 6426 9648
-rect 7653 9639 7711 9645
-rect 6420 9608 7236 9636
-rect 6420 9596 6426 9608
-rect 4341 9571 4399 9577
-rect 4341 9537 4353 9571
-rect 4387 9537 4399 9571
-rect 4341 9531 4399 9537
-rect 4617 9571 4675 9577
-rect 4617 9537 4629 9571
-rect 4663 9537 4675 9571
-rect 4617 9531 4675 9537
-rect 5169 9571 5227 9577
-rect 5169 9537 5181 9571
-rect 5215 9568 5227 9571
-rect 6457 9571 6515 9577
-rect 6457 9568 6469 9571
-rect 5215 9540 6469 9568
-rect 5215 9537 5227 9540
-rect 5169 9531 5227 9537
-rect 6457 9537 6469 9540
-rect 6503 9537 6515 9571
-rect 6730 9568 6736 9580
-rect 6691 9540 6736 9568
-rect 6457 9531 6515 9537
-rect 2958 9432 2964 9444
-rect 2919 9404 2964 9432
-rect 2958 9392 2964 9404
-rect 3016 9392 3022 9444
-rect 4356 9432 4384 9531
-rect 6730 9528 6736 9540
-rect 6788 9528 6794 9580
-rect 6932 9577 6960 9608
-rect 6917 9571 6975 9577
+rect 6454 9664 6460 9716
+rect 6512 9704 6518 9716
+rect 7006 9704 7012 9716
+rect 6512 9676 7012 9704
+rect 6512 9664 6518 9676
+rect 7006 9664 7012 9676
+rect 7064 9664 7070 9716
+rect 7193 9707 7251 9713
+rect 7193 9673 7205 9707
+rect 7239 9704 7251 9707
+rect 7466 9704 7472 9716
+rect 7239 9676 7472 9704
+rect 7239 9673 7251 9676
+rect 7193 9667 7251 9673
+rect 7466 9664 7472 9676
+rect 7524 9664 7530 9716
+rect 7742 9664 7748 9716
+rect 7800 9704 7806 9716
+rect 8205 9707 8263 9713
+rect 8205 9704 8217 9707
+rect 7800 9676 8217 9704
+rect 7800 9664 7806 9676
+rect 8205 9673 8217 9676
+rect 8251 9673 8263 9707
+rect 8386 9704 8392 9716
+rect 8205 9667 8263 9673
+rect 8312 9676 8392 9704
+rect 1397 9639 1455 9645
+rect 1397 9605 1409 9639
+rect 1443 9636 1455 9639
+rect 1762 9636 1768 9648
+rect 1443 9608 1768 9636
+rect 1443 9605 1455 9608
+rect 1397 9599 1455 9605
+rect 1762 9596 1768 9608
+rect 1820 9596 1826 9648
+rect 3513 9639 3571 9645
+rect 3513 9605 3525 9639
+rect 3559 9636 3571 9639
+rect 4522 9636 4528 9648
+rect 3559 9608 4528 9636
+rect 3559 9605 3571 9608
+rect 3513 9599 3571 9605
+rect 4522 9596 4528 9608
+rect 4580 9596 4586 9648
+rect 5258 9596 5264 9648
+rect 5316 9636 5322 9648
+rect 5445 9639 5503 9645
+rect 5445 9636 5457 9639
+rect 5316 9608 5457 9636
+rect 5316 9596 5322 9608
+rect 5445 9605 5457 9608
+rect 5491 9605 5503 9639
+rect 5445 9599 5503 9605
+rect 7929 9639 7987 9645
+rect 7929 9605 7941 9639
+rect 7975 9636 7987 9639
+rect 8312 9636 8340 9676
+rect 8386 9664 8392 9676
+rect 8444 9664 8450 9716
+rect 8846 9704 8852 9716
+rect 8807 9676 8852 9704
+rect 8846 9664 8852 9676
+rect 8904 9664 8910 9716
+rect 9125 9707 9183 9713
+rect 9125 9673 9137 9707
+rect 9171 9674 9183 9707
+rect 9214 9674 9220 9716
+rect 9171 9673 9220 9674
+rect 9125 9667 9220 9673
+rect 9140 9664 9220 9667
+rect 9272 9664 9278 9716
+rect 9766 9704 9772 9716
+rect 9428 9676 9772 9704
+rect 9140 9646 9260 9664
+rect 7975 9608 8340 9636
+rect 8680 9608 8984 9636
+rect 7975 9605 7987 9608
+rect 7929 9599 7987 9605
+rect 8380 9583 8438 9589
+rect 8380 9580 8392 9583
+rect 1486 9528 1492 9580
+rect 1544 9568 1550 9580
+rect 1581 9571 1639 9577
+rect 1581 9568 1593 9571
+rect 1544 9540 1593 9568
+rect 1544 9528 1550 9540
+rect 1581 9537 1593 9540
+rect 1627 9537 1639 9571
+rect 1581 9531 1639 9537
+rect 3053 9571 3111 9577
+rect 3053 9537 3065 9571
+rect 3099 9568 3111 9571
+rect 3234 9568 3240 9580
+rect 3099 9540 3240 9568
+rect 3099 9537 3111 9540
+rect 3053 9531 3111 9537
+rect 3234 9528 3240 9540
+rect 3292 9568 3298 9580
+rect 3329 9571 3387 9577
+rect 3329 9568 3341 9571
+rect 3292 9540 3341 9568
+rect 3292 9528 3298 9540
+rect 3329 9537 3341 9540
+rect 3375 9537 3387 9571
+rect 3694 9568 3700 9580
+rect 3655 9540 3700 9568
+rect 3329 9531 3387 9537
+rect 3694 9528 3700 9540
+rect 3752 9528 3758 9580
+rect 5166 9568 5172 9580
+rect 5079 9540 5172 9568
+rect 5166 9528 5172 9540
+rect 5224 9568 5230 9580
+rect 6638 9577 6644 9580
+rect 6181 9571 6239 9577
+rect 6181 9568 6193 9571
+rect 5224 9540 6193 9568
+rect 5224 9528 5230 9540
+rect 6181 9537 6193 9540
+rect 6227 9537 6239 9571
+rect 6636 9568 6644 9577
+rect 6599 9540 6644 9568
+rect 6181 9531 6239 9537
+rect 6636 9531 6644 9540
+rect 6638 9528 6644 9531
+rect 6696 9528 6702 9580
+rect 6730 9528 6736 9580
+rect 6788 9568 6794 9580
+rect 6871 9571 6929 9577
+rect 6788 9540 6833 9568
+rect 6788 9528 6794 9540
+rect 6871 9537 6883 9571
rect 6917 9537 6929 9571
-rect 6963 9537 6975 9571
-rect 7098 9568 7104 9580
-rect 7059 9540 7104 9568
-rect 6917 9531 6975 9537
-rect 7098 9528 7104 9540
-rect 7156 9528 7162 9580
-rect 7208 9568 7236 9608
-rect 7653 9605 7665 9639
-rect 7699 9636 7711 9639
-rect 7742 9636 7748 9648
-rect 7699 9608 7748 9636
-rect 7699 9605 7711 9608
-rect 7653 9599 7711 9605
-rect 7742 9596 7748 9608
-rect 7800 9596 7806 9648
-rect 8021 9639 8079 9645
-rect 8021 9605 8033 9639
-rect 8067 9636 8079 9639
-rect 9030 9636 9036 9648
-rect 8067 9608 8892 9636
-rect 8991 9608 9036 9636
-rect 8067 9605 8079 9608
-rect 8021 9599 8079 9605
-rect 7834 9568 7840 9580
-rect 7208 9540 7840 9568
-rect 7834 9528 7840 9540
-rect 7892 9528 7898 9580
-rect 8294 9568 8300 9580
-rect 8255 9540 8300 9568
-rect 8294 9528 8300 9540
-rect 8352 9528 8358 9580
-rect 8566 9572 8624 9577
-rect 8566 9571 8708 9572
-rect 8566 9537 8578 9571
-rect 8612 9544 8708 9571
-rect 8612 9537 8624 9544
-rect 8566 9531 8624 9537
-rect 4985 9503 5043 9509
-rect 4985 9469 4997 9503
-rect 5031 9500 5043 9503
-rect 5442 9500 5448 9512
-rect 5031 9472 5448 9500
-rect 5031 9469 5043 9472
-rect 4985 9463 5043 9469
-rect 5442 9460 5448 9472
-rect 5500 9460 5506 9512
-rect 6822 9500 6828 9512
-rect 6783 9472 6828 9500
-rect 6822 9460 6828 9472
-rect 6880 9460 6886 9512
-rect 7190 9460 7196 9512
-rect 7248 9500 7254 9512
-rect 8389 9503 8447 9509
-rect 8389 9500 8401 9503
-rect 7248 9472 8401 9500
-rect 7248 9460 7254 9472
-rect 8389 9469 8401 9472
-rect 8435 9469 8447 9503
-rect 8680 9500 8708 9544
-rect 8864 9568 8892 9608
-rect 9030 9596 9036 9608
-rect 9088 9596 9094 9648
+rect 6871 9531 6929 9537
+rect 7009 9571 7067 9577
+rect 7009 9537 7021 9571
+rect 7055 9537 7067 9571
+rect 7009 9531 7067 9537
+rect 7561 9571 7619 9577
+rect 7561 9537 7573 9571
+rect 7607 9568 7619 9571
+rect 7650 9568 7656 9580
+rect 7607 9540 7656 9568
+rect 7607 9537 7619 9540
+rect 7561 9531 7619 9537
+rect 5353 9503 5411 9509
+rect 5353 9469 5365 9503
+rect 5399 9500 5411 9503
+rect 5902 9500 5908 9512
+rect 5399 9472 5672 9500
+rect 5863 9472 5908 9500
+rect 5399 9469 5411 9472
+rect 5353 9463 5411 9469
+rect 2774 9392 2780 9444
+rect 2832 9432 2838 9444
+rect 2869 9435 2927 9441
+rect 2869 9432 2881 9435
+rect 2832 9404 2881 9432
+rect 2832 9392 2838 9404
+rect 2869 9401 2881 9404
+rect 2915 9401 2927 9435
+rect 2869 9395 2927 9401
+rect 4522 9392 4528 9444
+rect 4580 9432 4586 9444
+rect 4985 9435 5043 9441
+rect 4985 9432 4997 9435
+rect 4580 9404 4997 9432
+rect 4580 9392 4586 9404
+rect 4985 9401 4997 9404
+rect 5031 9401 5043 9435
+rect 5644 9432 5672 9472
+rect 5902 9460 5908 9472
+rect 5960 9460 5966 9512
+rect 5997 9435 6055 9441
+rect 5997 9432 6009 9435
+rect 5644 9404 6009 9432
+rect 4985 9395 5043 9401
+rect 5997 9401 6009 9404
+rect 6043 9401 6055 9435
+rect 5997 9395 6055 9401
+rect 6822 9392 6828 9444
+rect 6880 9404 6908 9531
+rect 7024 9500 7052 9531
+rect 7650 9528 7656 9540
+rect 7708 9528 7714 9580
+rect 8110 9528 8116 9580
+rect 8168 9568 8174 9580
+rect 8307 9568 8392 9580
+rect 8168 9552 8392 9568
+rect 8168 9540 8335 9552
+rect 8380 9549 8392 9552
+rect 8426 9549 8438 9583
+rect 8380 9543 8438 9549
+rect 8168 9528 8174 9540
+rect 8478 9528 8484 9580
+rect 8536 9568 8542 9580
+rect 8680 9577 8708 9608
+rect 8754 9577 8760 9580
+rect 8665 9571 8723 9577
+rect 8536 9540 8580 9568
+rect 8536 9528 8542 9540
+rect 8665 9537 8677 9571
+rect 8711 9537 8723 9571
+rect 8665 9531 8723 9537
+rect 8752 9531 8760 9577
+rect 8812 9568 8818 9580
+rect 8956 9568 8984 9608
+rect 9428 9568 9456 9676
+rect 9766 9664 9772 9676
+rect 9824 9664 9830 9716
+rect 10134 9664 10140 9716
+rect 10192 9704 10198 9716
+rect 10778 9704 10784 9716
+rect 10192 9676 10784 9704
+rect 10192 9664 10198 9676
+rect 10778 9664 10784 9676
+rect 10836 9704 10842 9716
+rect 11238 9704 11244 9716
+rect 10836 9676 11244 9704
+rect 10836 9664 10842 9676
+rect 11238 9664 11244 9676
+rect 11296 9664 11302 9716
+rect 9858 9636 9864 9648
+rect 9779 9608 9864 9636
+rect 9779 9577 9807 9608
+rect 9858 9596 9864 9608
+rect 9916 9596 9922 9648
rect 10686 9636 10692 9648
-rect 10428 9608 10692 9636
-rect 8938 9568 8944 9580
-rect 8864 9540 8944 9568
-rect 8938 9528 8944 9540
-rect 8996 9528 9002 9580
-rect 9214 9568 9220 9580
-rect 9175 9540 9220 9568
-rect 9214 9528 9220 9540
-rect 9272 9528 9278 9580
-rect 10428 9577 10456 9608
+rect 10647 9608 10692 9636
rect 10686 9596 10692 9608
rect 10744 9596 10750 9648
-rect 10796 9645 10824 9676
-rect 10870 9664 10876 9716
-rect 10928 9704 10934 9716
-rect 10965 9707 11023 9713
-rect 10965 9704 10977 9707
-rect 10928 9676 10977 9704
-rect 10928 9664 10934 9676
-rect 10965 9673 10977 9676
-rect 11011 9673 11023 9707
-rect 10965 9667 11023 9673
-rect 10781 9639 10839 9645
-rect 10781 9605 10793 9639
-rect 10827 9605 10839 9639
-rect 10781 9599 10839 9605
-rect 9309 9571 9367 9577
-rect 9309 9537 9321 9571
-rect 9355 9537 9367 9571
-rect 9309 9531 9367 9537
+rect 11609 9639 11667 9645
+rect 11609 9636 11621 9639
+rect 11348 9608 11621 9636
+rect 8812 9540 8852 9568
+rect 8956 9540 9456 9568
rect 9493 9571 9551 9577
+rect 8754 9528 8760 9531
+rect 8812 9528 8818 9540
rect 9493 9537 9505 9571
rect 9539 9537 9551 9571
rect 9493 9531 9551 9537
-rect 10413 9571 10471 9577
-rect 10413 9537 10425 9571
-rect 10459 9537 10471 9571
-rect 10413 9531 10471 9537
-rect 10597 9571 10655 9577
-rect 10597 9537 10609 9571
-rect 10643 9537 10655 9571
-rect 10597 9531 10655 9537
-rect 10873 9571 10931 9577
-rect 10873 9537 10885 9571
-rect 10919 9537 10931 9571
-rect 10873 9531 10931 9537
-rect 9232 9500 9260 9528
-rect 8680 9472 9260 9500
-rect 8389 9463 8447 9469
-rect 4614 9432 4620 9444
-rect 4356 9404 4620 9432
-rect 4614 9392 4620 9404
-rect 4672 9432 4678 9444
-rect 8018 9432 8024 9444
-rect 4672 9404 8024 9432
-rect 4672 9392 4678 9404
-rect 8018 9392 8024 9404
-rect 8076 9392 8082 9444
-rect 8404 9432 8432 9463
-rect 8404 9404 8708 9432
-rect 1486 9364 1492 9376
-rect 1447 9336 1492 9364
-rect 1486 9324 1492 9336
-rect 1544 9324 1550 9376
-rect 3786 9324 3792 9376
-rect 3844 9364 3850 9376
-rect 4433 9367 4491 9373
-rect 4433 9364 4445 9367
-rect 3844 9336 4445 9364
-rect 3844 9324 3850 9336
-rect 4433 9333 4445 9336
-rect 4479 9333 4491 9367
-rect 5534 9364 5540 9376
-rect 5495 9336 5540 9364
-rect 4433 9327 4491 9333
-rect 5534 9324 5540 9336
-rect 5592 9324 5598 9376
-rect 7006 9364 7012 9376
-rect 6919 9336 7012 9364
-rect 7006 9324 7012 9336
-rect 7064 9364 7070 9376
-rect 7558 9364 7564 9376
-rect 7064 9336 7564 9364
-rect 7064 9324 7070 9336
-rect 7558 9324 7564 9336
-rect 7616 9364 7622 9376
-rect 8297 9367 8355 9373
-rect 8297 9364 8309 9367
-rect 7616 9336 8309 9364
-rect 7616 9324 7622 9336
-rect 8297 9333 8309 9336
-rect 8343 9333 8355 9367
-rect 8680 9364 8708 9404
-rect 8846 9392 8852 9444
-rect 8904 9432 8910 9444
-rect 9324 9432 9352 9531
-rect 9508 9500 9536 9531
-rect 10502 9500 10508 9512
-rect 9508 9472 10508 9500
-rect 10502 9460 10508 9472
-rect 10560 9460 10566 9512
-rect 8904 9404 9352 9432
-rect 9401 9435 9459 9441
-rect 8904 9392 8910 9404
-rect 9401 9401 9413 9435
-rect 9447 9432 9459 9435
-rect 10134 9432 10140 9444
-rect 9447 9404 10140 9432
-rect 9447 9401 9459 9404
-rect 9401 9395 9459 9401
-rect 10134 9392 10140 9404
-rect 10192 9392 10198 9444
-rect 10612 9364 10640 9531
-rect 10888 9500 10916 9531
-rect 11146 9528 11152 9580
+rect 9769 9571 9827 9577
+rect 9769 9537 9781 9571
+rect 9815 9537 9827 9571
+rect 9769 9531 9827 9537
+rect 10045 9571 10103 9577
+rect 10045 9537 10057 9571
+rect 10091 9537 10103 9571
+rect 10045 9531 10103 9537
+rect 10321 9571 10379 9577
+rect 10321 9537 10333 9571
+rect 10367 9537 10379 9571
+rect 10321 9531 10379 9537
+rect 9508 9530 9544 9531
+rect 7190 9500 7196 9512
+rect 7024 9472 7196 9500
+rect 7190 9460 7196 9472
+rect 7248 9460 7254 9512
+rect 7745 9503 7803 9509
+rect 7745 9469 7757 9503
+rect 7791 9500 7803 9503
+rect 7834 9500 7840 9512
+rect 7791 9472 7840 9500
+rect 7791 9469 7803 9472
+rect 7745 9463 7803 9469
+rect 7834 9460 7840 9472
+rect 7892 9460 7898 9512
+rect 8128 9500 8156 9528
+rect 7944 9472 8156 9500
+rect 7558 9432 7564 9444
+rect 7519 9404 7564 9432
+rect 6880 9392 6886 9404
+rect 7558 9392 7564 9404
+rect 7616 9392 7622 9444
+rect 7944 9432 7972 9472
+rect 9306 9460 9312 9512
+rect 9364 9500 9370 9512
+rect 9401 9503 9459 9509
+rect 9401 9500 9413 9503
+rect 9364 9472 9413 9500
+rect 9364 9460 9370 9472
+rect 9401 9469 9413 9472
+rect 9447 9469 9459 9503
+rect 9516 9500 9544 9530
+rect 9674 9500 9680 9512
+rect 9516 9472 9680 9500
+rect 9401 9463 9459 9469
+rect 9674 9460 9680 9472
+rect 9732 9460 9738 9512
+rect 9950 9460 9956 9512
+rect 10008 9500 10014 9512
+rect 10060 9500 10088 9531
+rect 10008 9472 10088 9500
+rect 10137 9503 10195 9509
+rect 10008 9460 10014 9472
+rect 10137 9469 10149 9503
+rect 10183 9500 10195 9503
+rect 10226 9500 10232 9512
+rect 10183 9472 10232 9500
+rect 10183 9469 10195 9472
+rect 10137 9463 10195 9469
+rect 10226 9460 10232 9472
+rect 10284 9460 10290 9512
+rect 7668 9404 7972 9432
+rect 6178 9324 6184 9376
+rect 6236 9364 6242 9376
+rect 6457 9367 6515 9373
+rect 6457 9364 6469 9367
+rect 6236 9336 6469 9364
+rect 6236 9324 6242 9336
+rect 6457 9333 6469 9336
+rect 6503 9333 6515 9367
+rect 6457 9327 6515 9333
+rect 6638 9324 6644 9376
+rect 6696 9364 6702 9376
+rect 7668 9364 7696 9404
+rect 8018 9392 8024 9444
+rect 8076 9432 8082 9444
+rect 9858 9432 9864 9444
+rect 8076 9404 9864 9432
+rect 8076 9392 8082 9404
+rect 9858 9392 9864 9404
+rect 9916 9392 9922 9444
+rect 10042 9392 10048 9444
+rect 10100 9432 10106 9444
+rect 10336 9432 10364 9531
+rect 10410 9528 10416 9580
+rect 10468 9568 10474 9580
+rect 10505 9571 10563 9577
+rect 10505 9568 10517 9571
+rect 10468 9540 10517 9568
+rect 10468 9528 10474 9540
+rect 10505 9537 10517 9540
+rect 10551 9537 10563 9571
+rect 11146 9568 11152 9580
+rect 11107 9540 11152 9568
+rect 10505 9531 10563 9537
+rect 10520 9500 10548 9531
+rect 11146 9528 11152 9540
rect 11204 9528 11210 9580
-rect 11514 9568 11520 9580
-rect 11475 9540 11520 9568
-rect 11514 9528 11520 9540
-rect 11572 9568 11578 9580
-rect 11974 9568 11980 9580
-rect 11572 9540 11980 9568
-rect 11572 9528 11578 9540
-rect 11974 9528 11980 9540
-rect 12032 9528 12038 9580
-rect 12526 9568 12532 9580
-rect 12487 9540 12532 9568
-rect 12526 9528 12532 9540
-rect 12584 9528 12590 9580
-rect 13354 9568 13360 9580
-rect 13315 9540 13360 9568
-rect 13354 9528 13360 9540
-rect 13412 9528 13418 9580
-rect 11164 9500 11192 9528
-rect 10888 9472 11560 9500
-rect 11532 9444 11560 9472
-rect 11514 9392 11520 9444
-rect 11572 9392 11578 9444
-rect 12802 9432 12808 9444
-rect 12763 9404 12808 9432
-rect 12802 9392 12808 9404
-rect 12860 9392 12866 9444
-rect 11146 9364 11152 9376
-rect 8680 9336 11152 9364
-rect 8297 9327 8355 9333
-rect 11146 9324 11152 9336
-rect 11204 9324 11210 9376
-rect 13262 9364 13268 9376
-rect 13223 9336 13268 9364
-rect 13262 9324 13268 9336
-rect 13320 9324 13326 9376
-rect 13538 9364 13544 9376
-rect 13499 9336 13544 9364
-rect 13538 9324 13544 9336
-rect 13596 9324 13602 9376
+rect 11348 9577 11376 9608
+rect 11609 9605 11621 9608
+rect 11655 9605 11667 9639
+rect 12802 9636 12808 9648
+rect 12763 9608 12808 9636
+rect 11609 9599 11667 9605
+rect 12802 9596 12808 9608
+rect 12860 9596 12866 9648
+rect 13265 9639 13323 9645
+rect 13265 9605 13277 9639
+rect 13311 9636 13323 9639
+rect 13354 9636 13360 9648
+rect 13311 9608 13360 9636
+rect 13311 9605 13323 9608
+rect 13265 9599 13323 9605
+rect 13354 9596 13360 9608
+rect 13412 9596 13418 9648
+rect 11333 9571 11391 9577
+rect 11333 9537 11345 9571
+rect 11379 9537 11391 9571
+rect 11333 9531 11391 9537
+rect 11517 9571 11575 9577
+rect 11517 9537 11529 9571
+rect 11563 9537 11575 9571
+rect 11517 9531 11575 9537
+rect 11885 9571 11943 9577
+rect 11885 9537 11897 9571
+rect 11931 9537 11943 9571
+rect 11885 9531 11943 9537
+rect 11532 9500 11560 9531
+rect 10520 9472 11560 9500
+rect 11606 9460 11612 9512
+rect 11664 9500 11670 9512
+rect 11701 9503 11759 9509
+rect 11701 9500 11713 9503
+rect 11664 9472 11713 9500
+rect 11664 9460 11670 9472
+rect 11701 9469 11713 9472
+rect 11747 9469 11759 9503
+rect 11701 9463 11759 9469
+rect 10100 9404 10364 9432
+rect 11241 9435 11299 9441
+rect 10100 9392 10106 9404
+rect 11241 9401 11253 9435
+rect 11287 9432 11299 9435
+rect 11422 9432 11428 9444
+rect 11287 9404 11428 9432
+rect 11287 9401 11299 9404
+rect 11241 9395 11299 9401
+rect 11422 9392 11428 9404
+rect 11480 9392 11486 9444
+rect 6696 9336 7696 9364
+rect 6696 9324 6702 9336
+rect 7926 9324 7932 9376
+rect 7984 9364 7990 9376
+rect 8846 9364 8852 9376
+rect 7984 9336 8852 9364
+rect 7984 9324 7990 9336
+rect 8846 9324 8852 9336
+rect 8904 9324 8910 9376
+rect 9398 9364 9404 9376
+rect 9359 9336 9404 9364
+rect 9398 9324 9404 9336
+rect 9456 9324 9462 9376
+rect 9677 9367 9735 9373
+rect 9677 9333 9689 9367
+rect 9723 9364 9735 9367
+rect 10134 9364 10140 9376
+rect 9723 9336 10140 9364
+rect 9723 9333 9735 9336
+rect 9677 9327 9735 9333
+rect 10134 9324 10140 9336
+rect 10192 9324 10198 9376
+rect 11330 9324 11336 9376
+rect 11388 9364 11394 9376
+rect 11900 9364 11928 9531
+rect 12066 9528 12072 9580
+rect 12124 9568 12130 9580
+rect 12161 9571 12219 9577
+rect 12161 9568 12173 9571
+rect 12124 9540 12173 9568
+rect 12124 9528 12130 9540
+rect 12161 9537 12173 9540
+rect 12207 9537 12219 9571
+rect 12161 9531 12219 9537
+rect 12710 9528 12716 9580
+rect 12768 9568 12774 9580
+rect 13081 9571 13139 9577
+rect 13081 9568 13093 9571
+rect 12768 9540 13093 9568
+rect 12768 9528 12774 9540
+rect 13081 9537 13093 9540
+rect 13127 9537 13139 9571
+rect 13081 9531 13139 9537
+rect 13446 9432 13452 9444
+rect 13407 9404 13452 9432
+rect 13446 9392 13452 9404
+rect 13504 9392 13510 9444
+rect 11388 9336 11928 9364
+rect 11388 9324 11394 9336
rect 1104 9274 13892 9296
rect 1104 9222 4214 9274
rect 4266 9222 4278 9274
@@ -4093,374 +5024,522 @@ rect 12394 9222 12406 9274
rect 12458 9222 12470 9274
rect 12522 9222 13892 9274
rect 1104 9200 13892 9222
-rect 2222 9160 2228 9172
-rect 2183 9132 2228 9160
-rect 2222 9120 2228 9132
-rect 2280 9120 2286 9172
-rect 6273 9163 6331 9169
-rect 6273 9160 6285 9163
-rect 2746 9132 6285 9160
-rect 1486 8984 1492 9036
-rect 1544 9024 1550 9036
-rect 1581 9027 1639 9033
-rect 1581 9024 1593 9027
-rect 1544 8996 1593 9024
-rect 1544 8984 1550 8996
-rect 1581 8993 1593 8996
-rect 1627 8993 1639 9027
-rect 1762 9024 1768 9036
-rect 1723 8996 1768 9024
-rect 1581 8987 1639 8993
-rect 1762 8984 1768 8996
-rect 1820 8984 1826 9036
-rect 2240 9024 2268 9120
-rect 2409 9027 2467 9033
-rect 2409 9024 2421 9027
-rect 2240 8996 2421 9024
-rect 2409 8993 2421 8996
-rect 2455 8993 2467 9027
-rect 2409 8987 2467 8993
-rect 1857 8959 1915 8965
-rect 1857 8925 1869 8959
-rect 1903 8956 1915 8959
-rect 2746 8956 2774 9132
-rect 6273 9129 6285 9132
-rect 6319 9129 6331 9163
-rect 6273 9123 6331 9129
-rect 7374 9120 7380 9172
-rect 7432 9160 7438 9172
-rect 8389 9163 8447 9169
-rect 8389 9160 8401 9163
-rect 7432 9132 8401 9160
-rect 7432 9120 7438 9132
-rect 8389 9129 8401 9132
-rect 8435 9129 8447 9163
+rect 1489 9163 1547 9169
+rect 1489 9129 1501 9163
+rect 1535 9160 1547 9163
+rect 1578 9160 1584 9172
+rect 1535 9132 1584 9160
+rect 1535 9129 1547 9132
+rect 1489 9123 1547 9129
+rect 1578 9120 1584 9132
+rect 1636 9120 1642 9172
+rect 2958 9120 2964 9172
+rect 3016 9160 3022 9172
+rect 3329 9163 3387 9169
+rect 3329 9160 3341 9163
+rect 3016 9132 3341 9160
+rect 3016 9120 3022 9132
+rect 3329 9129 3341 9132
+rect 3375 9129 3387 9163
+rect 3329 9123 3387 9129
+rect 6546 9120 6552 9172
+rect 6604 9160 6610 9172
+rect 6641 9163 6699 9169
+rect 6641 9160 6653 9163
+rect 6604 9132 6653 9160
+rect 6604 9120 6610 9132
+rect 6641 9129 6653 9132
+rect 6687 9129 6699 9163
+rect 7098 9160 7104 9172
+rect 6641 9123 6699 9129
+rect 6840 9132 7104 9160
+rect 3510 9092 3516 9104
+rect 1964 9064 3516 9092
+rect 1964 8965 1992 9064
+rect 3510 9052 3516 9064
+rect 3568 9052 3574 9104
+rect 5718 9052 5724 9104
+rect 5776 9092 5782 9104
+rect 6840 9092 6868 9132
+rect 7098 9120 7104 9132
+rect 7156 9160 7162 9172
+rect 7285 9163 7343 9169
+rect 7285 9160 7297 9163
+rect 7156 9132 7297 9160
+rect 7156 9120 7162 9132
+rect 7285 9129 7297 9132
+rect 7331 9129 7343 9163
+rect 7285 9123 7343 9129
+rect 8018 9120 8024 9172
+rect 8076 9160 8082 9172
+rect 8205 9163 8263 9169
+rect 8205 9160 8217 9163
+rect 8076 9132 8217 9160
+rect 8076 9120 8082 9132
+rect 8205 9129 8217 9132
+rect 8251 9129 8263 9163
+rect 8205 9123 8263 9129
+rect 8386 9120 8392 9172
+rect 8444 9160 8450 9172
+rect 8938 9160 8944 9172
+rect 8444 9132 8944 9160
+rect 8444 9120 8450 9132
+rect 8938 9120 8944 9132
+rect 8996 9120 9002 9172
rect 9122 9160 9128 9172
rect 9083 9132 9128 9160
-rect 8389 9123 8447 9129
rect 9122 9120 9128 9132
-rect 9180 9120 9186 9172
-rect 9674 9120 9680 9172
-rect 9732 9160 9738 9172
-rect 10686 9160 10692 9172
-rect 9732 9132 10692 9160
-rect 9732 9120 9738 9132
-rect 10686 9120 10692 9132
-rect 10744 9120 10750 9172
-rect 11146 9120 11152 9172
-rect 11204 9160 11210 9172
-rect 11241 9163 11299 9169
-rect 11241 9160 11253 9163
-rect 11204 9132 11253 9160
-rect 11204 9120 11210 9132
-rect 11241 9129 11253 9132
-rect 11287 9129 11299 9163
-rect 11241 9123 11299 9129
-rect 2869 9095 2927 9101
-rect 2869 9061 2881 9095
-rect 2915 9092 2927 9095
-rect 2958 9092 2964 9104
-rect 2915 9064 2964 9092
-rect 2915 9061 2927 9064
-rect 2869 9055 2927 9061
-rect 2958 9052 2964 9064
-rect 3016 9052 3022 9104
-rect 3326 9092 3332 9104
-rect 3287 9064 3332 9092
-rect 3326 9052 3332 9064
-rect 3384 9052 3390 9104
-rect 3878 9092 3884 9104
-rect 3839 9064 3884 9092
-rect 3878 9052 3884 9064
-rect 3936 9052 3942 9104
-rect 6553 9095 6611 9101
-rect 6553 9061 6565 9095
-rect 6599 9092 6611 9095
-rect 7006 9092 7012 9104
-rect 6599 9064 7012 9092
-rect 6599 9061 6611 9064
-rect 6553 9055 6611 9061
-rect 7006 9052 7012 9064
-rect 7064 9052 7070 9104
-rect 7282 9092 7288 9104
-rect 7243 9064 7288 9092
-rect 7282 9052 7288 9064
-rect 7340 9052 7346 9104
-rect 7929 9095 7987 9101
-rect 7929 9061 7941 9095
-rect 7975 9092 7987 9095
-rect 8110 9092 8116 9104
-rect 7975 9064 8116 9092
-rect 7975 9061 7987 9064
-rect 7929 9055 7987 9061
-rect 8110 9052 8116 9064
-rect 8168 9052 8174 9104
-rect 10226 9092 10232 9104
-rect 10187 9064 10232 9092
-rect 10226 9052 10232 9064
-rect 10284 9052 10290 9104
-rect 10778 9092 10784 9104
-rect 10704 9064 10784 9092
-rect 3786 9024 3792 9036
-rect 3747 8996 3792 9024
-rect 3786 8984 3792 8996
-rect 3844 8984 3850 9036
-rect 4341 9027 4399 9033
-rect 4341 8993 4353 9027
-rect 4387 9024 4399 9027
-rect 4614 9024 4620 9036
-rect 4387 8996 4620 9024
-rect 4387 8993 4399 8996
-rect 4341 8987 4399 8993
-rect 4614 8984 4620 8996
-rect 4672 8984 4678 9036
-rect 5534 8984 5540 9036
-rect 5592 9024 5598 9036
-rect 9950 9024 9956 9036
-rect 5592 8996 9956 9024
-rect 5592 8984 5598 8996
-rect 9950 8984 9956 8996
-rect 10008 8984 10014 9036
-rect 1903 8928 2774 8956
+rect 9180 9160 9186 9172
+rect 9674 9160 9680 9172
+rect 9180 9132 9680 9160
+rect 9180 9120 9186 9132
+rect 9674 9120 9680 9132
+rect 9732 9120 9738 9172
+rect 9950 9120 9956 9172
+rect 10008 9160 10014 9172
+rect 10873 9163 10931 9169
+rect 10873 9160 10885 9163
+rect 10008 9132 10885 9160
+rect 10008 9120 10014 9132
+rect 10873 9129 10885 9132
+rect 10919 9129 10931 9163
+rect 10873 9123 10931 9129
+rect 11149 9163 11207 9169
+rect 11149 9129 11161 9163
+rect 11195 9160 11207 9163
+rect 11238 9160 11244 9172
+rect 11195 9132 11244 9160
+rect 11195 9129 11207 9132
+rect 11149 9123 11207 9129
+rect 11238 9120 11244 9132
+rect 11296 9160 11302 9172
+rect 12066 9160 12072 9172
+rect 11296 9132 11744 9160
+rect 12027 9132 12072 9160
+rect 11296 9120 11302 9132
+rect 8662 9092 8668 9104
+rect 5776 9064 6868 9092
+rect 6932 9064 8668 9092
+rect 5776 9052 5782 9064
+rect 6454 9024 6460 9036
+rect 2746 8996 3924 9024
+rect 1949 8959 2007 8965
+rect 1949 8925 1961 8959
+rect 1995 8925 2007 8959
+rect 1949 8919 2007 8925
+rect 2317 8959 2375 8965
+rect 2317 8925 2329 8959
+rect 2363 8956 2375 8959
+rect 2590 8956 2596 8968
+rect 2363 8928 2596 8956
+rect 2363 8925 2375 8928
+rect 2317 8919 2375 8925
+rect 2590 8916 2596 8928
+rect 2648 8916 2654 8968
+rect 1762 8820 1768 8832
+rect 1723 8792 1768 8820
+rect 1762 8780 1768 8792
+rect 1820 8780 1826 8832
+rect 2130 8820 2136 8832
+rect 2091 8792 2136 8820
+rect 2130 8780 2136 8792
+rect 2188 8820 2194 8832
+rect 2746 8820 2774 8996
+rect 2866 8916 2872 8968
+rect 2924 8956 2930 8968
rect 2961 8959 3019 8965
-rect 1903 8925 1915 8928
-rect 1857 8919 1915 8925
-rect 2961 8925 2973 8959
-rect 3007 8956 3019 8959
+rect 2961 8956 2973 8959
+rect 2924 8928 2973 8956
+rect 2924 8916 2930 8928
+rect 2961 8925 2973 8928
+rect 3007 8925 3019 8959
rect 3234 8956 3240 8968
-rect 3007 8928 3240 8956
-rect 3007 8925 3019 8928
+rect 3195 8928 3240 8956
rect 2961 8919 3019 8925
rect 3234 8916 3240 8928
-rect 3292 8916 3298 8968
-rect 3602 8956 3608 8968
-rect 3515 8928 3608 8956
-rect 3602 8916 3608 8928
-rect 3660 8956 3666 8968
-rect 4433 8959 4491 8965
-rect 4433 8956 4445 8959
-rect 3660 8928 4445 8956
-rect 3660 8916 3666 8928
-rect 4433 8925 4445 8928
-rect 4479 8925 4491 8959
-rect 4433 8919 4491 8925
-rect 6457 8959 6515 8965
-rect 6457 8925 6469 8959
-rect 6503 8956 6515 8959
+rect 3292 8956 3298 8968
+rect 3896 8965 3924 8996
+rect 4080 8996 5396 9024
+rect 4080 8965 4108 8996
+rect 3513 8959 3571 8965
+rect 3513 8956 3525 8959
+rect 3292 8928 3525 8956
+rect 3292 8916 3298 8928
+rect 3513 8925 3525 8928
+rect 3559 8925 3571 8959
+rect 3513 8919 3571 8925
+rect 3881 8959 3939 8965
+rect 3881 8925 3893 8959
+rect 3927 8925 3939 8959
+rect 3881 8919 3939 8925
+rect 4065 8959 4123 8965
+rect 4065 8925 4077 8959
+rect 4111 8925 4123 8959
+rect 4065 8919 4123 8925
+rect 4525 8959 4583 8965
+rect 4525 8925 4537 8959
+rect 4571 8956 4583 8959
+rect 4614 8956 4620 8968
+rect 4571 8928 4620 8956
+rect 4571 8925 4583 8928
+rect 4525 8919 4583 8925
+rect 4614 8916 4620 8928
+rect 4672 8916 4678 8968
+rect 4985 8959 5043 8965
+rect 4985 8925 4997 8959
+rect 5031 8956 5043 8959
+rect 5258 8956 5264 8968
+rect 5031 8928 5264 8956
+rect 5031 8925 5043 8928
+rect 4985 8919 5043 8925
+rect 5258 8916 5264 8928
+rect 5316 8916 5322 8968
+rect 3789 8891 3847 8897
+rect 3789 8857 3801 8891
+rect 3835 8888 3847 8891
+rect 3970 8888 3976 8900
+rect 3835 8860 3976 8888
+rect 3835 8857 3847 8860
+rect 3789 8851 3847 8857
+rect 3970 8848 3976 8860
+rect 4028 8848 4034 8900
+rect 4246 8888 4252 8900
+rect 4207 8860 4252 8888
+rect 4246 8848 4252 8860
+rect 4304 8848 4310 8900
+rect 4709 8891 4767 8897
+rect 4709 8857 4721 8891
+rect 4755 8888 4767 8891
+rect 5166 8888 5172 8900
+rect 4755 8860 5172 8888
+rect 4755 8857 4767 8860
+rect 4709 8851 4767 8857
+rect 5166 8848 5172 8860
+rect 5224 8848 5230 8900
+rect 5368 8888 5396 8996
+rect 6104 8996 6460 9024
+rect 5629 8959 5687 8965
+rect 5629 8925 5641 8959
+rect 5675 8956 5687 8959
+rect 5902 8956 5908 8968
+rect 5675 8928 5908 8956
+rect 5675 8925 5687 8928
+rect 5629 8919 5687 8925
+rect 5902 8916 5908 8928
+rect 5960 8916 5966 8968
+rect 6104 8965 6132 8996
+rect 6454 8984 6460 8996
+rect 6512 8984 6518 9036
+rect 6932 9024 6960 9064
+rect 6656 8996 6960 9024
+rect 6089 8959 6147 8965
+rect 6089 8925 6101 8959
+rect 6135 8925 6147 8959
+rect 6089 8919 6147 8925
+rect 6273 8959 6331 8965
+rect 6273 8925 6285 8959
+rect 6319 8956 6331 8959
+rect 6546 8956 6552 8968
+rect 6319 8928 6552 8956
+rect 6319 8925 6331 8928
+rect 6273 8919 6331 8925
+rect 6546 8916 6552 8928
+rect 6604 8916 6610 8968
+rect 6656 8965 6684 8996
+rect 7006 8984 7012 9036
+rect 7064 9024 7070 9036
+rect 8021 9027 8079 9033
+rect 8021 9024 8033 9027
+rect 7064 8996 8033 9024
+rect 7064 8984 7070 8996
+rect 8021 8993 8033 8996
+rect 8067 8993 8079 9027
+rect 8021 8987 8079 8993
rect 6641 8959 6699 8965
-rect 6503 8928 6596 8956
-rect 6503 8925 6515 8928
-rect 6457 8919 6515 8925
-rect 3142 8888 3148 8900
-rect 3103 8860 3148 8888
-rect 3142 8848 3148 8860
-rect 3200 8848 3206 8900
-rect 4617 8891 4675 8897
-rect 4617 8857 4629 8891
-rect 4663 8888 4675 8891
-rect 5350 8888 5356 8900
-rect 4663 8860 5356 8888
-rect 4663 8857 4675 8860
-rect 4617 8851 4675 8857
-rect 5350 8848 5356 8860
-rect 5408 8848 5414 8900
-rect 3418 8820 3424 8832
-rect 3379 8792 3424 8820
-rect 3418 8780 3424 8792
-rect 3476 8780 3482 8832
-rect 4798 8820 4804 8832
-rect 4759 8792 4804 8820
-rect 4798 8780 4804 8792
-rect 4856 8780 4862 8832
-rect 6568 8820 6596 8928
rect 6641 8925 6653 8959
rect 6687 8925 6699 8959
rect 6641 8919 6699 8925
-rect 6656 8888 6684 8919
-rect 6730 8916 6736 8968
-rect 6788 8956 6794 8968
-rect 6788 8928 6833 8956
-rect 6788 8916 6794 8928
-rect 7190 8916 7196 8968
-rect 7248 8956 7254 8968
-rect 7469 8959 7527 8965
-rect 7469 8956 7481 8959
-rect 7248 8928 7481 8956
-rect 7248 8916 7254 8928
-rect 7469 8925 7481 8928
-rect 7515 8925 7527 8959
-rect 7469 8919 7527 8925
-rect 9125 8959 9183 8965
-rect 9125 8925 9137 8959
-rect 9171 8925 9183 8959
-rect 9125 8919 9183 8925
-rect 7834 8888 7840 8900
-rect 6656 8860 7840 8888
-rect 7834 8848 7840 8860
-rect 7892 8848 7898 8900
-rect 8018 8848 8024 8900
-rect 8076 8888 8082 8900
-rect 8113 8891 8171 8897
-rect 8113 8888 8125 8891
-rect 8076 8860 8125 8888
-rect 8076 8848 8082 8860
-rect 8113 8857 8125 8860
-rect 8159 8857 8171 8891
-rect 8113 8851 8171 8857
-rect 8297 8891 8355 8897
-rect 8297 8857 8309 8891
-rect 8343 8888 8355 8891
-rect 9030 8888 9036 8900
-rect 8343 8860 9036 8888
-rect 8343 8857 8355 8860
-rect 8297 8851 8355 8857
-rect 9030 8848 9036 8860
-rect 9088 8848 9094 8900
-rect 9140 8888 9168 8919
-rect 9214 8916 9220 8968
-rect 9272 8956 9278 8968
-rect 10137 8959 10195 8965
-rect 10137 8956 10149 8959
-rect 9272 8928 9317 8956
-rect 9692 8928 10149 8956
-rect 9272 8916 9278 8928
-rect 9692 8897 9720 8928
-rect 10137 8925 10149 8928
-rect 10183 8925 10195 8959
-rect 10137 8919 10195 8925
-rect 9677 8891 9735 8897
-rect 9677 8888 9689 8891
-rect 9140 8860 9689 8888
-rect 9677 8857 9689 8860
-rect 9723 8857 9735 8891
-rect 9858 8888 9864 8900
-rect 9819 8860 9864 8888
-rect 9677 8851 9735 8857
-rect 9858 8848 9864 8860
-rect 9916 8848 9922 8900
-rect 10042 8888 10048 8900
-rect 10003 8860 10048 8888
-rect 10042 8848 10048 8860
-rect 10100 8848 10106 8900
-rect 10704 8897 10732 9064
-rect 10778 9052 10784 9064
-rect 10836 9052 10842 9104
-rect 12802 9092 12808 9104
-rect 12452 9064 12808 9092
-rect 12452 9033 12480 9064
-rect 12802 9052 12808 9064
-rect 12860 9052 12866 9104
-rect 12437 9027 12495 9033
-rect 12437 9024 12449 9027
-rect 11716 8996 12449 9024
-rect 11716 8965 11744 8996
-rect 12437 8993 12449 8996
-rect 12483 8993 12495 9027
-rect 12437 8987 12495 8993
-rect 12529 9027 12587 9033
-rect 12529 8993 12541 9027
-rect 12575 9024 12587 9027
-rect 13262 9024 13268 9036
-rect 12575 8996 13268 9024
-rect 12575 8993 12587 8996
-rect 12529 8987 12587 8993
-rect 13262 8984 13268 8996
-rect 13320 8984 13326 9036
-rect 11701 8959 11759 8965
-rect 11701 8925 11713 8959
-rect 11747 8925 11759 8959
-rect 11974 8956 11980 8968
-rect 11935 8928 11980 8956
-rect 11701 8919 11759 8925
-rect 11974 8916 11980 8928
-rect 12032 8916 12038 8968
-rect 12618 8956 12624 8968
-rect 12579 8928 12624 8956
-rect 12618 8916 12624 8928
-rect 12676 8916 12682 8968
-rect 13354 8956 13360 8968
-rect 13315 8928 13360 8956
-rect 13354 8916 13360 8928
-rect 13412 8916 13418 8968
-rect 10689 8891 10747 8897
-rect 10689 8857 10701 8891
-rect 10735 8857 10747 8891
-rect 10863 8891 10921 8897
-rect 10863 8888 10875 8891
-rect 10689 8851 10747 8857
-rect 10796 8860 10875 8888
-rect 7098 8820 7104 8832
-rect 6568 8792 7104 8820
-rect 7098 8780 7104 8792
-rect 7156 8780 7162 8832
-rect 7558 8820 7564 8832
-rect 7519 8792 7564 8820
-rect 7558 8780 7564 8792
-rect 7616 8780 7622 8832
-rect 7653 8823 7711 8829
-rect 7653 8789 7665 8823
-rect 7699 8820 7711 8823
-rect 8036 8820 8064 8848
-rect 7699 8792 8064 8820
-rect 9493 8823 9551 8829
-rect 7699 8789 7711 8792
-rect 7653 8783 7711 8789
-rect 9493 8789 9505 8823
-rect 9539 8820 9551 8823
-rect 9766 8820 9772 8832
-rect 9539 8792 9772 8820
-rect 9539 8789 9551 8792
-rect 9493 8783 9551 8789
-rect 9766 8780 9772 8792
-rect 9824 8780 9830 8832
-rect 10502 8820 10508 8832
-rect 10463 8792 10508 8820
-rect 10502 8780 10508 8792
-rect 10560 8780 10566 8832
-rect 10594 8780 10600 8832
-rect 10652 8820 10658 8832
+rect 6917 8959 6975 8965
+rect 6917 8925 6929 8959
+rect 6963 8925 6975 8959
+rect 7098 8956 7104 8968
+rect 7059 8928 7104 8956
+rect 6917 8919 6975 8925
+rect 6822 8888 6828 8900
+rect 5368 8860 6828 8888
+rect 6822 8848 6828 8860
+rect 6880 8848 6886 8900
+rect 6937 8888 6965 8919
+rect 7098 8916 7104 8928
+rect 7156 8916 7162 8968
+rect 7834 8956 7840 8968
+rect 7795 8928 7840 8956
+rect 7834 8916 7840 8928
+rect 7892 8916 7898 8968
+rect 8113 8959 8171 8965
+rect 8113 8925 8125 8959
+rect 8159 8956 8171 8959
+rect 8202 8956 8208 8968
+rect 8159 8928 8208 8956
+rect 8159 8925 8171 8928
+rect 8113 8919 8171 8925
+rect 8202 8916 8208 8928
+rect 8260 8916 8266 8968
+rect 6937 8860 7144 8888
+rect 2188 8792 2774 8820
+rect 4617 8823 4675 8829
+rect 2188 8780 2194 8792
+rect 4617 8789 4629 8823
+rect 4663 8820 4675 8823
+rect 5442 8820 5448 8832
+rect 4663 8792 5448 8820
+rect 4663 8789 4675 8792
+rect 4617 8783 4675 8789
+rect 5442 8780 5448 8792
+rect 5500 8780 5506 8832
+rect 5718 8820 5724 8832
+rect 5679 8792 5724 8820
+rect 5718 8780 5724 8792
+rect 5776 8780 5782 8832
+rect 6181 8823 6239 8829
+rect 6181 8789 6193 8823
+rect 6227 8820 6239 8823
+rect 7116 8820 7144 8860
+rect 7558 8848 7564 8900
+rect 7616 8888 7622 8900
+rect 7653 8891 7711 8897
+rect 7653 8888 7665 8891
+rect 7616 8860 7665 8888
+rect 7616 8848 7622 8860
+rect 7653 8857 7665 8860
+rect 7699 8857 7711 8891
+rect 8496 8888 8524 9064
+rect 8662 9052 8668 9064
+rect 8720 9052 8726 9104
+rect 8846 9052 8852 9104
+rect 8904 9092 8910 9104
+rect 9398 9092 9404 9104
+rect 8904 9064 9404 9092
+rect 8904 9052 8910 9064
+rect 9398 9052 9404 9064
+rect 9456 9052 9462 9104
+rect 9766 9092 9772 9104
+rect 9679 9064 9772 9092
+rect 9766 9052 9772 9064
+rect 9824 9092 9830 9104
+rect 11606 9092 11612 9104
+rect 9824 9064 11612 9092
+rect 9824 9052 9830 9064
+rect 11606 9052 11612 9064
+rect 11664 9052 11670 9104
+rect 9030 9024 9036 9036
+rect 8991 8996 9036 9024
+rect 9030 8984 9036 8996
+rect 9088 8984 9094 9036
+rect 9306 8984 9312 9036
+rect 9364 9024 9370 9036
+rect 9582 9024 9588 9036
+rect 9364 8996 9588 9024
+rect 9364 8984 9370 8996
+rect 9582 8984 9588 8996
+rect 9640 8984 9646 9036
+rect 8570 8916 8576 8968
+rect 8628 8956 8634 8968
+rect 8754 8956 8760 8968
+rect 8628 8928 8760 8956
+rect 8628 8916 8634 8928
+rect 8754 8916 8760 8928
+rect 8812 8956 8818 8968
+rect 9784 8965 9812 9052
+rect 10042 8984 10048 9036
+rect 10100 9024 10106 9036
+rect 10321 9027 10379 9033
+rect 10321 9024 10333 9027
+rect 10100 8996 10333 9024
+rect 10100 8984 10106 8996
+rect 10321 8993 10333 8996
+rect 10367 8993 10379 9027
+rect 10321 8987 10379 8993
+rect 10870 8984 10876 9036
+rect 10928 9024 10934 9036
+rect 10928 8996 11284 9024
+rect 10928 8984 10934 8996
+rect 9217 8959 9275 8965
+rect 9217 8956 9229 8959
+rect 8812 8928 9229 8956
+rect 8812 8916 8818 8928
+rect 9217 8925 9229 8928
+rect 9263 8925 9275 8959
+rect 9217 8919 9275 8925
+rect 9769 8959 9827 8965
+rect 9769 8925 9781 8959
+rect 9815 8925 9827 8959
+rect 9769 8919 9827 8925
+rect 9953 8959 10011 8965
+rect 9953 8925 9965 8959
+rect 9999 8925 10011 8959
+rect 10134 8956 10140 8968
+rect 10095 8928 10140 8956
+rect 9953 8919 10011 8925
+rect 8941 8891 8999 8897
+rect 8941 8888 8953 8891
+rect 8496 8860 8953 8888
+rect 7653 8851 7711 8857
+rect 8941 8857 8953 8860
+rect 8987 8888 8999 8891
+rect 9861 8891 9919 8897
+rect 9861 8888 9873 8891
+rect 8987 8860 9873 8888
+rect 8987 8857 8999 8860
+rect 8941 8851 8999 8857
+rect 9861 8857 9873 8860
+rect 9907 8857 9919 8891
+rect 9968 8888 9996 8919
+rect 10134 8916 10140 8928
+rect 10192 8956 10198 8968
+rect 11256 8965 11284 8996
+rect 10689 8959 10747 8965
+rect 10689 8956 10701 8959
+rect 10192 8928 10701 8956
+rect 10192 8916 10198 8928
+rect 10689 8925 10701 8928
+rect 10735 8956 10747 8959
+rect 10965 8959 11023 8965
+rect 10965 8956 10977 8959
+rect 10735 8928 10977 8956
+rect 10735 8925 10747 8928
+rect 10689 8919 10747 8925
+rect 10965 8925 10977 8928
+rect 11011 8925 11023 8959
+rect 10965 8919 11023 8925
+rect 11241 8959 11299 8965
+rect 11241 8925 11253 8959
+rect 11287 8925 11299 8959
+rect 11241 8919 11299 8925
+rect 11330 8916 11336 8968
+rect 11388 8956 11394 8968
+rect 11614 8959 11672 8965
+rect 11614 8956 11626 8959
+rect 11388 8928 11626 8956
+rect 11388 8916 11394 8928
+rect 11614 8925 11626 8928
+rect 11660 8925 11672 8959
+rect 11614 8919 11672 8925
+rect 10226 8888 10232 8900
+rect 9968 8860 10232 8888
+rect 9861 8851 9919 8857
+rect 10226 8848 10232 8860
+rect 10284 8888 10290 8900
+rect 10505 8891 10563 8897
+rect 10505 8888 10517 8891
+rect 10284 8860 10517 8888
+rect 10284 8848 10290 8860
+rect 10505 8857 10517 8860
+rect 10551 8888 10563 8891
+rect 10551 8860 10824 8888
+rect 10551 8857 10563 8860
+rect 10505 8851 10563 8857
+rect 9122 8820 9128 8832
+rect 6227 8792 9128 8820
+rect 6227 8789 6239 8792
+rect 6181 8783 6239 8789
+rect 9122 8780 9128 8792
+rect 9180 8780 9186 8832
+rect 9401 8823 9459 8829
+rect 9401 8789 9413 8823
+rect 9447 8820 9459 8823
+rect 10686 8820 10692 8832
+rect 9447 8792 10692 8820
+rect 9447 8789 9459 8792
+rect 9401 8783 9459 8789
+rect 10686 8780 10692 8792
+rect 10744 8780 10750 8832
rect 10796 8820 10824 8860
-rect 10863 8857 10875 8860
-rect 10909 8857 10921 8891
-rect 10863 8851 10921 8857
-rect 10966 8891 11024 8897
-rect 10966 8857 10978 8891
-rect 11012 8888 11024 8891
-rect 11149 8891 11207 8897
-rect 11012 8860 11100 8888
-rect 11012 8857 11024 8860
-rect 10966 8851 11024 8857
-rect 11072 8832 11100 8860
-rect 11149 8857 11161 8891
-rect 11195 8888 11207 8891
-rect 11514 8888 11520 8900
-rect 11195 8860 11520 8888
-rect 11195 8857 11207 8860
-rect 11149 8851 11207 8857
-rect 11514 8848 11520 8860
-rect 11572 8848 11578 8900
-rect 12710 8848 12716 8900
-rect 12768 8888 12774 8900
-rect 13170 8888 13176 8900
-rect 12768 8860 13176 8888
-rect 12768 8848 12774 8860
-rect 13170 8848 13176 8860
-rect 13228 8888 13234 8900
-rect 13541 8891 13599 8897
-rect 13541 8888 13553 8891
-rect 13228 8860 13553 8888
-rect 13228 8848 13234 8860
-rect 13541 8857 13553 8860
-rect 13587 8857 13599 8891
-rect 13541 8851 13599 8857
-rect 10652 8792 10824 8820
-rect 10652 8780 10658 8792
-rect 11054 8780 11060 8832
-rect 11112 8780 11118 8832
-rect 11790 8820 11796 8832
-rect 11751 8792 11796 8820
-rect 11790 8780 11796 8792
-rect 11848 8780 11854 8832
+rect 10870 8848 10876 8900
+rect 10928 8888 10934 8900
+rect 11425 8891 11483 8897
+rect 11425 8888 11437 8891
+rect 10928 8860 11437 8888
+rect 10928 8848 10934 8860
+rect 11425 8857 11437 8860
+rect 11471 8857 11483 8891
+rect 11425 8851 11483 8857
+rect 11517 8891 11575 8897
+rect 11517 8857 11529 8891
+rect 11563 8888 11575 8891
+rect 11716 8888 11744 9132
+rect 12066 9120 12072 9132
+rect 12124 9120 12130 9172
+rect 11793 9095 11851 9101
+rect 11793 9061 11805 9095
+rect 11839 9092 11851 9095
+rect 12342 9092 12348 9104
+rect 11839 9064 12348 9092
+rect 11839 9061 11851 9064
+rect 11793 9055 11851 9061
+rect 12342 9052 12348 9064
+rect 12400 9092 12406 9104
+rect 12400 9064 12664 9092
+rect 12400 9052 12406 9064
+rect 12636 9033 12664 9064
+rect 12621 9027 12679 9033
+rect 12621 8993 12633 9027
+rect 12667 8993 12679 9027
+rect 12621 8987 12679 8993
+rect 11882 8916 11888 8968
+rect 11940 8956 11946 8968
+rect 12253 8959 12311 8965
+rect 12253 8956 12265 8959
+rect 11940 8928 12265 8956
+rect 11940 8916 11946 8928
+rect 12253 8925 12265 8928
+rect 12299 8925 12311 8959
+rect 12253 8919 12311 8925
+rect 13262 8916 13268 8968
+rect 13320 8956 13326 8968
+rect 13449 8959 13507 8965
+rect 13449 8956 13461 8959
+rect 13320 8928 13461 8956
+rect 13320 8916 13326 8928
+rect 13449 8925 13461 8928
+rect 13495 8925 13507 8959
+rect 13449 8919 13507 8925
+rect 12437 8891 12495 8897
+rect 12437 8888 12449 8891
+rect 11563 8860 12449 8888
+rect 11563 8857 11575 8860
+rect 11517 8851 11575 8857
+rect 12437 8857 12449 8860
+rect 12483 8857 12495 8891
+rect 12437 8851 12495 8857
+rect 12529 8891 12587 8897
+rect 12529 8857 12541 8891
+rect 12575 8888 12587 8891
+rect 12894 8888 12900 8900
+rect 12575 8860 12900 8888
+rect 12575 8857 12587 8860
+rect 12529 8851 12587 8857
+rect 12894 8848 12900 8860
+rect 12952 8848 12958 8900
+rect 13078 8888 13084 8900
+rect 13039 8860 13084 8888
+rect 13078 8848 13084 8860
+rect 13136 8848 13142 8900
+rect 13173 8891 13231 8897
+rect 13173 8857 13185 8891
+rect 13219 8857 13231 8891
+rect 13173 8851 13231 8857
+rect 11330 8820 11336 8832
+rect 10796 8792 11336 8820
+rect 11330 8780 11336 8792
+rect 11388 8780 11394 8832
+rect 13188 8820 13216 8851
+rect 13265 8823 13323 8829
+rect 13265 8820 13277 8823
+rect 13188 8792 13277 8820
+rect 13265 8789 13277 8792
+rect 13311 8789 13323 8823
+rect 13265 8783 13323 8789
rect 1104 8730 13892 8752
rect 1104 8678 8214 8730
rect 8266 8678 8278 8730
@@ -4469,333 +5548,313 @@ rect 8394 8678 8406 8730
rect 8458 8678 8470 8730
rect 8522 8678 13892 8730
rect 1104 8656 13892 8678
-rect 1489 8619 1547 8625
-rect 1489 8585 1501 8619
-rect 1535 8616 1547 8619
-rect 1762 8616 1768 8628
-rect 1535 8588 1768 8616
-rect 1535 8585 1547 8588
-rect 1489 8579 1547 8585
-rect 1762 8576 1768 8588
-rect 1820 8576 1826 8628
-rect 7285 8619 7343 8625
-rect 7285 8585 7297 8619
-rect 7331 8616 7343 8619
-rect 7374 8616 7380 8628
-rect 7331 8588 7380 8616
-rect 7331 8585 7343 8588
-rect 7285 8579 7343 8585
-rect 7374 8576 7380 8588
-rect 7432 8576 7438 8628
+rect 5534 8576 5540 8628
+rect 5592 8616 5598 8628
+rect 6546 8616 6552 8628
+rect 5592 8588 6552 8616
+rect 5592 8576 5598 8588
+rect 6546 8576 6552 8588
+rect 6604 8616 6610 8628
+rect 6604 8588 7052 8616
+rect 6604 8576 6610 8588
+rect 4982 8508 4988 8560
+rect 5040 8548 5046 8560
+rect 5169 8551 5227 8557
+rect 5169 8548 5181 8551
+rect 5040 8520 5181 8548
+rect 5040 8508 5046 8520
+rect 5169 8517 5181 8520
+rect 5215 8517 5227 8551
+rect 5442 8548 5448 8560
+rect 5403 8520 5448 8548
+rect 5169 8511 5227 8517
+rect 5442 8508 5448 8520
+rect 5500 8508 5506 8560
+rect 6365 8551 6423 8557
+rect 6365 8517 6377 8551
+rect 6411 8548 6423 8551
+rect 6822 8548 6828 8560
+rect 6411 8520 6828 8548
+rect 6411 8517 6423 8520
+rect 6365 8511 6423 8517
+rect 6822 8508 6828 8520
+rect 6880 8508 6886 8560
+rect 7024 8548 7052 8588
rect 7558 8576 7564 8628
rect 7616 8616 7622 8628
-rect 7929 8619 7987 8625
-rect 7929 8616 7941 8619
-rect 7616 8588 7941 8616
+rect 9306 8616 9312 8628
+rect 7616 8588 9312 8616
rect 7616 8576 7622 8588
-rect 7929 8585 7941 8588
-rect 7975 8585 7987 8619
-rect 7929 8579 7987 8585
-rect 3142 8548 3148 8560
-rect 3103 8520 3148 8548
-rect 3142 8508 3148 8520
-rect 3200 8508 3206 8560
-rect 3237 8551 3295 8557
-rect 3237 8517 3249 8551
-rect 3283 8548 3295 8551
-rect 3602 8548 3608 8560
-rect 3283 8520 3608 8548
-rect 3283 8517 3295 8520
-rect 3237 8511 3295 8517
-rect 1578 8480 1584 8492
-rect 1539 8452 1584 8480
-rect 1578 8440 1584 8452
-rect 1636 8440 1642 8492
-rect 3053 8483 3111 8489
-rect 3053 8449 3065 8483
-rect 3099 8480 3111 8483
-rect 3252 8480 3280 8511
-rect 3602 8508 3608 8520
-rect 3660 8508 3666 8560
-rect 7944 8492 7972 8579
-rect 8018 8576 8024 8628
-rect 8076 8616 8082 8628
-rect 8849 8619 8907 8625
-rect 8076 8588 8524 8616
-rect 8076 8576 8082 8588
-rect 8202 8548 8208 8560
-rect 8163 8520 8208 8548
-rect 8202 8508 8208 8520
-rect 8260 8508 8266 8560
-rect 8496 8557 8524 8588
-rect 8849 8585 8861 8619
-rect 8895 8616 8907 8619
-rect 9122 8616 9128 8628
-rect 8895 8588 9128 8616
-rect 8895 8585 8907 8588
-rect 8849 8579 8907 8585
-rect 9122 8576 9128 8588
-rect 9180 8576 9186 8628
-rect 10042 8576 10048 8628
-rect 10100 8616 10106 8628
-rect 11054 8616 11060 8628
-rect 10100 8588 11060 8616
-rect 10100 8576 10106 8588
-rect 11054 8576 11060 8588
-rect 11112 8576 11118 8628
-rect 8481 8551 8539 8557
-rect 8481 8517 8493 8551
-rect 8527 8517 8539 8551
-rect 8481 8511 8539 8517
-rect 8665 8551 8723 8557
-rect 8665 8517 8677 8551
-rect 8711 8548 8723 8551
-rect 8938 8548 8944 8560
-rect 8711 8520 8944 8548
-rect 8711 8517 8723 8520
-rect 8665 8511 8723 8517
-rect 8938 8508 8944 8520
-rect 8996 8548 9002 8560
-rect 8996 8520 9720 8548
-rect 8996 8508 9002 8520
-rect 9692 8492 9720 8520
-rect 9858 8508 9864 8560
-rect 9916 8508 9922 8560
-rect 10410 8548 10416 8560
-rect 10060 8520 10416 8548
-rect 3099 8452 3280 8480
-rect 3099 8449 3111 8452
-rect 3053 8443 3111 8449
-rect 3326 8440 3332 8492
-rect 3384 8480 3390 8492
-rect 3421 8483 3479 8489
-rect 3421 8480 3433 8483
-rect 3384 8452 3433 8480
-rect 3384 8440 3390 8452
-rect 3421 8449 3433 8452
-rect 3467 8449 3479 8483
-rect 3421 8443 3479 8449
-rect 3510 8440 3516 8492
-rect 3568 8480 3574 8492
-rect 4169 8483 4227 8489
-rect 4169 8480 4181 8483
-rect 3568 8452 4181 8480
-rect 3568 8440 3574 8452
-rect 4169 8449 4181 8452
-rect 4215 8480 4227 8483
-rect 4433 8483 4491 8489
-rect 4215 8452 4384 8480
-rect 4215 8449 4227 8452
-rect 4169 8443 4227 8449
-rect 4356 8412 4384 8452
-rect 4433 8449 4445 8483
-rect 4479 8480 4491 8483
-rect 4614 8480 4620 8492
-rect 4479 8452 4620 8480
-rect 4479 8449 4491 8452
-rect 4433 8443 4491 8449
-rect 4614 8440 4620 8452
-rect 4672 8440 4678 8492
-rect 5902 8480 5908 8492
-rect 5863 8452 5908 8480
-rect 5902 8440 5908 8452
-rect 5960 8440 5966 8492
+rect 9306 8576 9312 8588
+rect 9364 8576 9370 8628
+rect 9490 8616 9496 8628
+rect 9451 8588 9496 8616
+rect 9490 8576 9496 8588
+rect 9548 8576 9554 8628
+rect 9582 8576 9588 8628
+rect 9640 8616 9646 8628
+rect 9640 8588 11100 8616
+rect 9640 8576 9646 8588
+rect 8110 8548 8116 8560
+rect 7024 8520 8116 8548
+rect 8110 8508 8116 8520
+rect 8168 8508 8174 8560
+rect 9950 8548 9956 8560
+rect 8220 8520 9956 8548
+rect 1486 8480 1492 8492
+rect 1447 8452 1492 8480
+rect 1486 8440 1492 8452
+rect 1544 8440 1550 8492
+rect 3605 8483 3663 8489
+rect 3605 8449 3617 8483
+rect 3651 8480 3663 8483
+rect 4246 8480 4252 8492
+rect 3651 8452 4252 8480
+rect 3651 8449 3663 8452
+rect 3605 8443 3663 8449
+rect 4246 8440 4252 8452
+rect 4304 8440 4310 8492
+rect 5077 8483 5135 8489
+rect 5077 8449 5089 8483
+rect 5123 8480 5135 8483
+rect 5258 8480 5264 8492
+rect 5123 8452 5264 8480
+rect 5123 8449 5135 8452
+rect 5077 8443 5135 8449
+rect 5258 8440 5264 8452
+rect 5316 8440 5322 8492
+rect 6178 8480 6184 8492
+rect 6139 8452 6184 8480
+rect 6178 8440 6184 8452
+rect 6236 8440 6242 8492
rect 6546 8480 6552 8492
rect 6507 8452 6552 8480
rect 6546 8440 6552 8452
rect 6604 8440 6610 8492
-rect 7193 8483 7251 8489
-rect 7193 8449 7205 8483
-rect 7239 8480 7251 8483
-rect 7653 8483 7711 8489
-rect 7653 8480 7665 8483
-rect 7239 8452 7665 8480
-rect 7239 8449 7251 8452
-rect 7193 8443 7251 8449
-rect 7653 8449 7665 8452
-rect 7699 8449 7711 8483
-rect 7653 8443 7711 8449
-rect 7837 8483 7895 8489
-rect 7837 8449 7849 8483
-rect 7883 8449 7895 8483
-rect 7837 8443 7895 8449
-rect 7374 8412 7380 8424
-rect 4356 8384 6868 8412
-rect 7335 8384 7380 8412
-rect 5350 8304 5356 8356
-rect 5408 8344 5414 8356
-rect 6840 8353 6868 8384
-rect 7374 8372 7380 8384
-rect 7432 8372 7438 8424
-rect 7742 8372 7748 8424
-rect 7800 8412 7806 8424
-rect 7852 8412 7880 8443
-rect 7926 8440 7932 8492
-rect 7984 8480 7990 8492
-rect 8297 8483 8355 8489
-rect 8297 8480 8309 8483
-rect 7984 8452 8309 8480
-rect 7984 8440 7990 8452
-rect 8297 8449 8309 8452
-rect 8343 8480 8355 8483
-rect 8757 8483 8815 8489
-rect 8757 8480 8769 8483
-rect 8343 8452 8769 8480
-rect 8343 8449 8355 8452
-rect 8297 8443 8355 8449
-rect 8757 8449 8769 8452
-rect 8803 8480 8815 8483
-rect 8846 8480 8852 8492
-rect 8803 8452 8852 8480
-rect 8803 8449 8815 8452
-rect 8757 8443 8815 8449
-rect 8846 8440 8852 8452
-rect 8904 8440 8910 8492
-rect 9490 8480 9496 8492
-rect 9451 8452 9496 8480
-rect 9490 8440 9496 8452
-rect 9548 8440 9554 8492
-rect 9674 8480 9680 8492
-rect 9635 8452 9680 8480
-rect 9674 8440 9680 8452
-rect 9732 8440 9738 8492
-rect 9769 8483 9827 8489
-rect 9769 8449 9781 8483
-rect 9815 8480 9827 8483
-rect 9876 8480 9904 8508
-rect 9815 8452 9904 8480
-rect 9953 8483 10011 8489
-rect 9815 8449 9827 8452
-rect 9769 8443 9827 8449
-rect 9953 8449 9965 8483
-rect 9999 8480 10011 8483
-rect 10060 8480 10088 8520
-rect 10410 8508 10416 8520
-rect 10468 8508 10474 8560
-rect 10873 8551 10931 8557
-rect 10873 8517 10885 8551
-rect 10919 8548 10931 8551
-rect 13081 8551 13139 8557
-rect 13081 8548 13093 8551
-rect 10919 8520 13093 8548
-rect 10919 8517 10931 8520
-rect 10873 8511 10931 8517
-rect 9999 8452 10088 8480
-rect 9999 8449 10011 8452
-rect 9953 8443 10011 8449
-rect 10134 8440 10140 8492
-rect 10192 8480 10198 8492
-rect 10229 8483 10287 8489
-rect 10229 8480 10241 8483
-rect 10192 8452 10241 8480
-rect 10192 8440 10198 8452
-rect 10229 8449 10241 8452
-rect 10275 8449 10287 8483
-rect 10229 8443 10287 8449
-rect 10689 8483 10747 8489
-rect 10689 8449 10701 8483
-rect 10735 8480 10747 8483
-rect 11146 8480 11152 8492
-rect 10735 8452 11152 8480
-rect 10735 8449 10747 8452
-rect 10689 8443 10747 8449
-rect 11146 8440 11152 8452
-rect 11204 8440 11210 8492
-rect 11330 8480 11336 8492
-rect 11291 8452 11336 8480
-rect 11330 8440 11336 8452
-rect 11388 8480 11394 8492
-rect 11517 8483 11575 8489
-rect 11517 8480 11529 8483
-rect 11388 8452 11529 8480
-rect 11388 8440 11394 8452
-rect 11517 8449 11529 8452
-rect 11563 8449 11575 8483
-rect 11517 8443 11575 8449
-rect 7800 8384 7880 8412
+rect 6733 8483 6791 8489
+rect 6733 8449 6745 8483
+rect 6779 8449 6791 8483
+rect 7006 8480 7012 8492
+rect 6967 8452 7012 8480
+rect 6733 8443 6791 8449
+rect 2958 8344 2964 8356
+rect 2919 8316 2964 8344
+rect 2958 8304 2964 8316
+rect 3016 8304 3022 8356
+rect 6748 8344 6776 8443
+rect 7006 8440 7012 8452
+rect 7064 8440 7070 8492
+rect 7377 8483 7435 8489
+rect 7377 8449 7389 8483
+rect 7423 8480 7435 8483
+rect 7834 8480 7840 8492
+rect 7423 8452 7840 8480
+rect 7423 8449 7435 8452
+rect 7377 8443 7435 8449
+rect 7834 8440 7840 8452
+rect 7892 8440 7898 8492
+rect 8220 8489 8248 8520
+rect 9950 8508 9956 8520
+rect 10008 8508 10014 8560
+rect 11072 8548 11100 8588
+rect 11146 8576 11152 8628
+rect 11204 8616 11210 8628
+rect 11241 8619 11299 8625
+rect 11241 8616 11253 8619
+rect 11204 8588 11253 8616
+rect 11204 8576 11210 8588
+rect 11241 8585 11253 8588
+rect 11287 8585 11299 8619
+rect 11698 8616 11704 8628
+rect 11241 8579 11299 8585
+rect 11440 8588 11704 8616
+rect 11440 8548 11468 8588
+rect 11698 8576 11704 8588
+rect 11756 8616 11762 8628
+rect 11756 8588 11928 8616
+rect 11756 8576 11762 8588
+rect 11072 8520 11468 8548
+rect 11517 8551 11575 8557
+rect 11517 8517 11529 8551
+rect 11563 8548 11575 8551
+rect 11606 8548 11612 8560
+rect 11563 8520 11612 8548
+rect 11563 8517 11575 8520
+rect 11517 8511 11575 8517
+rect 11606 8508 11612 8520
+rect 11664 8508 11670 8560
+rect 11900 8557 11928 8588
+rect 11974 8576 11980 8628
+rect 12032 8616 12038 8628
+rect 12253 8619 12311 8625
+rect 12253 8616 12265 8619
+rect 12032 8588 12265 8616
+rect 12032 8576 12038 8588
+rect 12253 8585 12265 8588
+rect 12299 8585 12311 8619
+rect 12253 8579 12311 8585
+rect 11885 8551 11943 8557
+rect 11885 8517 11897 8551
+rect 11931 8517 11943 8551
+rect 12710 8548 12716 8560
+rect 11885 8511 11943 8517
+rect 12084 8520 12716 8548
+rect 8205 8483 8263 8489
+rect 8205 8449 8217 8483
+rect 8251 8449 8263 8483
+rect 9125 8483 9183 8489
+rect 9125 8480 9137 8483
+rect 8205 8443 8263 8449
+rect 8312 8452 9137 8480
+rect 6914 8412 6920 8424
+rect 6875 8384 6920 8412
+rect 6914 8372 6920 8384
+rect 6972 8372 6978 8424
+rect 8018 8412 8024 8424
+rect 7979 8384 8024 8412
+rect 8018 8372 8024 8384
+rect 8076 8412 8082 8424
+rect 8312 8412 8340 8452
+rect 9125 8449 9137 8452
+rect 9171 8449 9183 8483
+rect 9125 8443 9183 8449
+rect 9381 8483 9439 8489
+rect 9381 8449 9393 8483
+rect 9427 8480 9439 8483
+rect 9427 8452 9807 8480
+rect 9427 8449 9439 8452
+rect 9381 8443 9439 8449
+rect 8076 8384 8340 8412
+rect 8849 8415 8907 8421
+rect 8076 8372 8082 8384
+rect 8849 8381 8861 8415
+rect 8895 8412 8907 8415
+rect 9490 8412 9496 8424
+rect 8895 8384 9496 8412
+rect 8895 8381 8907 8384
+rect 8849 8375 8907 8381
+rect 9490 8372 9496 8384
+rect 9548 8412 9554 8424
rect 9585 8415 9643 8421
-rect 7800 8372 7806 8384
-rect 9585 8381 9597 8415
-rect 9631 8412 9643 8415
-rect 9858 8412 9864 8424
-rect 9631 8384 9864 8412
-rect 9631 8381 9643 8384
+rect 9585 8412 9597 8415
+rect 9548 8384 9597 8412
+rect 9548 8372 9554 8384
+rect 9585 8381 9597 8384
+rect 9631 8381 9643 8415
rect 9585 8375 9643 8381
-rect 9858 8372 9864 8384
-rect 9916 8412 9922 8424
-rect 10597 8415 10655 8421
-rect 10597 8412 10609 8415
-rect 9916 8384 10609 8412
-rect 9916 8372 9922 8384
-rect 10597 8381 10609 8384
-rect 10643 8381 10655 8415
-rect 10778 8412 10784 8424
-rect 10739 8384 10784 8412
-rect 10597 8375 10655 8381
-rect 10778 8372 10784 8384
-rect 10836 8372 10842 8424
-rect 5721 8347 5779 8353
-rect 5721 8344 5733 8347
-rect 5408 8316 5733 8344
-rect 5408 8304 5414 8316
-rect 5721 8313 5733 8316
-rect 5767 8313 5779 8347
-rect 5721 8307 5779 8313
-rect 6825 8347 6883 8353
-rect 6825 8313 6837 8347
-rect 6871 8313 6883 8347
-rect 6825 8307 6883 8313
-rect 8110 8304 8116 8356
-rect 8168 8344 8174 8356
-rect 11624 8344 11652 8520
-rect 13081 8517 13093 8520
-rect 13127 8517 13139 8551
-rect 13081 8511 13139 8517
-rect 11790 8440 11796 8492
-rect 11848 8480 11854 8492
-rect 12437 8483 12495 8489
-rect 12437 8480 12449 8483
-rect 11848 8452 12449 8480
-rect 11848 8440 11854 8452
-rect 12437 8449 12449 8452
-rect 12483 8449 12495 8483
-rect 13170 8480 13176 8492
-rect 13131 8452 13176 8480
-rect 12437 8443 12495 8449
-rect 13170 8440 13176 8452
-rect 13228 8440 13234 8492
-rect 8168 8316 11652 8344
-rect 8168 8304 8174 8316
-rect 6454 8276 6460 8288
-rect 6415 8248 6460 8276
-rect 6454 8236 6460 8248
-rect 6512 8236 6518 8288
-rect 6733 8279 6791 8285
-rect 6733 8245 6745 8279
-rect 6779 8276 6791 8279
-rect 7374 8276 7380 8288
-rect 6779 8248 7380 8276
-rect 6779 8245 6791 8248
-rect 6733 8239 6791 8245
-rect 7374 8236 7380 8248
-rect 7432 8276 7438 8288
-rect 9030 8276 9036 8288
-rect 7432 8248 9036 8276
-rect 7432 8236 7438 8248
-rect 9030 8236 9036 8248
-rect 9088 8236 9094 8288
-rect 9306 8276 9312 8288
-rect 9267 8248 9312 8276
-rect 9306 8236 9312 8248
-rect 9364 8236 9370 8288
-rect 10134 8236 10140 8288
-rect 10192 8276 10198 8288
-rect 13354 8276 13360 8288
-rect 10192 8248 10237 8276
-rect 13315 8248 13360 8276
-rect 10192 8236 10198 8248
-rect 13354 8236 13360 8248
-rect 13412 8236 13418 8288
+rect 9677 8415 9735 8421
+rect 9677 8381 9689 8415
+rect 9723 8381 9735 8415
+rect 9779 8412 9807 8452
+rect 9858 8440 9864 8492
+rect 9916 8480 9922 8492
+rect 10137 8483 10195 8489
+rect 10137 8480 10149 8483
+rect 9916 8452 10149 8480
+rect 9916 8440 9922 8452
+rect 10137 8449 10149 8452
+rect 10183 8449 10195 8483
+rect 10137 8443 10195 8449
+rect 10410 8440 10416 8492
+rect 10468 8480 10474 8492
+rect 12084 8489 12112 8520
+rect 12710 8508 12716 8520
+rect 12768 8508 12774 8560
+rect 13078 8548 13084 8560
+rect 13039 8520 13084 8548
+rect 13078 8508 13084 8520
+rect 13136 8548 13142 8560
+rect 13357 8551 13415 8557
+rect 13357 8548 13369 8551
+rect 13136 8520 13369 8548
+rect 13136 8508 13142 8520
+rect 13357 8517 13369 8520
+rect 13403 8517 13415 8551
+rect 13357 8511 13415 8517
+rect 11701 8483 11759 8489
+rect 11701 8480 11713 8483
+rect 10468 8452 11713 8480
+rect 10468 8440 10474 8452
+rect 11701 8449 11713 8452
+rect 11747 8449 11759 8483
+rect 11701 8443 11759 8449
+rect 12069 8483 12127 8489
+rect 12069 8449 12081 8483
+rect 12115 8449 12127 8483
+rect 12342 8480 12348 8492
+rect 12303 8452 12348 8480
+rect 12069 8443 12127 8449
+rect 12342 8440 12348 8452
+rect 12400 8440 12406 8492
+rect 13262 8480 13268 8492
+rect 13223 8452 13268 8480
+rect 13262 8440 13268 8452
+rect 13320 8440 13326 8492
+rect 10042 8412 10048 8424
+rect 9779 8384 10048 8412
+rect 9677 8375 9735 8381
+rect 9214 8344 9220 8356
+rect 6748 8316 9220 8344
+rect 9214 8304 9220 8316
+rect 9272 8304 9278 8356
+rect 9692 8288 9720 8375
+rect 10042 8372 10048 8384
+rect 10100 8372 10106 8424
+rect 10226 8412 10232 8424
+rect 10187 8384 10232 8412
+rect 10226 8372 10232 8384
+rect 10284 8372 10290 8424
+rect 10594 8372 10600 8424
+rect 10652 8412 10658 8424
+rect 10873 8415 10931 8421
+rect 10873 8412 10885 8415
+rect 10652 8384 10885 8412
+rect 10652 8372 10658 8384
+rect 10873 8381 10885 8384
+rect 10919 8381 10931 8415
+rect 10873 8375 10931 8381
+rect 11149 8415 11207 8421
+rect 11149 8381 11161 8415
+rect 11195 8412 11207 8415
+rect 11238 8412 11244 8424
+rect 11195 8384 11244 8412
+rect 11195 8381 11207 8384
+rect 11149 8375 11207 8381
+rect 11238 8372 11244 8384
+rect 11296 8372 11302 8424
+rect 12066 8304 12072 8356
+rect 12124 8344 12130 8356
+rect 13449 8347 13507 8353
+rect 13449 8344 13461 8347
+rect 12124 8316 13461 8344
+rect 12124 8304 12130 8316
+rect 13449 8313 13461 8316
+rect 13495 8313 13507 8347
+rect 13449 8307 13507 8313
+rect 3050 8236 3056 8288
+rect 3108 8276 3114 8288
+rect 3421 8279 3479 8285
+rect 3421 8276 3433 8279
+rect 3108 8248 3433 8276
+rect 3108 8236 3114 8248
+rect 3421 8245 3433 8248
+rect 3467 8245 3479 8279
+rect 3421 8239 3479 8245
+rect 7285 8279 7343 8285
+rect 7285 8245 7297 8279
+rect 7331 8276 7343 8279
+rect 7650 8276 7656 8288
+rect 7331 8248 7656 8276
+rect 7331 8245 7343 8248
+rect 7285 8239 7343 8245
+rect 7650 8236 7656 8248
+rect 7708 8236 7714 8288
+rect 8938 8276 8944 8288
+rect 8899 8248 8944 8276
+rect 8938 8236 8944 8248
+rect 8996 8236 9002 8288
+rect 9674 8236 9680 8288
+rect 9732 8236 9738 8288
rect 1104 8186 13892 8208
rect 1104 8134 4214 8186
rect 4266 8134 4278 8186
@@ -4809,436 +5868,346 @@ rect 12394 8134 12406 8186
rect 12458 8134 12470 8186
rect 12522 8134 13892 8186
rect 1104 8112 13892 8134
-rect 1578 8032 1584 8084
-rect 1636 8072 1642 8084
-rect 2133 8075 2191 8081
-rect 2133 8072 2145 8075
-rect 1636 8044 2145 8072
-rect 1636 8032 1642 8044
-rect 2133 8041 2145 8044
-rect 2179 8041 2191 8075
-rect 2133 8035 2191 8041
-rect 4341 8075 4399 8081
-rect 4341 8041 4353 8075
-rect 4387 8072 4399 8075
-rect 4614 8072 4620 8084
-rect 4387 8044 4620 8072
-rect 4387 8041 4399 8044
-rect 4341 8035 4399 8041
-rect 1486 7936 1492 7948
-rect 1447 7908 1492 7936
-rect 1486 7896 1492 7908
-rect 1544 7896 1550 7948
-rect 1670 7936 1676 7948
-rect 1631 7908 1676 7936
-rect 1670 7896 1676 7908
-rect 1728 7896 1734 7948
-rect 2148 7936 2176 8035
-rect 4614 8032 4620 8044
-rect 4672 8032 4678 8084
-rect 7650 8032 7656 8084
-rect 7708 8072 7714 8084
-rect 8570 8072 8576 8084
-rect 7708 8044 8576 8072
-rect 7708 8032 7714 8044
-rect 8570 8032 8576 8044
-rect 8628 8072 8634 8084
-rect 9122 8072 9128 8084
-rect 8628 8044 9128 8072
-rect 8628 8032 8634 8044
-rect 9122 8032 9128 8044
-rect 9180 8032 9186 8084
-rect 9674 8032 9680 8084
-rect 9732 8072 9738 8084
-rect 10226 8072 10232 8084
-rect 9732 8044 10232 8072
-rect 9732 8032 9738 8044
-rect 10226 8032 10232 8044
-rect 10284 8032 10290 8084
-rect 10778 8032 10784 8084
-rect 10836 8072 10842 8084
-rect 10965 8075 11023 8081
-rect 10965 8072 10977 8075
-rect 10836 8044 10977 8072
-rect 10836 8032 10842 8044
-rect 10965 8041 10977 8044
-rect 11011 8041 11023 8075
-rect 10965 8035 11023 8041
-rect 3053 8007 3111 8013
-rect 3053 7973 3065 8007
-rect 3099 8004 3111 8007
-rect 3326 8004 3332 8016
-rect 3099 7976 3332 8004
-rect 3099 7973 3111 7976
-rect 3053 7967 3111 7973
-rect 3326 7964 3332 7976
-rect 3384 7964 3390 8016
-rect 4157 8007 4215 8013
-rect 4157 7973 4169 8007
-rect 4203 8004 4215 8007
-rect 4798 8004 4804 8016
-rect 4203 7976 4804 8004
-rect 4203 7973 4215 7976
-rect 4157 7967 4215 7973
-rect 4798 7964 4804 7976
-rect 4856 7964 4862 8016
-rect 5350 8004 5356 8016
-rect 5311 7976 5356 8004
-rect 5350 7964 5356 7976
-rect 5408 7964 5414 8016
-rect 8941 8007 8999 8013
-rect 8941 8004 8953 8007
-rect 7300 7976 8953 8004
-rect 2225 7939 2283 7945
-rect 2225 7936 2237 7939
-rect 2148 7908 2237 7936
-rect 2225 7905 2237 7908
-rect 2271 7905 2283 7939
-rect 2225 7899 2283 7905
-rect 2961 7939 3019 7945
-rect 2961 7905 2973 7939
-rect 3007 7936 3019 7939
-rect 3418 7936 3424 7948
-rect 3007 7908 3424 7936
-rect 3007 7905 3019 7908
-rect 2961 7899 3019 7905
-rect 3418 7896 3424 7908
-rect 3476 7896 3482 7948
-rect 3510 7896 3516 7948
-rect 3568 7936 3574 7948
-rect 4890 7936 4896 7948
-rect 3568 7908 3613 7936
-rect 4632 7908 4896 7936
-rect 3568 7896 3574 7908
-rect 2685 7871 2743 7877
-rect 2685 7837 2697 7871
-rect 2731 7868 2743 7871
-rect 3142 7868 3148 7880
-rect 2731 7840 3148 7868
-rect 2731 7837 2743 7840
-rect 2685 7831 2743 7837
-rect 3142 7828 3148 7840
-rect 3200 7828 3206 7880
-rect 3789 7871 3847 7877
-rect 3789 7837 3801 7871
-rect 3835 7868 3847 7871
-rect 3878 7868 3884 7880
-rect 3835 7840 3884 7868
-rect 3835 7837 3847 7840
-rect 3789 7831 3847 7837
-rect 3878 7828 3884 7840
-rect 3936 7868 3942 7880
-rect 4632 7877 4660 7908
-rect 4890 7896 4896 7908
-rect 4948 7896 4954 7948
-rect 5445 7939 5503 7945
-rect 5445 7905 5457 7939
-rect 5491 7936 5503 7939
-rect 6454 7936 6460 7948
-rect 5491 7908 6460 7936
-rect 5491 7905 5503 7908
-rect 5445 7899 5503 7905
-rect 6454 7896 6460 7908
-rect 6512 7896 6518 7948
-rect 6546 7896 6552 7948
-rect 6604 7936 6610 7948
-rect 7300 7945 7328 7976
-rect 8941 7973 8953 7976
-rect 8987 7973 8999 8007
-rect 12066 8004 12072 8016
-rect 8941 7967 8999 7973
-rect 9508 7976 12072 8004
-rect 9508 7948 9536 7976
-rect 12066 7964 12072 7976
-rect 12124 8004 12130 8016
-rect 12434 8004 12440 8016
-rect 12124 7976 12440 8004
-rect 12124 7964 12130 7976
-rect 12434 7964 12440 7976
-rect 12492 7964 12498 8016
-rect 13262 8004 13268 8016
-rect 13223 7976 13268 8004
-rect 13262 7964 13268 7976
-rect 13320 7964 13326 8016
-rect 6825 7939 6883 7945
-rect 6825 7936 6837 7939
-rect 6604 7908 6837 7936
-rect 6604 7896 6610 7908
-rect 6825 7905 6837 7908
-rect 6871 7905 6883 7939
-rect 6825 7899 6883 7905
-rect 7285 7939 7343 7945
-rect 7285 7905 7297 7939
-rect 7331 7905 7343 7939
-rect 7650 7936 7656 7948
-rect 7611 7908 7656 7936
-rect 7285 7899 7343 7905
-rect 4433 7871 4491 7877
-rect 4433 7868 4445 7871
-rect 3936 7840 4445 7868
-rect 3936 7828 3942 7840
-rect 4433 7837 4445 7840
-rect 4479 7837 4491 7871
-rect 4433 7831 4491 7837
-rect 4617 7871 4675 7877
-rect 4617 7837 4629 7871
-rect 4663 7837 4675 7871
-rect 4617 7831 4675 7837
-rect 5721 7871 5779 7877
-rect 5721 7837 5733 7871
-rect 5767 7868 5779 7871
-rect 5902 7868 5908 7880
-rect 5767 7840 5908 7868
-rect 5767 7837 5779 7840
-rect 5721 7831 5779 7837
-rect 5902 7828 5908 7840
-rect 5960 7828 5966 7880
-rect 5997 7871 6055 7877
-rect 5997 7837 6009 7871
-rect 6043 7868 6055 7871
-rect 6564 7868 6592 7896
-rect 6043 7840 6592 7868
-rect 6641 7871 6699 7877
-rect 6043 7837 6055 7840
-rect 5997 7831 6055 7837
-rect 6641 7837 6653 7871
-rect 6687 7868 6699 7871
-rect 7300 7868 7328 7899
-rect 7650 7896 7656 7908
-rect 7708 7896 7714 7948
+rect 1486 8072 1492 8084
+rect 1447 8044 1492 8072
+rect 1486 8032 1492 8044
+rect 1544 8032 1550 8084
+rect 5258 8072 5264 8084
+rect 4816 8044 5264 8072
+rect 3786 7936 3792 7948
+rect 2884 7908 3792 7936
+rect 1762 7868 1768 7880
+rect 1723 7840 1768 7868
+rect 1762 7828 1768 7840
+rect 1820 7828 1826 7880
+rect 2884 7877 2912 7908
+rect 3786 7896 3792 7908
+rect 3844 7896 3850 7948
+rect 4062 7896 4068 7948
+rect 4120 7936 4126 7948
+rect 4157 7939 4215 7945
+rect 4157 7936 4169 7939
+rect 4120 7908 4169 7936
+rect 4120 7896 4126 7908
+rect 4157 7905 4169 7908
+rect 4203 7905 4215 7939
+rect 4157 7899 4215 7905
+rect 4614 7896 4620 7948
+rect 4672 7936 4678 7948
+rect 4709 7939 4767 7945
+rect 4709 7936 4721 7939
+rect 4672 7908 4721 7936
+rect 4672 7896 4678 7908
+rect 4709 7905 4721 7908
+rect 4755 7905 4767 7939
+rect 4709 7899 4767 7905
+rect 2869 7871 2927 7877
+rect 2869 7837 2881 7871
+rect 2915 7837 2927 7871
+rect 3050 7868 3056 7880
+rect 3011 7840 3056 7868
+rect 2869 7831 2927 7837
+rect 3050 7828 3056 7840
+rect 3108 7868 3114 7880
+rect 3881 7871 3939 7877
+rect 3881 7868 3893 7871
+rect 3108 7840 3893 7868
+rect 3108 7828 3114 7840
+rect 3881 7837 3893 7840
+rect 3927 7868 3939 7871
+rect 3970 7868 3976 7880
+rect 3927 7840 3976 7868
+rect 3927 7837 3939 7840
+rect 3881 7831 3939 7837
+rect 3970 7828 3976 7840
+rect 4028 7828 4034 7880
+rect 4816 7877 4844 8044
+rect 5258 8032 5264 8044
+rect 5316 8072 5322 8084
+rect 5905 8075 5963 8081
+rect 5905 8072 5917 8075
+rect 5316 8044 5917 8072
+rect 5316 8032 5322 8044
+rect 5905 8041 5917 8044
+rect 5951 8041 5963 8075
+rect 7834 8072 7840 8084
+rect 7795 8044 7840 8072
+rect 5905 8035 5963 8041
+rect 7834 8032 7840 8044
+rect 7892 8032 7898 8084
+rect 12894 8032 12900 8084
+rect 12952 8072 12958 8084
+rect 13449 8075 13507 8081
+rect 13449 8072 13461 8075
+rect 12952 8044 13461 8072
+rect 12952 8032 12958 8044
+rect 13449 8041 13461 8044
+rect 13495 8072 13507 8075
+rect 13538 8072 13544 8084
+rect 13495 8044 13544 8072
+rect 13495 8041 13507 8044
+rect 13449 8035 13507 8041
+rect 13538 8032 13544 8044
+rect 13596 8032 13602 8084
+rect 5169 8007 5227 8013
+rect 5169 7973 5181 8007
+rect 5215 8004 5227 8007
+rect 5442 8004 5448 8016
+rect 5215 7976 5448 8004
+rect 5215 7973 5227 7976
+rect 5169 7967 5227 7973
+rect 5442 7964 5448 7976
+rect 5500 7964 5506 8016
+rect 10226 8004 10232 8016
+rect 6748 7976 7788 8004
+rect 10187 7976 10232 8004
+rect 5629 7939 5687 7945
+rect 5629 7905 5641 7939
+rect 5675 7936 5687 7939
+rect 6086 7936 6092 7948
+rect 5675 7908 6092 7936
+rect 5675 7905 5687 7908
+rect 5629 7899 5687 7905
+rect 6086 7896 6092 7908
+rect 6144 7896 6150 7948
+rect 4801 7871 4859 7877
+rect 4801 7837 4813 7871
+rect 4847 7837 4859 7871
+rect 4801 7831 4859 7837
+rect 4982 7828 4988 7880
+rect 5040 7828 5046 7880
+rect 6748 7877 6776 7976
+rect 7760 7948 7788 7976
+rect 10226 7964 10232 7976
+rect 10284 7964 10290 8016
+rect 7009 7939 7067 7945
+rect 7009 7905 7021 7939
+rect 7055 7936 7067 7939
+rect 7190 7936 7196 7948
+rect 7055 7908 7196 7936
+rect 7055 7905 7067 7908
+rect 7009 7899 7067 7905
+rect 7190 7896 7196 7908
+rect 7248 7896 7254 7948
+rect 7742 7896 7748 7948
+rect 7800 7936 7806 7948
+rect 7929 7939 7987 7945
+rect 7929 7936 7941 7939
+rect 7800 7908 7941 7936
+rect 7800 7896 7806 7908
+rect 7929 7905 7941 7908
+rect 7975 7905 7987 7939
+rect 7929 7899 7987 7905
rect 8018 7896 8024 7948
rect 8076 7936 8082 7948
-rect 8662 7936 8668 7948
-rect 8076 7908 8248 7936
-rect 8623 7908 8668 7936
+rect 8205 7939 8263 7945
+rect 8205 7936 8217 7939
+rect 8076 7908 8217 7936
rect 8076 7896 8082 7908
-rect 7742 7868 7748 7880
-rect 6687 7840 7328 7868
-rect 7703 7840 7748 7868
-rect 6687 7837 6699 7840
-rect 6641 7831 6699 7837
-rect 7742 7828 7748 7840
-rect 7800 7828 7806 7880
-rect 8220 7879 8248 7908
-rect 8662 7896 8668 7908
-rect 8720 7936 8726 7948
-rect 9030 7936 9036 7948
-rect 8720 7908 9036 7936
-rect 8720 7896 8726 7908
-rect 9030 7896 9036 7908
-rect 9088 7896 9094 7948
-rect 9490 7936 9496 7948
-rect 9451 7908 9496 7936
-rect 9490 7896 9496 7908
-rect 9548 7896 9554 7948
-rect 10321 7939 10379 7945
-rect 10321 7936 10333 7939
-rect 9692 7908 10333 7936
-rect 8205 7873 8263 7879
-rect 8205 7839 8217 7873
-rect 8251 7839 8263 7873
-rect 8205 7833 8263 7839
-rect 8757 7871 8815 7877
-rect 8757 7837 8769 7871
-rect 8803 7868 8815 7871
-rect 8938 7868 8944 7880
-rect 8803 7840 8944 7868
-rect 8803 7837 8815 7840
-rect 8757 7831 8815 7837
-rect 8938 7828 8944 7840
-rect 8996 7828 9002 7880
-rect 9306 7868 9312 7880
-rect 9267 7840 9312 7868
-rect 9306 7828 9312 7840
-rect 9364 7828 9370 7880
-rect 9401 7871 9459 7877
-rect 9401 7837 9413 7871
-rect 9447 7868 9459 7871
-rect 9692 7868 9720 7908
-rect 10321 7905 10333 7908
-rect 10367 7936 10379 7939
-rect 10594 7936 10600 7948
-rect 10367 7908 10600 7936
-rect 10367 7905 10379 7908
-rect 10321 7899 10379 7905
-rect 10594 7896 10600 7908
-rect 10652 7896 10658 7948
-rect 11241 7939 11299 7945
-rect 11241 7936 11253 7939
-rect 11072 7908 11253 7936
-rect 9858 7868 9864 7880
-rect 9447 7840 9720 7868
-rect 9819 7840 9864 7868
-rect 9447 7837 9459 7840
-rect 9401 7831 9459 7837
-rect 9858 7828 9864 7840
-rect 9916 7828 9922 7880
-rect 10045 7871 10103 7877
-rect 10045 7837 10057 7871
-rect 10091 7868 10103 7871
-rect 10226 7868 10232 7880
-rect 10091 7840 10232 7868
-rect 10091 7837 10103 7840
-rect 10045 7831 10103 7837
-rect 10226 7828 10232 7840
-rect 10284 7828 10290 7880
-rect 10505 7871 10563 7877
-rect 10505 7837 10517 7871
-rect 10551 7868 10563 7871
-rect 10686 7868 10692 7880
-rect 10551 7840 10692 7868
-rect 10551 7837 10563 7840
-rect 10505 7831 10563 7837
-rect 10686 7828 10692 7840
-rect 10744 7828 10750 7880
-rect 11072 7877 11100 7908
-rect 11241 7905 11253 7908
-rect 11287 7936 11299 7939
-rect 11287 7908 12020 7936
-rect 11287 7905 11299 7908
-rect 11241 7899 11299 7905
-rect 11057 7871 11115 7877
-rect 11057 7837 11069 7871
-rect 11103 7837 11115 7871
-rect 11057 7831 11115 7837
+rect 8205 7905 8217 7908
+rect 8251 7905 8263 7939
+rect 8205 7899 8263 7905
+rect 8757 7939 8815 7945
+rect 8757 7905 8769 7939
+rect 8803 7936 8815 7939
+rect 9585 7939 9643 7945
+rect 9585 7936 9597 7939
+rect 8803 7908 9597 7936
+rect 8803 7905 8815 7908
+rect 8757 7899 8815 7905
+rect 9585 7905 9597 7908
+rect 9631 7936 9643 7939
+rect 9674 7936 9680 7948
+rect 9631 7908 9680 7936
+rect 9631 7905 9643 7908
+rect 9585 7899 9643 7905
+rect 9674 7896 9680 7908
+rect 9732 7936 9738 7948
+rect 9732 7908 10640 7936
+rect 9732 7896 9738 7908
+rect 6733 7871 6791 7877
+rect 6733 7837 6745 7871
+rect 6779 7837 6791 7871
+rect 6733 7831 6791 7837
+rect 6822 7828 6828 7880
+rect 6880 7868 6886 7880
+rect 7561 7871 7619 7877
+rect 7561 7868 7573 7871
+rect 6880 7840 7573 7868
+rect 6880 7828 6886 7840
+rect 7561 7837 7573 7840
+rect 7607 7837 7619 7871
+rect 7561 7831 7619 7837
+rect 7650 7828 7656 7880
+rect 7708 7868 7714 7880
+rect 8110 7868 8116 7880
+rect 7708 7840 7753 7868
+rect 8071 7840 8116 7868
+rect 7708 7828 7714 7840
+rect 8110 7828 8116 7840
+rect 8168 7828 8174 7880
+rect 8572 7871 8630 7877
+rect 8572 7837 8584 7871
+rect 8618 7868 8630 7871
+rect 9030 7868 9036 7880
+rect 8618 7840 9036 7868
+rect 8618 7837 8630 7840
+rect 8572 7831 8630 7837
+rect 9030 7828 9036 7840
+rect 9088 7828 9094 7880
+rect 9490 7868 9496 7880
+rect 9451 7840 9496 7868
+rect 9490 7828 9496 7840
+rect 9548 7828 9554 7880
+rect 9950 7828 9956 7880
+rect 10008 7868 10014 7880
+rect 10612 7877 10640 7908
+rect 10471 7871 10529 7877
+rect 10471 7868 10483 7871
+rect 10008 7840 10483 7868
+rect 10008 7828 10014 7840
+rect 10471 7837 10483 7840
+rect 10517 7837 10529 7871
+rect 10471 7831 10529 7837
+rect 10597 7871 10655 7877
+rect 10597 7837 10609 7871
+rect 10643 7837 10655 7871
+rect 10597 7831 10655 7837
+rect 10686 7828 10692 7880
+rect 10744 7868 10750 7880
+rect 10744 7840 10789 7868
+rect 10744 7828 10750 7840
+rect 10870 7828 10876 7880
+rect 10928 7868 10934 7880
+rect 10928 7840 10973 7868
+rect 10928 7828 10934 7840
+rect 11054 7828 11060 7880
+rect 11112 7868 11118 7880
+rect 11333 7871 11391 7877
+rect 11333 7868 11345 7871
+rect 11112 7840 11345 7868
+rect 11112 7828 11118 7840
+rect 11333 7837 11345 7840
+rect 11379 7837 11391 7871
+rect 11333 7831 11391 7837
rect 11701 7871 11759 7877
rect 11701 7837 11713 7871
-rect 11747 7837 11759 7871
+rect 11747 7868 11759 7871
+rect 11793 7871 11851 7877
+rect 11793 7868 11805 7871
+rect 11747 7840 11805 7868
+rect 11747 7837 11759 7840
rect 11701 7831 11759 7837
-rect 1762 7800 1768 7812
-rect 1723 7772 1768 7800
-rect 1762 7760 1768 7772
-rect 1820 7760 1826 7812
-rect 2774 7800 2780 7812
-rect 2735 7772 2780 7800
-rect 2774 7760 2780 7772
-rect 2832 7760 2838 7812
-rect 6730 7800 6736 7812
-rect 6691 7772 6736 7800
-rect 6730 7760 6736 7772
-rect 6788 7760 6794 7812
-rect 8021 7803 8079 7809
-rect 8021 7769 8033 7803
-rect 8067 7769 8079 7803
-rect 8021 7763 8079 7769
-rect 4157 7735 4215 7741
-rect 4157 7701 4169 7735
-rect 4203 7732 4215 7735
-rect 4430 7732 4436 7744
-rect 4203 7704 4436 7732
-rect 4203 7701 4215 7704
-rect 4157 7695 4215 7701
-rect 4430 7692 4436 7704
-rect 4488 7732 4494 7744
-rect 5350 7732 5356 7744
-rect 4488 7704 5356 7732
-rect 4488 7692 4494 7704
-rect 5350 7692 5356 7704
-rect 5408 7692 5414 7744
-rect 7558 7692 7564 7744
-rect 7616 7732 7622 7744
-rect 8036 7732 8064 7763
-rect 8110 7760 8116 7812
-rect 8168 7800 8174 7812
-rect 8168 7772 8213 7800
-rect 8168 7760 8174 7772
-rect 8386 7760 8392 7812
-rect 8444 7800 8450 7812
-rect 9674 7800 9680 7812
-rect 8444 7772 9680 7800
-rect 8444 7760 8450 7772
-rect 9674 7760 9680 7772
-rect 9732 7800 9738 7812
-rect 10134 7800 10140 7812
-rect 9732 7772 10140 7800
-rect 9732 7760 9738 7772
-rect 10134 7760 10140 7772
-rect 10192 7760 10198 7812
-rect 7616 7704 8064 7732
-rect 8297 7735 8355 7741
-rect 7616 7692 7622 7704
-rect 8297 7701 8309 7735
-rect 8343 7732 8355 7735
-rect 8662 7732 8668 7744
-rect 8343 7704 8668 7732
-rect 8343 7701 8355 7704
-rect 8297 7695 8355 7701
-rect 8662 7692 8668 7704
-rect 8720 7732 8726 7744
-rect 9214 7732 9220 7744
-rect 8720 7704 9220 7732
-rect 8720 7692 8726 7704
-rect 9214 7692 9220 7704
-rect 9272 7692 9278 7744
-rect 9858 7732 9864 7744
-rect 9819 7704 9864 7732
-rect 9858 7692 9864 7704
-rect 9916 7692 9922 7744
-rect 10410 7692 10416 7744
-rect 10468 7732 10474 7744
-rect 10597 7735 10655 7741
-rect 10597 7732 10609 7735
-rect 10468 7704 10609 7732
-rect 10468 7692 10474 7704
-rect 10597 7701 10609 7704
-rect 10643 7701 10655 7735
-rect 10704 7732 10732 7828
-rect 11146 7800 11152 7812
-rect 11107 7772 11152 7800
-rect 11146 7760 11152 7772
-rect 11204 7760 11210 7812
-rect 11716 7800 11744 7831
-rect 11790 7828 11796 7880
-rect 11848 7868 11854 7880
-rect 11992 7877 12020 7908
-rect 12618 7896 12624 7948
-rect 12676 7936 12682 7948
-rect 12805 7939 12863 7945
-rect 12805 7936 12817 7939
-rect 12676 7908 12817 7936
-rect 12676 7896 12682 7908
-rect 12805 7905 12817 7908
-rect 12851 7905 12863 7939
-rect 13354 7936 13360 7948
-rect 13315 7908 13360 7936
-rect 12805 7899 12863 7905
-rect 13354 7896 13360 7908
-rect 13412 7896 13418 7948
-rect 11977 7871 12035 7877
-rect 11848 7840 11893 7868
-rect 11848 7828 11854 7840
-rect 11977 7837 11989 7871
-rect 12023 7837 12035 7871
-rect 12713 7871 12771 7877
-rect 12713 7868 12725 7871
-rect 11977 7831 12035 7837
-rect 12406 7840 12725 7868
-rect 12250 7800 12256 7812
-rect 11716 7772 12256 7800
-rect 12250 7760 12256 7772
-rect 12308 7800 12314 7812
-rect 12406 7800 12434 7840
-rect 12713 7837 12725 7840
-rect 12759 7837 12771 7871
-rect 12713 7831 12771 7837
-rect 13354 7800 13360 7812
-rect 12308 7772 12434 7800
-rect 13096 7772 13360 7800
-rect 12308 7760 12314 7772
-rect 13096 7732 13124 7772
-rect 13354 7760 13360 7772
-rect 13412 7760 13418 7812
-rect 10704 7704 13124 7732
-rect 10597 7695 10655 7701
-rect 13262 7692 13268 7744
-rect 13320 7732 13326 7744
-rect 13449 7735 13507 7741
-rect 13449 7732 13461 7735
-rect 13320 7704 13461 7732
-rect 13320 7692 13326 7704
-rect 13449 7701 13461 7704
-rect 13495 7701 13507 7735
-rect 13449 7695 13507 7701
+rect 11793 7837 11805 7840
+rect 11839 7837 11851 7871
+rect 13262 7868 13268 7880
+rect 13223 7840 13268 7868
+rect 11793 7831 11851 7837
+rect 13262 7828 13268 7840
+rect 13320 7828 13326 7880
+rect 1670 7760 1676 7812
+rect 1728 7800 1734 7812
+rect 3145 7803 3203 7809
+rect 3145 7800 3157 7803
+rect 1728 7772 3157 7800
+rect 1728 7760 1734 7772
+rect 3145 7769 3157 7772
+rect 3191 7769 3203 7803
+rect 3145 7763 3203 7769
+rect 3329 7803 3387 7809
+rect 3329 7769 3341 7803
+rect 3375 7769 3387 7803
+rect 3510 7800 3516 7812
+rect 3471 7772 3516 7800
+rect 3329 7763 3387 7769
+rect 2777 7735 2835 7741
+rect 2777 7701 2789 7735
+rect 2823 7732 2835 7735
+rect 2866 7732 2872 7744
+rect 2823 7704 2872 7732
+rect 2823 7701 2835 7704
+rect 2777 7695 2835 7701
+rect 2866 7692 2872 7704
+rect 2924 7692 2930 7744
+rect 3050 7692 3056 7744
+rect 3108 7732 3114 7744
+rect 3344 7732 3372 7763
+rect 3510 7760 3516 7772
+rect 3568 7760 3574 7812
+rect 4617 7803 4675 7809
+rect 4617 7769 4629 7803
+rect 4663 7800 4675 7803
+rect 5000 7800 5028 7828
+rect 4663 7772 5028 7800
+rect 5077 7803 5135 7809
+rect 4663 7769 4675 7772
+rect 4617 7763 4675 7769
+rect 5077 7769 5089 7803
+rect 5123 7769 5135 7803
+rect 5077 7763 5135 7769
+rect 3694 7732 3700 7744
+rect 3108 7704 3700 7732
+rect 3108 7692 3114 7704
+rect 3694 7692 3700 7704
+rect 3752 7692 3758 7744
+rect 4985 7735 5043 7741
+rect 4985 7701 4997 7735
+rect 5031 7732 5043 7735
+rect 5092 7732 5120 7763
+rect 5442 7760 5448 7812
+rect 5500 7800 5506 7812
+rect 5813 7803 5871 7809
+rect 5813 7800 5825 7803
+rect 5500 7772 5825 7800
+rect 5500 7760 5506 7772
+rect 5813 7769 5825 7772
+rect 5859 7800 5871 7803
+rect 11517 7803 11575 7809
+rect 5859 7772 11468 7800
+rect 5859 7769 5871 7772
+rect 5813 7763 5871 7769
+rect 5031 7704 5120 7732
+rect 6089 7735 6147 7741
+rect 5031 7701 5043 7704
+rect 4985 7695 5043 7701
+rect 6089 7701 6101 7735
+rect 6135 7732 6147 7735
+rect 6270 7732 6276 7744
+rect 6135 7704 6276 7732
+rect 6135 7701 6147 7704
+rect 6089 7695 6147 7701
+rect 6270 7692 6276 7704
+rect 6328 7692 6334 7744
+rect 7374 7732 7380 7744
+rect 7335 7704 7380 7732
+rect 7374 7692 7380 7704
+rect 7432 7692 7438 7744
+rect 10134 7732 10140 7744
+rect 10095 7704 10140 7732
+rect 10134 7692 10140 7704
+rect 10192 7692 10198 7744
+rect 11440 7732 11468 7772
+rect 11517 7769 11529 7803
+rect 11563 7800 11575 7803
+rect 11974 7800 11980 7812
+rect 11563 7772 11980 7800
+rect 11563 7769 11575 7772
+rect 11517 7763 11575 7769
+rect 11974 7760 11980 7772
+rect 12032 7760 12038 7812
+rect 13357 7803 13415 7809
+rect 13357 7800 13369 7803
+rect 12406 7772 13369 7800
+rect 12250 7732 12256 7744
+rect 11440 7704 12256 7732
+rect 12250 7692 12256 7704
+rect 12308 7732 12314 7744
+rect 12406 7732 12434 7772
+rect 13357 7769 13369 7772
+rect 13403 7769 13415 7803
+rect 13357 7763 13415 7769
+rect 12308 7704 12434 7732
+rect 12308 7692 12314 7704
rect 1104 7642 13892 7664
rect 1104 7590 8214 7642
rect 8266 7590 8278 7642
@@ -5247,445 +6216,343 @@ rect 8394 7590 8406 7642
rect 8458 7590 8470 7642
rect 8522 7590 13892 7642
rect 1104 7568 13892 7590
-rect 2774 7488 2780 7540
-rect 2832 7528 2838 7540
-rect 3053 7531 3111 7537
-rect 3053 7528 3065 7531
-rect 2832 7500 3065 7528
-rect 2832 7488 2838 7500
-rect 3053 7497 3065 7500
-rect 3099 7497 3111 7531
-rect 3053 7491 3111 7497
-rect 3145 7531 3203 7537
-rect 3145 7497 3157 7531
-rect 3191 7528 3203 7531
-rect 3326 7528 3332 7540
-rect 3191 7500 3332 7528
-rect 3191 7497 3203 7500
-rect 3145 7491 3203 7497
-rect 3326 7488 3332 7500
-rect 3384 7488 3390 7540
-rect 6641 7531 6699 7537
-rect 6641 7497 6653 7531
-rect 6687 7528 6699 7531
-rect 6730 7528 6736 7540
-rect 6687 7500 6736 7528
-rect 6687 7497 6699 7500
-rect 6641 7491 6699 7497
-rect 6730 7488 6736 7500
-rect 6788 7488 6794 7540
+rect 2409 7531 2467 7537
+rect 2409 7497 2421 7531
+rect 2455 7528 2467 7531
+rect 3418 7528 3424 7540
+rect 2455 7500 3424 7528
+rect 2455 7497 2467 7500
+rect 2409 7491 2467 7497
+rect 3418 7488 3424 7500
+rect 3476 7488 3482 7540
+rect 3694 7488 3700 7540
+rect 3752 7528 3758 7540
+rect 6457 7531 6515 7537
+rect 3752 7500 4844 7528
+rect 3752 7488 3758 7500
+rect 2317 7463 2375 7469
+rect 2317 7429 2329 7463
+rect 2363 7460 2375 7463
+rect 3050 7460 3056 7472
+rect 2363 7432 3056 7460
+rect 2363 7429 2375 7432
+rect 2317 7423 2375 7429
+rect 3050 7420 3056 7432
+rect 3108 7420 3114 7472
+rect 1670 7392 1676 7404
+rect 1631 7364 1676 7392
+rect 1670 7352 1676 7364
+rect 1728 7352 1734 7404
+rect 1762 7352 1768 7404
+rect 1820 7392 1826 7404
+rect 1820 7364 1865 7392
+rect 1820 7352 1826 7364
+rect 4154 7352 4160 7404
+rect 4212 7352 4218 7404
+rect 4709 7395 4767 7401
+rect 4709 7361 4721 7395
+rect 4755 7361 4767 7395
+rect 4816 7392 4844 7500
+rect 6457 7497 6469 7531
+rect 6503 7528 6515 7531
+rect 6822 7528 6828 7540
+rect 6503 7500 6828 7528
+rect 6503 7497 6515 7500
+rect 6457 7491 6515 7497
+rect 6822 7488 6828 7500
+rect 6880 7488 6886 7540
rect 7466 7528 7472 7540
-rect 6932 7500 7472 7528
-rect 5258 7460 5264 7472
-rect 2884 7432 5264 7460
-rect 2884 7401 2912 7432
-rect 5258 7420 5264 7432
-rect 5316 7420 5322 7472
-rect 2409 7395 2467 7401
-rect 2409 7361 2421 7395
-rect 2455 7392 2467 7395
-rect 2593 7395 2651 7401
-rect 2593 7392 2605 7395
-rect 2455 7364 2605 7392
-rect 2455 7361 2467 7364
-rect 2409 7355 2467 7361
-rect 2593 7361 2605 7364
-rect 2639 7361 2651 7395
-rect 2593 7355 2651 7361
-rect 2869 7395 2927 7401
-rect 2869 7361 2881 7395
-rect 2915 7361 2927 7395
-rect 2869 7355 2927 7361
-rect 3605 7395 3663 7401
-rect 3605 7361 3617 7395
-rect 3651 7361 3663 7395
-rect 3878 7392 3884 7404
-rect 3839 7364 3884 7392
-rect 3605 7355 3663 7361
-rect 1486 7284 1492 7336
-rect 1544 7324 1550 7336
-rect 3421 7327 3479 7333
-rect 3421 7324 3433 7327
-rect 1544 7296 3433 7324
-rect 1544 7284 1550 7296
-rect 3421 7293 3433 7296
-rect 3467 7324 3479 7327
-rect 3620 7324 3648 7355
-rect 3878 7352 3884 7364
-rect 3936 7352 3942 7404
-rect 4430 7392 4436 7404
-rect 4391 7364 4436 7392
-rect 4430 7352 4436 7364
-rect 4488 7352 4494 7404
-rect 5902 7352 5908 7404
-rect 5960 7392 5966 7404
-rect 6932 7401 6960 7500
+rect 7427 7500 7472 7528
rect 7466 7488 7472 7500
-rect 7524 7528 7530 7540
-rect 7929 7531 7987 7537
-rect 7929 7528 7941 7531
-rect 7524 7500 7941 7528
-rect 7524 7488 7530 7500
-rect 7929 7497 7941 7500
-rect 7975 7497 7987 7531
-rect 7929 7491 7987 7497
-rect 8021 7531 8079 7537
-rect 8021 7497 8033 7531
-rect 8067 7528 8079 7531
-rect 8110 7528 8116 7540
-rect 8067 7500 8116 7528
-rect 8067 7497 8079 7500
-rect 8021 7491 8079 7497
-rect 8110 7488 8116 7500
+rect 7524 7488 7530 7540
+rect 8110 7488 8116 7540
rect 8168 7528 8174 7540
-rect 10873 7531 10931 7537
-rect 8168 7500 9536 7528
+rect 9677 7531 9735 7537
+rect 8168 7500 9260 7528
rect 8168 7488 8174 7500
-rect 8665 7463 8723 7469
-rect 6457 7395 6515 7401
-rect 6457 7392 6469 7395
-rect 5960 7364 6469 7392
-rect 5960 7352 5966 7364
-rect 6457 7361 6469 7364
-rect 6503 7361 6515 7395
-rect 6457 7355 6515 7361
-rect 6917 7395 6975 7401
-rect 6917 7361 6929 7395
-rect 6963 7361 6975 7395
-rect 7282 7392 7288 7404
-rect 7243 7364 7288 7392
-rect 6917 7355 6975 7361
-rect 7282 7352 7288 7364
-rect 7340 7352 7346 7404
-rect 7561 7395 7619 7401
-rect 7561 7361 7573 7395
-rect 7607 7392 7619 7395
-rect 7650 7392 7656 7404
-rect 7607 7364 7656 7392
-rect 7607 7361 7619 7364
-rect 7561 7355 7619 7361
-rect 7650 7352 7656 7364
-rect 7708 7352 7714 7404
-rect 8570 7401 8576 7438
-rect 8297 7395 8355 7401
-rect 8297 7361 8309 7395
-rect 8343 7392 8355 7395
-rect 8389 7395 8447 7401
-rect 8389 7392 8401 7395
-rect 8343 7364 8401 7392
-rect 8343 7361 8355 7364
-rect 8297 7355 8355 7361
-rect 8389 7361 8401 7364
-rect 8435 7361 8447 7395
-rect 8389 7355 8447 7361
-rect 8527 7395 8576 7401
-rect 8527 7361 8539 7395
-rect 8573 7386 8576 7395
-rect 8628 7386 8634 7438
-rect 8665 7429 8677 7463
-rect 8711 7460 8723 7463
-rect 8846 7460 8852 7472
-rect 8711 7432 8852 7460
-rect 8711 7429 8723 7432
-rect 8665 7423 8723 7429
-rect 8846 7420 8852 7432
-rect 8904 7420 8910 7472
-rect 9508 7469 9536 7500
-rect 10873 7497 10885 7531
-rect 10919 7528 10931 7531
-rect 10962 7528 10968 7540
-rect 10919 7500 10968 7528
-rect 10919 7497 10931 7500
-rect 10873 7491 10931 7497
-rect 10962 7488 10968 7500
-rect 11020 7488 11026 7540
-rect 11146 7488 11152 7540
-rect 11204 7528 11210 7540
-rect 11977 7531 12035 7537
-rect 11977 7528 11989 7531
-rect 11204 7500 11989 7528
-rect 11204 7488 11210 7500
-rect 11977 7497 11989 7500
-rect 12023 7497 12035 7531
-rect 12250 7528 12256 7540
-rect 12211 7500 12256 7528
-rect 11977 7491 12035 7497
-rect 12250 7488 12256 7500
-rect 12308 7488 12314 7540
-rect 9493 7463 9551 7469
-rect 9493 7429 9505 7463
-rect 9539 7429 9551 7463
-rect 9493 7423 9551 7429
-rect 9950 7420 9956 7472
-rect 10008 7460 10014 7472
-rect 10008 7432 10180 7460
-rect 10008 7420 10014 7432
-rect 8573 7364 8616 7386
-rect 8573 7361 8585 7364
-rect 8527 7355 8585 7361
-rect 8754 7352 8760 7404
-rect 8812 7401 8818 7404
-rect 8812 7392 8820 7401
-rect 8812 7364 8857 7392
-rect 8812 7355 8820 7364
-rect 8812 7352 8818 7355
-rect 9030 7352 9036 7404
-rect 9088 7392 9094 7404
-rect 9125 7395 9183 7401
-rect 9125 7392 9137 7395
-rect 9088 7364 9137 7392
-rect 9088 7352 9094 7364
-rect 9125 7361 9137 7364
-rect 9171 7361 9183 7395
-rect 9125 7355 9183 7361
-rect 9309 7395 9367 7401
-rect 9309 7361 9321 7395
-rect 9355 7392 9367 7395
-rect 9398 7392 9404 7404
-rect 9355 7364 9404 7392
-rect 9355 7361 9367 7364
-rect 9309 7355 9367 7361
-rect 9398 7352 9404 7364
-rect 9456 7392 9462 7404
-rect 9677 7395 9735 7401
-rect 9677 7392 9689 7395
-rect 9456 7364 9689 7392
-rect 9456 7352 9462 7364
-rect 9677 7361 9689 7364
-rect 9723 7361 9735 7395
-rect 9858 7392 9864 7404
-rect 9819 7364 9864 7392
-rect 9677 7355 9735 7361
-rect 9858 7352 9864 7364
-rect 9916 7352 9922 7404
-rect 10152 7401 10180 7432
-rect 10502 7420 10508 7472
-rect 10560 7460 10566 7472
-rect 12621 7463 12679 7469
-rect 12621 7460 12633 7463
-rect 10560 7432 12633 7460
-rect 10560 7420 10566 7432
-rect 12621 7429 12633 7432
-rect 12667 7429 12679 7463
-rect 12621 7423 12679 7429
-rect 10137 7395 10195 7401
-rect 10137 7361 10149 7395
-rect 10183 7361 10195 7395
-rect 10137 7355 10195 7361
-rect 10321 7395 10379 7401
-rect 10321 7361 10333 7395
-rect 10367 7361 10379 7395
-rect 10321 7355 10379 7361
-rect 3467 7296 3648 7324
-rect 3973 7327 4031 7333
-rect 3467 7293 3479 7296
-rect 3421 7287 3479 7293
-rect 3973 7293 3985 7327
-rect 4019 7324 4031 7327
-rect 5074 7324 5080 7336
-rect 4019 7296 5080 7324
-rect 4019 7293 4031 7296
-rect 3973 7287 4031 7293
-rect 2409 7259 2467 7265
-rect 2409 7225 2421 7259
-rect 2455 7256 2467 7259
-rect 2958 7256 2964 7268
-rect 2455 7228 2964 7256
-rect 2455 7225 2467 7228
-rect 2409 7219 2467 7225
-rect 2958 7216 2964 7228
-rect 3016 7216 3022 7268
-rect 3142 7216 3148 7268
-rect 3200 7256 3206 7268
-rect 3988 7256 4016 7287
-rect 5074 7284 5080 7296
-rect 5132 7284 5138 7336
-rect 7098 7324 7104 7336
-rect 7011 7296 7104 7324
-rect 7098 7284 7104 7296
-rect 7156 7284 7162 7336
-rect 7190 7284 7196 7336
-rect 7248 7324 7254 7336
-rect 7817 7327 7875 7333
-rect 7817 7324 7829 7327
-rect 7248 7296 7829 7324
-rect 7248 7284 7254 7296
-rect 7817 7293 7829 7296
-rect 7863 7324 7875 7327
-rect 7926 7324 7932 7336
-rect 7863 7296 7932 7324
-rect 7863 7293 7875 7296
-rect 7817 7287 7875 7293
-rect 7926 7284 7932 7296
-rect 7984 7284 7990 7336
-rect 8113 7327 8171 7333
-rect 8113 7293 8125 7327
-rect 8159 7324 8171 7327
-rect 8938 7324 8944 7336
-rect 8159 7296 8944 7324
-rect 8159 7293 8171 7296
-rect 8113 7287 8171 7293
-rect 8938 7284 8944 7296
-rect 8996 7284 9002 7336
-rect 9950 7324 9956 7336
-rect 9911 7296 9956 7324
-rect 9950 7284 9956 7296
-rect 10008 7284 10014 7336
-rect 10045 7327 10103 7333
-rect 10045 7293 10057 7327
-rect 10091 7293 10103 7327
-rect 10336 7324 10364 7355
-rect 10870 7352 10876 7404
-rect 10928 7392 10934 7404
-rect 11517 7395 11575 7401
-rect 11517 7392 11529 7395
-rect 10928 7364 11529 7392
-rect 10928 7352 10934 7364
-rect 11517 7361 11529 7364
-rect 11563 7361 11575 7395
-rect 11517 7355 11575 7361
-rect 11790 7352 11796 7404
-rect 11848 7392 11854 7404
-rect 12161 7395 12219 7401
-rect 12161 7392 12173 7395
-rect 11848 7364 12173 7392
-rect 11848 7352 11854 7364
-rect 12161 7361 12173 7364
-rect 12207 7361 12219 7395
-rect 12161 7355 12219 7361
-rect 12713 7395 12771 7401
-rect 12713 7361 12725 7395
-rect 12759 7392 12771 7395
-rect 12759 7364 13308 7392
-rect 12759 7361 12771 7364
-rect 12713 7355 12771 7361
-rect 10502 7324 10508 7336
-rect 10336 7296 10508 7324
-rect 10045 7287 10103 7293
-rect 7006 7256 7012 7268
-rect 3200 7228 4016 7256
-rect 6967 7228 7012 7256
-rect 3200 7216 3206 7228
-rect 7006 7216 7012 7228
-rect 7064 7216 7070 7268
-rect 7116 7256 7144 7284
-rect 8662 7256 8668 7268
-rect 7116 7228 8668 7256
-rect 8662 7216 8668 7228
-rect 8720 7216 8726 7268
-rect 10060 7256 10088 7287
-rect 10502 7284 10508 7296
-rect 10560 7284 10566 7336
-rect 10962 7324 10968 7336
-rect 10923 7296 10968 7324
-rect 10962 7284 10968 7296
-rect 11020 7284 11026 7336
-rect 11057 7327 11115 7333
-rect 11057 7293 11069 7327
-rect 11103 7293 11115 7327
-rect 11057 7287 11115 7293
-rect 11885 7327 11943 7333
-rect 11885 7293 11897 7327
-rect 11931 7324 11943 7327
-rect 12434 7324 12440 7336
-rect 11931 7296 12440 7324
-rect 11931 7293 11943 7296
-rect 11885 7287 11943 7293
-rect 10134 7256 10140 7268
-rect 10060 7228 10140 7256
-rect 10134 7216 10140 7228
-rect 10192 7256 10198 7268
-rect 11072 7256 11100 7287
-rect 12434 7284 12440 7296
-rect 12492 7324 12498 7336
-rect 12894 7324 12900 7336
-rect 12492 7296 12900 7324
-rect 12492 7284 12498 7296
-rect 12894 7284 12900 7296
-rect 12952 7284 12958 7336
-rect 13280 7324 13308 7364
-rect 13354 7352 13360 7404
-rect 13412 7392 13418 7404
-rect 13412 7364 13457 7392
-rect 13412 7352 13418 7364
-rect 13280 7296 13584 7324
-rect 10192 7228 11100 7256
-rect 10192 7216 10198 7228
-rect 13556 7200 13584 7296
-rect 4433 7191 4491 7197
-rect 4433 7157 4445 7191
-rect 4479 7188 4491 7191
+rect 6730 7460 6736 7472
+rect 5644 7432 6736 7460
+rect 4893 7395 4951 7401
+rect 4893 7392 4905 7395
+rect 4816 7364 4905 7392
+rect 4709 7355 4767 7361
+rect 4893 7361 4905 7364
+rect 4939 7361 4951 7395
+rect 5442 7392 5448 7404
+rect 5403 7364 5448 7392
+rect 4893 7355 4951 7361
+rect 2590 7324 2596 7336
+rect 2551 7296 2596 7324
+rect 2590 7284 2596 7296
+rect 2648 7284 2654 7336
+rect 2774 7284 2780 7336
+rect 2832 7324 2838 7336
+rect 3050 7324 3056 7336
+rect 2832 7296 2877 7324
+rect 3011 7296 3056 7324
+rect 2832 7284 2838 7296
+rect 3050 7284 3056 7296
+rect 3108 7284 3114 7336
+rect 3510 7284 3516 7336
+rect 3568 7324 3574 7336
+rect 4724 7324 4752 7355
+rect 3568 7296 4752 7324
+rect 4908 7324 4936 7355
+rect 5442 7352 5448 7364
+rect 5500 7352 5506 7404
+rect 5534 7352 5540 7404
+rect 5592 7392 5598 7404
+rect 5644 7401 5672 7432
+rect 6730 7420 6736 7432
+rect 6788 7420 6794 7472
+rect 6914 7460 6920 7472
+rect 6840 7432 6920 7460
+rect 5629 7395 5687 7401
+rect 5629 7392 5641 7395
+rect 5592 7364 5641 7392
+rect 5592 7352 5598 7364
+rect 5629 7361 5641 7364
+rect 5675 7361 5687 7395
+rect 5629 7355 5687 7361
+rect 5718 7352 5724 7404
+rect 5776 7392 5782 7404
+rect 5813 7395 5871 7401
+rect 5813 7392 5825 7395
+rect 5776 7364 5825 7392
+rect 5776 7352 5782 7364
+rect 5813 7361 5825 7364
+rect 5859 7392 5871 7395
+rect 5997 7395 6055 7401
+rect 5997 7392 6009 7395
+rect 5859 7364 6009 7392
+rect 5859 7361 5871 7364
+rect 5813 7355 5871 7361
+rect 5997 7361 6009 7364
+rect 6043 7361 6055 7395
+rect 5997 7355 6055 7361
+rect 6270 7352 6276 7404
+rect 6328 7392 6334 7404
+rect 6840 7401 6868 7432
+rect 6914 7420 6920 7432
+rect 6972 7420 6978 7472
+rect 7558 7420 7564 7472
+rect 7616 7420 7622 7472
+rect 7668 7432 8524 7460
+rect 6641 7395 6699 7401
+rect 6641 7392 6653 7395
+rect 6328 7364 6653 7392
+rect 6328 7352 6334 7364
+rect 6641 7361 6653 7364
+rect 6687 7361 6699 7395
+rect 6641 7355 6699 7361
+rect 6825 7395 6883 7401
+rect 6825 7361 6837 7395
+rect 6871 7361 6883 7395
+rect 6825 7355 6883 7361
+rect 7101 7395 7159 7401
+rect 7101 7361 7113 7395
+rect 7147 7392 7159 7395
+rect 7190 7392 7196 7404
+rect 7147 7364 7196 7392
+rect 7147 7361 7159 7364
+rect 7101 7355 7159 7361
+rect 6086 7324 6092 7336
+rect 4908 7296 6092 7324
+rect 3568 7284 3574 7296
+rect 6086 7284 6092 7296
+rect 6144 7284 6150 7336
+rect 6656 7324 6684 7355
+rect 7190 7352 7196 7364
+rect 7248 7352 7254 7404
+rect 7357 7395 7415 7401
+rect 7357 7361 7369 7395
+rect 7403 7392 7415 7395
+rect 7576 7392 7604 7420
+rect 7668 7401 7696 7432
+rect 7403 7364 7604 7392
+rect 7653 7395 7711 7401
+rect 7403 7361 7415 7364
+rect 7357 7355 7415 7361
+rect 7653 7361 7665 7395
+rect 7699 7361 7711 7395
+rect 7653 7355 7711 7361
+rect 8496 7392 8524 7432
+rect 8938 7392 8944 7404
+rect 8496 7364 8944 7392
+rect 7006 7324 7012 7336
+rect 6656 7296 7012 7324
+rect 7006 7284 7012 7296
+rect 7064 7284 7070 7336
+rect 7561 7327 7619 7333
+rect 7561 7293 7573 7327
+rect 7607 7293 7619 7327
+rect 7561 7287 7619 7293
+rect 8021 7327 8079 7333
+rect 8021 7293 8033 7327
+rect 8067 7324 8079 7327
+rect 8386 7324 8392 7336
+rect 8067 7296 8392 7324
+rect 8067 7293 8079 7296
+rect 8021 7287 8079 7293
+rect 1762 7216 1768 7268
+rect 1820 7256 1826 7268
+rect 4801 7259 4859 7265
+rect 4801 7256 4813 7259
+rect 1820 7228 2176 7256
+rect 1820 7216 1826 7228
+rect 1486 7188 1492 7200
+rect 1447 7160 1492 7188
+rect 1486 7148 1492 7160
+rect 1544 7148 1550 7200
+rect 1946 7188 1952 7200
+rect 1907 7160 1952 7188
+rect 1946 7148 1952 7160
+rect 2004 7148 2010 7200
+rect 2148 7188 2176 7228
+rect 4080 7228 4813 7256
+rect 4080 7188 4108 7228
+rect 4801 7225 4813 7228
+rect 4847 7225 4859 7259
+rect 4801 7219 4859 7225
+rect 5629 7259 5687 7265
+rect 5629 7225 5641 7259
+rect 5675 7256 5687 7259
+rect 5718 7256 5724 7268
+rect 5675 7228 5724 7256
+rect 5675 7225 5687 7228
+rect 5629 7219 5687 7225
+rect 5718 7216 5724 7228
+rect 5776 7216 5782 7268
+rect 7576 7256 7604 7287
+rect 8036 7256 8064 7287
+rect 8386 7284 8392 7296
+rect 8444 7284 8450 7336
+rect 7576 7228 8064 7256
+rect 8294 7216 8300 7268
+rect 8352 7256 8358 7268
+rect 8496 7256 8524 7364
+rect 8938 7352 8944 7364
+rect 8996 7352 9002 7404
+rect 9232 7401 9260 7500
+rect 9677 7497 9689 7531
+rect 9723 7528 9735 7531
+rect 10686 7528 10692 7540
+rect 9723 7500 10692 7528
+rect 9723 7497 9735 7500
+rect 9677 7491 9735 7497
+rect 10686 7488 10692 7500
+rect 10744 7488 10750 7540
+rect 12250 7488 12256 7540
+rect 12308 7528 12314 7540
+rect 12805 7531 12863 7537
+rect 12805 7528 12817 7531
+rect 12308 7500 12817 7528
+rect 12308 7488 12314 7500
+rect 12805 7497 12817 7500
+rect 12851 7497 12863 7531
+rect 12805 7491 12863 7497
+rect 12066 7420 12072 7472
+rect 12124 7460 12130 7472
+rect 12345 7463 12403 7469
+rect 12345 7460 12357 7463
+rect 12124 7432 12357 7460
+rect 12124 7420 12130 7432
+rect 12345 7429 12357 7432
+rect 12391 7429 12403 7463
+rect 12345 7423 12403 7429
+rect 9217 7395 9275 7401
+rect 9217 7361 9229 7395
+rect 9263 7361 9275 7395
+rect 9217 7355 9275 7361
+rect 9401 7395 9459 7401
+rect 9401 7361 9413 7395
+rect 9447 7392 9459 7395
+rect 9953 7395 10011 7401
+rect 9953 7392 9965 7395
+rect 9447 7364 9965 7392
+rect 9447 7361 9459 7364
+rect 9401 7355 9459 7361
+rect 9953 7361 9965 7364
+rect 9999 7361 10011 7395
+rect 10226 7392 10232 7404
+rect 10187 7364 10232 7392
+rect 9953 7355 10011 7361
+rect 8846 7324 8852 7336
+rect 8807 7296 8852 7324
+rect 8846 7284 8852 7296
+rect 8904 7284 8910 7336
+rect 8352 7228 8524 7256
+rect 8352 7216 8358 7228
+rect 2148 7160 4108 7188
+rect 4525 7191 4583 7197
+rect 4525 7157 4537 7191
+rect 4571 7188 4583 7191
rect 4614 7188 4620 7200
-rect 4479 7160 4620 7188
-rect 4479 7157 4491 7160
-rect 4433 7151 4491 7157
+rect 4571 7160 4620 7188
+rect 4571 7157 4583 7160
+rect 4525 7151 4583 7157
rect 4614 7148 4620 7160
rect 4672 7148 4678 7200
-rect 5166 7148 5172 7200
-rect 5224 7188 5230 7200
-rect 7377 7191 7435 7197
-rect 7377 7188 7389 7191
-rect 5224 7160 7389 7188
-rect 5224 7148 5230 7160
-rect 7377 7157 7389 7160
-rect 7423 7157 7435 7191
-rect 7377 7151 7435 7157
-rect 7742 7148 7748 7200
-rect 7800 7188 7806 7200
-rect 8297 7191 8355 7197
-rect 8297 7188 8309 7191
-rect 7800 7160 8309 7188
-rect 7800 7148 7806 7160
-rect 8297 7157 8309 7160
-rect 8343 7188 8355 7191
-rect 8478 7188 8484 7200
-rect 8343 7160 8484 7188
-rect 8343 7157 8355 7160
-rect 8297 7151 8355 7157
-rect 8478 7148 8484 7160
-rect 8536 7148 8542 7200
-rect 8941 7191 8999 7197
-rect 8941 7157 8953 7191
-rect 8987 7188 8999 7191
-rect 9030 7188 9036 7200
-rect 8987 7160 9036 7188
-rect 8987 7157 8999 7160
-rect 8941 7151 8999 7157
-rect 9030 7148 9036 7160
-rect 9088 7148 9094 7200
-rect 9858 7148 9864 7200
-rect 9916 7188 9922 7200
-rect 10410 7188 10416 7200
-rect 9916 7160 10416 7188
-rect 9916 7148 9922 7160
-rect 10410 7148 10416 7160
-rect 10468 7148 10474 7200
-rect 10505 7191 10563 7197
-rect 10505 7157 10517 7191
-rect 10551 7188 10563 7191
-rect 10778 7188 10784 7200
-rect 10551 7160 10784 7188
-rect 10551 7157 10563 7160
-rect 10505 7151 10563 7157
-rect 10778 7148 10784 7160
-rect 10836 7148 10842 7200
-rect 11054 7148 11060 7200
-rect 11112 7188 11118 7200
-rect 11609 7191 11667 7197
-rect 11609 7188 11621 7191
-rect 11112 7160 11621 7188
-rect 11112 7148 11118 7160
-rect 11609 7157 11621 7160
-rect 11655 7157 11667 7191
-rect 13170 7188 13176 7200
-rect 13131 7160 13176 7188
-rect 11609 7151 11667 7157
-rect 13170 7148 13176 7160
-rect 13228 7148 13234 7200
-rect 13538 7188 13544 7200
-rect 13499 7160 13544 7188
-rect 13538 7148 13544 7160
-rect 13596 7148 13602 7200
+rect 5258 7188 5264 7200
+rect 5219 7160 5264 7188
+rect 5258 7148 5264 7160
+rect 5316 7148 5322 7200
+rect 8018 7148 8024 7200
+rect 8076 7188 8082 7200
+rect 9416 7188 9444 7355
+rect 9769 7327 9827 7333
+rect 9769 7293 9781 7327
+rect 9815 7324 9827 7327
+rect 9861 7327 9919 7333
+rect 9861 7324 9873 7327
+rect 9815 7296 9873 7324
+rect 9815 7293 9827 7296
+rect 9769 7287 9827 7293
+rect 9861 7293 9873 7296
+rect 9907 7293 9919 7327
+rect 9968 7324 9996 7355
+rect 10226 7352 10232 7364
+rect 10284 7352 10290 7404
+rect 11054 7352 11060 7404
+rect 11112 7392 11118 7404
+rect 11793 7395 11851 7401
+rect 11793 7392 11805 7395
+rect 11112 7364 11805 7392
+rect 11112 7352 11118 7364
+rect 11793 7361 11805 7364
+rect 11839 7361 11851 7395
+rect 12250 7392 12256 7404
+rect 12211 7364 12256 7392
+rect 11793 7355 11851 7361
+rect 12250 7352 12256 7364
+rect 12308 7352 12314 7404
+rect 10502 7324 10508 7336
+rect 9968 7296 10508 7324
+rect 9861 7287 9919 7293
+rect 10502 7284 10508 7296
+rect 10560 7284 10566 7336
+rect 12066 7284 12072 7336
+rect 12124 7324 12130 7336
+rect 12437 7327 12495 7333
+rect 12437 7324 12449 7327
+rect 12124 7296 12449 7324
+rect 12124 7284 12130 7296
+rect 12437 7293 12449 7296
+rect 12483 7293 12495 7327
+rect 12437 7287 12495 7293
+rect 12805 7327 12863 7333
+rect 12805 7293 12817 7327
+rect 12851 7324 12863 7327
+rect 12897 7327 12955 7333
+rect 12897 7324 12909 7327
+rect 12851 7296 12909 7324
+rect 12851 7293 12863 7296
+rect 12805 7287 12863 7293
+rect 12897 7293 12909 7296
+rect 12943 7293 12955 7327
+rect 12897 7287 12955 7293
+rect 8076 7160 9444 7188
+rect 8076 7148 8082 7160
rect 1104 7098 13892 7120
rect 1104 7046 4214 7098
rect 4266 7046 4278 7098
@@ -5699,469 +6566,285 @@ rect 12394 7046 12406 7098
rect 12458 7046 12470 7098
rect 12522 7046 13892 7098
rect 1104 7024 13892 7046
-rect 1489 6987 1547 6993
-rect 1489 6953 1501 6987
-rect 1535 6984 1547 6987
-rect 1670 6984 1676 6996
-rect 1535 6956 1676 6984
-rect 1535 6953 1547 6956
-rect 1489 6947 1547 6953
-rect 1670 6944 1676 6956
-rect 1728 6944 1734 6996
-rect 3878 6984 3884 6996
-rect 3839 6956 3884 6984
-rect 3878 6944 3884 6956
-rect 3936 6944 3942 6996
-rect 5064 6987 5122 6993
-rect 5064 6953 5076 6987
-rect 5110 6984 5122 6987
-rect 5166 6984 5172 6996
-rect 5110 6956 5172 6984
-rect 5110 6953 5122 6956
-rect 5064 6947 5122 6953
-rect 5166 6944 5172 6956
-rect 5224 6944 5230 6996
-rect 6549 6987 6607 6993
-rect 6549 6953 6561 6987
-rect 6595 6984 6607 6987
-rect 7190 6984 7196 6996
-rect 6595 6956 7196 6984
-rect 6595 6953 6607 6956
-rect 6549 6947 6607 6953
-rect 7190 6944 7196 6956
-rect 7248 6944 7254 6996
-rect 7282 6944 7288 6996
-rect 7340 6984 7346 6996
-rect 7377 6987 7435 6993
-rect 7377 6984 7389 6987
-rect 7340 6956 7389 6984
-rect 7340 6944 7346 6956
-rect 7377 6953 7389 6956
-rect 7423 6953 7435 6987
-rect 7377 6947 7435 6953
-rect 8754 6944 8760 6996
-rect 8812 6984 8818 6996
-rect 10962 6984 10968 6996
-rect 8812 6956 10968 6984
-rect 8812 6944 8818 6956
-rect 7558 6916 7564 6928
-rect 6748 6888 7564 6916
-rect 1486 6808 1492 6860
-rect 1544 6848 1550 6860
-rect 1581 6851 1639 6857
-rect 1581 6848 1593 6851
-rect 1544 6820 1593 6848
-rect 1544 6808 1550 6820
-rect 1581 6817 1593 6820
-rect 1627 6817 1639 6851
-rect 1581 6811 1639 6817
-rect 4801 6851 4859 6857
-rect 4801 6817 4813 6851
-rect 4847 6848 4859 6851
-rect 5534 6848 5540 6860
-rect 4847 6820 5540 6848
-rect 4847 6817 4859 6820
-rect 4801 6811 4859 6817
-rect 5534 6808 5540 6820
-rect 5592 6808 5598 6860
-rect 2593 6783 2651 6789
-rect 2593 6749 2605 6783
-rect 2639 6780 2651 6783
-rect 3142 6780 3148 6792
-rect 2639 6752 3148 6780
-rect 2639 6749 2651 6752
-rect 2593 6743 2651 6749
-rect 3142 6740 3148 6752
-rect 3200 6740 3206 6792
-rect 3329 6783 3387 6789
-rect 3329 6749 3341 6783
-rect 3375 6780 3387 6783
-rect 3694 6780 3700 6792
-rect 3375 6752 3700 6780
-rect 3375 6749 3387 6752
-rect 3329 6743 3387 6749
-rect 3694 6740 3700 6752
-rect 3752 6740 3758 6792
-rect 3789 6783 3847 6789
-rect 3789 6749 3801 6783
-rect 3835 6749 3847 6783
-rect 3970 6780 3976 6792
-rect 3931 6752 3976 6780
-rect 3789 6743 3847 6749
-rect 2866 6672 2872 6724
-rect 2924 6712 2930 6724
-rect 3237 6715 3295 6721
-rect 3237 6712 3249 6715
-rect 2924 6684 3249 6712
-rect 2924 6672 2930 6684
-rect 3237 6681 3249 6684
-rect 3283 6681 3295 6715
-rect 3804 6712 3832 6743
-rect 3970 6740 3976 6752
-rect 4028 6780 4034 6792
-rect 4249 6783 4307 6789
-rect 4249 6780 4261 6783
-rect 4028 6752 4261 6780
-rect 4028 6740 4034 6752
-rect 4249 6749 4261 6752
-rect 4295 6749 4307 6783
-rect 6748 6780 6776 6888
-rect 7558 6876 7564 6888
-rect 7616 6876 7622 6928
-rect 6825 6851 6883 6857
-rect 6825 6817 6837 6851
-rect 6871 6848 6883 6851
-rect 6871 6820 7696 6848
-rect 6871 6817 6883 6820
-rect 6825 6811 6883 6817
-rect 7558 6789 7564 6792
-rect 6917 6783 6975 6789
-rect 6917 6780 6929 6783
-rect 6748 6752 6929 6780
-rect 4249 6743 4307 6749
-rect 6917 6749 6929 6752
-rect 6963 6749 6975 6783
-rect 7193 6783 7251 6789
-rect 7193 6780 7205 6783
-rect 6917 6743 6975 6749
-rect 7024 6752 7205 6780
-rect 3237 6675 3295 6681
-rect 3620 6684 3832 6712
-rect 3620 6656 3648 6684
-rect 5810 6672 5816 6724
-rect 5868 6672 5874 6724
-rect 1394 6604 1400 6656
-rect 1452 6644 1458 6656
-rect 1857 6647 1915 6653
-rect 1857 6644 1869 6647
-rect 1452 6616 1869 6644
-rect 1452 6604 1458 6616
-rect 1857 6613 1869 6616
-rect 1903 6613 1915 6647
-rect 1857 6607 1915 6613
-rect 2774 6604 2780 6656
-rect 2832 6644 2838 6656
-rect 3513 6647 3571 6653
-rect 2832 6616 2877 6644
-rect 2832 6604 2838 6616
-rect 3513 6613 3525 6647
-rect 3559 6644 3571 6647
-rect 3602 6644 3608 6656
-rect 3559 6616 3608 6644
-rect 3559 6613 3571 6616
-rect 3513 6607 3571 6613
-rect 3602 6604 3608 6616
-rect 3660 6604 3666 6656
-rect 7024 6644 7052 6752
-rect 7193 6749 7205 6752
-rect 7239 6749 7251 6783
-rect 7556 6780 7564 6789
-rect 7519 6752 7564 6780
-rect 7193 6743 7251 6749
-rect 7556 6743 7564 6752
-rect 7558 6740 7564 6743
-rect 7616 6740 7622 6792
-rect 7668 6780 7696 6820
-rect 7742 6808 7748 6860
-rect 7800 6848 7806 6860
-rect 7800 6820 8064 6848
-rect 7800 6808 7806 6820
-rect 8036 6789 8064 6820
-rect 8570 6808 8576 6860
+rect 1752 6987 1810 6993
+rect 1752 6953 1764 6987
+rect 1798 6984 1810 6987
+rect 1946 6984 1952 6996
+rect 1798 6956 1952 6984
+rect 1798 6953 1810 6956
+rect 1752 6947 1810 6953
+rect 1946 6944 1952 6956
+rect 2004 6944 2010 6996
+rect 3237 6987 3295 6993
+rect 3237 6953 3249 6987
+rect 3283 6984 3295 6987
+rect 3510 6984 3516 6996
+rect 3283 6956 3516 6984
+rect 3283 6953 3295 6956
+rect 3237 6947 3295 6953
+rect 3510 6944 3516 6956
+rect 3568 6944 3574 6996
+rect 3970 6944 3976 6996
+rect 4028 6984 4034 6996
+rect 4154 6984 4160 6996
+rect 4028 6956 4160 6984
+rect 4028 6944 4034 6956
+rect 4154 6944 4160 6956
+rect 4212 6984 4218 6996
+rect 4249 6987 4307 6993
+rect 4249 6984 4261 6987
+rect 4212 6956 4261 6984
+rect 4212 6944 4218 6956
+rect 4249 6953 4261 6956
+rect 4295 6984 4307 6987
+rect 5626 6984 5632 6996
+rect 4295 6956 5632 6984
+rect 4295 6953 4307 6956
+rect 4249 6947 4307 6953
+rect 5626 6944 5632 6956
+rect 5684 6944 5690 6996
+rect 5892 6987 5950 6993
+rect 5892 6953 5904 6987
+rect 5938 6984 5950 6987
+rect 7374 6984 7380 6996
+rect 5938 6956 7380 6984
+rect 5938 6953 5950 6956
+rect 5892 6947 5950 6953
+rect 7374 6944 7380 6956
+rect 7432 6944 7438 6996
+rect 5644 6916 5672 6944
+rect 2792 6888 4200 6916
+rect 5644 6888 5764 6916
+rect 2792 6860 2820 6888
+rect 3988 6860 4016 6888
+rect 1489 6851 1547 6857
+rect 1489 6817 1501 6851
+rect 1535 6848 1547 6851
+rect 2774 6848 2780 6860
+rect 1535 6820 2780 6848
+rect 1535 6817 1547 6820
+rect 1489 6811 1547 6817
+rect 2774 6808 2780 6820
+rect 2832 6808 2838 6860
+rect 3970 6808 3976 6860
+rect 4028 6808 4034 6860
+rect 4172 6848 4200 6888
+rect 4525 6851 4583 6857
+rect 4525 6848 4537 6851
+rect 4172 6820 4537 6848
+rect 4525 6817 4537 6820
+rect 4571 6848 4583 6851
+rect 5626 6848 5632 6860
+rect 4571 6820 5632 6848
+rect 4571 6817 4583 6820
+rect 4525 6811 4583 6817
+rect 5626 6808 5632 6820
+rect 5684 6808 5690 6860
+rect 5736 6848 5764 6888
+rect 7834 6876 7840 6928
+rect 7892 6916 7898 6928
+rect 9674 6916 9680 6928
+rect 7892 6888 9680 6916
+rect 7892 6876 7898 6888
+rect 6454 6848 6460 6860
+rect 5736 6820 6460 6848
+rect 6454 6808 6460 6820
+rect 6512 6848 6518 6860
+rect 6914 6848 6920 6860
+rect 6512 6820 6920 6848
+rect 6512 6808 6518 6820
+rect 6914 6808 6920 6820
+rect 6972 6808 6978 6860
+rect 7377 6851 7435 6857
+rect 7377 6817 7389 6851
+rect 7423 6848 7435 6851
+rect 7650 6848 7656 6860
+rect 7423 6820 7656 6848
+rect 7423 6817 7435 6820
+rect 7377 6811 7435 6817
+rect 7650 6808 7656 6820
+rect 7708 6808 7714 6860
+rect 7745 6851 7803 6857
+rect 7745 6817 7757 6851
+rect 7791 6848 7803 6851
+rect 8570 6848 8576 6860
+rect 7791 6820 8576 6848
+rect 7791 6817 7803 6820
+rect 7745 6811 7803 6817
+rect 8570 6808 8576 6820
rect 8628 6848 8634 6860
-rect 8665 6851 8723 6857
-rect 8665 6848 8677 6851
-rect 8628 6820 8677 6848
+rect 9324 6857 9352 6888
+rect 9674 6876 9680 6888
+rect 9732 6876 9738 6928
+rect 13262 6916 13268 6928
+rect 13223 6888 13268 6916
+rect 13262 6876 13268 6888
+rect 13320 6876 13326 6928
+rect 8757 6851 8815 6857
+rect 8757 6848 8769 6851
+rect 8628 6820 8769 6848
rect 8628 6808 8634 6820
-rect 8665 6817 8677 6820
-rect 8711 6848 8723 6851
-rect 8772 6848 8800 6944
-rect 9122 6876 9128 6928
-rect 9180 6916 9186 6928
-rect 9180 6888 9536 6916
-rect 9180 6876 9186 6888
-rect 9398 6848 9404 6860
-rect 8711 6820 8800 6848
-rect 8864 6820 9404 6848
-rect 8711 6817 8723 6820
-rect 8665 6811 8723 6817
-rect 8864 6792 8892 6820
-rect 9398 6808 9404 6820
-rect 9456 6808 9462 6860
-rect 9508 6857 9536 6888
-rect 9493 6851 9551 6857
-rect 9493 6817 9505 6851
-rect 9539 6817 9551 6851
-rect 9493 6811 9551 6817
-rect 7873 6783 7931 6789
-rect 7873 6780 7885 6783
-rect 7668 6752 7885 6780
-rect 7873 6749 7885 6752
-rect 7919 6749 7931 6783
-rect 7873 6743 7931 6749
-rect 8021 6783 8079 6789
-rect 8021 6749 8033 6783
-rect 8067 6749 8079 6783
-rect 8021 6743 8079 6749
-rect 8110 6740 8116 6792
-rect 8168 6780 8174 6792
-rect 8389 6783 8447 6789
-rect 8389 6780 8401 6783
-rect 8168 6752 8401 6780
-rect 8168 6740 8174 6752
-rect 8389 6749 8401 6752
-rect 8435 6749 8447 6783
-rect 8389 6743 8447 6749
-rect 8478 6740 8484 6792
-rect 8536 6780 8542 6792
-rect 8754 6780 8760 6792
-rect 8536 6752 8629 6780
-rect 8715 6752 8760 6780
-rect 8536 6740 8542 6752
-rect 8754 6740 8760 6752
-rect 8812 6740 8818 6792
+rect 8757 6817 8769 6820
+rect 8803 6817 8815 6851
+rect 8757 6811 8815 6817
+rect 9309 6851 9367 6857
+rect 9309 6817 9321 6851
+rect 9355 6817 9367 6851
+rect 11606 6848 11612 6860
+rect 9309 6811 9367 6817
+rect 9600 6820 11612 6848
+rect 2866 6740 2872 6792
+rect 2924 6740 2930 6792
+rect 3326 6740 3332 6792
+rect 3384 6780 3390 6792
+rect 3421 6783 3479 6789
+rect 3421 6780 3433 6783
+rect 3384 6752 3433 6780
+rect 3384 6740 3390 6752
+rect 3421 6749 3433 6752
+rect 3467 6749 3479 6783
+rect 3421 6743 3479 6749
+rect 3786 6740 3792 6792
+rect 3844 6780 3850 6792
+rect 3881 6783 3939 6789
+rect 3881 6780 3893 6783
+rect 3844 6752 3893 6780
+rect 3844 6740 3850 6752
+rect 3881 6749 3893 6752
+rect 3927 6749 3939 6783
+rect 4154 6780 4160 6792
+rect 4115 6752 4160 6780
+rect 3881 6743 3939 6749
+rect 4154 6740 4160 6752
+rect 4212 6740 4218 6792
+rect 5258 6780 5264 6792
+rect 5219 6752 5264 6780
+rect 5258 6740 5264 6752
+rect 5316 6740 5322 6792
+rect 7190 6740 7196 6792
+rect 7248 6780 7254 6792
+rect 7929 6783 7987 6789
+rect 7929 6780 7941 6783
+rect 7248 6752 7941 6780
+rect 7248 6740 7254 6752
+rect 7760 6724 7788 6752
+rect 7929 6749 7941 6752
+rect 7975 6780 7987 6783
+rect 8018 6780 8024 6792
+rect 7975 6752 8024 6780
+rect 7975 6749 7987 6752
+rect 7929 6743 7987 6749
+rect 8018 6740 8024 6752
+rect 8076 6740 8082 6792
+rect 8113 6783 8171 6789
+rect 8113 6749 8125 6783
+rect 8159 6749 8171 6783
+rect 8113 6743 8171 6749
+rect 8205 6783 8263 6789
+rect 8205 6749 8217 6783
+rect 8251 6780 8263 6783
+rect 8294 6780 8300 6792
+rect 8251 6752 8300 6780
+rect 8251 6749 8263 6752
+rect 8205 6743 8263 6749
+rect 6546 6672 6552 6724
+rect 6604 6672 6610 6724
+rect 7742 6672 7748 6724
+rect 7800 6672 7806 6724
+rect 8128 6712 8156 6743
+rect 8294 6740 8300 6752
+rect 8352 6740 8358 6792
+rect 8386 6740 8392 6792
+rect 8444 6780 8450 6792
+rect 8444 6752 8800 6780
+rect 8444 6740 8450 6752
+rect 8772 6724 8800 6752
rect 8846 6740 8852 6792
-rect 8904 6740 8910 6792
-rect 9030 6740 9036 6792
-rect 9088 6780 9094 6792
-rect 9217 6783 9275 6789
-rect 9217 6780 9229 6783
-rect 9088 6752 9229 6780
-rect 9088 6740 9094 6752
-rect 9217 6749 9229 6752
-rect 9263 6749 9275 6783
-rect 9217 6743 9275 6749
-rect 9306 6740 9312 6792
-rect 9364 6780 9370 6792
-rect 9677 6783 9735 6789
-rect 9364 6752 9409 6780
-rect 9364 6740 9370 6752
-rect 9677 6749 9689 6783
-rect 9723 6749 9735 6783
-rect 9784 6780 9812 6956
-rect 10962 6944 10968 6956
-rect 11020 6944 11026 6996
-rect 11609 6987 11667 6993
-rect 11609 6953 11621 6987
-rect 11655 6984 11667 6987
-rect 11655 6956 12434 6984
-rect 11655 6953 11667 6956
-rect 11609 6947 11667 6953
-rect 9950 6876 9956 6928
-rect 10008 6916 10014 6928
-rect 10045 6919 10103 6925
-rect 10045 6916 10057 6919
-rect 10008 6888 10057 6916
-rect 10008 6876 10014 6888
-rect 10045 6885 10057 6888
-rect 10091 6885 10103 6919
-rect 10502 6916 10508 6928
-rect 10045 6879 10103 6885
-rect 10152 6888 10508 6916
-rect 9861 6851 9919 6857
-rect 9861 6817 9873 6851
-rect 9907 6848 9919 6851
-rect 10152 6848 10180 6888
-rect 10502 6876 10508 6888
-rect 10560 6916 10566 6928
-rect 11793 6919 11851 6925
-rect 10560 6888 11100 6916
-rect 10560 6876 10566 6888
-rect 10870 6848 10876 6860
-rect 9907 6820 10180 6848
-rect 10336 6820 10876 6848
-rect 9907 6817 9919 6820
-rect 9861 6811 9919 6817
-rect 10336 6792 10364 6820
-rect 10870 6808 10876 6820
-rect 10928 6808 10934 6860
-rect 10177 6783 10235 6789
-rect 10177 6780 10189 6783
-rect 9784 6752 10189 6780
-rect 9677 6743 9735 6749
-rect 10177 6749 10189 6752
-rect 10223 6749 10235 6783
-rect 10177 6743 10235 6749
-rect 7101 6715 7159 6721
-rect 7101 6681 7113 6715
-rect 7147 6712 7159 6715
-rect 7653 6715 7711 6721
-rect 7653 6712 7665 6715
-rect 7147 6684 7665 6712
-rect 7147 6681 7159 6684
-rect 7101 6675 7159 6681
-rect 7653 6681 7665 6684
-rect 7699 6681 7711 6715
-rect 7653 6675 7711 6681
-rect 7745 6715 7803 6721
-rect 7745 6681 7757 6715
-rect 7791 6712 7803 6715
-rect 8202 6712 8208 6724
-rect 7791 6684 8208 6712
-rect 7791 6681 7803 6684
-rect 7745 6675 7803 6681
-rect 7760 6644 7788 6675
-rect 8202 6672 8208 6684
-rect 8260 6672 8266 6724
-rect 8496 6712 8524 6740
-rect 9692 6712 9720 6743
-rect 10318 6740 10324 6792
-rect 10376 6780 10382 6792
-rect 10376 6752 10469 6780
-rect 10376 6740 10382 6752
-rect 10502 6740 10508 6792
-rect 10560 6780 10566 6792
-rect 10597 6783 10655 6789
-rect 10597 6780 10609 6783
-rect 10560 6752 10609 6780
-rect 10560 6740 10566 6752
-rect 10597 6749 10609 6752
-rect 10643 6749 10655 6783
-rect 10778 6780 10784 6792
-rect 10739 6752 10784 6780
-rect 10597 6743 10655 6749
-rect 10778 6740 10784 6752
-rect 10836 6740 10842 6792
-rect 11072 6789 11100 6888
-rect 11793 6885 11805 6919
-rect 11839 6885 11851 6919
-rect 11793 6879 11851 6885
-rect 11425 6851 11483 6857
-rect 11425 6817 11437 6851
-rect 11471 6848 11483 6851
-rect 11808 6848 11836 6879
-rect 11471 6820 11836 6848
-rect 12406 6848 12434 6956
-rect 12618 6944 12624 6996
-rect 12676 6984 12682 6996
-rect 12805 6987 12863 6993
-rect 12805 6984 12817 6987
-rect 12676 6956 12817 6984
-rect 12676 6944 12682 6956
-rect 12805 6953 12817 6956
-rect 12851 6953 12863 6987
-rect 12805 6947 12863 6953
-rect 12894 6848 12900 6860
-rect 12406 6820 12900 6848
-rect 11471 6817 11483 6820
-rect 11425 6811 11483 6817
-rect 10965 6783 11023 6789
-rect 10965 6749 10977 6783
-rect 11011 6749 11023 6783
-rect 10965 6743 11023 6749
-rect 11057 6783 11115 6789
-rect 11057 6749 11069 6783
-rect 11103 6749 11115 6783
-rect 11057 6743 11115 6749
-rect 9861 6715 9919 6721
-rect 9861 6712 9873 6715
-rect 8496 6684 9873 6712
-rect 7024 6616 7788 6644
-rect 8018 6604 8024 6656
-rect 8076 6644 8082 6656
+rect 8904 6780 8910 6792
+rect 9600 6789 9628 6820
+rect 11606 6808 11612 6820
+rect 11664 6848 11670 6860
+rect 11793 6851 11851 6857
+rect 11793 6848 11805 6851
+rect 11664 6820 11805 6848
+rect 11664 6808 11670 6820
+rect 11793 6817 11805 6820
+rect 11839 6817 11851 6851
+rect 11793 6811 11851 6817
+rect 9493 6783 9551 6789
+rect 9493 6780 9505 6783
+rect 8904 6752 9505 6780
+rect 8904 6740 8910 6752
+rect 9493 6749 9505 6752
+rect 9539 6749 9551 6783
+rect 9493 6743 9551 6749
+rect 9585 6783 9643 6789
+rect 9585 6749 9597 6783
+rect 9631 6749 9643 6783
+rect 10042 6780 10048 6792
+rect 10003 6752 10048 6780
+rect 9585 6743 9643 6749
+rect 8662 6712 8668 6724
+rect 8128 6684 8524 6712
+rect 8623 6684 8668 6712
+rect 1486 6604 1492 6656
+rect 1544 6644 1550 6656
+rect 2498 6644 2504 6656
+rect 1544 6616 2504 6644
+rect 1544 6604 1550 6616
+rect 2498 6604 2504 6616
+rect 2556 6604 2562 6656
+rect 4062 6644 4068 6656
+rect 4023 6616 4068 6644
+rect 4062 6604 4068 6616
+rect 4120 6604 4126 6656
rect 8496 6644 8524 6684
-rect 9861 6681 9873 6684
-rect 9907 6681 9919 6715
-rect 9861 6675 9919 6681
-rect 10413 6715 10471 6721
-rect 10413 6681 10425 6715
-rect 10459 6712 10471 6715
-rect 10870 6712 10876 6724
-rect 10459 6684 10876 6712
-rect 10459 6681 10471 6684
-rect 10413 6675 10471 6681
-rect 10870 6672 10876 6684
-rect 10928 6672 10934 6724
-rect 8076 6616 8524 6644
-rect 9033 6647 9091 6653
-rect 8076 6604 8082 6616
-rect 9033 6613 9045 6647
-rect 9079 6644 9091 6647
-rect 9214 6644 9220 6656
-rect 9079 6616 9220 6644
-rect 9079 6613 9091 6616
-rect 9033 6607 9091 6613
-rect 9214 6604 9220 6616
-rect 9272 6604 9278 6656
-rect 10226 6604 10232 6656
-rect 10284 6644 10290 6656
-rect 10980 6644 11008 6743
-rect 11072 6656 11100 6743
-rect 11146 6740 11152 6792
-rect 11204 6780 11210 6792
-rect 11204 6752 11249 6780
-rect 11204 6740 11210 6752
-rect 10284 6616 11008 6644
-rect 10284 6604 10290 6616
-rect 11054 6604 11060 6656
-rect 11112 6604 11118 6656
-rect 11440 6644 11468 6811
-rect 12894 6808 12900 6820
-rect 12952 6848 12958 6860
-rect 13357 6851 13415 6857
-rect 13357 6848 13369 6851
-rect 12952 6820 13369 6848
-rect 12952 6808 12958 6820
-rect 13357 6817 13369 6820
-rect 13403 6817 13415 6851
-rect 13357 6811 13415 6817
-rect 11514 6740 11520 6792
-rect 11572 6780 11578 6792
-rect 11701 6783 11759 6789
-rect 11701 6780 11713 6783
-rect 11572 6752 11713 6780
-rect 11572 6740 11578 6752
-rect 11701 6749 11713 6752
-rect 11747 6780 11759 6783
-rect 12223 6783 12281 6789
-rect 12223 6780 12235 6783
-rect 11747 6752 12235 6780
-rect 11747 6749 11759 6752
-rect 11701 6743 11759 6749
-rect 12223 6749 12235 6752
-rect 12269 6780 12281 6783
+rect 8662 6672 8668 6684
+rect 8720 6672 8726 6724
+rect 8754 6672 8760 6724
+rect 8812 6672 8818 6724
+rect 9306 6644 9312 6656
+rect 8496 6616 9312 6644
+rect 9306 6604 9312 6616
+rect 9364 6644 9370 6656
+rect 9600 6644 9628 6743
+rect 10042 6740 10048 6752
+rect 10100 6740 10106 6792
+rect 11698 6740 11704 6792
+rect 11756 6780 11762 6792
+rect 11977 6783 12035 6789
+rect 11977 6780 11989 6783
+rect 11756 6752 11989 6780
+rect 11756 6740 11762 6752
+rect 11977 6749 11989 6752
+rect 12023 6780 12035 6783
rect 12342 6780 12348 6792
-rect 12269 6752 12348 6780
-rect 12269 6749 12281 6752
-rect 12223 6743 12281 6749
+rect 12023 6752 12348 6780
+rect 12023 6749 12035 6752
+rect 11977 6743 12035 6749
rect 12342 6740 12348 6752
rect 12400 6740 12406 6792
-rect 12529 6783 12587 6789
-rect 12529 6749 12541 6783
-rect 12575 6780 12587 6783
-rect 12618 6780 12624 6792
-rect 12575 6752 12624 6780
-rect 12575 6749 12587 6752
-rect 12529 6743 12587 6749
-rect 12618 6740 12624 6752
-rect 12676 6740 12682 6792
-rect 13170 6780 13176 6792
-rect 13131 6752 13176 6780
-rect 13170 6740 13176 6752
-rect 13228 6740 13234 6792
-rect 12161 6647 12219 6653
-rect 12161 6644 12173 6647
-rect 11440 6616 12173 6644
-rect 12161 6613 12173 6616
-rect 12207 6613 12219 6647
-rect 12161 6607 12219 6613
-rect 12250 6604 12256 6656
-rect 12308 6644 12314 6656
-rect 12345 6647 12403 6653
-rect 12345 6644 12357 6647
-rect 12308 6616 12357 6644
-rect 12308 6604 12314 6616
-rect 12345 6613 12357 6616
-rect 12391 6613 12403 6647
-rect 12710 6644 12716 6656
-rect 12671 6616 12716 6644
-rect 12345 6607 12403 6613
-rect 12710 6604 12716 6616
-rect 12768 6604 12774 6656
-rect 13262 6644 13268 6656
-rect 13223 6616 13268 6644
-rect 13262 6604 13268 6616
-rect 13320 6604 13326 6656
+rect 13446 6780 13452 6792
+rect 13407 6752 13452 6780
+rect 13446 6740 13452 6752
+rect 13504 6740 13510 6792
+rect 10321 6715 10379 6721
+rect 10321 6681 10333 6715
+rect 10367 6681 10379 6715
+rect 11882 6712 11888 6724
+rect 11546 6684 11888 6712
+rect 10321 6675 10379 6681
+rect 9364 6616 9628 6644
+rect 9953 6647 10011 6653
+rect 9364 6604 9370 6616
+rect 9953 6613 9965 6647
+rect 9999 6644 10011 6647
+rect 10336 6644 10364 6675
+rect 11882 6672 11888 6684
+rect 11940 6672 11946 6724
+rect 9999 6616 10364 6644
+rect 9999 6613 10011 6616
+rect 9953 6607 10011 6613
rect 1104 6554 13892 6576
rect 1104 6502 8214 6554
rect 8266 6502 8278 6554
@@ -6170,291 +6853,340 @@ rect 8394 6502 8406 6554
rect 8458 6502 8470 6554
rect 8522 6502 13892 6554
rect 1104 6480 13892 6502
-rect 2774 6400 2780 6452
-rect 2832 6400 2838 6452
-rect 5810 6440 5816 6452
-rect 5771 6412 5816 6440
-rect 5810 6400 5816 6412
-rect 5868 6400 5874 6452
-rect 6181 6443 6239 6449
-rect 6181 6409 6193 6443
-rect 6227 6409 6239 6443
-rect 8110 6440 8116 6452
-rect 8071 6412 8116 6440
-rect 6181 6403 6239 6409
-rect 2792 6358 2820 6400
-rect 6196 6372 6224 6403
-rect 8110 6400 8116 6412
-rect 8168 6400 8174 6452
-rect 10134 6400 10140 6452
-rect 10192 6400 10198 6452
-rect 10226 6400 10232 6452
-rect 10284 6440 10290 6452
-rect 10413 6443 10471 6449
-rect 10413 6440 10425 6443
-rect 10284 6412 10425 6440
-rect 10284 6400 10290 6412
-rect 10413 6409 10425 6412
-rect 10459 6409 10471 6443
-rect 10413 6403 10471 6409
-rect 10505 6443 10563 6449
-rect 10505 6409 10517 6443
-rect 10551 6440 10563 6443
-rect 10551 6412 11100 6440
-rect 10551 6409 10563 6412
-rect 10505 6403 10563 6409
-rect 10152 6372 10180 6400
-rect 11072 6381 11100 6412
-rect 12342 6400 12348 6452
-rect 12400 6440 12406 6452
-rect 13449 6443 13507 6449
-rect 13449 6440 13461 6443
-rect 12400 6412 13461 6440
-rect 12400 6400 12406 6412
-rect 13449 6409 13461 6412
-rect 13495 6409 13507 6443
-rect 13449 6403 13507 6409
-rect 11057 6375 11115 6381
-rect 6196 6344 7130 6372
-rect 9600 6344 10824 6372
-rect 3878 6304 3884 6316
-rect 3839 6276 3884 6304
-rect 3878 6264 3884 6276
-rect 3936 6264 3942 6316
-rect 4614 6304 4620 6316
-rect 4575 6276 4620 6304
-rect 4614 6264 4620 6276
-rect 4672 6264 4678 6316
-rect 5074 6264 5080 6316
-rect 5132 6304 5138 6316
-rect 5353 6307 5411 6313
-rect 5353 6304 5365 6307
-rect 5132 6276 5365 6304
-rect 5132 6264 5138 6276
-rect 5353 6273 5365 6276
-rect 5399 6304 5411 6307
-rect 5629 6307 5687 6313
-rect 5629 6304 5641 6307
-rect 5399 6276 5641 6304
-rect 5399 6273 5411 6276
-rect 5353 6267 5411 6273
-rect 5629 6273 5641 6276
-rect 5675 6304 5687 6307
-rect 5997 6307 6055 6313
-rect 5997 6304 6009 6307
-rect 5675 6276 6009 6304
-rect 5675 6273 5687 6276
-rect 5629 6267 5687 6273
-rect 5997 6273 6009 6276
-rect 6043 6273 6055 6307
-rect 5997 6267 6055 6273
-rect 8389 6307 8447 6313
-rect 8389 6273 8401 6307
-rect 8435 6304 8447 6307
-rect 8662 6304 8668 6316
-rect 8435 6276 8668 6304
-rect 8435 6273 8447 6276
-rect 8389 6267 8447 6273
-rect 8662 6264 8668 6276
-rect 8720 6264 8726 6316
-rect 8846 6304 8852 6316
-rect 8807 6276 8852 6304
-rect 8846 6264 8852 6276
-rect 8904 6264 8910 6316
-rect 9030 6304 9036 6316
-rect 8991 6276 9036 6304
-rect 9030 6264 9036 6276
-rect 9088 6264 9094 6316
-rect 9600 6313 9628 6344
-rect 10796 6316 10824 6344
-rect 11057 6341 11069 6375
-rect 11103 6341 11115 6375
-rect 11057 6335 11115 6341
-rect 11977 6375 12035 6381
-rect 11977 6341 11989 6375
-rect 12023 6372 12035 6375
-rect 12250 6372 12256 6384
-rect 12023 6344 12256 6372
-rect 12023 6341 12035 6344
-rect 11977 6335 12035 6341
-rect 12250 6332 12256 6344
-rect 12308 6332 12314 6384
-rect 12710 6332 12716 6384
-rect 12768 6332 12774 6384
-rect 9585 6307 9643 6313
-rect 9585 6273 9597 6307
-rect 9631 6273 9643 6307
-rect 9585 6267 9643 6273
-rect 9769 6307 9827 6313
-rect 9769 6273 9781 6307
-rect 9815 6273 9827 6307
-rect 9769 6267 9827 6273
-rect 10045 6307 10103 6313
-rect 10045 6273 10057 6307
-rect 10091 6304 10103 6307
-rect 10134 6304 10140 6316
-rect 10091 6276 10140 6304
-rect 10091 6273 10103 6276
-rect 10045 6267 10103 6273
-rect 1673 6239 1731 6245
-rect 1673 6205 1685 6239
-rect 1719 6205 1731 6239
-rect 1673 6199 1731 6205
-rect 1949 6239 2007 6245
-rect 1949 6205 1961 6239
-rect 1995 6236 2007 6239
-rect 3418 6236 3424 6248
-rect 1995 6208 3424 6236
-rect 1995 6205 2007 6208
-rect 1949 6199 2007 6205
+rect 2038 6400 2044 6452
+rect 2096 6400 2102 6452
+rect 3050 6400 3056 6452
+rect 3108 6440 3114 6452
+rect 3513 6443 3571 6449
+rect 3513 6440 3525 6443
+rect 3108 6412 3525 6440
+rect 3108 6400 3114 6412
+rect 3513 6409 3525 6412
+rect 3559 6409 3571 6443
+rect 3513 6403 3571 6409
+rect 3881 6443 3939 6449
+rect 3881 6409 3893 6443
+rect 3927 6440 3939 6443
+rect 5534 6440 5540 6452
+rect 3927 6412 5540 6440
+rect 3927 6409 3939 6412
+rect 3881 6403 3939 6409
+rect 2057 6335 2085 6400
+rect 2042 6329 2100 6335
+rect 1762 6304 1768 6316
+rect 1723 6276 1768 6304
+rect 1762 6264 1768 6276
+rect 1820 6264 1826 6316
+rect 1946 6313 1952 6316
+rect 1931 6307 1952 6313
+rect 1931 6273 1943 6307
+rect 1931 6267 1952 6273
+rect 1946 6264 1952 6267
+rect 2004 6264 2010 6316
+rect 2042 6295 2054 6329
+rect 2088 6295 2100 6329
+rect 2498 6304 2504 6316
+rect 2042 6289 2100 6295
+rect 2134 6297 2192 6303
+rect 2134 6263 2146 6297
+rect 2180 6263 2192 6297
+rect 2459 6276 2504 6304
+rect 2498 6264 2504 6276
+rect 2556 6264 2562 6316
+rect 2682 6304 2688 6316
+rect 2643 6276 2688 6304
+rect 2682 6264 2688 6276
+rect 2740 6264 2746 6316
+rect 3237 6307 3295 6313
+rect 3237 6273 3249 6307
+rect 3283 6273 3295 6307
+rect 3237 6267 3295 6273
+rect 3421 6307 3479 6313
+rect 3421 6273 3433 6307
+rect 3467 6304 3479 6307
+rect 3896 6304 3924 6403
+rect 5534 6400 5540 6412
+rect 5592 6400 5598 6452
+rect 6086 6440 6092 6452
+rect 6047 6412 6092 6440
+rect 6086 6400 6092 6412
+rect 6144 6400 6150 6452
+rect 6546 6440 6552 6452
+rect 6507 6412 6552 6440
+rect 6546 6400 6552 6412
+rect 6604 6400 6610 6452
+rect 10137 6443 10195 6449
+rect 10137 6409 10149 6443
+rect 10183 6440 10195 6443
+rect 10410 6440 10416 6452
+rect 10183 6412 10416 6440
+rect 10183 6409 10195 6412
+rect 10137 6403 10195 6409
+rect 10410 6400 10416 6412
+rect 10468 6400 10474 6452
+rect 10870 6400 10876 6452
+rect 10928 6400 10934 6452
+rect 12066 6440 12072 6452
+rect 11808 6412 12072 6440
+rect 3970 6332 3976 6384
+rect 4028 6332 4034 6384
+rect 6914 6372 6920 6384
+rect 6875 6344 6920 6372
+rect 6914 6332 6920 6344
+rect 6972 6332 6978 6384
+rect 7101 6375 7159 6381
+rect 7101 6341 7113 6375
+rect 7147 6372 7159 6375
+rect 7466 6372 7472 6384
+rect 7147 6344 7472 6372
+rect 7147 6341 7159 6344
+rect 7101 6335 7159 6341
+rect 7466 6332 7472 6344
+rect 7524 6372 7530 6384
+rect 7524 6344 8892 6372
+rect 7524 6332 7530 6344
+rect 3467 6276 3924 6304
+rect 3988 6304 4016 6332
+rect 4341 6307 4399 6313
+rect 4341 6304 4353 6307
+rect 3988 6276 4353 6304
+rect 3467 6273 3479 6276
+rect 3421 6267 3479 6273
+rect 4341 6273 4353 6276
+rect 4387 6273 4399 6307
+rect 4341 6267 4399 6273
+rect 2134 6257 2192 6263
rect 1394 6100 1400 6112
rect 1355 6072 1400 6100
rect 1394 6060 1400 6072
-rect 1452 6060 1458 6112
-rect 1688 6100 1716 6199
-rect 3418 6196 3424 6208
-rect 3476 6196 3482 6248
-rect 4985 6239 5043 6245
-rect 4985 6205 4997 6239
-rect 5031 6236 5043 6239
-rect 5534 6236 5540 6248
-rect 5031 6208 5540 6236
-rect 5031 6205 5043 6208
-rect 4985 6199 5043 6205
-rect 5534 6196 5540 6208
-rect 5592 6236 5598 6248
-rect 6365 6239 6423 6245
-rect 6365 6236 6377 6239
-rect 5592 6208 6377 6236
-rect 5592 6196 5598 6208
-rect 6365 6205 6377 6208
-rect 6411 6205 6423 6239
-rect 6365 6199 6423 6205
-rect 6641 6239 6699 6245
-rect 6641 6205 6653 6239
-rect 6687 6236 6699 6239
-rect 7006 6236 7012 6248
-rect 6687 6208 7012 6236
-rect 6687 6205 6699 6208
-rect 6641 6199 6699 6205
-rect 2590 6100 2596 6112
-rect 1688 6072 2596 6100
-rect 2590 6060 2596 6072
-rect 2648 6060 2654 6112
-rect 3421 6103 3479 6109
-rect 3421 6069 3433 6103
-rect 3467 6100 3479 6103
-rect 3694 6100 3700 6112
-rect 3467 6072 3700 6100
-rect 3467 6069 3479 6072
-rect 3421 6063 3479 6069
-rect 3694 6060 3700 6072
-rect 3752 6060 3758 6112
-rect 3786 6060 3792 6112
-rect 3844 6100 3850 6112
-rect 5166 6100 5172 6112
-rect 3844 6072 3889 6100
-rect 5127 6072 5172 6100
-rect 3844 6060 3850 6072
-rect 5166 6060 5172 6072
-rect 5224 6060 5230 6112
-rect 6380 6100 6408 6199
-rect 7006 6196 7012 6208
-rect 7064 6196 7070 6248
-rect 9784 6236 9812 6267
-rect 10134 6264 10140 6276
-rect 10192 6264 10198 6316
-rect 10318 6313 10324 6316
-rect 10301 6307 10324 6313
-rect 10301 6273 10313 6307
-rect 10301 6267 10324 6273
-rect 10318 6264 10324 6267
-rect 10376 6264 10382 6316
-rect 10689 6307 10747 6313
-rect 10689 6304 10701 6307
-rect 10428 6276 10701 6304
-rect 9950 6236 9956 6248
-rect 9784 6208 9956 6236
-rect 9950 6196 9956 6208
-rect 10008 6236 10014 6248
-rect 10428 6236 10456 6276
-rect 10689 6273 10701 6276
-rect 10735 6273 10747 6307
-rect 10689 6267 10747 6273
+rect 1452 6100 1458 6112
+rect 1581 6103 1639 6109
+rect 1581 6100 1593 6103
+rect 1452 6072 1593 6100
+rect 1452 6060 1458 6072
+rect 1581 6069 1593 6072
+rect 1627 6100 1639 6103
+rect 2148 6100 2176 6257
+rect 3252 6236 3280 6267
+rect 5718 6264 5724 6316
+rect 5776 6264 5782 6316
+rect 6454 6264 6460 6316
+rect 6512 6313 6518 6316
+rect 6512 6307 6561 6313
+rect 6512 6273 6515 6307
+rect 6549 6273 6561 6307
+rect 6512 6267 6561 6273
+rect 6641 6307 6699 6313
+rect 6641 6273 6653 6307
+rect 6687 6273 6699 6307
+rect 7926 6304 7932 6316
+rect 7839 6276 7932 6304
+rect 6641 6267 6699 6273
+rect 6512 6264 6518 6267
+rect 3973 6239 4031 6245
+rect 3973 6236 3985 6239
+rect 3252 6208 3985 6236
+rect 3973 6205 3985 6208
+rect 4019 6205 4031 6239
+rect 3973 6199 4031 6205
+rect 2222 6128 2228 6180
+rect 2280 6168 2286 6180
+rect 2409 6171 2467 6177
+rect 2409 6168 2421 6171
+rect 2280 6140 2421 6168
+rect 2280 6128 2286 6140
+rect 2409 6137 2421 6140
+rect 2455 6137 2467 6171
+rect 3142 6168 3148 6180
+rect 3103 6140 3148 6168
+rect 2409 6131 2467 6137
+rect 3142 6128 3148 6140
+rect 3200 6128 3206 6180
+rect 1627 6072 2176 6100
+rect 1627 6069 1639 6072
+rect 1581 6063 1639 6069
+rect 2314 6060 2320 6112
+rect 2372 6100 2378 6112
+rect 2869 6103 2927 6109
+rect 2869 6100 2881 6103
+rect 2372 6072 2881 6100
+rect 2372 6060 2378 6072
+rect 2869 6069 2881 6072
+rect 2915 6069 2927 6103
+rect 3988 6100 4016 6199
+rect 4062 6196 4068 6248
+rect 4120 6236 4126 6248
+rect 4617 6239 4675 6245
+rect 4120 6208 4165 6236
+rect 4120 6196 4126 6208
+rect 4617 6205 4629 6239
+rect 4663 6236 4675 6239
+rect 5350 6236 5356 6248
+rect 4663 6208 5356 6236
+rect 4663 6205 4675 6208
+rect 4617 6199 4675 6205
+rect 5350 6196 5356 6208
+rect 5408 6196 5414 6248
+rect 6656 6236 6684 6267
+rect 7926 6264 7932 6276
+rect 7984 6264 7990 6316
+rect 8662 6304 8668 6316
+rect 8623 6276 8668 6304
+rect 8662 6264 8668 6276
+rect 8720 6264 8726 6316
+rect 8864 6313 8892 6344
+rect 9674 6332 9680 6384
+rect 9732 6372 9738 6384
+rect 10888 6372 10916 6400
+rect 11149 6375 11207 6381
+rect 11149 6372 11161 6375
+rect 9732 6344 11161 6372
+rect 9732 6332 9738 6344
+rect 8849 6307 8907 6313
+rect 8849 6273 8861 6307
+rect 8895 6273 8907 6307
+rect 10244 6304 10272 6344
+rect 11149 6341 11161 6344
+rect 11195 6341 11207 6375
+rect 11808 6372 11836 6412
+rect 12066 6400 12072 6412
+rect 12124 6400 12130 6452
+rect 11149 6335 11207 6341
+rect 11716 6344 11836 6372
+rect 10686 6304 10692 6316
+rect 10244 6276 10364 6304
+rect 10647 6276 10692 6304
+rect 8849 6267 8907 6273
+rect 6730 6236 6736 6248
+rect 6656 6208 6736 6236
+rect 6730 6196 6736 6208
+rect 6788 6196 6794 6248
+rect 7742 6236 7748 6248
+rect 7703 6208 7748 6236
+rect 7742 6196 7748 6208
+rect 7800 6196 7806 6248
+rect 7944 6168 7972 6264
+rect 10336 6245 10364 6276
+rect 10686 6264 10692 6276
+rect 10744 6264 10750 6316
rect 10778 6264 10784 6316
rect 10836 6304 10842 6316
-rect 10873 6307 10931 6313
-rect 10873 6304 10885 6307
-rect 10836 6276 10885 6304
+rect 11716 6313 11744 6344
+rect 11882 6332 11888 6384
+rect 11940 6372 11946 6384
+rect 11977 6375 12035 6381
+rect 11977 6372 11989 6375
+rect 11940 6344 11989 6372
+rect 11940 6332 11946 6344
+rect 11977 6341 11989 6344
+rect 12023 6341 12035 6375
+rect 11977 6335 12035 6341
+rect 13173 6375 13231 6381
+rect 13173 6341 13185 6375
+rect 13219 6372 13231 6375
+rect 13262 6372 13268 6384
+rect 13219 6344 13268 6372
+rect 13219 6341 13231 6344
+rect 13173 6335 13231 6341
+rect 13262 6332 13268 6344
+rect 13320 6332 13326 6384
+rect 10965 6307 11023 6313
+rect 10836 6276 10881 6304
rect 10836 6264 10842 6276
-rect 10873 6273 10885 6276
-rect 10919 6273 10931 6307
-rect 10873 6267 10931 6273
-rect 11333 6307 11391 6313
-rect 11333 6273 11345 6307
-rect 11379 6273 11391 6307
-rect 11333 6267 11391 6273
-rect 10597 6239 10655 6245
-rect 10597 6236 10609 6239
-rect 10008 6208 10456 6236
-rect 10520 6208 10609 6236
-rect 10008 6196 10014 6208
-rect 7650 6128 7656 6180
-rect 7708 6168 7714 6180
-rect 8754 6168 8760 6180
-rect 7708 6140 8760 6168
-rect 7708 6128 7714 6140
-rect 8754 6128 8760 6140
-rect 8812 6128 8818 6180
-rect 8938 6168 8944 6180
-rect 8899 6140 8944 6168
-rect 8938 6128 8944 6140
-rect 8996 6128 9002 6180
-rect 9677 6171 9735 6177
-rect 9677 6137 9689 6171
-rect 9723 6168 9735 6171
-rect 10520 6168 10548 6208
-rect 10597 6205 10609 6208
-rect 10643 6205 10655 6239
-rect 10597 6199 10655 6205
-rect 9723 6140 10548 6168
-rect 9723 6137 9735 6140
-rect 9677 6131 9735 6137
-rect 6638 6100 6644 6112
-rect 6380 6072 6644 6100
-rect 6638 6060 6644 6072
-rect 6696 6060 6702 6112
-rect 8481 6103 8539 6109
-rect 8481 6069 8493 6103
-rect 8527 6100 8539 6103
-rect 8846 6100 8852 6112
-rect 8527 6072 8852 6100
-rect 8527 6069 8539 6072
-rect 8481 6063 8539 6069
-rect 8846 6060 8852 6072
-rect 8904 6060 8910 6112
-rect 10042 6100 10048 6112
-rect 10003 6072 10048 6100
-rect 10042 6060 10048 6072
-rect 10100 6060 10106 6112
-rect 11146 6100 11152 6112
-rect 11107 6072 11152 6100
-rect 11146 6060 11152 6072
-rect 11204 6060 11210 6112
-rect 11348 6100 11376 6267
-rect 11514 6196 11520 6248
-rect 11572 6236 11578 6248
-rect 11701 6239 11759 6245
-rect 11701 6236 11713 6239
-rect 11572 6208 11713 6236
-rect 11572 6196 11578 6208
-rect 11701 6205 11713 6208
-rect 11747 6205 11759 6239
-rect 11701 6199 11759 6205
-rect 12618 6100 12624 6112
-rect 11348 6072 12624 6100
-rect 12618 6060 12624 6072
-rect 12676 6060 12682 6112
+rect 10965 6273 10977 6307
+rect 11011 6273 11023 6307
+rect 10965 6267 11023 6273
+rect 11701 6307 11759 6313
+rect 11701 6273 11713 6307
+rect 11747 6273 11759 6307
+rect 11701 6267 11759 6273
+rect 11793 6307 11851 6313
+rect 11793 6273 11805 6307
+rect 11839 6273 11851 6307
+rect 12066 6304 12072 6316
+rect 12027 6276 12072 6304
+rect 11793 6267 11851 6273
+rect 9677 6239 9735 6245
+rect 9677 6205 9689 6239
+rect 9723 6236 9735 6239
+rect 10229 6239 10287 6245
+rect 10229 6236 10241 6239
+rect 9723 6208 10241 6236
+rect 9723 6205 9735 6208
+rect 9677 6199 9735 6205
+rect 10229 6205 10241 6208
+rect 10275 6205 10287 6239
+rect 10229 6199 10287 6205
+rect 10321 6239 10379 6245
+rect 10321 6205 10333 6239
+rect 10367 6205 10379 6239
+rect 10321 6199 10379 6205
+rect 10502 6196 10508 6248
+rect 10560 6236 10566 6248
+rect 10869 6239 10927 6245
+rect 10869 6236 10881 6239
+rect 10560 6208 10881 6236
+rect 10560 6196 10566 6208
+rect 10869 6205 10881 6208
+rect 10915 6205 10927 6239
+rect 10869 6199 10927 6205
+rect 10980 6168 11008 6267
+rect 11146 6196 11152 6248
+rect 11204 6236 11210 6248
+rect 11808 6236 11836 6267
+rect 12066 6264 12072 6276
+rect 12124 6264 12130 6316
+rect 12253 6307 12311 6313
+rect 12253 6273 12265 6307
+rect 12299 6273 12311 6307
+rect 12253 6267 12311 6273
+rect 12268 6236 12296 6267
+rect 12342 6264 12348 6316
+rect 12400 6304 12406 6316
+rect 12713 6307 12771 6313
+rect 12713 6304 12725 6307
+rect 12400 6276 12725 6304
+rect 12400 6264 12406 6276
+rect 12713 6273 12725 6276
+rect 12759 6273 12771 6307
+rect 12713 6267 12771 6273
+rect 13262 6236 13268 6248
+rect 11204 6208 12296 6236
+rect 13223 6208 13268 6236
+rect 11204 6196 11210 6208
+rect 11606 6168 11612 6180
+rect 7944 6140 11008 6168
+rect 11567 6140 11612 6168
+rect 11606 6128 11612 6140
+rect 11664 6128 11670 6180
+rect 4614 6100 4620 6112
+rect 3988 6072 4620 6100
+rect 2869 6063 2927 6069
+rect 4614 6060 4620 6072
+rect 4672 6060 4678 6112
+rect 9766 6100 9772 6112
+rect 9727 6072 9772 6100
+rect 9766 6060 9772 6072
+rect 9824 6060 9830 6112
+rect 12066 6060 12072 6112
+rect 12124 6100 12130 6112
+rect 12268 6100 12296 6208
+rect 13262 6196 13268 6208
+rect 13320 6196 13326 6248
+rect 12437 6103 12495 6109
+rect 12437 6100 12449 6103
+rect 12124 6072 12449 6100
+rect 12124 6060 12130 6072
+rect 12437 6069 12449 6072
+rect 12483 6100 12495 6103
+rect 13357 6103 13415 6109
+rect 13357 6100 13369 6103
+rect 12483 6072 13369 6100
+rect 12483 6069 12495 6072
+rect 12437 6063 12495 6069
+rect 13357 6069 13369 6072
+rect 13403 6069 13415 6103
+rect 13357 6063 13415 6069
rect 1104 6010 13892 6032
rect 1104 5958 4214 6010
rect 4266 5958 4278 6010
@@ -6468,311 +7200,396 @@ rect 12394 5958 12406 6010
rect 12458 5958 12470 6010
rect 12522 5958 13892 6010
rect 1104 5936 13892 5958
-rect 2133 5899 2191 5905
-rect 2133 5865 2145 5899
-rect 2179 5896 2191 5899
-rect 7190 5896 7196 5908
-rect 2179 5868 7196 5896
-rect 2179 5865 2191 5868
-rect 2133 5859 2191 5865
-rect 7190 5856 7196 5868
-rect 7248 5856 7254 5908
-rect 7650 5896 7656 5908
-rect 7611 5868 7656 5896
-rect 7650 5856 7656 5868
-rect 7708 5856 7714 5908
-rect 8662 5896 8668 5908
-rect 8623 5868 8668 5896
-rect 8662 5856 8668 5868
-rect 8720 5896 8726 5908
-rect 10134 5896 10140 5908
-rect 8720 5868 9444 5896
-rect 8720 5856 8726 5868
-rect 1762 5788 1768 5840
-rect 1820 5828 1826 5840
-rect 1857 5831 1915 5837
-rect 1857 5828 1869 5831
-rect 1820 5800 1869 5828
-rect 1820 5788 1826 5800
-rect 1857 5797 1869 5800
-rect 1903 5797 1915 5831
-rect 1857 5791 1915 5797
-rect 2038 5788 2044 5840
-rect 2096 5828 2102 5840
-rect 3145 5831 3203 5837
-rect 3145 5828 3157 5831
-rect 2096 5800 3157 5828
-rect 2096 5788 2102 5800
-rect 3145 5797 3157 5800
-rect 3191 5797 3203 5831
-rect 3145 5791 3203 5797
-rect 7929 5831 7987 5837
-rect 7929 5797 7941 5831
-rect 7975 5828 7987 5831
-rect 7975 5800 9352 5828
-rect 7975 5797 7987 5800
-rect 7929 5791 7987 5797
-rect 1964 5732 2544 5760
-rect 1964 5704 1992 5732
-rect 1394 5652 1400 5704
-rect 1452 5692 1458 5704
-rect 1673 5695 1731 5701
-rect 1673 5692 1685 5695
-rect 1452 5664 1685 5692
-rect 1452 5652 1458 5664
-rect 1673 5661 1685 5664
-rect 1719 5661 1731 5695
-rect 1946 5692 1952 5704
-rect 1859 5664 1952 5692
-rect 1673 5655 1731 5661
-rect 1486 5556 1492 5568
-rect 1447 5528 1492 5556
-rect 1486 5516 1492 5528
-rect 1544 5516 1550 5568
-rect 1688 5556 1716 5655
-rect 1946 5652 1952 5664
-rect 2004 5652 2010 5704
-rect 2038 5652 2044 5704
+rect 1578 5856 1584 5908
+rect 1636 5896 1642 5908
+rect 2682 5896 2688 5908
+rect 1636 5868 2688 5896
+rect 1636 5856 1642 5868
+rect 2682 5856 2688 5868
+rect 2740 5896 2746 5908
+rect 5350 5896 5356 5908
+rect 2740 5868 3832 5896
+rect 5311 5868 5356 5896
+rect 2740 5856 2746 5868
+rect 2314 5828 2320 5840
+rect 2275 5800 2320 5828
+rect 2314 5788 2320 5800
+rect 2372 5788 2378 5840
+rect 3804 5837 3832 5868
+rect 5350 5856 5356 5868
+rect 5408 5856 5414 5908
+rect 5718 5896 5724 5908
+rect 5552 5868 5724 5896
+rect 3789 5831 3847 5837
+rect 3789 5797 3801 5831
+rect 3835 5797 3847 5831
+rect 3789 5791 3847 5797
+rect 4062 5788 4068 5840
+rect 4120 5828 4126 5840
+rect 5552 5828 5580 5868
+rect 5718 5856 5724 5868
+rect 5776 5856 5782 5908
+rect 8021 5899 8079 5905
+rect 8021 5865 8033 5899
+rect 8067 5896 8079 5899
+rect 8110 5896 8116 5908
+rect 8067 5868 8116 5896
+rect 8067 5865 8079 5868
+rect 8021 5859 8079 5865
+rect 8110 5856 8116 5868
+rect 8168 5856 8174 5908
+rect 10137 5899 10195 5905
+rect 10137 5865 10149 5899
+rect 10183 5896 10195 5899
+rect 10318 5896 10324 5908
+rect 10183 5868 10324 5896
+rect 10183 5865 10195 5868
+rect 10137 5859 10195 5865
+rect 10318 5856 10324 5868
+rect 10376 5896 10382 5908
+rect 10686 5896 10692 5908
+rect 10376 5868 10692 5896
+rect 10376 5856 10382 5868
+rect 10686 5856 10692 5868
+rect 10744 5856 10750 5908
+rect 13262 5896 13268 5908
+rect 13223 5868 13268 5896
+rect 13262 5856 13268 5868
+rect 13320 5856 13326 5908
+rect 4120 5800 5580 5828
+rect 4120 5788 4126 5800
+rect 1394 5720 1400 5772
+rect 1452 5760 1458 5772
+rect 2133 5763 2191 5769
+rect 2133 5760 2145 5763
+rect 1452 5732 2145 5760
+rect 1452 5720 1458 5732
+rect 2133 5729 2145 5732
+rect 2179 5729 2191 5763
+rect 2774 5760 2780 5772
+rect 2133 5723 2191 5729
+rect 2746 5720 2780 5760
+rect 2832 5720 2838 5772
+rect 3142 5720 3148 5772
+rect 3200 5760 3206 5772
+rect 4614 5760 4620 5772
+rect 3200 5732 4620 5760
+rect 3200 5720 3206 5732
+rect 1486 5692 1492 5704
+rect 1447 5664 1492 5692
+rect 1486 5652 1492 5664
+rect 1544 5652 1550 5704
+rect 1578 5652 1584 5704
+rect 1636 5692 1642 5704
+rect 1765 5695 1823 5701
+rect 1636 5664 1681 5692
+rect 1636 5652 1642 5664
+rect 1765 5661 1777 5695
+rect 1811 5692 1823 5695
+rect 2038 5692 2044 5704
+rect 1811 5664 2044 5692
+rect 1811 5661 1823 5664
+rect 1765 5655 1823 5661
+rect 2038 5652 2044 5664
rect 2096 5692 2102 5704
-rect 2516 5701 2544 5732
-rect 2590 5720 2596 5772
-rect 2648 5760 2654 5772
-rect 3881 5763 3939 5769
-rect 3881 5760 3893 5763
-rect 2648 5732 3893 5760
-rect 2648 5720 2654 5732
-rect 3881 5729 3893 5732
-rect 3927 5760 3939 5763
-rect 5534 5760 5540 5772
-rect 3927 5732 5540 5760
-rect 3927 5729 3939 5732
-rect 3881 5723 3939 5729
-rect 5534 5720 5540 5732
-rect 5592 5720 5598 5772
-rect 7834 5720 7840 5772
-rect 7892 5760 7898 5772
-rect 8113 5763 8171 5769
-rect 8113 5760 8125 5763
-rect 7892 5732 8125 5760
-rect 7892 5720 7898 5732
-rect 8113 5729 8125 5732
-rect 8159 5729 8171 5763
-rect 8113 5723 8171 5729
-rect 8205 5763 8263 5769
-rect 8205 5729 8217 5763
-rect 8251 5760 8263 5763
-rect 8570 5760 8576 5772
-rect 8251 5732 8576 5760
-rect 8251 5729 8263 5732
-rect 8205 5723 8263 5729
-rect 8570 5720 8576 5732
-rect 8628 5720 8634 5772
-rect 8846 5720 8852 5772
-rect 8904 5760 8910 5772
-rect 8904 5732 9076 5760
-rect 8904 5720 8910 5732
-rect 2225 5695 2283 5701
-rect 2096 5664 2141 5692
+rect 2409 5695 2467 5701
+rect 2409 5692 2421 5695
+rect 2096 5664 2421 5692
rect 2096 5652 2102 5664
-rect 2225 5661 2237 5695
-rect 2271 5661 2283 5695
-rect 2225 5655 2283 5661
-rect 2501 5695 2559 5701
-rect 2501 5661 2513 5695
-rect 2547 5661 2559 5695
-rect 2866 5692 2872 5704
-rect 2827 5664 2872 5692
-rect 2501 5655 2559 5661
-rect 2240 5556 2268 5655
-rect 2866 5652 2872 5664
-rect 2924 5652 2930 5704
-rect 3053 5695 3111 5701
-rect 3053 5661 3065 5695
-rect 3099 5692 3111 5695
-rect 3142 5692 3148 5704
-rect 3099 5664 3148 5692
-rect 3099 5661 3111 5664
-rect 3053 5655 3111 5661
-rect 3142 5652 3148 5664
-rect 3200 5692 3206 5704
-rect 3200 5664 3643 5692
-rect 3200 5652 3206 5664
-rect 2774 5584 2780 5636
-rect 2832 5624 2838 5636
-rect 3329 5627 3387 5633
-rect 3329 5624 3341 5627
-rect 2832 5596 3341 5624
-rect 2832 5584 2838 5596
-rect 3329 5593 3341 5596
-rect 3375 5593 3387 5627
-rect 3510 5624 3516 5636
-rect 3471 5596 3516 5624
-rect 3329 5587 3387 5593
-rect 3510 5584 3516 5596
-rect 3568 5584 3574 5636
-rect 1688 5528 2268 5556
-rect 3615 5556 3643 5664
-rect 7466 5652 7472 5704
-rect 7524 5692 7530 5704
-rect 7561 5695 7619 5701
-rect 7561 5692 7573 5695
-rect 7524 5664 7573 5692
-rect 7524 5652 7530 5664
-rect 7561 5661 7573 5664
-rect 7607 5661 7619 5695
-rect 7561 5655 7619 5661
-rect 8021 5695 8079 5701
-rect 8021 5661 8033 5695
-rect 8067 5661 8079 5695
-rect 8021 5655 8079 5661
-rect 4154 5624 4160 5636
-rect 4115 5596 4160 5624
-rect 4154 5584 4160 5596
-rect 4212 5584 4218 5636
-rect 5166 5584 5172 5636
-rect 5224 5584 5230 5636
-rect 3786 5556 3792 5568
-rect 3615 5528 3792 5556
-rect 3786 5516 3792 5528
-rect 3844 5556 3850 5568
-rect 4430 5556 4436 5568
-rect 3844 5528 4436 5556
-rect 3844 5516 3850 5528
-rect 4430 5516 4436 5528
-rect 4488 5516 4494 5568
-rect 5626 5556 5632 5568
-rect 5587 5528 5632 5556
-rect 5626 5516 5632 5528
-rect 5684 5516 5690 5568
-rect 7576 5556 7604 5655
-rect 8036 5624 8064 5655
-rect 8294 5652 8300 5704
-rect 8352 5692 8358 5704
-rect 8389 5695 8447 5701
-rect 8389 5692 8401 5695
-rect 8352 5664 8401 5692
-rect 8352 5652 8358 5664
-rect 8389 5661 8401 5664
-rect 8435 5661 8447 5695
-rect 8389 5655 8447 5661
-rect 8481 5695 8539 5701
-rect 8481 5661 8493 5695
-rect 8527 5692 8539 5695
-rect 8662 5692 8668 5704
-rect 8527 5664 8668 5692
-rect 8527 5661 8539 5664
-rect 8481 5655 8539 5661
-rect 8662 5652 8668 5664
-rect 8720 5652 8726 5704
-rect 8754 5652 8760 5704
-rect 8812 5692 8818 5704
-rect 8938 5692 8944 5704
-rect 8812 5664 8944 5692
-rect 8812 5652 8818 5664
-rect 8938 5652 8944 5664
-rect 8996 5652 9002 5704
+rect 2409 5661 2421 5664
+rect 2455 5661 2467 5695
+rect 2409 5655 2467 5661
+rect 2593 5695 2651 5701
+rect 2593 5661 2605 5695
+rect 2639 5692 2651 5695
+rect 2746 5692 2774 5720
+rect 3436 5701 3464 5732
+rect 4614 5720 4620 5732
+rect 4672 5720 4678 5772
+rect 5552 5769 5580 5800
+rect 8481 5831 8539 5837
+rect 8481 5797 8493 5831
+rect 8527 5828 8539 5831
+rect 8754 5828 8760 5840
+rect 8527 5800 8760 5828
+rect 8527 5797 8539 5800
+rect 8481 5791 8539 5797
+rect 8754 5788 8760 5800
+rect 8812 5788 8818 5840
+rect 5537 5763 5595 5769
+rect 5537 5729 5549 5763
+rect 5583 5729 5595 5763
+rect 5537 5723 5595 5729
+rect 5721 5763 5779 5769
+rect 5721 5729 5733 5763
+rect 5767 5760 5779 5763
+rect 5994 5760 6000 5772
+rect 5767 5732 6000 5760
+rect 5767 5729 5779 5732
+rect 5721 5723 5779 5729
+rect 5994 5720 6000 5732
+rect 6052 5720 6058 5772
+rect 7742 5720 7748 5772
+rect 7800 5760 7806 5772
+rect 7800 5732 9076 5760
+rect 7800 5720 7806 5732
+rect 2961 5695 3019 5701
+rect 2961 5692 2973 5695
+rect 2639 5664 2973 5692
+rect 2639 5661 2651 5664
+rect 2593 5655 2651 5661
+rect 2961 5661 2973 5664
+rect 3007 5661 3019 5695
+rect 2961 5655 3019 5661
+rect 3421 5695 3479 5701
+rect 3421 5661 3433 5695
+rect 3467 5661 3479 5695
+rect 3421 5655 3479 5661
+rect 3513 5695 3571 5701
+rect 3513 5661 3525 5695
+rect 3559 5692 3571 5695
+rect 4706 5692 4712 5704
+rect 3559 5664 4200 5692
+rect 4667 5664 4712 5692
+rect 3559 5661 3571 5664
+rect 3513 5655 3571 5661
+rect 2777 5627 2835 5633
+rect 2777 5593 2789 5627
+rect 2823 5624 2835 5627
+rect 2866 5624 2872 5636
+rect 2823 5596 2872 5624
+rect 2823 5593 2835 5596
+rect 2777 5587 2835 5593
+rect 2866 5584 2872 5596
+rect 2924 5584 2930 5636
+rect 3237 5627 3295 5633
+rect 3237 5593 3249 5627
+rect 3283 5624 3295 5627
+rect 3283 5596 3464 5624
+rect 3283 5593 3295 5596
+rect 3237 5587 3295 5593
+rect 3436 5568 3464 5596
+rect 3602 5584 3608 5636
+rect 3660 5624 3666 5636
+rect 3970 5624 3976 5636
+rect 3660 5596 3976 5624
+rect 3660 5584 3666 5596
+rect 3970 5584 3976 5596
+rect 4028 5584 4034 5636
+rect 1949 5559 2007 5565
+rect 1949 5525 1961 5559
+rect 1995 5556 2007 5559
+rect 2222 5556 2228 5568
+rect 1995 5528 2228 5556
+rect 1995 5525 2007 5528
+rect 1949 5519 2007 5525
+rect 2222 5516 2228 5528
+rect 2280 5516 2286 5568
+rect 3145 5559 3203 5565
+rect 3145 5525 3157 5559
+rect 3191 5556 3203 5559
+rect 3326 5556 3332 5568
+rect 3191 5528 3332 5556
+rect 3191 5525 3203 5528
+rect 3145 5519 3203 5525
+rect 3326 5516 3332 5528
+rect 3384 5516 3390 5568
+rect 3418 5516 3424 5568
+rect 3476 5516 3482 5568
+rect 4062 5556 4068 5568
+rect 4023 5528 4068 5556
+rect 4062 5516 4068 5528
+rect 4120 5516 4126 5568
+rect 4172 5565 4200 5664
+rect 4706 5652 4712 5664
+rect 4764 5652 4770 5704
+rect 4893 5695 4951 5701
+rect 4893 5661 4905 5695
+rect 4939 5661 4951 5695
+rect 4893 5655 4951 5661
+rect 5813 5695 5871 5701
+rect 5813 5661 5825 5695
+rect 5859 5692 5871 5695
+rect 5902 5692 5908 5704
+rect 5859 5664 5908 5692
+rect 5859 5661 5871 5664
+rect 5813 5655 5871 5661
+rect 4341 5627 4399 5633
+rect 4341 5593 4353 5627
+rect 4387 5624 4399 5627
+rect 4430 5624 4436 5636
+rect 4387 5596 4436 5624
+rect 4387 5593 4399 5596
+rect 4341 5587 4399 5593
+rect 4430 5584 4436 5596
+rect 4488 5624 4494 5636
+rect 4525 5627 4583 5633
+rect 4525 5624 4537 5627
+rect 4488 5596 4537 5624
+rect 4488 5584 4494 5596
+rect 4525 5593 4537 5596
+rect 4571 5593 4583 5627
+rect 4908 5624 4936 5655
+rect 5902 5652 5908 5664
+rect 5960 5652 5966 5704
+rect 6178 5692 6184 5704
+rect 6139 5664 6184 5692
+rect 6178 5652 6184 5664
+rect 6236 5652 6242 5704
+rect 6273 5695 6331 5701
+rect 6273 5661 6285 5695
+rect 6319 5661 6331 5695
+rect 8570 5692 8576 5704
+rect 8531 5664 8576 5692
+rect 6273 5655 6331 5661
+rect 6086 5624 6092 5636
+rect 4908 5596 6092 5624
+rect 4525 5587 4583 5593
+rect 5552 5568 5580 5596
+rect 6086 5584 6092 5596
+rect 6144 5624 6150 5636
+rect 6288 5624 6316 5655
+rect 8570 5652 8576 5664
+rect 8628 5652 8634 5704
rect 9048 5701 9076 5732
-rect 9034 5695 9092 5701
-rect 9034 5661 9046 5695
-rect 9080 5661 9092 5695
-rect 9214 5692 9220 5704
-rect 9175 5664 9220 5692
-rect 9034 5655 9092 5661
-rect 9214 5652 9220 5664
-rect 9272 5652 9278 5704
-rect 9324 5701 9352 5800
-rect 9416 5701 9444 5868
-rect 9508 5868 10140 5896
-rect 9309 5695 9367 5701
-rect 9309 5661 9321 5695
-rect 9355 5661 9367 5695
-rect 9309 5655 9367 5661
-rect 9406 5695 9464 5701
-rect 9406 5661 9418 5695
-rect 9452 5661 9464 5695
-rect 9406 5655 9464 5661
-rect 9232 5624 9260 5652
-rect 9508 5624 9536 5868
-rect 10134 5856 10140 5868
-rect 10192 5856 10198 5908
-rect 10410 5856 10416 5908
-rect 10468 5896 10474 5908
-rect 11517 5899 11575 5905
-rect 11517 5896 11529 5899
-rect 10468 5868 11529 5896
-rect 10468 5856 10474 5868
-rect 11517 5865 11529 5868
-rect 11563 5865 11575 5899
-rect 11517 5859 11575 5865
-rect 13354 5856 13360 5908
-rect 13412 5896 13418 5908
-rect 13449 5899 13507 5905
-rect 13449 5896 13461 5899
-rect 13412 5868 13461 5896
-rect 13412 5856 13418 5868
-rect 13449 5865 13461 5868
-rect 13495 5865 13507 5899
-rect 13449 5859 13507 5865
-rect 10042 5760 10048 5772
-rect 10003 5732 10048 5760
-rect 10042 5720 10048 5732
-rect 10100 5720 10106 5772
-rect 10134 5720 10140 5772
-rect 10192 5760 10198 5772
-rect 11238 5760 11244 5772
-rect 10192 5732 11244 5760
-rect 10192 5720 10198 5732
-rect 11238 5720 11244 5732
-rect 11296 5720 11302 5772
-rect 9582 5652 9588 5704
-rect 9640 5692 9646 5704
-rect 9769 5695 9827 5701
-rect 9769 5692 9781 5695
-rect 9640 5664 9781 5692
-rect 9640 5652 9646 5664
-rect 9769 5661 9781 5664
-rect 9815 5661 9827 5695
-rect 9769 5655 9827 5661
-rect 8036 5596 9260 5624
-rect 9324 5596 9536 5624
-rect 9324 5568 9352 5596
-rect 8938 5556 8944 5568
-rect 7576 5528 8944 5556
-rect 8938 5516 8944 5528
-rect 8996 5516 9002 5568
-rect 9030 5516 9036 5568
-rect 9088 5556 9094 5568
-rect 9306 5556 9312 5568
-rect 9088 5528 9312 5556
-rect 9088 5516 9094 5528
-rect 9306 5516 9312 5528
-rect 9364 5516 9370 5568
-rect 9582 5556 9588 5568
-rect 9543 5528 9588 5556
-rect 9582 5516 9588 5528
-rect 9640 5516 9646 5568
-rect 9784 5556 9812 5655
-rect 11146 5652 11152 5704
-rect 11204 5652 11210 5704
-rect 11514 5652 11520 5704
-rect 11572 5692 11578 5704
-rect 11701 5695 11759 5701
-rect 11701 5692 11713 5695
-rect 11572 5664 11713 5692
-rect 11572 5652 11578 5664
-rect 11701 5661 11713 5664
-rect 11747 5661 11759 5695
-rect 11701 5655 11759 5661
-rect 11974 5624 11980 5636
-rect 11935 5596 11980 5624
-rect 11974 5584 11980 5596
-rect 12032 5584 12038 5636
-rect 12710 5584 12716 5636
-rect 12768 5584 12774 5636
-rect 11514 5556 11520 5568
-rect 9784 5528 11520 5556
-rect 11514 5516 11520 5528
-rect 11572 5516 11578 5568
+rect 10042 5720 10048 5772
+rect 10100 5760 10106 5772
+rect 10321 5763 10379 5769
+rect 10321 5760 10333 5763
+rect 10100 5732 10333 5760
+rect 10100 5720 10106 5732
+rect 10321 5729 10333 5732
+rect 10367 5729 10379 5763
+rect 10594 5760 10600 5772
+rect 10555 5732 10600 5760
+rect 10321 5723 10379 5729
+rect 10594 5720 10600 5732
+rect 10652 5720 10658 5772
+rect 8757 5695 8815 5701
+rect 8757 5661 8769 5695
+rect 8803 5692 8815 5695
+rect 8941 5695 8999 5701
+rect 8941 5692 8953 5695
+rect 8803 5664 8953 5692
+rect 8803 5661 8815 5664
+rect 8757 5655 8815 5661
+rect 8941 5661 8953 5664
+rect 8987 5661 8999 5695
+rect 8941 5655 8999 5661
+rect 9033 5695 9091 5701
+rect 9033 5661 9045 5695
+rect 9079 5661 9091 5695
+rect 9306 5692 9312 5704
+rect 9267 5664 9312 5692
+rect 9033 5655 9091 5661
+rect 9306 5652 9312 5664
+rect 9364 5652 9370 5704
+rect 9674 5652 9680 5704
+rect 9732 5701 9738 5704
+rect 9732 5695 9765 5701
+rect 9753 5692 9765 5695
+rect 9858 5692 9864 5704
+rect 9753 5664 9864 5692
+rect 9753 5661 9765 5664
+rect 9732 5655 9765 5661
+rect 9732 5652 9738 5655
+rect 9858 5652 9864 5664
+rect 9916 5652 9922 5704
+rect 10226 5692 10232 5704
+rect 10187 5664 10232 5692
+rect 10226 5652 10232 5664
+rect 10284 5652 10290 5704
+rect 11974 5652 11980 5704
+rect 12032 5692 12038 5704
+rect 12345 5695 12403 5701
+rect 12345 5692 12357 5695
+rect 12032 5664 12357 5692
+rect 12032 5652 12038 5664
+rect 12345 5661 12357 5664
+rect 12391 5661 12403 5695
+rect 12345 5655 12403 5661
+rect 12529 5695 12587 5701
+rect 12529 5661 12541 5695
+rect 12575 5661 12587 5695
+rect 12529 5655 12587 5661
+rect 6144 5596 6316 5624
+rect 8113 5627 8171 5633
+rect 6144 5584 6150 5596
+rect 8113 5593 8125 5627
+rect 8159 5593 8171 5627
+rect 8113 5587 8171 5593
+rect 8297 5627 8355 5633
+rect 8297 5593 8309 5627
+rect 8343 5624 8355 5627
+rect 9398 5624 9404 5636
+rect 8343 5596 9404 5624
+rect 8343 5593 8355 5596
+rect 8297 5587 8355 5593
+rect 4157 5559 4215 5565
+rect 4157 5525 4169 5559
+rect 4203 5525 4215 5559
+rect 4157 5519 4215 5525
+rect 5534 5516 5540 5568
+rect 5592 5516 5598 5568
+rect 8128 5556 8156 5587
+rect 9398 5584 9404 5596
+rect 9456 5584 9462 5636
+rect 11606 5584 11612 5636
+rect 11664 5584 11670 5636
+rect 11882 5584 11888 5636
+rect 11940 5624 11946 5636
+rect 12253 5627 12311 5633
+rect 12253 5624 12265 5627
+rect 11940 5596 12265 5624
+rect 11940 5584 11946 5596
+rect 12253 5593 12265 5596
+rect 12299 5593 12311 5627
+rect 12253 5587 12311 5593
+rect 8570 5556 8576 5568
+rect 8128 5528 8576 5556
+rect 8570 5516 8576 5528
+rect 8628 5516 8634 5568
+rect 9585 5559 9643 5565
+rect 9585 5525 9597 5559
+rect 9631 5556 9643 5559
+rect 9674 5556 9680 5568
+rect 9631 5528 9680 5556
+rect 9631 5525 9643 5528
+rect 9585 5519 9643 5525
+rect 9674 5516 9680 5528
+rect 9732 5516 9738 5568
+rect 9769 5559 9827 5565
+rect 9769 5525 9781 5559
+rect 9815 5556 9827 5559
+rect 10134 5556 10140 5568
+rect 9815 5528 10140 5556
+rect 9815 5525 9827 5528
+rect 9769 5519 9827 5525
+rect 10134 5516 10140 5528
+rect 10192 5516 10198 5568
+rect 11422 5516 11428 5568
+rect 11480 5556 11486 5568
+rect 12069 5559 12127 5565
+rect 12069 5556 12081 5559
+rect 11480 5528 12081 5556
+rect 11480 5516 11486 5528
+rect 12069 5525 12081 5528
+rect 12115 5525 12127 5559
+rect 12069 5519 12127 5525
+rect 12158 5516 12164 5568
+rect 12216 5556 12222 5568
+rect 12544 5556 12572 5655
+rect 12713 5559 12771 5565
+rect 12713 5556 12725 5559
+rect 12216 5528 12725 5556
+rect 12216 5516 12222 5528
+rect 12713 5525 12725 5528
+rect 12759 5525 12771 5559
+rect 13170 5556 13176 5568
+rect 13131 5528 13176 5556
+rect 12713 5519 12771 5525
+rect 13170 5516 13176 5528
+rect 13228 5516 13234 5568
rect 1104 5466 13892 5488
rect 1104 5414 8214 5466
rect 8266 5414 8278 5466
@@ -6781,391 +7598,385 @@ rect 8394 5414 8406 5466
rect 8458 5414 8470 5466
rect 8522 5414 13892 5466
rect 1104 5392 13892 5414
-rect 1946 5352 1952 5364
-rect 1907 5324 1952 5352
-rect 1946 5312 1952 5324
-rect 2004 5312 2010 5364
-rect 2866 5312 2872 5364
-rect 2924 5312 2930 5364
-rect 3970 5352 3976 5364
-rect 3620 5324 3976 5352
-rect 2884 5284 2912 5312
-rect 2884 5256 3280 5284
-rect 1394 5216 1400 5228
-rect 1355 5188 1400 5216
-rect 1394 5176 1400 5188
-rect 1452 5176 1458 5228
-rect 1578 5216 1584 5228
-rect 1539 5188 1584 5216
-rect 1578 5176 1584 5188
-rect 1636 5176 1642 5228
-rect 2038 5176 2044 5228
-rect 2096 5216 2102 5228
-rect 2096 5188 2141 5216
-rect 2096 5176 2102 5188
-rect 2682 5176 2688 5228
-rect 2740 5216 2746 5228
-rect 3142 5225 3148 5228
-rect 2864 5219 2922 5225
-rect 2864 5216 2876 5219
-rect 2740 5188 2876 5216
-rect 2740 5176 2746 5188
-rect 2864 5185 2876 5188
-rect 2910 5185 2922 5219
-rect 2864 5179 2922 5185
-rect 2961 5219 3019 5225
-rect 2961 5185 2973 5219
-rect 3007 5185 3019 5219
-rect 2961 5179 3019 5185
-rect 3099 5219 3148 5225
-rect 3099 5185 3111 5219
-rect 3145 5185 3148 5219
-rect 3099 5179 3148 5185
-rect 2409 5151 2467 5157
-rect 2409 5117 2421 5151
-rect 2455 5117 2467 5151
-rect 2409 5111 2467 5117
-rect 2501 5151 2559 5157
-rect 2501 5117 2513 5151
-rect 2547 5148 2559 5151
-rect 2774 5148 2780 5160
-rect 2547 5120 2780 5148
-rect 2547 5117 2559 5120
-rect 2501 5111 2559 5117
-rect 2424 5080 2452 5111
-rect 2774 5108 2780 5120
-rect 2832 5108 2838 5160
-rect 2685 5083 2743 5089
-rect 2685 5080 2697 5083
-rect 2424 5052 2697 5080
-rect 2685 5049 2697 5052
-rect 2731 5080 2743 5083
-rect 2866 5080 2872 5092
-rect 2731 5052 2872 5080
-rect 2731 5049 2743 5052
-rect 2685 5043 2743 5049
-rect 2866 5040 2872 5052
-rect 2924 5040 2930 5092
-rect 2976 5080 3004 5179
-rect 3142 5176 3148 5179
-rect 3200 5176 3206 5228
-rect 3252 5225 3280 5256
-rect 3620 5225 3648 5324
-rect 3970 5312 3976 5324
-rect 4028 5312 4034 5364
-rect 4154 5352 4160 5364
-rect 4115 5324 4160 5352
-rect 4154 5312 4160 5324
-rect 4212 5312 4218 5364
-rect 6457 5355 6515 5361
-rect 6457 5321 6469 5355
-rect 6503 5321 6515 5355
-rect 11974 5352 11980 5364
-rect 6457 5315 6515 5321
-rect 9232 5324 11980 5352
-rect 3694 5244 3700 5296
-rect 3752 5284 3758 5296
-rect 3752 5256 3797 5284
-rect 3752 5244 3758 5256
-rect 3878 5244 3884 5296
-rect 3936 5284 3942 5296
-rect 6472 5284 6500 5315
-rect 3936 5256 5211 5284
-rect 3936 5244 3942 5256
-rect 3237 5219 3295 5225
-rect 3237 5185 3249 5219
-rect 3283 5185 3295 5219
-rect 3237 5179 3295 5185
-rect 3600 5219 3658 5225
-rect 3600 5185 3612 5219
-rect 3646 5185 3658 5219
-rect 3789 5219 3847 5225
-rect 3789 5216 3801 5219
-rect 3600 5179 3658 5185
-rect 3712 5188 3801 5216
-rect 3712 5148 3740 5188
-rect 3789 5185 3801 5188
-rect 3835 5216 3847 5219
-rect 3896 5216 3924 5244
-rect 5183 5228 5211 5256
-rect 5552 5256 6500 5284
-rect 5552 5228 5580 5256
-rect 3835 5188 3924 5216
-rect 3973 5219 4031 5225
-rect 3835 5185 3847 5188
-rect 3789 5179 3847 5185
-rect 3973 5185 3985 5219
-rect 4019 5216 4031 5219
-rect 4430 5216 4436 5228
-rect 4019 5188 4053 5216
-rect 4391 5188 4436 5216
-rect 4019 5185 4031 5188
-rect 3973 5179 4031 5185
-rect 3988 5148 4016 5179
-rect 4430 5176 4436 5188
-rect 4488 5176 4494 5228
-rect 4985 5219 5043 5225
-rect 4985 5216 4997 5219
-rect 4540 5188 4997 5216
-rect 4065 5151 4123 5157
-rect 4065 5148 4077 5151
-rect 3068 5120 3740 5148
-rect 3804 5120 4077 5148
-rect 3068 5080 3096 5120
-rect 3804 5092 3832 5120
-rect 4065 5117 4077 5120
-rect 4111 5117 4123 5151
-rect 4065 5111 4123 5117
-rect 2976 5052 3096 5080
-rect 3418 5040 3424 5092
-rect 3476 5080 3482 5092
-rect 3476 5052 3521 5080
-rect 3476 5040 3482 5052
-rect 3786 5040 3792 5092
-rect 3844 5040 3850 5092
-rect 3970 5040 3976 5092
-rect 4028 5080 4034 5092
-rect 4540 5080 4568 5188
-rect 4985 5185 4997 5188
-rect 5031 5185 5043 5219
-rect 5166 5216 5172 5228
-rect 5127 5188 5172 5216
-rect 4985 5179 5043 5185
-rect 5166 5176 5172 5188
-rect 5224 5176 5230 5228
-rect 5534 5216 5540 5228
-rect 5495 5188 5540 5216
-rect 5534 5176 5540 5188
-rect 5592 5176 5598 5228
-rect 6012 5225 6040 5256
-rect 7834 5244 7840 5296
-rect 7892 5284 7898 5296
-rect 9232 5293 9260 5324
-rect 11974 5312 11980 5324
-rect 12032 5312 12038 5364
-rect 12710 5352 12716 5364
-rect 12671 5324 12716 5352
-rect 12710 5312 12716 5324
-rect 12768 5312 12774 5364
-rect 9217 5287 9275 5293
-rect 7892 5256 9076 5284
-rect 7892 5244 7898 5256
-rect 5813 5219 5871 5225
-rect 5813 5216 5825 5219
-rect 5644 5188 5825 5216
-rect 4617 5151 4675 5157
-rect 4617 5117 4629 5151
-rect 4663 5148 4675 5151
-rect 5261 5151 5319 5157
-rect 5261 5148 5273 5151
-rect 4663 5120 5273 5148
-rect 4663 5117 4675 5120
-rect 4617 5111 4675 5117
-rect 5261 5117 5273 5120
-rect 5307 5117 5319 5151
-rect 5261 5111 5319 5117
-rect 4028 5052 4568 5080
-rect 5276 5080 5304 5111
-rect 5350 5108 5356 5160
-rect 5408 5148 5414 5160
-rect 5644 5148 5672 5188
-rect 5813 5185 5825 5188
-rect 5859 5185 5871 5219
-rect 5813 5179 5871 5185
-rect 5997 5219 6055 5225
-rect 5997 5185 6009 5219
-rect 6043 5185 6055 5219
-rect 5997 5179 6055 5185
-rect 6822 5176 6828 5228
-rect 6880 5176 6886 5228
-rect 8220 5225 8248 5256
-rect 8205 5219 8263 5225
-rect 8205 5185 8217 5219
-rect 8251 5185 8263 5219
-rect 8205 5179 8263 5185
-rect 8294 5176 8300 5228
-rect 8352 5216 8358 5228
-rect 8938 5216 8944 5228
-rect 8352 5188 8397 5216
-rect 8899 5188 8944 5216
-rect 8352 5176 8358 5188
-rect 8938 5176 8944 5188
-rect 8996 5176 9002 5228
-rect 9048 5216 9076 5256
-rect 9217 5253 9229 5287
-rect 9263 5253 9275 5287
-rect 9217 5247 9275 5253
-rect 9309 5287 9367 5293
-rect 9309 5253 9321 5287
-rect 9355 5284 9367 5287
-rect 9582 5284 9588 5296
-rect 9355 5256 9588 5284
-rect 9355 5253 9367 5256
-rect 9309 5247 9367 5253
-rect 9582 5244 9588 5256
-rect 9640 5244 9646 5296
-rect 10778 5284 10784 5296
-rect 10739 5256 10784 5284
-rect 10778 5244 10784 5256
-rect 10836 5244 10842 5296
-rect 10870 5244 10876 5296
-rect 10928 5284 10934 5296
-rect 10928 5256 11744 5284
-rect 10928 5244 10934 5256
-rect 9490 5216 9496 5228
-rect 9048 5188 9496 5216
-rect 9490 5176 9496 5188
-rect 9548 5176 9554 5228
-rect 9766 5176 9772 5228
-rect 9824 5216 9830 5228
-rect 10505 5219 10563 5225
-rect 10505 5216 10517 5219
-rect 9824 5188 10517 5216
-rect 9824 5176 9830 5188
-rect 10505 5185 10517 5188
-rect 10551 5185 10563 5219
-rect 10505 5179 10563 5185
-rect 10597 5219 10655 5225
-rect 10597 5185 10609 5219
-rect 10643 5216 10655 5219
-rect 10965 5219 11023 5225
-rect 10965 5216 10977 5219
-rect 10643 5188 10977 5216
-rect 10643 5185 10655 5188
-rect 10597 5179 10655 5185
-rect 10965 5185 10977 5188
-rect 11011 5185 11023 5219
-rect 10965 5179 11023 5185
-rect 11057 5219 11115 5225
-rect 11057 5185 11069 5219
-rect 11103 5216 11115 5219
-rect 11606 5216 11612 5228
-rect 11103 5188 11612 5216
-rect 11103 5185 11115 5188
-rect 11057 5179 11115 5185
-rect 11606 5176 11612 5188
-rect 11664 5176 11670 5228
-rect 11716 5225 11744 5256
-rect 11701 5219 11759 5225
-rect 11701 5185 11713 5219
-rect 11747 5185 11759 5219
-rect 11701 5179 11759 5185
-rect 12529 5219 12587 5225
-rect 12529 5185 12541 5219
-rect 12575 5216 12587 5219
-rect 12618 5216 12624 5228
-rect 12575 5188 12624 5216
-rect 12575 5185 12587 5188
-rect 12529 5179 12587 5185
-rect 12618 5176 12624 5188
-rect 12676 5176 12682 5228
-rect 5408 5120 5672 5148
-rect 5721 5151 5779 5157
-rect 5408 5108 5414 5120
-rect 5721 5117 5733 5151
-rect 5767 5148 5779 5151
+rect 2774 5352 2780 5364
+rect 2746 5312 2780 5352
+rect 2832 5312 2838 5364
+rect 4062 5312 4068 5364
+rect 4120 5352 4126 5364
+rect 8389 5355 8447 5361
+rect 4120 5324 5028 5352
+rect 4120 5312 4126 5324
+rect 1397 5287 1455 5293
+rect 1397 5253 1409 5287
+rect 1443 5284 1455 5287
+rect 1946 5284 1952 5296
+rect 1443 5256 1952 5284
+rect 1443 5253 1455 5256
+rect 1397 5247 1455 5253
+rect 1946 5244 1952 5256
+rect 2004 5244 2010 5296
+rect 2746 5284 2774 5312
+rect 2424 5256 2774 5284
+rect 3513 5287 3571 5293
+rect 1486 5216 1492 5228
+rect 1447 5188 1492 5216
+rect 1486 5176 1492 5188
+rect 1544 5176 1550 5228
+rect 1578 5176 1584 5228
+rect 1636 5216 1642 5228
+rect 1673 5219 1731 5225
+rect 1673 5216 1685 5219
+rect 1636 5188 1685 5216
+rect 1636 5176 1642 5188
+rect 1673 5185 1685 5188
+rect 1719 5185 1731 5219
+rect 2130 5216 2136 5228
+rect 2091 5188 2136 5216
+rect 1673 5179 1731 5185
+rect 2130 5176 2136 5188
+rect 2188 5176 2194 5228
+rect 2314 5216 2320 5228
+rect 2275 5188 2320 5216
+rect 2314 5176 2320 5188
+rect 2372 5176 2378 5228
+rect 2424 5225 2452 5256
+rect 3513 5253 3525 5287
+rect 3559 5253 3571 5287
+rect 3513 5247 3571 5253
+rect 2409 5219 2467 5225
+rect 2409 5185 2421 5219
+rect 2455 5185 2467 5219
+rect 2409 5179 2467 5185
+rect 2777 5219 2835 5225
+rect 2777 5185 2789 5219
+rect 2823 5216 2835 5219
+rect 3234 5216 3240 5228
+rect 2823 5188 3240 5216
+rect 2823 5185 2835 5188
+rect 2777 5179 2835 5185
+rect 3234 5176 3240 5188
+rect 3292 5176 3298 5228
+rect 3329 5219 3387 5225
+rect 3329 5185 3341 5219
+rect 3375 5185 3387 5219
+rect 3329 5179 3387 5185
+rect 3528 5206 3556 5247
+rect 3602 5244 3608 5296
+rect 3660 5284 3666 5296
+rect 4080 5284 4108 5312
+rect 4430 5284 4436 5296
+rect 3660 5256 3705 5284
+rect 3804 5256 4108 5284
+rect 4391 5256 4436 5284
+rect 3660 5244 3666 5256
+rect 3697 5222 3755 5225
+rect 3804 5222 3832 5256
+rect 4430 5244 4436 5256
+rect 4488 5244 4494 5296
+rect 4614 5284 4620 5296
+rect 4575 5256 4620 5284
+rect 4614 5244 4620 5256
+rect 4672 5244 4678 5296
+rect 5000 5293 5028 5324
+rect 8389 5321 8401 5355
+rect 8435 5352 8447 5355
+rect 8570 5352 8576 5364
+rect 8435 5324 8576 5352
+rect 8435 5321 8447 5324
+rect 8389 5315 8447 5321
+rect 8570 5312 8576 5324
+rect 8628 5312 8634 5364
+rect 11790 5312 11796 5364
+rect 11848 5352 11854 5364
+rect 12170 5355 12228 5361
+rect 12170 5352 12182 5355
+rect 11848 5324 12182 5352
+rect 11848 5312 11854 5324
+rect 12170 5321 12182 5324
+rect 12216 5321 12228 5355
+rect 12170 5315 12228 5321
+rect 4985 5287 5043 5293
+rect 4985 5253 4997 5287
+rect 5031 5253 5043 5287
+rect 4985 5247 5043 5253
+rect 5169 5287 5227 5293
+rect 5169 5253 5181 5287
+rect 5215 5284 5227 5287
+rect 5442 5284 5448 5296
+rect 5215 5256 5448 5284
+rect 5215 5253 5227 5256
+rect 5169 5247 5227 5253
+rect 5442 5244 5448 5256
+rect 5500 5244 5506 5296
+rect 5902 5284 5908 5296
+rect 5644 5256 5908 5284
+rect 3697 5219 3832 5222
+rect 3697 5206 3709 5219
+rect 3528 5185 3709 5206
+rect 3743 5194 3832 5219
+rect 4062 5216 4068 5228
+rect 3743 5185 3755 5194
+rect 4023 5188 4068 5216
+rect 3528 5179 3755 5185
+rect 2961 5151 3019 5157
+rect 2961 5117 2973 5151
+rect 3007 5148 3019 5151
+rect 3344 5148 3372 5179
+rect 3528 5178 3740 5179
+rect 4062 5176 4068 5188
+rect 4120 5176 4126 5228
+rect 4341 5219 4399 5225
+rect 4341 5185 4353 5219
+rect 4387 5185 4399 5219
+rect 5350 5216 5356 5228
+rect 5311 5188 5356 5216
+rect 4341 5179 4399 5185
+rect 4356 5148 4384 5179
+rect 5350 5176 5356 5188
+rect 5408 5176 5414 5228
+rect 5644 5225 5672 5256
+rect 5902 5244 5908 5256
+rect 5960 5284 5966 5296
+rect 8849 5287 8907 5293
+rect 8849 5284 8861 5287
+rect 5960 5256 6592 5284
+rect 5960 5244 5966 5256
+rect 5629 5219 5687 5225
+rect 5629 5185 5641 5219
+rect 5675 5185 5687 5219
+rect 5994 5216 6000 5228
+rect 5955 5188 6000 5216
+rect 5629 5179 5687 5185
+rect 5994 5176 6000 5188
+rect 6052 5216 6058 5228
+rect 6564 5225 6592 5256
+rect 7576 5256 8861 5284
+rect 7576 5225 7604 5256
+rect 8849 5253 8861 5256
+rect 8895 5253 8907 5287
+rect 10042 5284 10048 5296
+rect 8849 5247 8907 5253
+rect 9508 5256 10048 5284
+rect 6365 5219 6423 5225
+rect 6365 5216 6377 5219
+rect 6052 5188 6377 5216
+rect 6052 5176 6058 5188
+rect 6365 5185 6377 5188
+rect 6411 5185 6423 5219
+rect 6365 5179 6423 5185
+rect 6549 5219 6607 5225
+rect 6549 5185 6561 5219
+rect 6595 5185 6607 5219
+rect 7101 5219 7159 5225
+rect 7101 5216 7113 5219
+rect 6549 5179 6607 5185
+rect 6656 5188 7113 5216
+rect 4801 5151 4859 5157
+rect 4801 5148 4813 5151
+rect 3007 5120 3188 5148
+rect 3344 5120 4813 5148
+rect 3007 5117 3019 5120
+rect 2961 5111 3019 5117
+rect 2501 5083 2559 5089
+rect 2501 5080 2513 5083
+rect 2332 5052 2513 5080
+rect 2332 5024 2360 5052
+rect 2501 5049 2513 5052
+rect 2547 5049 2559 5083
+rect 2501 5043 2559 5049
+rect 1762 4972 1768 5024
+rect 1820 5012 1826 5024
+rect 1949 5015 2007 5021
+rect 1949 5012 1961 5015
+rect 1820 4984 1961 5012
+rect 1820 4972 1826 4984
+rect 1949 4981 1961 4984
+rect 1995 4981 2007 5015
+rect 2314 5012 2320 5024
+rect 2227 4984 2320 5012
+rect 1949 4975 2007 4981
+rect 2314 4972 2320 4984
+rect 2372 4972 2378 5024
+rect 3160 5021 3188 5120
+rect 4801 5117 4813 5120
+rect 4847 5117 4859 5151
+rect 4801 5111 4859 5117
+rect 3234 5040 3240 5092
+rect 3292 5080 3298 5092
+rect 6656 5080 6684 5188
+rect 7101 5185 7113 5188
+rect 7147 5185 7159 5219
+rect 7101 5179 7159 5185
+rect 7561 5219 7619 5225
+rect 7561 5185 7573 5219
+rect 7607 5185 7619 5219
+rect 7561 5179 7619 5185
+rect 6733 5151 6791 5157
+rect 6733 5117 6745 5151
+rect 6779 5117 6791 5151
+rect 7116 5148 7144 5179
+rect 8110 5176 8116 5228
+rect 8168 5216 8174 5228
+rect 8941 5219 8999 5225
+rect 8941 5216 8953 5219
+rect 8168 5188 8953 5216
+rect 8168 5176 8174 5188
+rect 8941 5185 8953 5188
+rect 8987 5185 8999 5219
+rect 8941 5179 8999 5185
+rect 9030 5176 9036 5228
+rect 9088 5216 9094 5228
+rect 9125 5219 9183 5225
+rect 9125 5216 9137 5219
+rect 9088 5188 9137 5216
+rect 9088 5176 9094 5188
+rect 9125 5185 9137 5188
+rect 9171 5185 9183 5219
+rect 9125 5179 9183 5185
+rect 7742 5148 7748 5160
+rect 7116 5120 7748 5148
+rect 6733 5111 6791 5117
+rect 3292 5052 6684 5080
+rect 6748 5080 6776 5111
+rect 7742 5108 7748 5120
+rect 7800 5108 7806 5160
rect 7929 5151 7987 5157
-rect 7929 5148 7941 5151
-rect 5767 5120 7941 5148
-rect 5767 5117 5779 5120
-rect 5721 5111 5779 5117
-rect 7929 5117 7941 5120
-rect 7975 5117 7987 5151
+rect 7929 5117 7941 5151
+rect 7975 5148 7987 5151
+rect 8481 5151 8539 5157
+rect 8481 5148 8493 5151
+rect 7975 5120 8493 5148
+rect 7975 5117 7987 5120
rect 7929 5111 7987 5117
+rect 8481 5117 8493 5120
+rect 8527 5117 8539 5151
+rect 8481 5111 8539 5117
+rect 8573 5151 8631 5157
+rect 8573 5117 8585 5151
+rect 8619 5117 8631 5151
+rect 8573 5111 8631 5117
+rect 7098 5080 7104 5092
+rect 6748 5052 7104 5080
+rect 3292 5040 3298 5052
+rect 7098 5040 7104 5052
+rect 7156 5040 7162 5092
+rect 3145 5015 3203 5021
+rect 3145 4981 3157 5015
+rect 3191 5012 3203 5015
+rect 3418 5012 3424 5024
+rect 3191 4984 3424 5012
+rect 3191 4981 3203 4984
+rect 3145 4975 3203 4981
+rect 3418 4972 3424 4984
+rect 3476 4972 3482 5024
+rect 3786 5012 3792 5024
+rect 3747 4984 3792 5012
+rect 3786 4972 3792 4984
+rect 3844 4972 3850 5024
+rect 5902 4972 5908 5024
+rect 5960 5012 5966 5024
+rect 5997 5015 6055 5021
+rect 5997 5012 6009 5015
+rect 5960 4984 6009 5012
+rect 5960 4972 5966 4984
+rect 5997 4981 6009 4984
+rect 6043 4981 6055 5015
+rect 5997 4975 6055 4981
+rect 7650 4972 7656 5024
+rect 7708 5012 7714 5024
+rect 8021 5015 8079 5021
+rect 8021 5012 8033 5015
+rect 7708 4984 8033 5012
+rect 7708 4972 7714 4984
+rect 8021 4981 8033 4984
+rect 8067 4981 8079 5015
+rect 8588 5012 8616 5111
rect 8662 5108 8668 5160
rect 8720 5148 8726 5160
-rect 9125 5151 9183 5157
-rect 9125 5148 9137 5151
-rect 8720 5120 9137 5148
+rect 9508 5157 9536 5256
+rect 10042 5244 10048 5256
+rect 10100 5244 10106 5296
+rect 11146 5244 11152 5296
+rect 11204 5284 11210 5296
+rect 11701 5287 11759 5293
+rect 11701 5284 11713 5287
+rect 11204 5256 11713 5284
+rect 11204 5244 11210 5256
+rect 11701 5253 11713 5256
+rect 11747 5284 11759 5287
+rect 12066 5284 12072 5296
+rect 11747 5256 12072 5284
+rect 11747 5253 11759 5256
+rect 11701 5247 11759 5253
+rect 11606 5216 11612 5228
+rect 10902 5188 11612 5216
+rect 11606 5176 11612 5188
+rect 11664 5176 11670 5228
+rect 11808 5225 11836 5256
+rect 12066 5244 12072 5256
+rect 12124 5244 12130 5296
+rect 13170 5244 13176 5296
+rect 13228 5284 13234 5296
+rect 13265 5287 13323 5293
+rect 13265 5284 13277 5287
+rect 13228 5256 13277 5284
+rect 13228 5244 13234 5256
+rect 13265 5253 13277 5256
+rect 13311 5253 13323 5287
+rect 13265 5247 13323 5253
+rect 11793 5219 11851 5225
+rect 11793 5185 11805 5219
+rect 11839 5216 11851 5219
+rect 12618 5216 12624 5228
+rect 11839 5188 11873 5216
+rect 12579 5188 12624 5216
+rect 11839 5185 11851 5188
+rect 11793 5179 11851 5185
+rect 12618 5176 12624 5188
+rect 12676 5176 12682 5228
+rect 13538 5216 13544 5228
+rect 13499 5188 13544 5216
+rect 13538 5176 13544 5188
+rect 13596 5176 13602 5228
+rect 9493 5151 9551 5157
+rect 9493 5148 9505 5151
+rect 8720 5120 9505 5148
rect 8720 5108 8726 5120
-rect 9125 5117 9137 5120
-rect 9171 5148 9183 5151
-rect 9858 5148 9864 5160
-rect 9171 5120 9864 5148
-rect 9171 5117 9183 5120
-rect 9125 5111 9183 5117
-rect 9858 5108 9864 5120
-rect 9916 5108 9922 5160
-rect 10413 5151 10471 5157
-rect 10413 5117 10425 5151
-rect 10459 5148 10471 5151
-rect 10686 5148 10692 5160
-rect 10459 5120 10692 5148
-rect 10459 5117 10471 5120
-rect 10413 5111 10471 5117
-rect 10686 5108 10692 5120
-rect 10744 5148 10750 5160
-rect 11333 5151 11391 5157
-rect 11333 5148 11345 5151
-rect 10744 5120 11345 5148
-rect 10744 5108 10750 5120
-rect 11333 5117 11345 5120
-rect 11379 5117 11391 5151
-rect 11333 5111 11391 5117
-rect 5905 5083 5963 5089
-rect 5905 5080 5917 5083
-rect 5276 5052 5917 5080
-rect 4028 5040 4034 5052
-rect 5905 5049 5917 5052
-rect 5951 5049 5963 5083
-rect 5905 5043 5963 5049
-rect 9214 5040 9220 5092
-rect 9272 5080 9278 5092
-rect 10597 5083 10655 5089
-rect 10597 5080 10609 5083
-rect 9272 5052 10609 5080
-rect 9272 5040 9278 5052
-rect 1673 5015 1731 5021
-rect 1673 4981 1685 5015
-rect 1719 5012 1731 5015
-rect 2406 5012 2412 5024
-rect 1719 4984 2412 5012
-rect 1719 4981 1731 4984
-rect 1673 4975 1731 4981
-rect 2406 4972 2412 4984
-rect 2464 4972 2470 5024
-rect 8386 5012 8392 5024
-rect 8347 4984 8392 5012
-rect 8386 4972 8392 4984
-rect 8444 4972 8450 5024
-rect 10042 4972 10048 5024
-rect 10100 5012 10106 5024
-rect 10336 5021 10364 5052
-rect 10597 5049 10609 5052
-rect 10643 5049 10655 5083
-rect 11348 5080 11376 5111
-rect 11609 5083 11667 5089
-rect 11609 5080 11621 5083
-rect 11348 5052 11621 5080
-rect 10597 5043 10655 5049
-rect 11609 5049 11621 5052
-rect 11655 5049 11667 5083
-rect 11609 5043 11667 5049
-rect 10137 5015 10195 5021
-rect 10137 5012 10149 5015
-rect 10100 4984 10149 5012
-rect 10100 4972 10106 4984
-rect 10137 4981 10149 4984
-rect 10183 4981 10195 5015
-rect 10137 4975 10195 4981
-rect 10321 5015 10379 5021
-rect 10321 4981 10333 5015
-rect 10367 4981 10379 5015
-rect 10321 4975 10379 4981
-rect 10870 4972 10876 5024
-rect 10928 5012 10934 5024
-rect 11054 5012 11060 5024
-rect 10928 4984 11060 5012
-rect 10928 4972 10934 4984
-rect 11054 4972 11060 4984
-rect 11112 5012 11118 5024
-rect 11241 5015 11299 5021
-rect 11241 5012 11253 5015
-rect 11112 4984 11253 5012
-rect 11112 4972 11118 4984
-rect 11241 4981 11253 4984
-rect 11287 4981 11299 5015
-rect 11241 4975 11299 4981
+rect 9493 5117 9505 5120
+rect 9539 5117 9551 5151
+rect 9766 5148 9772 5160
+rect 9727 5120 9772 5148
+rect 9493 5111 9551 5117
+rect 9766 5108 9772 5120
+rect 9824 5108 9830 5160
+rect 11241 5083 11299 5089
+rect 11241 5049 11253 5083
+rect 11287 5049 11299 5083
+rect 11241 5043 11299 5049
+rect 9858 5012 9864 5024
+rect 8588 4984 9864 5012
+rect 8021 4975 8079 4981
+rect 9858 4972 9864 4984
+rect 9916 4972 9922 5024
+rect 10410 4972 10416 5024
+rect 10468 5012 10474 5024
+rect 11256 5012 11284 5043
+rect 12161 5015 12219 5021
+rect 12161 5012 12173 5015
+rect 10468 4984 12173 5012
+rect 10468 4972 10474 4984
+rect 12161 4981 12173 4984
+rect 12207 4981 12219 5015
+rect 12161 4975 12219 4981
+rect 12345 5015 12403 5021
+rect 12345 4981 12357 5015
+rect 12391 5012 12403 5015
+rect 12710 5012 12716 5024
+rect 12391 4984 12716 5012
+rect 12391 4981 12403 4984
+rect 12345 4975 12403 4981
+rect 12710 4972 12716 4984
+rect 12768 4972 12774 5024
rect 1104 4922 13892 4944
rect 1104 4870 4214 4922
rect 4266 4870 4278 4922
@@ -7179,430 +7990,302 @@ rect 12394 4870 12406 4922
rect 12458 4870 12470 4922
rect 12522 4870 13892 4922
rect 1104 4848 13892 4870
-rect 2774 4768 2780 4820
+rect 1394 4808 1400 4820
+rect 1355 4780 1400 4808
+rect 1394 4768 1400 4780
+rect 1452 4808 1458 4820
+rect 2774 4808 2780 4820
+rect 1452 4780 2780 4808
+rect 1452 4768 1458 4780
+rect 2774 4768 2780 4780
rect 2832 4808 2838 4820
-rect 3510 4808 3516 4820
-rect 2832 4780 3516 4808
+rect 3234 4808 3240 4820
+rect 2832 4780 3240 4808
rect 2832 4768 2838 4780
-rect 3510 4768 3516 4780
-rect 3568 4808 3574 4820
-rect 4433 4811 4491 4817
-rect 4433 4808 4445 4811
-rect 3568 4780 4445 4808
-rect 3568 4768 3574 4780
-rect 4433 4777 4445 4780
-rect 4479 4777 4491 4811
-rect 4433 4771 4491 4777
-rect 5074 4768 5080 4820
-rect 5132 4808 5138 4820
-rect 5902 4808 5908 4820
-rect 5132 4780 5908 4808
-rect 5132 4768 5138 4780
-rect 5902 4768 5908 4780
-rect 5960 4808 5966 4820
-rect 6733 4811 6791 4817
-rect 5960 4780 6592 4808
-rect 5960 4768 5966 4780
-rect 1394 4700 1400 4752
-rect 1452 4740 1458 4752
-rect 2869 4743 2927 4749
-rect 2869 4740 2881 4743
-rect 1452 4712 2881 4740
-rect 1452 4700 1458 4712
-rect 1504 4613 1532 4712
-rect 2869 4709 2881 4712
-rect 2915 4709 2927 4743
-rect 2869 4703 2927 4709
-rect 3602 4700 3608 4752
-rect 3660 4740 3666 4752
-rect 3786 4740 3792 4752
-rect 3660 4712 3792 4740
-rect 3660 4700 3666 4712
-rect 3786 4700 3792 4712
-rect 3844 4700 3850 4752
-rect 3881 4743 3939 4749
-rect 3881 4709 3893 4743
-rect 3927 4740 3939 4743
-rect 4798 4740 4804 4752
-rect 3927 4712 4804 4740
-rect 3927 4709 3939 4712
-rect 3881 4703 3939 4709
+rect 3234 4768 3240 4780
+rect 3292 4768 3298 4820
+rect 5626 4768 5632 4820
+rect 5684 4808 5690 4820
+rect 6638 4808 6644 4820
+rect 5684 4780 6644 4808
+rect 5684 4768 5690 4780
+rect 6638 4768 6644 4780
+rect 6696 4808 6702 4820
+rect 6696 4780 6960 4808
+rect 6696 4768 6702 4780
+rect 6178 4740 6184 4752
+rect 6012 4712 6184 4740
rect 2314 4672 2320 4684
rect 2275 4644 2320 4672
rect 2314 4632 2320 4644
rect 2372 4632 2378 4684
-rect 3033 4675 3091 4681
-rect 3033 4641 3045 4675
-rect 3079 4672 3091 4675
-rect 3510 4672 3516 4684
-rect 3079 4644 3516 4672
-rect 3079 4641 3091 4644
-rect 3033 4635 3091 4641
-rect 3510 4632 3516 4644
-rect 3568 4632 3574 4684
-rect 3896 4672 3924 4703
-rect 4798 4700 4804 4712
-rect 4856 4700 4862 4752
-rect 5166 4700 5172 4752
-rect 5224 4740 5230 4752
-rect 5626 4740 5632 4752
-rect 5224 4712 5632 4740
-rect 5224 4700 5230 4712
-rect 5626 4700 5632 4712
-rect 5684 4740 5690 4752
-rect 5994 4740 6000 4752
-rect 5684 4712 6000 4740
-rect 5684 4700 5690 4712
-rect 5994 4700 6000 4712
-rect 6052 4700 6058 4752
-rect 5718 4672 5724 4684
-rect 3620 4644 3924 4672
-rect 5092 4644 5396 4672
-rect 1489 4607 1547 4613
-rect 1489 4573 1501 4607
-rect 1535 4573 1547 4607
-rect 1489 4567 1547 4573
-rect 1578 4564 1584 4616
-rect 1636 4604 1642 4616
+rect 3418 4672 3424 4684
+rect 3379 4644 3424 4672
+rect 3418 4632 3424 4644
+rect 3476 4632 3482 4684
+rect 5626 4672 5632 4684
+rect 5587 4644 5632 4672
+rect 5626 4632 5632 4644
+rect 5684 4632 5690 4684
+rect 1578 4604 1584 4616
+rect 1539 4576 1584 4604
+rect 1578 4564 1584 4576
+rect 1636 4564 1642 4616
+rect 1670 4564 1676 4616
+rect 1728 4604 1734 4616
rect 1765 4607 1823 4613
rect 1765 4604 1777 4607
-rect 1636 4576 1777 4604
-rect 1636 4564 1642 4576
+rect 1728 4576 1777 4604
+rect 1728 4564 1734 4576
rect 1765 4573 1777 4576
rect 1811 4573 1823 4607
+rect 2130 4604 2136 4616
+rect 2091 4576 2136 4604
rect 1765 4567 1823 4573
-rect 2041 4607 2099 4613
-rect 2041 4573 2053 4607
-rect 2087 4573 2099 4607
-rect 2041 4567 2099 4573
-rect 2225 4607 2283 4613
-rect 2225 4573 2237 4607
-rect 2271 4573 2283 4607
+rect 2130 4564 2136 4576
+rect 2188 4564 2194 4616
rect 2406 4604 2412 4616
rect 2367 4576 2412 4604
-rect 2225 4567 2283 4573
-rect 1670 4496 1676 4548
-rect 1728 4536 1734 4548
-rect 2056 4536 2084 4567
-rect 1728 4508 2084 4536
-rect 2240 4536 2268 4567
rect 2406 4564 2412 4576
rect 2464 4564 2470 4616
-rect 3145 4607 3203 4613
-rect 3145 4573 3157 4607
-rect 3191 4604 3203 4607
-rect 3421 4607 3479 4613
-rect 3421 4604 3433 4607
-rect 3191 4576 3433 4604
-rect 3191 4573 3203 4576
-rect 3145 4567 3203 4573
-rect 3421 4573 3433 4576
-rect 3467 4604 3479 4607
-rect 3620 4604 3648 4644
+rect 3125 4607 3183 4613
+rect 3125 4573 3137 4607
+rect 3171 4604 3183 4607
+rect 3326 4604 3332 4616
+rect 3171 4573 3188 4604
+rect 3239 4576 3332 4604
+rect 3125 4567 3188 4573
+rect 2148 4536 2176 4564
+rect 2682 4536 2688 4548
+rect 2148 4508 2688 4536
+rect 2682 4496 2688 4508
+rect 2740 4496 2746 4548
+rect 2774 4496 2780 4548
+rect 2832 4536 2838 4548
+rect 2869 4539 2927 4545
+rect 2869 4536 2881 4539
+rect 2832 4508 2881 4536
+rect 2832 4496 2838 4508
+rect 2869 4505 2881 4508
+rect 2915 4505 2927 4539
+rect 3160 4536 3188 4567
+rect 3326 4564 3332 4576
+rect 3384 4604 3390 4616
rect 3786 4604 3792 4616
-rect 3467 4576 3648 4604
-rect 3747 4576 3792 4604
-rect 3467 4573 3479 4576
-rect 3421 4567 3479 4573
+rect 3384 4576 3792 4604
+rect 3384 4564 3390 4576
rect 3786 4564 3792 4576
rect 3844 4564 3850 4616
-rect 3878 4564 3884 4616
-rect 3936 4604 3942 4616
-rect 4251 4607 4309 4613
-rect 4251 4604 4263 4607
-rect 3936 4576 4263 4604
-rect 3936 4564 3942 4576
-rect 4251 4573 4263 4576
-rect 4297 4604 4309 4607
-rect 5092 4604 5120 4644
-rect 4297 4576 5120 4604
-rect 4297 4573 4309 4576
-rect 4251 4567 4309 4573
-rect 5166 4564 5172 4616
-rect 5224 4604 5230 4616
-rect 5368 4613 5396 4644
-rect 5460 4644 5724 4672
-rect 5460 4613 5488 4644
-rect 5718 4632 5724 4644
-rect 5776 4672 5782 4684
-rect 6365 4675 6423 4681
-rect 6365 4672 6377 4675
-rect 5776 4644 6377 4672
-rect 5776 4632 5782 4644
-rect 6365 4641 6377 4644
-rect 6411 4641 6423 4675
-rect 6365 4635 6423 4641
-rect 6564 4672 6592 4780
-rect 6733 4777 6745 4811
-rect 6779 4808 6791 4811
-rect 6822 4808 6828 4820
-rect 6779 4780 6828 4808
-rect 6779 4777 6791 4780
-rect 6733 4771 6791 4777
-rect 6822 4768 6828 4780
-rect 6880 4768 6886 4820
-rect 8938 4768 8944 4820
-rect 8996 4808 9002 4820
-rect 9398 4808 9404 4820
-rect 8996 4780 9404 4808
-rect 8996 4768 9002 4780
-rect 9398 4768 9404 4780
-rect 9456 4808 9462 4820
-rect 9677 4811 9735 4817
-rect 9677 4808 9689 4811
-rect 9456 4780 9689 4808
-rect 9456 4768 9462 4780
-rect 9677 4777 9689 4780
-rect 9723 4808 9735 4811
-rect 9723 4780 10272 4808
-rect 9723 4777 9735 4780
-rect 9677 4771 9735 4777
-rect 10244 4752 10272 4780
-rect 7561 4743 7619 4749
-rect 7561 4709 7573 4743
-rect 7607 4740 7619 4743
-rect 8294 4740 8300 4752
-rect 7607 4712 8300 4740
-rect 7607 4709 7619 4712
-rect 7561 4703 7619 4709
-rect 8294 4700 8300 4712
-rect 8352 4700 8358 4752
-rect 10042 4740 10048 4752
-rect 10003 4712 10048 4740
-rect 10042 4700 10048 4712
-rect 10100 4700 10106 4752
-rect 10226 4700 10232 4752
-rect 10284 4740 10290 4752
-rect 12161 4743 12219 4749
-rect 12161 4740 12173 4743
-rect 10284 4712 10916 4740
-rect 10284 4700 10290 4712
-rect 6564 4644 8248 4672
-rect 5353 4607 5411 4613
-rect 5224 4576 5269 4604
-rect 5224 4564 5230 4576
-rect 5353 4573 5365 4607
-rect 5399 4573 5411 4607
-rect 5353 4567 5411 4573
-rect 5445 4607 5503 4613
-rect 5445 4573 5457 4607
-rect 5491 4573 5503 4607
-rect 5445 4567 5503 4573
-rect 5629 4607 5687 4613
-rect 5629 4573 5641 4607
-rect 5675 4573 5687 4607
+rect 4246 4564 4252 4616
+rect 4304 4564 4310 4616
+rect 5718 4604 5724 4616
+rect 5679 4576 5724 4604
+rect 5718 4564 5724 4576
+rect 5776 4564 5782 4616
rect 5902 4604 5908 4616
rect 5863 4576 5908 4604
-rect 5629 4567 5687 4573
-rect 2958 4536 2964 4548
-rect 2240 4508 2964 4536
-rect 1728 4496 1734 4508
-rect 2958 4496 2964 4508
-rect 3016 4496 3022 4548
-rect 3602 4496 3608 4548
-rect 3660 4536 3666 4548
-rect 5258 4536 5264 4548
-rect 3660 4508 5120 4536
-rect 5219 4508 5264 4536
-rect 3660 4496 3666 4508
-rect 2130 4428 2136 4480
-rect 2188 4468 2194 4480
-rect 2501 4471 2559 4477
-rect 2501 4468 2513 4471
-rect 2188 4440 2513 4468
-rect 2188 4428 2194 4440
-rect 2501 4437 2513 4440
-rect 2547 4437 2559 4471
-rect 2501 4431 2559 4437
-rect 3970 4428 3976 4480
-rect 4028 4468 4034 4480
-rect 4249 4471 4307 4477
-rect 4249 4468 4261 4471
-rect 4028 4440 4261 4468
-rect 4028 4428 4034 4440
-rect 4249 4437 4261 4440
-rect 4295 4437 4307 4471
-rect 4249 4431 4307 4437
-rect 4614 4428 4620 4480
-rect 4672 4468 4678 4480
-rect 4985 4471 5043 4477
-rect 4985 4468 4997 4471
-rect 4672 4440 4997 4468
-rect 4672 4428 4678 4440
-rect 4985 4437 4997 4440
-rect 5031 4437 5043 4471
-rect 5092 4468 5120 4508
-rect 5258 4496 5264 4508
-rect 5316 4496 5322 4548
-rect 5368 4536 5396 4567
-rect 5534 4536 5540 4548
-rect 5368 4508 5540 4536
-rect 5534 4496 5540 4508
-rect 5592 4496 5598 4548
-rect 5644 4536 5672 4567
rect 5902 4564 5908 4576
rect 5960 4564 5966 4616
-rect 6454 4604 6460 4616
-rect 6415 4576 6460 4604
-rect 6454 4564 6460 4576
-rect 6512 4564 6518 4616
+rect 6012 4613 6040 4712
+rect 6178 4700 6184 4712
+rect 6236 4700 6242 4752
+rect 6822 4672 6828 4684
+rect 6564 4644 6828 4672
+rect 5997 4607 6055 4613
+rect 5997 4573 6009 4607
+rect 6043 4573 6055 4607
+rect 5997 4567 6055 4573
+rect 6086 4564 6092 4616
+rect 6144 4613 6150 4616
rect 6564 4613 6592 4644
+rect 6822 4632 6828 4644
+rect 6880 4632 6886 4684
+rect 6932 4681 6960 4780
+rect 8570 4768 8576 4820
+rect 8628 4808 8634 4820
+rect 8665 4811 8723 4817
+rect 8665 4808 8677 4811
+rect 8628 4780 8677 4808
+rect 8628 4768 8634 4780
+rect 8665 4777 8677 4780
+rect 8711 4777 8723 4811
+rect 8665 4771 8723 4777
+rect 6917 4675 6975 4681
+rect 6917 4641 6929 4675
+rect 6963 4641 6975 4675
+rect 6917 4635 6975 4641
+rect 7193 4675 7251 4681
+rect 7193 4641 7205 4675
+rect 7239 4672 7251 4675
+rect 7650 4672 7656 4684
+rect 7239 4644 7656 4672
+rect 7239 4641 7251 4644
+rect 7193 4635 7251 4641
+rect 7650 4632 7656 4644
+rect 7708 4632 7714 4684
+rect 7742 4632 7748 4684
+rect 7800 4672 7806 4684
+rect 8680 4672 8708 4771
+rect 9398 4768 9404 4820
+rect 9456 4808 9462 4820
+rect 9493 4811 9551 4817
+rect 9493 4808 9505 4811
+rect 9456 4780 9505 4808
+rect 9456 4768 9462 4780
+rect 9493 4777 9505 4780
+rect 9539 4777 9551 4811
+rect 9493 4771 9551 4777
+rect 10226 4768 10232 4820
+rect 10284 4808 10290 4820
+rect 11977 4811 12035 4817
+rect 11977 4808 11989 4811
+rect 10284 4780 11989 4808
+rect 10284 4768 10290 4780
+rect 11977 4777 11989 4780
+rect 12023 4777 12035 4811
+rect 11977 4771 12035 4777
+rect 9674 4700 9680 4752
+rect 9732 4740 9738 4752
+rect 13170 4740 13176 4752
+rect 9732 4712 10364 4740
+rect 13131 4712 13176 4740
+rect 9732 4700 9738 4712
+rect 7800 4644 8432 4672
+rect 8680 4644 9536 4672
+rect 7800 4632 7806 4644
+rect 6144 4607 6181 4613
+rect 6169 4573 6181 4607
+rect 6144 4567 6181 4573
rect 6549 4607 6607 4613
rect 6549 4573 6561 4607
rect 6595 4573 6607 4607
-rect 7190 4604 7196 4616
-rect 7151 4576 7196 4604
+rect 6730 4604 6736 4616
+rect 6691 4576 6736 4604
rect 6549 4567 6607 4573
-rect 7190 4564 7196 4576
-rect 7248 4604 7254 4616
-rect 8110 4604 8116 4616
-rect 7248 4576 8116 4604
-rect 7248 4564 7254 4576
-rect 8110 4564 8116 4576
-rect 8168 4564 8174 4616
-rect 8220 4604 8248 4644
-rect 8386 4632 8392 4684
-rect 8444 4672 8450 4684
-rect 10778 4672 10784 4684
-rect 8444 4644 10784 4672
-rect 8444 4632 8450 4644
-rect 8570 4604 8576 4616
-rect 8220 4576 8576 4604
-rect 8570 4564 8576 4576
-rect 8628 4604 8634 4616
-rect 9122 4604 9128 4616
-rect 8628 4576 9128 4604
-rect 8628 4564 8634 4576
-rect 9122 4564 9128 4576
-rect 9180 4564 9186 4616
-rect 9490 4604 9496 4616
-rect 9451 4576 9496 4604
-rect 9490 4564 9496 4576
-rect 9548 4564 9554 4616
-rect 9858 4604 9864 4616
-rect 9819 4576 9864 4604
-rect 9858 4564 9864 4576
-rect 9916 4564 9922 4616
-rect 9968 4613 9996 4644
-rect 10778 4632 10784 4644
-rect 10836 4632 10842 4684
-rect 9953 4607 10011 4613
-rect 9953 4573 9965 4607
-rect 9999 4573 10011 4607
-rect 10134 4604 10140 4616
-rect 10095 4576 10140 4604
-rect 9953 4567 10011 4573
-rect 10134 4564 10140 4576
-rect 10192 4564 10198 4616
-rect 10686 4604 10692 4616
-rect 10647 4576 10692 4604
-rect 10686 4564 10692 4576
-rect 10744 4564 10750 4616
-rect 10888 4613 10916 4712
-rect 10980 4712 12173 4740
-rect 10873 4607 10931 4613
-rect 10873 4573 10885 4607
-rect 10919 4573 10931 4607
-rect 10980 4604 11008 4712
-rect 12161 4709 12173 4712
-rect 12207 4709 12219 4743
-rect 12161 4703 12219 4709
-rect 11057 4675 11115 4681
-rect 11057 4641 11069 4675
-rect 11103 4672 11115 4675
-rect 11790 4672 11796 4684
-rect 11103 4644 11796 4672
-rect 11103 4641 11115 4644
-rect 11057 4635 11115 4641
-rect 11790 4632 11796 4644
-rect 11848 4632 11854 4684
-rect 11149 4607 11207 4613
-rect 11149 4604 11161 4607
-rect 10980 4576 11161 4604
-rect 10873 4567 10931 4573
-rect 11149 4573 11161 4576
-rect 11195 4573 11207 4607
-rect 11149 4567 11207 4573
-rect 11238 4564 11244 4616
-rect 11296 4604 11302 4616
-rect 11333 4607 11391 4613
-rect 11333 4604 11345 4607
-rect 11296 4576 11345 4604
-rect 11296 4564 11302 4576
-rect 11333 4573 11345 4576
-rect 11379 4573 11391 4607
-rect 11606 4604 11612 4616
-rect 11567 4576 11612 4604
-rect 11333 4567 11391 4573
-rect 11606 4564 11612 4576
-rect 11664 4604 11670 4616
-rect 11885 4607 11943 4613
-rect 11885 4604 11897 4607
-rect 11664 4576 11897 4604
-rect 11664 4564 11670 4576
-rect 11885 4573 11897 4576
-rect 11931 4573 11943 4607
-rect 11997 4607 12055 4613
-rect 11997 4604 12009 4607
-rect 11885 4567 11943 4573
-rect 11992 4573 12009 4604
-rect 12043 4573 12055 4607
-rect 11992 4567 12055 4573
-rect 7374 4536 7380 4548
-rect 5644 4508 6500 4536
-rect 7335 4508 7380 4536
-rect 5644 4468 5672 4508
-rect 6472 4480 6500 4508
-rect 7374 4496 7380 4508
-rect 7432 4496 7438 4548
-rect 11517 4539 11575 4545
-rect 11517 4536 11529 4539
-rect 9416 4508 11529 4536
-rect 5092 4440 5672 4468
-rect 5721 4471 5779 4477
-rect 4985 4431 5043 4437
-rect 5721 4437 5733 4471
-rect 5767 4468 5779 4471
-rect 6086 4468 6092 4480
-rect 5767 4440 6092 4468
-rect 5767 4437 5779 4440
-rect 5721 4431 5779 4437
-rect 6086 4428 6092 4440
-rect 6144 4428 6150 4480
-rect 6454 4428 6460 4480
-rect 6512 4428 6518 4480
-rect 6638 4428 6644 4480
-rect 6696 4468 6702 4480
-rect 7834 4468 7840 4480
-rect 6696 4440 7840 4468
-rect 6696 4428 6702 4440
-rect 7834 4428 7840 4440
-rect 7892 4428 7898 4480
-rect 8846 4428 8852 4480
-rect 8904 4468 8910 4480
-rect 8941 4471 8999 4477
-rect 8941 4468 8953 4471
-rect 8904 4440 8953 4468
-rect 8904 4428 8910 4440
-rect 8941 4437 8953 4440
-rect 8987 4437 8999 4471
-rect 8941 4431 8999 4437
-rect 9214 4428 9220 4480
-rect 9272 4468 9278 4480
-rect 9416 4477 9444 4508
-rect 11517 4505 11529 4508
-rect 11563 4536 11575 4539
-rect 11992 4536 12020 4567
-rect 11563 4508 12020 4536
-rect 11563 4505 11575 4508
-rect 11517 4499 11575 4505
-rect 9401 4471 9459 4477
-rect 9401 4468 9413 4471
-rect 9272 4440 9413 4468
-rect 9272 4428 9278 4440
-rect 9401 4437 9413 4440
-rect 9447 4437 9459 4471
-rect 9401 4431 9459 4437
+rect 6144 4564 6150 4567
+rect 6730 4564 6736 4576
+rect 6788 4564 6794 4616
+rect 8404 4604 8432 4644
+rect 9033 4607 9091 4613
+rect 9033 4604 9045 4607
+rect 8404 4576 9045 4604
+rect 9033 4573 9045 4576
+rect 9079 4573 9091 4607
+rect 9033 4567 9091 4573
+rect 9122 4564 9128 4616
+rect 9180 4604 9186 4616
+rect 9508 4613 9536 4644
+rect 10042 4632 10048 4684
+rect 10100 4672 10106 4684
+rect 10229 4675 10287 4681
+rect 10229 4672 10241 4675
+rect 10100 4644 10241 4672
+rect 10100 4632 10106 4644
+rect 10229 4641 10241 4644
+rect 10275 4641 10287 4675
+rect 10336 4672 10364 4712
+rect 13170 4700 13176 4712
+rect 13228 4700 13234 4752
+rect 10505 4675 10563 4681
+rect 10505 4672 10517 4675
+rect 10336 4644 10517 4672
+rect 10229 4635 10287 4641
+rect 10505 4641 10517 4644
+rect 10551 4641 10563 4675
+rect 10505 4635 10563 4641
+rect 9493 4607 9551 4613
+rect 9180 4576 9225 4604
+rect 9180 4564 9186 4576
+rect 9493 4573 9505 4607
+rect 9539 4573 9551 4607
+rect 9493 4567 9551 4573
+rect 12526 4564 12532 4616
+rect 12584 4604 12590 4616
+rect 12713 4607 12771 4613
+rect 12713 4604 12725 4607
+rect 12584 4576 12725 4604
+rect 12584 4564 12590 4576
+rect 12713 4573 12725 4576
+rect 12759 4573 12771 4607
+rect 13538 4604 13544 4616
+rect 13499 4576 13544 4604
+rect 12713 4567 12771 4573
+rect 13538 4564 13544 4576
+rect 13596 4564 13602 4616
+rect 5353 4539 5411 4545
+rect 3160 4508 3556 4536
+rect 2869 4499 2927 4505
+rect 3528 4480 3556 4508
+rect 5353 4505 5365 4539
+rect 5399 4505 5411 4539
+rect 5353 4499 5411 4505
+rect 6365 4539 6423 4545
+rect 6365 4505 6377 4539
+rect 6411 4536 6423 4539
+rect 7190 4536 7196 4548
+rect 6411 4508 7196 4536
+rect 6411 4505 6423 4508
+rect 6365 4499 6423 4505
+rect 2225 4471 2283 4477
+rect 2225 4437 2237 4471
+rect 2271 4468 2283 4471
+rect 2314 4468 2320 4480
+rect 2271 4440 2320 4468
+rect 2271 4437 2283 4440
+rect 2225 4431 2283 4437
+rect 2314 4428 2320 4440
+rect 2372 4428 2378 4480
+rect 3234 4468 3240 4480
+rect 3195 4440 3240 4468
+rect 3234 4428 3240 4440
+rect 3292 4428 3298 4480
+rect 3510 4468 3516 4480
+rect 3471 4440 3516 4468
+rect 3510 4428 3516 4440
+rect 3568 4428 3574 4480
+rect 3881 4471 3939 4477
+rect 3881 4437 3893 4471
+rect 3927 4468 3939 4471
+rect 4522 4468 4528 4480
+rect 3927 4440 4528 4468
+rect 3927 4437 3939 4440
+rect 3881 4431 3939 4437
+rect 4522 4428 4528 4440
+rect 4580 4428 4586 4480
+rect 5368 4468 5396 4499
+rect 7190 4496 7196 4508
+rect 7248 4496 7254 4548
+rect 11882 4536 11888 4548
+rect 7300 4508 7682 4536
+rect 11730 4508 11888 4536
+rect 5534 4468 5540 4480
+rect 5368 4440 5540 4468
+rect 5534 4428 5540 4440
+rect 5592 4428 5598 4480
+rect 6733 4471 6791 4477
+rect 6733 4437 6745 4471
+rect 6779 4468 6791 4471
+rect 7300 4468 7328 4508
+rect 11882 4496 11888 4508
+rect 11940 4496 11946 4548
+rect 13265 4539 13323 4545
+rect 13265 4505 13277 4539
+rect 13311 4505 13323 4539
+rect 13265 4499 13323 4505
+rect 9674 4468 9680 4480
+rect 6779 4440 7328 4468
+rect 9635 4440 9680 4468
+rect 6779 4437 6791 4440
+rect 6733 4431 6791 4437
+rect 9674 4428 9680 4440
+rect 9732 4428 9738 4480
+rect 13280 4468 13308 4499
+rect 13357 4471 13415 4477
+rect 13357 4468 13369 4471
+rect 13280 4440 13369 4468
+rect 13357 4437 13369 4440
+rect 13403 4437 13415 4471
+rect 13357 4431 13415 4437
rect 1104 4378 13892 4400
rect 1104 4326 8214 4378
rect 8266 4326 8278 4378
@@ -7611,343 +8294,452 @@ rect 8394 4326 8406 4378
rect 8458 4326 8470 4378
rect 8522 4326 13892 4378
rect 1104 4304 13892 4326
-rect 1489 4267 1547 4273
-rect 1489 4233 1501 4267
-rect 1535 4264 1547 4267
-rect 1578 4264 1584 4276
-rect 1535 4236 1584 4264
-rect 1535 4233 1547 4236
-rect 1489 4227 1547 4233
-rect 1578 4224 1584 4236
-rect 1636 4224 1642 4276
-rect 3878 4264 3884 4276
-rect 3160 4236 3884 4264
-rect 3160 4140 3188 4236
-rect 3878 4224 3884 4236
-rect 3936 4224 3942 4276
-rect 5902 4264 5908 4276
-rect 4448 4236 5908 4264
-rect 3510 4196 3516 4208
-rect 3471 4168 3516 4196
-rect 3510 4156 3516 4168
-rect 3568 4156 3574 4208
-rect 3970 4196 3976 4208
-rect 3931 4168 3976 4196
-rect 3970 4156 3976 4168
-rect 4028 4156 4034 4208
-rect 4448 4196 4476 4236
-rect 5902 4224 5908 4236
-rect 5960 4224 5966 4276
-rect 7374 4264 7380 4276
-rect 6380 4236 7380 4264
-rect 4614 4196 4620 4208
-rect 4356 4168 4476 4196
-rect 4575 4168 4620 4196
-rect 1578 4128 1584 4140
-rect 1539 4100 1584 4128
-rect 1578 4088 1584 4100
-rect 1636 4088 1642 4140
-rect 1762 4128 1768 4140
-rect 1723 4100 1768 4128
-rect 1762 4088 1768 4100
-rect 1820 4088 1826 4140
-rect 1948 4131 2006 4137
-rect 1948 4097 1960 4131
-rect 1994 4097 2006 4131
-rect 2130 4128 2136 4140
-rect 2091 4100 2136 4128
-rect 1948 4091 2006 4097
-rect 1964 3924 1992 4091
-rect 2130 4088 2136 4100
-rect 2188 4088 2194 4140
-rect 2314 4128 2320 4140
-rect 2275 4100 2320 4128
-rect 2314 4088 2320 4100
-rect 2372 4088 2378 4140
-rect 2590 4128 2596 4140
-rect 2551 4100 2596 4128
-rect 2590 4088 2596 4100
-rect 2648 4088 2654 4140
-rect 3053 4131 3111 4137
-rect 3053 4097 3065 4131
-rect 3099 4128 3111 4131
-rect 3142 4128 3148 4140
-rect 3099 4100 3148 4128
-rect 3099 4097 3111 4100
-rect 3053 4091 3111 4097
-rect 3142 4088 3148 4100
-rect 3200 4088 3206 4140
-rect 3237 4131 3295 4137
-rect 3237 4097 3249 4131
-rect 3283 4097 3295 4131
-rect 3237 4091 3295 4097
+rect 2406 4224 2412 4276
+rect 2464 4264 2470 4276
+rect 3329 4267 3387 4273
+rect 3329 4264 3341 4267
+rect 2464 4236 3341 4264
+rect 2464 4224 2470 4236
+rect 3329 4233 3341 4236
+rect 3375 4233 3387 4267
+rect 3329 4227 3387 4233
+rect 4617 4267 4675 4273
+rect 4617 4233 4629 4267
+rect 4663 4233 4675 4267
+rect 4617 4227 4675 4233
+rect 2774 4156 2780 4208
+rect 2832 4196 2838 4208
+rect 2832 4168 3372 4196
+rect 2832 4156 2838 4168
+rect 1854 4128 1860 4140
+rect 1815 4100 1860 4128
+rect 1854 4088 1860 4100
+rect 1912 4088 1918 4140
+rect 2038 4128 2044 4140
+rect 1999 4100 2044 4128
+rect 2038 4088 2044 4100
+rect 2096 4088 2102 4140
+rect 2222 4128 2228 4140
+rect 2183 4100 2228 4128
+rect 2222 4088 2228 4100
+rect 2280 4088 2286 4140
+rect 2314 4088 2320 4140
+rect 2372 4128 2378 4140
+rect 2682 4128 2688 4140
+rect 2372 4100 2417 4128
+rect 2643 4100 2688 4128
+rect 2372 4088 2378 4100
+rect 2682 4088 2688 4100
+rect 2740 4088 2746 4140
+rect 2866 4128 2872 4140
+rect 2827 4100 2872 4128
+rect 2866 4088 2872 4100
+rect 2924 4088 2930 4140
+rect 3344 4137 3372 4168
+rect 3694 4156 3700 4208
+rect 3752 4196 3758 4208
+rect 4632 4196 4660 4227
+rect 4706 4224 4712 4276
+rect 4764 4264 4770 4276
+rect 5077 4267 5135 4273
+rect 5077 4264 5089 4267
+rect 4764 4236 5089 4264
+rect 4764 4224 4770 4236
+rect 5077 4233 5089 4236
+rect 5123 4264 5135 4267
+rect 5350 4264 5356 4276
+rect 5123 4236 5356 4264
+rect 5123 4233 5135 4236
+rect 5077 4227 5135 4233
+rect 5350 4224 5356 4236
+rect 5408 4224 5414 4276
+rect 5534 4264 5540 4276
+rect 5495 4236 5540 4264
+rect 5534 4224 5540 4236
+rect 5592 4224 5598 4276
+rect 6086 4224 6092 4276
+rect 6144 4264 6150 4276
+rect 6457 4267 6515 4273
+rect 6457 4264 6469 4267
+rect 6144 4236 6469 4264
+rect 6144 4224 6150 4236
+rect 6457 4233 6469 4236
+rect 6503 4233 6515 4267
+rect 6457 4227 6515 4233
+rect 6638 4224 6644 4276
+rect 6696 4264 6702 4276
+rect 6696 4236 8248 4264
+rect 6696 4224 6702 4236
+rect 5718 4196 5724 4208
+rect 3752 4168 4108 4196
+rect 4632 4168 5724 4196
+rect 3752 4156 3758 4168
rect 3329 4131 3387 4137
rect 3329 4097 3341 4131
-rect 3375 4128 3387 4131
-rect 3697 4131 3755 4137
-rect 3697 4128 3709 4131
-rect 3375 4100 3709 4128
-rect 3375 4097 3387 4100
+rect 3375 4097 3387 4131
+rect 3510 4128 3516 4140
+rect 3471 4100 3516 4128
rect 3329 4091 3387 4097
-rect 3697 4097 3709 4100
-rect 3743 4128 3755 4131
-rect 3786 4128 3792 4140
-rect 3743 4100 3792 4128
-rect 3743 4097 3755 4100
-rect 3697 4091 3755 4097
-rect 2038 4020 2044 4072
-rect 2096 4060 2102 4072
-rect 3252 4060 3280 4091
-rect 3786 4088 3792 4100
-rect 3844 4088 3850 4140
-rect 4356 4137 4384 4168
-rect 4614 4156 4620 4168
-rect 4672 4156 4678 4208
-rect 6086 4196 6092 4208
-rect 5842 4168 6092 4196
-rect 6086 4156 6092 4168
-rect 6144 4156 6150 4208
-rect 4341 4131 4399 4137
-rect 4341 4097 4353 4131
-rect 4387 4097 4399 4131
-rect 6380 4128 6408 4236
-rect 7374 4224 7380 4236
-rect 7432 4224 7438 4276
-rect 8110 4224 8116 4276
-rect 8168 4264 8174 4276
-rect 8168 4236 9444 4264
-rect 8168 4224 8174 4236
-rect 6546 4156 6552 4208
-rect 6604 4196 6610 4208
-rect 6641 4199 6699 4205
-rect 6641 4196 6653 4199
-rect 6604 4168 6653 4196
-rect 6604 4156 6610 4168
-rect 6641 4165 6653 4168
-rect 6687 4165 6699 4199
-rect 6641 4159 6699 4165
-rect 6733 4199 6791 4205
-rect 6733 4165 6745 4199
-rect 6779 4196 6791 4199
-rect 8386 4196 8392 4208
-rect 6779 4168 7420 4196
-rect 6779 4165 6791 4168
-rect 6733 4159 6791 4165
-rect 7392 4140 7420 4168
-rect 7576 4168 8392 4196
-rect 4341 4091 4399 4097
-rect 5828 4100 6408 4128
-rect 3970 4060 3976 4072
-rect 2096 4032 2141 4060
-rect 3252 4032 3976 4060
-rect 2096 4020 2102 4032
-rect 3970 4020 3976 4032
-rect 4028 4020 4034 4072
-rect 5828 4060 5856 4100
-rect 6454 4088 6460 4140
-rect 6512 4128 6518 4140
-rect 6512 4100 6557 4128
-rect 6512 4088 6518 4100
-rect 6822 4088 6828 4140
-rect 6880 4137 6886 4140
-rect 6880 4128 6888 4137
-rect 7374 4128 7380 4140
-rect 6880 4100 6925 4128
-rect 7335 4100 7380 4128
-rect 6880 4091 6888 4100
-rect 6880 4088 6886 4091
-rect 7374 4088 7380 4100
-rect 7432 4088 7438 4140
-rect 7576 4137 7604 4168
-rect 8386 4156 8392 4168
-rect 8444 4156 8450 4208
-rect 8846 4156 8852 4208
-rect 8904 4156 8910 4208
-rect 9416 4196 9444 4236
-rect 9490 4224 9496 4276
-rect 9548 4264 9554 4276
-rect 9585 4267 9643 4273
-rect 9585 4264 9597 4267
-rect 9548 4236 9597 4264
-rect 9548 4224 9554 4236
-rect 9585 4233 9597 4236
-rect 9631 4233 9643 4267
-rect 9585 4227 9643 4233
-rect 9861 4267 9919 4273
-rect 9861 4233 9873 4267
-rect 9907 4264 9919 4267
-rect 10134 4264 10140 4276
-rect 9907 4236 10140 4264
-rect 9907 4233 9919 4236
-rect 9861 4227 9919 4233
-rect 10134 4224 10140 4236
-rect 10192 4224 10198 4276
-rect 10686 4224 10692 4276
-rect 10744 4264 10750 4276
-rect 10744 4236 10916 4264
-rect 10744 4224 10750 4236
-rect 10888 4205 10916 4236
-rect 10873 4199 10931 4205
-rect 9416 4168 9996 4196
-rect 7561 4131 7619 4137
-rect 7561 4097 7573 4131
-rect 7607 4097 7619 4131
-rect 7834 4128 7840 4140
-rect 7795 4100 7840 4128
-rect 7561 4091 7619 4097
-rect 7834 4088 7840 4100
-rect 7892 4088 7898 4140
+rect 3510 4088 3516 4100
+rect 3568 4088 3574 4140
+rect 4080 4137 4108 4168
+rect 5718 4156 5724 4168
+rect 5776 4156 5782 4208
+rect 6181 4199 6239 4205
+rect 6181 4165 6193 4199
+rect 6227 4196 6239 4199
+rect 6227 4168 6762 4196
+rect 6227 4165 6239 4168
+rect 6181 4159 6239 4165
+rect 3973 4131 4031 4137
+rect 3973 4097 3985 4131
+rect 4019 4097 4031 4131
+rect 3973 4091 4031 4097
+rect 4065 4131 4123 4137
+rect 4065 4097 4077 4131
+rect 4111 4097 4123 4131
+rect 4246 4128 4252 4140
+rect 4207 4100 4252 4128
+rect 4065 4091 4123 4097
+rect 1762 4060 1768 4072
+rect 1723 4032 1768 4060
+rect 1762 4020 1768 4032
+rect 1820 4020 1826 4072
+rect 2057 4032 3372 4060
+rect 1489 3995 1547 4001
+rect 1489 3961 1501 3995
+rect 1535 3992 1547 3995
+rect 2057 3992 2085 4032
+rect 1535 3964 2085 3992
+rect 2133 3995 2191 4001
+rect 1535 3961 1547 3964
+rect 1489 3955 1547 3961
+rect 2133 3961 2145 3995
+rect 2179 3992 2191 3995
+rect 3053 3995 3111 4001
+rect 3053 3992 3065 3995
+rect 2179 3964 3065 3992
+rect 2179 3961 2191 3964
+rect 2133 3955 2191 3961
+rect 3053 3961 3065 3964
+rect 3099 3961 3111 3995
+rect 3053 3955 3111 3961
+rect 1857 3927 1915 3933
+rect 1857 3893 1869 3927
+rect 1903 3924 1915 3927
+rect 2222 3924 2228 3936
+rect 1903 3896 2228 3924
+rect 1903 3893 1915 3896
+rect 1857 3887 1915 3893
+rect 2222 3884 2228 3896
+rect 2280 3884 2286 3936
+rect 2501 3927 2559 3933
+rect 2501 3893 2513 3927
+rect 2547 3924 2559 3927
+rect 3142 3924 3148 3936
+rect 2547 3896 3148 3924
+rect 2547 3893 2559 3896
+rect 2501 3887 2559 3893
+rect 3142 3884 3148 3896
+rect 3200 3884 3206 3936
+rect 3344 3924 3372 4032
+rect 3418 3952 3424 4004
+rect 3476 3992 3482 4004
+rect 3789 3995 3847 4001
+rect 3789 3992 3801 3995
+rect 3476 3964 3801 3992
+rect 3476 3952 3482 3964
+rect 3789 3961 3801 3964
+rect 3835 3992 3847 3995
+rect 3988 3992 4016 4091
+rect 4246 4088 4252 4100
+rect 4304 4088 4310 4140
+rect 4522 4128 4528 4140
+rect 4483 4100 4528 4128
+rect 4522 4088 4528 4100
+rect 4580 4088 4586 4140
+rect 4709 4131 4767 4137
+rect 4709 4097 4721 4131
+rect 4755 4128 4767 4131
+rect 5169 4131 5227 4137
+rect 5169 4128 5181 4131
+rect 4755 4100 5181 4128
+rect 4755 4097 4767 4100
+rect 4709 4091 4767 4097
+rect 5169 4097 5181 4100
+rect 5215 4128 5227 4131
+rect 5442 4128 5448 4140
+rect 5215 4100 5448 4128
+rect 5215 4097 5227 4100
+rect 5169 4091 5227 4097
+rect 5442 4088 5448 4100
+rect 5500 4088 5506 4140
+rect 5813 4131 5871 4137
+rect 5813 4097 5825 4131
+rect 5859 4097 5871 4131
+rect 5813 4091 5871 4097
+rect 6089 4131 6147 4137
+rect 6089 4097 6101 4131
+rect 6135 4128 6147 4131
+rect 6638 4128 6644 4140
+rect 6135 4100 6644 4128
+rect 6135 4097 6147 4100
+rect 6089 4091 6147 4097
+rect 4890 4060 4896 4072
+rect 4851 4032 4896 4060
+rect 4890 4020 4896 4032
+rect 4948 4020 4954 4072
+rect 4798 3992 4804 4004
+rect 3835 3964 4804 3992
+rect 3835 3961 3847 3964
+rect 3789 3955 3847 3961
+rect 4798 3952 4804 3964
+rect 4856 3952 4862 4004
+rect 4982 3952 4988 4004
+rect 5040 3992 5046 4004
+rect 5721 3995 5779 4001
+rect 5721 3992 5733 3995
+rect 5040 3964 5733 3992
+rect 5040 3952 5046 3964
+rect 5721 3961 5733 3964
+rect 5767 3992 5779 3995
+rect 5828 3992 5856 4091
+rect 6638 4088 6644 4100
+rect 6696 4088 6702 4140
+rect 8220 4137 8248 4236
+rect 8570 4224 8576 4276
+rect 8628 4224 8634 4276
+rect 9674 4264 9680 4276
+rect 9635 4236 9680 4264
+rect 9674 4224 9680 4236
+rect 9732 4224 9738 4276
+rect 9858 4224 9864 4276
+rect 9916 4264 9922 4276
+rect 11146 4264 11152 4276
+rect 9916 4236 10824 4264
+rect 11107 4236 11152 4264
+rect 9916 4224 9922 4236
+rect 8205 4131 8263 4137
+rect 8205 4097 8217 4131
+rect 8251 4128 8263 4131
+rect 8478 4128 8484 4140
+rect 8251 4100 8484 4128
+rect 8251 4097 8263 4100
+rect 8205 4091 8263 4097
+rect 8478 4088 8484 4100
+rect 8536 4088 8542 4140
+rect 8588 4128 8616 4224
+rect 9398 4196 9404 4208
+rect 9048 4168 9404 4196
+rect 9048 4137 9076 4168
+rect 9398 4156 9404 4168
+rect 9456 4196 9462 4208
+rect 10796 4196 10824 4236
+rect 11146 4224 11152 4236
+rect 11204 4264 11210 4276
+rect 11241 4267 11299 4273
+rect 11241 4264 11253 4267
+rect 11204 4236 11253 4264
+rect 11204 4224 11210 4236
+rect 11241 4233 11253 4236
+rect 11287 4233 11299 4267
+rect 11606 4264 11612 4276
+rect 11567 4236 11612 4264
+rect 11241 4227 11299 4233
+rect 11256 4196 11284 4227
+rect 11606 4224 11612 4236
+rect 11664 4224 11670 4276
+rect 11974 4196 11980 4208
+rect 9456 4168 10456 4196
+rect 10796 4168 10916 4196
+rect 11256 4168 11980 4196
+rect 9456 4156 9462 4168
+rect 8757 4131 8815 4137
+rect 8757 4128 8769 4131
+rect 8588 4100 8769 4128
+rect 8757 4097 8769 4100
+rect 8803 4097 8815 4131
+rect 8757 4091 8815 4097
+rect 9033 4131 9091 4137
+rect 9033 4097 9045 4131
+rect 9079 4097 9091 4131
+rect 9033 4091 9091 4097
+rect 9493 4131 9551 4137
+rect 9493 4097 9505 4131
+rect 9539 4097 9551 4131
+rect 9493 4091 9551 4097
+rect 7190 4020 7196 4072
+rect 7248 4060 7254 4072
+rect 7929 4063 7987 4069
+rect 7929 4060 7941 4063
+rect 7248 4032 7941 4060
+rect 7248 4020 7254 4032
+rect 7929 4029 7941 4032
+rect 7975 4029 7987 4063
+rect 9508 4060 9536 4091
+rect 9582 4088 9588 4140
+rect 9640 4128 9646 4140
rect 9968 4137 9996 4168
-rect 10873 4165 10885 4199
-rect 10919 4165 10931 4199
-rect 11790 4196 11796 4208
-rect 11751 4168 11796 4196
-rect 10873 4159 10931 4165
-rect 11790 4156 11796 4168
-rect 11848 4156 11854 4208
-rect 12802 4156 12808 4208
-rect 12860 4156 12866 4208
rect 9953 4131 10011 4137
+rect 9640 4100 9685 4128
+rect 9640 4088 9646 4100
rect 9953 4097 9965 4131
rect 9999 4097 10011 4131
-rect 10226 4128 10232 4140
-rect 10187 4100 10232 4128
rect 9953 4091 10011 4097
-rect 10226 4088 10232 4100
-rect 10284 4088 10290 4140
-rect 10322 4131 10380 4137
-rect 10322 4097 10334 4131
-rect 10368 4097 10380 4131
-rect 10502 4128 10508 4140
-rect 10463 4100 10508 4128
-rect 10322 4091 10380 4097
-rect 8110 4060 8116 4072
-rect 4448 4032 5856 4060
-rect 6656 4032 7420 4060
-rect 8071 4032 8116 4060
-rect 2501 3995 2559 4001
-rect 2501 3961 2513 3995
-rect 2547 3992 2559 3995
-rect 4448 3992 4476 4032
-rect 6656 3992 6684 4032
-rect 2547 3964 4476 3992
-rect 5644 3964 6684 3992
-rect 2547 3961 2559 3964
-rect 2501 3955 2559 3961
-rect 2685 3927 2743 3933
-rect 2685 3924 2697 3927
-rect 1964 3896 2697 3924
-rect 2685 3893 2697 3896
-rect 2731 3893 2743 3927
-rect 2685 3887 2743 3893
-rect 4614 3884 4620 3936
-rect 4672 3924 4678 3936
-rect 5644 3924 5672 3964
-rect 6730 3952 6736 4004
-rect 6788 3992 6794 4004
-rect 7285 3995 7343 4001
-rect 7285 3992 7297 3995
-rect 6788 3964 7297 3992
-rect 6788 3952 6794 3964
-rect 7285 3961 7297 3964
-rect 7331 3961 7343 3995
-rect 7392 3992 7420 4032
-rect 8110 4020 8116 4032
-rect 8168 4020 8174 4072
-rect 10137 4063 10195 4069
-rect 10137 4029 10149 4063
-rect 10183 4029 10195 4063
-rect 10337 4060 10365 4091
-rect 10502 4088 10508 4100
-rect 10560 4088 10566 4140
-rect 10689 4131 10747 4137
-rect 10689 4097 10701 4131
-rect 10735 4097 10747 4131
-rect 10962 4128 10968 4140
-rect 10923 4100 10968 4128
-rect 10689 4091 10747 4097
-rect 10704 4060 10732 4091
-rect 10962 4088 10968 4100
-rect 11020 4088 11026 4140
-rect 11062 4131 11120 4137
-rect 11062 4097 11074 4131
-rect 11108 4097 11120 4131
-rect 11062 4091 11120 4097
-rect 10337 4032 10732 4060
-rect 10137 4023 10195 4029
-rect 7392 3964 7880 3992
-rect 7285 3955 7343 3961
-rect 4672 3896 5672 3924
-rect 4672 3884 4678 3896
-rect 5810 3884 5816 3936
-rect 5868 3924 5874 3936
-rect 6089 3927 6147 3933
-rect 6089 3924 6101 3927
-rect 5868 3896 6101 3924
-rect 5868 3884 5874 3896
-rect 6089 3893 6101 3896
-rect 6135 3924 6147 3927
-rect 6546 3924 6552 3936
-rect 6135 3896 6552 3924
-rect 6135 3893 6147 3896
-rect 6089 3887 6147 3893
-rect 6546 3884 6552 3896
-rect 6604 3884 6610 3936
-rect 6914 3884 6920 3936
-rect 6972 3924 6978 3936
-rect 7009 3927 7067 3933
-rect 7009 3924 7021 3927
-rect 6972 3896 7021 3924
-rect 6972 3884 6978 3896
-rect 7009 3893 7021 3896
-rect 7055 3893 7067 3927
-rect 7009 3887 7067 3893
-rect 7650 3884 7656 3936
-rect 7708 3924 7714 3936
-rect 7745 3927 7803 3933
-rect 7745 3924 7757 3927
-rect 7708 3896 7757 3924
-rect 7708 3884 7714 3896
-rect 7745 3893 7757 3896
-rect 7791 3893 7803 3927
-rect 7852 3924 7880 3964
-rect 10152 3924 10180 4023
-rect 10704 3992 10732 4032
-rect 10778 4020 10784 4072
-rect 10836 4060 10842 4072
-rect 11072 4060 11100 4091
-rect 11514 4060 11520 4072
-rect 10836 4032 11100 4060
-rect 11475 4032 11520 4060
-rect 10836 4020 10842 4032
-rect 11514 4020 11520 4032
-rect 11572 4020 11578 4072
-rect 13265 4063 13323 4069
-rect 13265 4060 13277 4063
-rect 11624 4032 13277 4060
-rect 10870 3992 10876 4004
-rect 10704 3964 10876 3992
-rect 10870 3952 10876 3964
-rect 10928 3952 10934 4004
-rect 10962 3952 10968 4004
-rect 11020 3992 11026 4004
-rect 11624 3992 11652 4032
-rect 13265 4029 13277 4032
-rect 13311 4029 13323 4063
-rect 13265 4023 13323 4029
-rect 11020 3964 11652 3992
-rect 11020 3952 11026 3964
-rect 7852 3896 10180 3924
-rect 11241 3927 11299 3933
-rect 7745 3887 7803 3893
-rect 11241 3893 11253 3927
-rect 11287 3924 11299 3927
-rect 11606 3924 11612 3936
-rect 11287 3896 11612 3924
-rect 11287 3893 11299 3896
-rect 11241 3887 11299 3893
-rect 11606 3884 11612 3896
-rect 11664 3884 11670 3936
+rect 10045 4131 10103 4137
+rect 10045 4097 10057 4131
+rect 10091 4128 10103 4131
+rect 10318 4128 10324 4140
+rect 10091 4100 10324 4128
+rect 10091 4097 10103 4100
+rect 10045 4091 10103 4097
+rect 10060 4060 10088 4091
+rect 10318 4088 10324 4100
+rect 10376 4088 10382 4140
+rect 10428 4128 10456 4168
+rect 10778 4128 10784 4140
+rect 10428 4100 10784 4128
+rect 10778 4088 10784 4100
+rect 10836 4088 10842 4140
+rect 10888 4137 10916 4168
+rect 11808 4137 11836 4168
+rect 11974 4156 11980 4168
+rect 12032 4196 12038 4208
+rect 12526 4196 12532 4208
+rect 12032 4168 12296 4196
+rect 12487 4168 12532 4196
+rect 12032 4156 12038 4168
+rect 10873 4131 10931 4137
+rect 10873 4097 10885 4131
+rect 10919 4097 10931 4131
+rect 10873 4091 10931 4097
+rect 11609 4131 11667 4137
+rect 11609 4097 11621 4131
+rect 11655 4097 11667 4131
+rect 11609 4091 11667 4097
+rect 11793 4131 11851 4137
+rect 11793 4097 11805 4131
+rect 11839 4097 11851 4131
+rect 12066 4128 12072 4140
+rect 12027 4100 12072 4128
+rect 11793 4091 11851 4097
+rect 7929 4023 7987 4029
+rect 8266 4032 9536 4060
+rect 9784 4032 10088 4060
+rect 10229 4063 10287 4069
+rect 6822 3992 6828 4004
+rect 5767 3964 6828 3992
+rect 5767 3961 5779 3964
+rect 5721 3955 5779 3961
+rect 6822 3952 6828 3964
+rect 6880 3952 6886 4004
+rect 8266 3924 8294 4032
+rect 8573 3995 8631 4001
+rect 8573 3961 8585 3995
+rect 8619 3992 8631 3995
+rect 9214 3992 9220 4004
+rect 8619 3964 9220 3992
+rect 8619 3961 8631 3964
+rect 8573 3955 8631 3961
+rect 9214 3952 9220 3964
+rect 9272 3952 9278 4004
+rect 9309 3995 9367 4001
+rect 9309 3961 9321 3995
+rect 9355 3992 9367 3995
+rect 9784 3992 9812 4032
+rect 10229 4029 10241 4063
+rect 10275 4060 10287 4063
+rect 10597 4063 10655 4069
+rect 10597 4060 10609 4063
+rect 10275 4032 10609 4060
+rect 10275 4029 10287 4032
+rect 10229 4023 10287 4029
+rect 10597 4029 10609 4032
+rect 10643 4029 10655 4063
+rect 10597 4023 10655 4029
+rect 9355 3964 9812 3992
+rect 9861 3995 9919 4001
+rect 9355 3961 9367 3964
+rect 9309 3955 9367 3961
+rect 9861 3961 9873 3995
+rect 9907 3992 9919 3995
+rect 9950 3992 9956 4004
+rect 9907 3964 9956 3992
+rect 9907 3961 9919 3964
+rect 9861 3955 9919 3961
+rect 9950 3952 9956 3964
+rect 10008 3952 10014 4004
+rect 11624 3992 11652 4091
+rect 12066 4088 12072 4100
+rect 12124 4088 12130 4140
+rect 12268 4137 12296 4168
+rect 12526 4156 12532 4168
+rect 12584 4156 12590 4208
+rect 12253 4131 12311 4137
+rect 12253 4097 12265 4131
+rect 12299 4128 12311 4131
+rect 12710 4128 12716 4140
+rect 12299 4100 12434 4128
+rect 12671 4100 12716 4128
+rect 12299 4097 12311 4100
+rect 12253 4091 12311 4097
+rect 11698 4020 11704 4072
+rect 11756 4060 11762 4072
+rect 11977 4063 12035 4069
+rect 11977 4060 11989 4063
+rect 11756 4032 11989 4060
+rect 11756 4020 11762 4032
+rect 11977 4029 11989 4032
+rect 12023 4029 12035 4063
+rect 11977 4023 12035 4029
+rect 12084 3992 12112 4088
+rect 12406 4060 12434 4100
+rect 12710 4088 12716 4100
+rect 12768 4088 12774 4140
+rect 12897 4131 12955 4137
+rect 12897 4097 12909 4131
+rect 12943 4097 12955 4131
+rect 12897 4091 12955 4097
+rect 12989 4131 13047 4137
+rect 12989 4097 13001 4131
+rect 13035 4128 13047 4131
+rect 13354 4128 13360 4140
+rect 13035 4100 13360 4128
+rect 13035 4097 13047 4100
+rect 12989 4091 13047 4097
+rect 12912 4060 12940 4091
+rect 13354 4088 13360 4100
+rect 13412 4088 13418 4140
+rect 13081 4063 13139 4069
+rect 13081 4060 13093 4063
+rect 12406 4032 13093 4060
+rect 13081 4029 13093 4032
+rect 13127 4029 13139 4063
+rect 13081 4023 13139 4029
+rect 11624 3964 12112 3992
+rect 3344 3896 8294 3924
+rect 8941 3927 8999 3933
+rect 8941 3893 8953 3927
+rect 8987 3924 8999 3927
+rect 9030 3924 9036 3936
+rect 8987 3896 9036 3924
+rect 8987 3893 8999 3896
+rect 8941 3887 8999 3893
+rect 9030 3884 9036 3896
+rect 9088 3884 9094 3936
+rect 10318 3884 10324 3936
+rect 10376 3924 10382 3936
+rect 10413 3927 10471 3933
+rect 10413 3924 10425 3927
+rect 10376 3896 10425 3924
+rect 10376 3884 10382 3896
+rect 10413 3893 10425 3896
+rect 10459 3893 10471 3927
+rect 13354 3924 13360 3936
+rect 13315 3896 13360 3924
+rect 10413 3887 10471 3893
+rect 13354 3884 13360 3896
+rect 13412 3884 13418 3936
rect 1104 3834 13892 3856
rect 1104 3782 4214 3834
rect 4266 3782 4278 3834
@@ -7964,350 +8756,365 @@ rect 1104 3760 13892 3782
rect 1489 3723 1547 3729
rect 1489 3689 1501 3723
rect 1535 3720 1547 3723
-rect 1578 3720 1584 3732
-rect 1535 3692 1584 3720
+rect 1670 3720 1676 3732
+rect 1535 3692 1676 3720
rect 1535 3689 1547 3692
rect 1489 3683 1547 3689
-rect 1578 3680 1584 3692
-rect 1636 3680 1642 3732
-rect 2958 3720 2964 3732
-rect 1964 3692 2964 3720
-rect 1596 3516 1624 3680
-rect 1964 3525 1992 3692
-rect 2958 3680 2964 3692
-rect 3016 3680 3022 3732
-rect 3881 3723 3939 3729
-rect 3881 3720 3893 3723
-rect 3252 3692 3893 3720
-rect 2038 3612 2044 3664
-rect 2096 3652 2102 3664
-rect 2409 3655 2467 3661
-rect 2409 3652 2421 3655
-rect 2096 3624 2421 3652
-rect 2096 3612 2102 3624
-rect 2409 3621 2421 3624
-rect 2455 3621 2467 3655
-rect 2409 3615 2467 3621
-rect 2130 3544 2136 3596
-rect 2188 3584 2194 3596
-rect 2547 3587 2605 3593
-rect 2547 3584 2559 3587
-rect 2188 3556 2559 3584
-rect 2188 3544 2194 3556
-rect 2547 3553 2559 3556
+rect 1670 3680 1676 3692
+rect 1728 3720 1734 3732
+rect 2225 3723 2283 3729
+rect 2225 3720 2237 3723
+rect 1728 3692 2237 3720
+rect 1728 3680 1734 3692
+rect 2225 3689 2237 3692
+rect 2271 3689 2283 3723
+rect 6457 3723 6515 3729
+rect 6457 3720 6469 3723
+rect 2225 3683 2283 3689
+rect 3344 3692 6469 3720
+rect 2130 3612 2136 3664
+rect 2188 3652 2194 3664
+rect 3344 3652 3372 3692
+rect 6457 3689 6469 3692
+rect 6503 3720 6515 3723
+rect 6641 3723 6699 3729
+rect 6641 3720 6653 3723
+rect 6503 3692 6653 3720
+rect 6503 3689 6515 3692
+rect 6457 3683 6515 3689
+rect 6641 3689 6653 3692
+rect 6687 3689 6699 3723
+rect 6641 3683 6699 3689
+rect 6730 3680 6736 3732
+rect 6788 3720 6794 3732
+rect 9582 3720 9588 3732
+rect 6788 3692 9076 3720
+rect 9543 3692 9588 3720
+rect 6788 3680 6794 3692
+rect 5997 3655 6055 3661
+rect 5997 3652 6009 3655
+rect 2188 3624 3372 3652
+rect 3436 3624 6009 3652
+rect 2188 3612 2194 3624
+rect 1857 3587 1915 3593
+rect 1857 3553 1869 3587
+rect 1903 3584 1915 3587
+rect 2038 3584 2044 3596
+rect 1903 3556 2044 3584
+rect 1903 3553 1915 3556
+rect 1857 3547 1915 3553
+rect 2038 3544 2044 3556
+rect 2096 3544 2102 3596
+rect 2593 3587 2651 3593
rect 2593 3553 2605 3587
-rect 2547 3547 2605 3553
-rect 1823 3519 1881 3525
-rect 1823 3516 1835 3519
-rect 1596 3488 1835 3516
-rect 1823 3485 1835 3488
-rect 1869 3485 1881 3519
-rect 1823 3479 1881 3485
-rect 1949 3519 2007 3525
-rect 1949 3485 1961 3519
-rect 1995 3485 2007 3519
-rect 1949 3479 2007 3485
-rect 2041 3519 2099 3525
-rect 2041 3485 2053 3519
-rect 2087 3485 2099 3519
-rect 2222 3516 2228 3528
-rect 2183 3488 2228 3516
-rect 2041 3479 2099 3485
-rect 1581 3451 1639 3457
-rect 1581 3417 1593 3451
-rect 1627 3417 1639 3451
-rect 2056 3448 2084 3479
-rect 2222 3476 2228 3488
-rect 2280 3476 2286 3528
-rect 2317 3519 2375 3525
-rect 2317 3485 2329 3519
-rect 2363 3516 2375 3519
-rect 2406 3516 2412 3528
-rect 2363 3488 2412 3516
-rect 2363 3485 2375 3488
-rect 2317 3479 2375 3485
-rect 2406 3476 2412 3488
-rect 2464 3476 2470 3528
-rect 2682 3516 2688 3528
-rect 2643 3488 2688 3516
-rect 2682 3476 2688 3488
-rect 2740 3476 2746 3528
-rect 2961 3519 3019 3525
-rect 2961 3485 2973 3519
-rect 3007 3485 3019 3519
-rect 3142 3516 3148 3528
-rect 3103 3488 3148 3516
-rect 2961 3479 3019 3485
-rect 2498 3448 2504 3460
-rect 2056 3420 2504 3448
-rect 1581 3411 1639 3417
-rect 1596 3380 1624 3411
-rect 2498 3408 2504 3420
-rect 2556 3408 2562 3460
-rect 2976 3448 3004 3479
-rect 3142 3476 3148 3488
-rect 3200 3476 3206 3528
-rect 3252 3525 3280 3692
-rect 3881 3689 3893 3692
-rect 3927 3720 3939 3723
-rect 3970 3720 3976 3732
-rect 3927 3692 3976 3720
-rect 3927 3689 3939 3692
-rect 3881 3683 3939 3689
-rect 3970 3680 3976 3692
-rect 4028 3680 4034 3732
-rect 4062 3680 4068 3732
-rect 4120 3720 4126 3732
-rect 5350 3720 5356 3732
-rect 4120 3692 5356 3720
-rect 4120 3680 4126 3692
-rect 5350 3680 5356 3692
-rect 5408 3680 5414 3732
-rect 5534 3680 5540 3732
-rect 5592 3720 5598 3732
-rect 5592 3692 5764 3720
-rect 5592 3680 5598 3692
-rect 5736 3661 5764 3692
-rect 8110 3680 8116 3732
-rect 8168 3720 8174 3732
-rect 9033 3723 9091 3729
-rect 9033 3720 9045 3723
-rect 8168 3692 9045 3720
-rect 8168 3680 8174 3692
-rect 9033 3689 9045 3692
-rect 9079 3689 9091 3723
-rect 9033 3683 9091 3689
-rect 9490 3680 9496 3732
-rect 9548 3720 9554 3732
-rect 9677 3723 9735 3729
-rect 9677 3720 9689 3723
-rect 9548 3692 9689 3720
-rect 9548 3680 9554 3692
-rect 3513 3655 3571 3661
-rect 3513 3621 3525 3655
-rect 3559 3621 3571 3655
-rect 3513 3615 3571 3621
-rect 5721 3655 5779 3661
-rect 5721 3621 5733 3655
-rect 5767 3621 5779 3655
-rect 5721 3615 5779 3621
-rect 3528 3584 3556 3615
-rect 5353 3587 5411 3593
-rect 5353 3584 5365 3587
-rect 3528 3556 5365 3584
-rect 5353 3553 5365 3556
-rect 5399 3553 5411 3587
-rect 5353 3547 5411 3553
-rect 5629 3587 5687 3593
-rect 5629 3553 5641 3587
-rect 5675 3584 5687 3587
-rect 5902 3584 5908 3596
-rect 5675 3556 5908 3584
-rect 5675 3553 5687 3556
-rect 5629 3547 5687 3553
-rect 5902 3544 5908 3556
-rect 5960 3584 5966 3596
-rect 6638 3584 6644 3596
-rect 5960 3556 6644 3584
-rect 5960 3544 5966 3556
-rect 6638 3544 6644 3556
-rect 6696 3544 6702 3596
-rect 6914 3584 6920 3596
-rect 6875 3556 6920 3584
-rect 6914 3544 6920 3556
-rect 6972 3544 6978 3596
-rect 7374 3544 7380 3596
-rect 7432 3584 7438 3596
-rect 8389 3587 8447 3593
-rect 8389 3584 8401 3587
-rect 7432 3556 8401 3584
-rect 7432 3544 7438 3556
-rect 8389 3553 8401 3556
-rect 8435 3553 8447 3587
-rect 8389 3547 8447 3553
-rect 9398 3544 9404 3596
-rect 9456 3584 9462 3596
-rect 9600 3593 9628 3692
-rect 9677 3689 9689 3692
-rect 9723 3689 9735 3723
-rect 9677 3683 9735 3689
-rect 10045 3723 10103 3729
-rect 10045 3689 10057 3723
-rect 10091 3720 10103 3723
-rect 10226 3720 10232 3732
-rect 10091 3692 10232 3720
-rect 10091 3689 10103 3692
-rect 10045 3683 10103 3689
-rect 10226 3680 10232 3692
-rect 10284 3680 10290 3732
-rect 12621 3723 12679 3729
-rect 12621 3689 12633 3723
-rect 12667 3720 12679 3723
-rect 12802 3720 12808 3732
-rect 12667 3692 12808 3720
-rect 12667 3689 12679 3692
-rect 12621 3683 12679 3689
-rect 12802 3680 12808 3692
-rect 12860 3680 12866 3732
-rect 9493 3587 9551 3593
-rect 9493 3584 9505 3587
-rect 9456 3556 9505 3584
-rect 9456 3544 9462 3556
-rect 9493 3553 9505 3556
-rect 9539 3553 9551 3587
-rect 9493 3547 9551 3553
-rect 9585 3587 9643 3593
-rect 9585 3553 9597 3587
-rect 9631 3553 9643 3587
-rect 9585 3547 9643 3553
-rect 9769 3587 9827 3593
-rect 9769 3553 9781 3587
-rect 9815 3584 9827 3587
-rect 10962 3584 10968 3596
-rect 9815 3556 10968 3584
-rect 9815 3553 9827 3556
-rect 9769 3547 9827 3553
-rect 10962 3544 10968 3556
-rect 11020 3544 11026 3596
-rect 3237 3519 3295 3525
-rect 3237 3485 3249 3519
-rect 3283 3485 3295 3519
-rect 3237 3479 3295 3485
-rect 3381 3519 3439 3525
-rect 3381 3485 3393 3519
-rect 3427 3516 3439 3519
-rect 3878 3516 3884 3528
-rect 3427 3488 3884 3516
-rect 3427 3485 3439 3488
-rect 3381 3479 3439 3485
-rect 3878 3476 3884 3488
-rect 3936 3516 3942 3528
-rect 4062 3516 4068 3528
-rect 3936 3488 4068 3516
-rect 3936 3476 3942 3488
-rect 4062 3476 4068 3488
-rect 4120 3476 4126 3528
-rect 5994 3516 6000 3528
-rect 5955 3488 6000 3516
-rect 5994 3476 6000 3488
-rect 6052 3476 6058 3528
+rect 2639 3584 2651 3587
+rect 2774 3584 2780 3596
+rect 2639 3556 2780 3584
+rect 2639 3553 2651 3556
+rect 2593 3547 2651 3553
+rect 2774 3544 2780 3556
+rect 2832 3544 2838 3596
+rect 3436 3593 3464 3624
+rect 5997 3621 6009 3624
+rect 6043 3621 6055 3655
+rect 5997 3615 6055 3621
+rect 3421 3587 3479 3593
+rect 3421 3553 3433 3587
+rect 3467 3553 3479 3587
+rect 3421 3547 3479 3553
+rect 3510 3544 3516 3596
+rect 3568 3584 3574 3596
+rect 3789 3587 3847 3593
+rect 3789 3584 3801 3587
+rect 3568 3556 3801 3584
+rect 3568 3544 3574 3556
+rect 3789 3553 3801 3556
+rect 3835 3553 3847 3587
+rect 3789 3547 3847 3553
+rect 4062 3544 4068 3596
+rect 4120 3584 4126 3596
+rect 4157 3587 4215 3593
+rect 4157 3584 4169 3587
+rect 4120 3556 4169 3584
+rect 4120 3544 4126 3556
+rect 4157 3553 4169 3556
+rect 4203 3553 4215 3587
+rect 5537 3587 5595 3593
+rect 5537 3584 5549 3587
+rect 4157 3547 4215 3553
+rect 4632 3556 5549 3584
+rect 1486 3516 1492 3528
+rect 1447 3488 1492 3516
+rect 1486 3476 1492 3488
+rect 1544 3476 1550 3528
+rect 1578 3476 1584 3528
+rect 1636 3516 1642 3528
+rect 2225 3519 2283 3525
+rect 1636 3488 1681 3516
+rect 1636 3476 1642 3488
+rect 2225 3485 2237 3519
+rect 2271 3516 2283 3519
+rect 2314 3516 2320 3528
+rect 2271 3488 2320 3516
+rect 2271 3485 2283 3488
+rect 2225 3479 2283 3485
+rect 2314 3476 2320 3488
+rect 2372 3476 2378 3528
+rect 3326 3516 3332 3528
+rect 3287 3488 3332 3516
+rect 3326 3476 3332 3488
+rect 3384 3476 3390 3528
+rect 4338 3516 4344 3528
+rect 4299 3488 4344 3516
+rect 4338 3476 4344 3488
+rect 4396 3476 4402 3528
+rect 4430 3476 4436 3528
+rect 4488 3516 4494 3528
+rect 4632 3525 4660 3556
+rect 5537 3553 5549 3556
+rect 5583 3584 5595 3587
+rect 6365 3587 6423 3593
+rect 5583 3556 5948 3584
+rect 5583 3553 5595 3556
+rect 5537 3547 5595 3553
+rect 4617 3519 4675 3525
+rect 4488 3488 4533 3516
+rect 4488 3476 4494 3488
+rect 4617 3485 4629 3519
+rect 4663 3485 4675 3519
+rect 4617 3479 4675 3485
+rect 4706 3476 4712 3528
+rect 4764 3516 4770 3528
+rect 5169 3519 5227 3525
+rect 4764 3488 4809 3516
+rect 4764 3476 4770 3488
+rect 5169 3485 5181 3519
+rect 5215 3485 5227 3519
+rect 5350 3516 5356 3528
+rect 5311 3488 5356 3516
+rect 5169 3479 5227 3485
+rect 2682 3408 2688 3460
+rect 2740 3448 2746 3460
+rect 4985 3451 5043 3457
+rect 4985 3448 4997 3451
+rect 2740 3420 4997 3448
+rect 2740 3408 2746 3420
+rect 4985 3417 4997 3420
+rect 5031 3417 5043 3451
+rect 5184 3448 5212 3479
+rect 5350 3476 5356 3488
+rect 5408 3476 5414 3528
+rect 5718 3516 5724 3528
+rect 5679 3488 5724 3516
+rect 5718 3476 5724 3488
+rect 5776 3476 5782 3528
+rect 5920 3525 5948 3556
+rect 6365 3553 6377 3587
+rect 6411 3584 6423 3587
+rect 6454 3584 6460 3596
+rect 6411 3556 6460 3584
+rect 6411 3553 6423 3556
+rect 6365 3547 6423 3553
+rect 6454 3544 6460 3556
+rect 6512 3584 6518 3596
+rect 6822 3584 6828 3596
+rect 6512 3556 6828 3584
+rect 6512 3544 6518 3556
+rect 6822 3544 6828 3556
+rect 6880 3544 6886 3596
+rect 8478 3544 8484 3596
+rect 8536 3584 8542 3596
+rect 8757 3587 8815 3593
+rect 8757 3584 8769 3587
+rect 8536 3556 8769 3584
+rect 8536 3544 8542 3556
+rect 8757 3553 8769 3556
+rect 8803 3584 8815 3587
+rect 8846 3584 8852 3596
+rect 8803 3556 8852 3584
+rect 8803 3553 8815 3556
+rect 8757 3547 8815 3553
+rect 8846 3544 8852 3556
+rect 8904 3544 8910 3596
+rect 9048 3525 9076 3692
+rect 9582 3680 9588 3692
+rect 9640 3680 9646 3732
+rect 9674 3680 9680 3732
+rect 9732 3720 9738 3732
+rect 9769 3723 9827 3729
+rect 9769 3720 9781 3723
+rect 9732 3692 9781 3720
+rect 9732 3680 9738 3692
+rect 9769 3689 9781 3692
+rect 9815 3689 9827 3723
+rect 9769 3683 9827 3689
+rect 10778 3680 10784 3732
+rect 10836 3720 10842 3732
+rect 11793 3723 11851 3729
+rect 11793 3720 11805 3723
+rect 10836 3692 11805 3720
+rect 10836 3680 10842 3692
+rect 11793 3689 11805 3692
+rect 11839 3689 11851 3723
+rect 11793 3683 11851 3689
+rect 9122 3544 9128 3596
+rect 9180 3584 9186 3596
+rect 10045 3587 10103 3593
+rect 10045 3584 10057 3587
+rect 9180 3556 10057 3584
+rect 9180 3544 9186 3556
+rect 10045 3553 10057 3556
+rect 10091 3553 10103 3587
+rect 10318 3584 10324 3596
+rect 10279 3556 10324 3584
+rect 10045 3547 10103 3553
+rect 10318 3544 10324 3556
+rect 10376 3544 10382 3596
+rect 11974 3544 11980 3596
+rect 12032 3584 12038 3596
+rect 12897 3587 12955 3593
+rect 12897 3584 12909 3587
+rect 12032 3556 12909 3584
+rect 12032 3544 12038 3556
+rect 5905 3519 5963 3525
+rect 5905 3485 5917 3519
+rect 5951 3485 5963 3519
+rect 5905 3479 5963 3485
+rect 9033 3519 9091 3525
+rect 9033 3485 9045 3519
+rect 9079 3485 9091 3519
rect 9214 3516 9220 3528
rect 9175 3488 9220 3516
+rect 9033 3479 9091 3485
+rect 5442 3448 5448 3460
+rect 5184 3420 5448 3448
+rect 4985 3411 5043 3417
+rect 5442 3408 5448 3420
+rect 5500 3408 5506 3460
+rect 8050 3420 8156 3448
+rect 1854 3340 1860 3392
+rect 1912 3380 1918 3392
+rect 2041 3383 2099 3389
+rect 2041 3380 2053 3383
+rect 1912 3352 2053 3380
+rect 1912 3340 1918 3352
+rect 2041 3349 2053 3352
+rect 2087 3349 2099 3383
+rect 2041 3343 2099 3349
+rect 3234 3340 3240 3392
+rect 3292 3380 3298 3392
+rect 4801 3383 4859 3389
+rect 4801 3380 4813 3383
+rect 3292 3352 4813 3380
+rect 3292 3340 3298 3352
+rect 4801 3349 4813 3352
+rect 4847 3349 4859 3383
+rect 4801 3343 4859 3349
+rect 6914 3340 6920 3392
+rect 6972 3380 6978 3392
+rect 7009 3383 7067 3389
+rect 7009 3380 7021 3383
+rect 6972 3352 7021 3380
+rect 6972 3340 6978 3352
+rect 7009 3349 7021 3352
+rect 7055 3349 7067 3383
+rect 8128 3380 8156 3420
+rect 8202 3408 8208 3460
+rect 8260 3448 8266 3460
+rect 8481 3451 8539 3457
+rect 8481 3448 8493 3451
+rect 8260 3420 8493 3448
+rect 8260 3408 8266 3420
+rect 8481 3417 8493 3420
+rect 8527 3417 8539 3451
+rect 9048 3448 9076 3479
rect 9214 3476 9220 3488
-rect 9272 3476 9278 3528
-rect 9306 3476 9312 3528
-rect 9364 3516 9370 3528
-rect 9674 3516 9680 3528
-rect 9364 3488 9409 3516
-rect 9635 3488 9680 3516
-rect 9364 3476 9370 3488
-rect 9674 3476 9680 3488
-rect 9732 3476 9738 3528
-rect 10229 3519 10287 3525
-rect 10229 3485 10241 3519
-rect 10275 3485 10287 3519
-rect 10229 3479 10287 3485
+rect 9272 3516 9278 3528
+rect 9490 3516 9496 3528
+rect 9272 3488 9496 3516
+rect 9272 3476 9278 3488
+rect 9490 3476 9496 3488
+rect 9548 3476 9554 3528
+rect 12066 3516 12072 3528
+rect 12027 3488 12072 3516
+rect 12066 3476 12072 3488
+rect 12124 3476 12130 3528
+rect 12268 3525 12296 3556
+rect 12897 3553 12909 3556
+rect 12943 3584 12955 3587
+rect 13081 3587 13139 3593
+rect 13081 3584 13093 3587
+rect 12943 3556 13093 3584
+rect 12943 3553 12955 3556
+rect 12897 3547 12955 3553
+rect 13081 3553 13093 3556
+rect 13127 3553 13139 3587
+rect 13081 3547 13139 3553
+rect 12253 3519 12311 3525
+rect 12253 3485 12265 3519
+rect 12299 3516 12311 3519
rect 12437 3519 12495 3525
-rect 12437 3485 12449 3519
-rect 12483 3516 12495 3519
-rect 12618 3516 12624 3528
-rect 12483 3488 12624 3516
-rect 12483 3485 12495 3488
+rect 12437 3516 12449 3519
+rect 12299 3488 12449 3516
+rect 12299 3485 12311 3488
+rect 12253 3479 12311 3485
+rect 12437 3485 12449 3488
+rect 12483 3485 12495 3519
rect 12437 3479 12495 3485
-rect 3602 3448 3608 3460
-rect 2976 3420 3608 3448
-rect 3602 3408 3608 3420
-rect 3660 3408 3666 3460
-rect 4890 3408 4896 3460
-rect 4948 3448 4954 3460
-rect 5074 3448 5080 3460
-rect 4948 3420 5080 3448
-rect 4948 3408 4954 3420
-rect 5074 3408 5080 3420
-rect 5132 3408 5138 3460
-rect 5350 3408 5356 3460
-rect 5408 3448 5414 3460
-rect 6089 3451 6147 3457
-rect 6089 3448 6101 3451
-rect 5408 3420 6101 3448
-rect 5408 3408 5414 3420
-rect 6089 3417 6101 3420
-rect 6135 3448 6147 3451
-rect 6822 3448 6828 3460
-rect 6135 3420 6828 3448
-rect 6135 3417 6147 3420
-rect 6089 3411 6147 3417
-rect 6822 3408 6828 3420
-rect 6880 3408 6886 3460
-rect 7650 3408 7656 3460
-rect 7708 3408 7714 3460
-rect 2590 3380 2596 3392
-rect 1596 3352 2596 3380
-rect 2590 3340 2596 3352
-rect 2648 3340 2654 3392
-rect 4706 3340 4712 3392
-rect 4764 3380 4770 3392
-rect 5258 3380 5264 3392
-rect 4764 3352 5264 3380
-rect 4764 3340 4770 3352
-rect 5258 3340 5264 3352
-rect 5316 3380 5322 3392
-rect 5905 3383 5963 3389
-rect 5905 3380 5917 3383
-rect 5316 3352 5917 3380
-rect 5316 3340 5322 3352
-rect 5905 3349 5917 3352
-rect 5951 3349 5963 3383
-rect 5905 3343 5963 3349
-rect 6273 3383 6331 3389
-rect 6273 3349 6285 3383
-rect 6319 3380 6331 3383
-rect 6546 3380 6552 3392
-rect 6319 3352 6552 3380
-rect 6319 3349 6331 3352
-rect 6273 3343 6331 3349
-rect 6546 3340 6552 3352
-rect 6604 3340 6610 3392
-rect 10244 3380 10272 3479
-rect 12618 3476 12624 3488
-rect 12676 3516 12682 3528
-rect 12713 3519 12771 3525
-rect 12713 3516 12725 3519
-rect 12676 3488 12725 3516
-rect 12676 3476 12682 3488
-rect 12713 3485 12725 3488
-rect 12759 3485 12771 3519
-rect 12713 3479 12771 3485
-rect 10410 3408 10416 3460
-rect 10468 3448 10474 3460
-rect 10505 3451 10563 3457
-rect 10505 3448 10517 3451
-rect 10468 3420 10517 3448
-rect 10468 3408 10474 3420
-rect 10505 3417 10517 3420
-rect 10551 3417 10563 3451
-rect 11882 3448 11888 3460
-rect 11730 3420 11888 3448
-rect 10505 3411 10563 3417
-rect 11882 3408 11888 3420
-rect 11940 3408 11946 3460
-rect 11514 3380 11520 3392
-rect 10244 3352 11520 3380
-rect 11514 3340 11520 3352
-rect 11572 3340 11578 3392
-rect 11974 3380 11980 3392
-rect 11935 3352 11980 3380
-rect 11974 3340 11980 3352
-rect 12032 3340 12038 3392
-rect 12897 3383 12955 3389
-rect 12897 3349 12909 3383
-rect 12943 3380 12955 3383
-rect 12986 3380 12992 3392
-rect 12943 3352 12992 3380
-rect 12943 3349 12955 3352
-rect 12897 3343 12955 3349
-rect 12986 3340 12992 3352
-rect 13044 3340 13050 3392
+rect 12621 3519 12679 3525
+rect 12621 3485 12633 3519
+rect 12667 3485 12679 3519
+rect 12621 3479 12679 3485
+rect 9858 3448 9864 3460
+rect 9048 3420 9864 3448
+rect 8481 3411 8539 3417
+rect 9858 3408 9864 3420
+rect 9916 3408 9922 3460
+rect 9953 3451 10011 3457
+rect 9953 3417 9965 3451
+rect 9999 3448 10011 3451
+rect 10594 3448 10600 3460
+rect 9999 3420 10600 3448
+rect 9999 3417 10011 3420
+rect 9953 3411 10011 3417
+rect 10594 3408 10600 3420
+rect 10652 3408 10658 3460
+rect 11698 3448 11704 3460
+rect 11546 3420 11704 3448
+rect 11698 3408 11704 3420
+rect 11756 3408 11762 3460
+rect 11882 3408 11888 3460
+rect 11940 3448 11946 3460
+rect 11977 3451 12035 3457
+rect 11977 3448 11989 3451
+rect 11940 3420 11989 3448
+rect 11940 3408 11946 3420
+rect 11977 3417 11989 3420
+rect 12023 3417 12035 3451
+rect 12084 3448 12112 3476
+rect 12636 3448 12664 3479
+rect 12802 3448 12808 3460
+rect 12084 3420 12664 3448
+rect 12763 3420 12808 3448
+rect 11977 3411 12035 3417
+rect 12802 3408 12808 3420
+rect 12860 3408 12866 3460
+rect 9033 3383 9091 3389
+rect 9033 3380 9045 3383
+rect 8128 3352 9045 3380
+rect 7009 3343 7067 3349
+rect 9033 3349 9045 3352
+rect 9079 3349 9091 3383
+rect 9033 3343 9091 3349
+rect 9582 3340 9588 3392
+rect 9640 3380 9646 3392
+rect 9769 3383 9827 3389
+rect 9769 3380 9781 3383
+rect 9640 3352 9781 3380
+rect 9640 3340 9646 3352
+rect 9769 3349 9781 3352
+rect 9815 3349 9827 3383
+rect 9769 3343 9827 3349
rect 1104 3290 13892 3312
rect 1104 3238 8214 3290
rect 8266 3238 8278 3290
@@ -8316,423 +9123,389 @@ rect 8394 3238 8406 3290
rect 8458 3238 8470 3290
rect 8522 3238 13892 3290
rect 1104 3216 13892 3238
-rect 2590 3136 2596 3188
-rect 2648 3136 2654 3188
-rect 2682 3136 2688 3188
-rect 2740 3176 2746 3188
-rect 3513 3179 3571 3185
-rect 3513 3176 3525 3179
-rect 2740 3148 3525 3176
-rect 2740 3136 2746 3148
-rect 3513 3145 3525 3148
-rect 3559 3145 3571 3179
-rect 4890 3176 4896 3188
-rect 3513 3139 3571 3145
-rect 4724 3148 4896 3176
-rect 2038 3108 2044 3120
-rect 1780 3080 2044 3108
-rect 1578 3040 1584 3052
-rect 1539 3012 1584 3040
-rect 1578 3000 1584 3012
-rect 1636 3000 1642 3052
+rect 1486 3136 1492 3188
+rect 1544 3176 1550 3188
+rect 1581 3179 1639 3185
+rect 1581 3176 1593 3179
+rect 1544 3148 1593 3176
+rect 1544 3136 1550 3148
+rect 1581 3145 1593 3148
+rect 1627 3145 1639 3179
+rect 2314 3176 2320 3188
+rect 2275 3148 2320 3176
+rect 1581 3139 1639 3145
+rect 2314 3136 2320 3148
+rect 2372 3136 2378 3188
+rect 4706 3176 4712 3188
+rect 3528 3148 4712 3176
+rect 2777 3111 2835 3117
+rect 2777 3108 2789 3111
+rect 1780 3080 2789 3108
rect 1780 3049 1808 3080
-rect 2038 3068 2044 3080
-rect 2096 3068 2102 3120
-rect 2608 3108 2636 3136
-rect 2240 3080 2636 3108
+rect 2516 3049 2544 3080
+rect 2777 3077 2789 3080
+rect 2823 3077 2835 3111
+rect 3326 3108 3332 3120
+rect 3287 3080 3332 3108
+rect 2777 3071 2835 3077
+rect 3326 3068 3332 3080
+rect 3384 3068 3390 3120
rect 1765 3043 1823 3049
rect 1765 3009 1777 3043
rect 1811 3009 1823 3043
rect 1765 3003 1823 3009
-rect 1854 3000 1860 3052
-rect 1912 3040 1918 3052
-rect 2240 3049 2268 3080
-rect 2774 3068 2780 3120
-rect 2832 3108 2838 3120
-rect 4724 3108 4752 3148
-rect 4890 3136 4896 3148
-rect 4948 3136 4954 3188
-rect 4982 3136 4988 3188
-rect 5040 3176 5046 3188
+rect 1857 3043 1915 3049
+rect 1857 3009 1869 3043
+rect 1903 3040 1915 3043
+rect 2501 3043 2559 3049
+rect 1903 3012 2268 3040
+rect 1903 3009 1915 3012
+rect 1857 3003 1915 3009
+rect 1486 2932 1492 2984
+rect 1544 2972 1550 2984
+rect 1872 2972 1900 3003
+rect 2130 2972 2136 2984
+rect 1544 2944 1900 2972
+rect 2091 2944 2136 2972
+rect 1544 2932 1550 2944
+rect 2130 2932 2136 2944
+rect 2188 2932 2194 2984
+rect 2240 2972 2268 3012
+rect 2501 3009 2513 3043
+rect 2547 3009 2559 3043
+rect 2866 3040 2872 3052
+rect 2827 3012 2872 3040
+rect 2501 3003 2559 3009
+rect 2866 3000 2872 3012
+rect 2924 3000 2930 3052
+rect 3145 3043 3203 3049
+rect 3145 3009 3157 3043
+rect 3191 3040 3203 3043
+rect 3234 3040 3240 3052
+rect 3191 3012 3240 3040
+rect 3191 3009 3203 3012
+rect 3145 3003 3203 3009
+rect 3234 3000 3240 3012
+rect 3292 3000 3298 3052
+rect 3528 3049 3556 3148
+rect 4706 3136 4712 3148
+rect 4764 3176 4770 3188
rect 5169 3179 5227 3185
-rect 5040 3148 5085 3176
-rect 5040 3136 5046 3148
-rect 5169 3145 5181 3179
+rect 5169 3176 5181 3179
+rect 4764 3148 5181 3176
+rect 4764 3136 4770 3148
+rect 5169 3145 5181 3148
rect 5215 3145 5227 3179
rect 5169 3139 5227 3145
-rect 5261 3179 5319 3185
-rect 5261 3145 5273 3179
-rect 5307 3176 5319 3179
-rect 5350 3176 5356 3188
-rect 5307 3148 5356 3176
-rect 5307 3145 5319 3148
-rect 5261 3139 5319 3145
-rect 5184 3108 5212 3139
-rect 5350 3136 5356 3148
-rect 5408 3136 5414 3188
-rect 5537 3179 5595 3185
-rect 5537 3145 5549 3179
-rect 5583 3176 5595 3179
-rect 6730 3176 6736 3188
-rect 5583 3148 6736 3176
-rect 5583 3145 5595 3148
-rect 5537 3139 5595 3145
-rect 6730 3136 6736 3148
-rect 6788 3136 6794 3188
-rect 10502 3136 10508 3188
-rect 10560 3176 10566 3188
-rect 10689 3179 10747 3185
-rect 10689 3176 10701 3179
-rect 10560 3148 10701 3176
-rect 10560 3136 10566 3148
-rect 10689 3145 10701 3148
-rect 10735 3145 10747 3179
-rect 10689 3139 10747 3145
-rect 10965 3179 11023 3185
-rect 10965 3145 10977 3179
-rect 11011 3176 11023 3179
+rect 5442 3136 5448 3188
+rect 5500 3176 5506 3188
+rect 6914 3176 6920 3188
+rect 5500 3148 6920 3176
+rect 5500 3136 5506 3148
+rect 5736 3117 5764 3148
+rect 6914 3136 6920 3148
+rect 6972 3136 6978 3188
+rect 7469 3179 7527 3185
+rect 7469 3145 7481 3179
+rect 7515 3176 7527 3179
+rect 8110 3176 8116 3188
+rect 7515 3148 8116 3176
+rect 7515 3145 7527 3148
+rect 7469 3139 7527 3145
+rect 8110 3136 8116 3148
+rect 8168 3136 8174 3188
+rect 10594 3136 10600 3188
+rect 10652 3176 10658 3188
+rect 10781 3179 10839 3185
+rect 10781 3176 10793 3179
+rect 10652 3148 10793 3176
+rect 10652 3136 10658 3148
+rect 10781 3145 10793 3148
+rect 10827 3176 10839 3179
+rect 11054 3176 11060 3188
+rect 10827 3148 11060 3176
+rect 10827 3145 10839 3148
+rect 10781 3139 10839 3145
+rect 11054 3136 11060 3148
+rect 11112 3136 11118 3188
+rect 11330 3176 11336 3188
+rect 11243 3148 11336 3176
+rect 11330 3136 11336 3148
+rect 11388 3176 11394 3188
rect 11974 3176 11980 3188
-rect 11011 3148 11980 3176
-rect 11011 3145 11023 3148
-rect 10965 3139 11023 3145
-rect 5445 3111 5503 3117
-rect 2832 3080 4752 3108
-rect 5000 3080 5396 3108
-rect 2832 3068 2838 3080
-rect 1949 3043 2007 3049
-rect 1949 3040 1961 3043
-rect 1912 3012 1961 3040
-rect 1912 3000 1918 3012
-rect 1949 3009 1961 3012
-rect 1995 3009 2007 3043
-rect 1949 3003 2007 3009
-rect 2225 3043 2283 3049
-rect 2225 3009 2237 3043
-rect 2271 3009 2283 3043
-rect 2406 3040 2412 3052
-rect 2367 3012 2412 3040
-rect 2225 3003 2283 3009
-rect 2406 3000 2412 3012
-rect 2464 3000 2470 3052
-rect 2593 3043 2651 3049
-rect 2593 3009 2605 3043
-rect 2639 3009 2651 3043
-rect 2593 3003 2651 3009
-rect 2961 3043 3019 3049
-rect 2961 3009 2973 3043
-rect 3007 3040 3019 3043
-rect 3145 3043 3203 3049
-rect 3145 3040 3157 3043
-rect 3007 3012 3157 3040
-rect 3007 3009 3019 3012
-rect 2961 3003 3019 3009
-rect 3145 3009 3157 3012
-rect 3191 3009 3203 3043
-rect 3145 3003 3203 3009
-rect 2608 2972 2636 3003
-rect 3234 3000 3240 3052
-rect 3292 3040 3298 3052
-rect 3881 3043 3939 3049
-rect 3292 3012 3337 3040
-rect 3292 3000 3298 3012
-rect 3881 3009 3893 3043
-rect 3927 3040 3939 3043
-rect 3970 3040 3976 3052
-rect 3927 3012 3976 3040
-rect 3927 3009 3939 3012
-rect 3881 3003 3939 3009
-rect 3970 3000 3976 3012
-rect 4028 3000 4034 3052
-rect 4801 3043 4859 3049
-rect 4801 3009 4813 3043
-rect 4847 3040 4859 3043
-rect 5000 3040 5028 3080
-rect 5368 3052 5396 3080
-rect 5445 3077 5457 3111
-rect 5491 3108 5503 3111
-rect 6546 3108 6552 3120
-rect 5491 3080 6552 3108
-rect 5491 3077 5503 3080
-rect 5445 3071 5503 3077
-rect 6546 3068 6552 3080
-rect 6604 3068 6610 3120
-rect 7285 3111 7343 3117
-rect 7285 3077 7297 3111
-rect 7331 3108 7343 3111
-rect 7650 3108 7656 3120
-rect 7331 3080 7656 3108
-rect 7331 3077 7343 3080
-rect 7285 3071 7343 3077
-rect 7650 3068 7656 3080
-rect 7708 3068 7714 3120
-rect 8662 3068 8668 3120
-rect 8720 3068 8726 3120
-rect 10045 3111 10103 3117
-rect 10045 3077 10057 3111
-rect 10091 3108 10103 3111
-rect 10980 3108 11008 3139
-rect 11974 3136 11980 3148
-rect 12032 3136 12038 3188
-rect 10091 3080 11008 3108
-rect 10091 3077 10103 3080
-rect 10045 3071 10103 3077
-rect 12986 3068 12992 3120
-rect 13044 3068 13050 3120
-rect 4847 3012 5028 3040
-rect 5109 3043 5167 3049
-rect 4847 3009 4859 3012
-rect 4801 3003 4859 3009
-rect 5109 3009 5121 3043
-rect 5155 3040 5167 3043
-rect 5258 3040 5264 3052
-rect 5155 3012 5264 3040
-rect 5155 3009 5167 3012
-rect 5109 3003 5167 3009
-rect 5258 3000 5264 3012
-rect 5316 3000 5322 3052
-rect 5350 3000 5356 3052
+rect 11388 3148 11980 3176
+rect 11388 3136 11394 3148
+rect 3697 3111 3755 3117
+rect 3697 3077 3709 3111
+rect 3743 3108 3755 3111
+rect 5721 3111 5779 3117
+rect 3743 3080 4476 3108
+rect 3743 3077 3755 3080
+rect 3697 3071 3755 3077
+rect 4448 3052 4476 3080
+rect 5721 3077 5733 3111
+rect 5767 3077 5779 3111
+rect 5721 3071 5779 3077
+rect 5905 3111 5963 3117
+rect 5905 3077 5917 3111
+rect 5951 3108 5963 3111
+rect 8021 3111 8079 3117
+rect 8021 3108 8033 3111
+rect 5951 3080 6316 3108
+rect 5951 3077 5963 3080
+rect 5905 3071 5963 3077
+rect 3513 3043 3571 3049
+rect 3513 3009 3525 3043
+rect 3559 3009 3571 3043
+rect 3513 3003 3571 3009
+rect 3789 3043 3847 3049
+rect 3789 3009 3801 3043
+rect 3835 3040 3847 3043
+rect 3835 3012 4384 3040
+rect 3835 3009 3847 3012
+rect 3789 3003 3847 3009
+rect 4356 2984 4384 3012
+rect 4430 3000 4436 3052
+rect 4488 3040 4494 3052
+rect 4706 3040 4712 3052
+rect 4488 3012 4712 3040
+rect 4488 3000 4494 3012
+rect 4706 3000 4712 3012
+rect 4764 3000 4770 3052
+rect 5350 3040 5356 3052
+rect 5311 3012 5356 3040
+rect 5350 3000 5356 3012
rect 5408 3000 5414 3052
+rect 5442 3000 5448 3052
+rect 5500 3040 5506 3052
rect 5537 3043 5595 3049
-rect 5537 3009 5549 3043
+rect 5537 3040 5549 3043
+rect 5500 3012 5549 3040
+rect 5500 3000 5506 3012
+rect 5537 3009 5549 3012
rect 5583 3040 5595 3043
-rect 5629 3043 5687 3049
-rect 5629 3040 5641 3043
-rect 5583 3012 5641 3040
+rect 5583 3012 5948 3040
rect 5583 3009 5595 3012
rect 5537 3003 5595 3009
-rect 5629 3009 5641 3012
-rect 5675 3009 5687 3043
-rect 5629 3003 5687 3009
-rect 5718 3000 5724 3052
-rect 5776 3040 5782 3052
-rect 5813 3043 5871 3049
-rect 5813 3040 5825 3043
-rect 5776 3012 5825 3040
-rect 5776 3000 5782 3012
-rect 5813 3009 5825 3012
-rect 5859 3040 5871 3043
-rect 5994 3040 6000 3052
-rect 5859 3012 6000 3040
-rect 5859 3009 5871 3012
-rect 5813 3003 5871 3009
-rect 5994 3000 6000 3012
-rect 6052 3040 6058 3052
-rect 6457 3043 6515 3049
-rect 6457 3040 6469 3043
-rect 6052 3012 6469 3040
-rect 6052 3000 6058 3012
-rect 6457 3009 6469 3012
-rect 6503 3009 6515 3043
-rect 6730 3040 6736 3052
-rect 6691 3012 6736 3040
-rect 6457 3003 6515 3009
-rect 6730 3000 6736 3012
-rect 6788 3000 6794 3052
-rect 7188 3043 7246 3049
-rect 7188 3009 7200 3043
-rect 7234 3040 7246 3043
-rect 7234 3012 7328 3040
-rect 7234 3009 7246 3012
-rect 7188 3003 7246 3009
rect 2682 2972 2688 2984
-rect 2595 2944 2688 2972
+rect 2240 2944 2688 2972
rect 2682 2932 2688 2944
-rect 2740 2972 2746 2984
-rect 6089 2975 6147 2981
-rect 6089 2972 6101 2975
-rect 2740 2944 6101 2972
-rect 2740 2932 2746 2944
-rect 6089 2941 6101 2944
-rect 6135 2941 6147 2975
-rect 6089 2935 6147 2941
-rect 6181 2975 6239 2981
-rect 6181 2941 6193 2975
-rect 6227 2972 6239 2975
-rect 6825 2975 6883 2981
-rect 6825 2972 6837 2975
-rect 6227 2944 6837 2972
-rect 6227 2941 6239 2944
-rect 6181 2935 6239 2941
-rect 6825 2941 6837 2944
-rect 6871 2972 6883 2975
-rect 6914 2972 6920 2984
-rect 6871 2944 6920 2972
-rect 6871 2941 6883 2944
-rect 6825 2935 6883 2941
-rect 6914 2932 6920 2944
-rect 6972 2932 6978 2984
-rect 7300 2972 7328 3012
-rect 7374 3000 7380 3052
-rect 7432 3040 7438 3052
-rect 7432 3012 7477 3040
-rect 7432 3000 7438 3012
-rect 7558 3000 7564 3052
-rect 7616 3040 7622 3052
-rect 9858 3040 9864 3052
-rect 7616 3012 7661 3040
-rect 9819 3012 9864 3040
-rect 7616 3000 7622 3012
-rect 9858 3000 9864 3012
-rect 9916 3000 9922 3052
-rect 10137 3043 10195 3049
-rect 10137 3009 10149 3043
-rect 10183 3009 10195 3043
-rect 10137 3003 10195 3009
-rect 9398 2972 9404 2984
-rect 7300 2944 7788 2972
-rect 9359 2944 9404 2972
-rect 7760 2916 7788 2944
-rect 9398 2932 9404 2944
-rect 9456 2932 9462 2984
-rect 9677 2975 9735 2981
-rect 9677 2941 9689 2975
-rect 9723 2972 9735 2975
-rect 9950 2972 9956 2984
-rect 9723 2944 9956 2972
-rect 9723 2941 9735 2944
-rect 9677 2935 9735 2941
-rect 9950 2932 9956 2944
-rect 10008 2932 10014 2984
-rect 10152 2972 10180 3003
-rect 10226 3000 10232 3052
-rect 10284 3049 10290 3052
-rect 10284 3043 10339 3049
-rect 10284 3009 10293 3043
-rect 10327 3040 10339 3043
-rect 10686 3040 10692 3052
-rect 10327 3012 10692 3040
-rect 10327 3009 10339 3012
-rect 10284 3003 10339 3009
-rect 10284 3000 10290 3003
-rect 10686 3000 10692 3012
-rect 10744 3000 10750 3052
-rect 10778 3000 10784 3052
-rect 10836 3040 10842 3052
-rect 10873 3043 10931 3049
-rect 10873 3040 10885 3043
-rect 10836 3012 10885 3040
-rect 10836 3000 10842 3012
-rect 10873 3009 10885 3012
-rect 10919 3009 10931 3043
-rect 10873 3003 10931 3009
-rect 10962 3000 10968 3052
-rect 11020 3040 11026 3052
-rect 11057 3043 11115 3049
-rect 11057 3040 11069 3043
-rect 11020 3012 11069 3040
-rect 11020 3000 11026 3012
-rect 11057 3009 11069 3012
-rect 11103 3009 11115 3043
-rect 11057 3003 11115 3009
-rect 11146 2972 11152 2984
-rect 10152 2944 11152 2972
-rect 11146 2932 11152 2944
-rect 11204 2972 11210 2984
-rect 11241 2975 11299 2981
-rect 11241 2972 11253 2975
-rect 11204 2944 11253 2972
-rect 11204 2932 11210 2944
-rect 11241 2941 11253 2944
-rect 11287 2941 11299 2975
-rect 11241 2935 11299 2941
-rect 11514 2932 11520 2984
-rect 11572 2972 11578 2984
-rect 11701 2975 11759 2981
-rect 11701 2972 11713 2975
-rect 11572 2944 11713 2972
-rect 11572 2932 11578 2944
-rect 11701 2941 11713 2944
-rect 11747 2941 11759 2975
-rect 11701 2935 11759 2941
-rect 11977 2975 12035 2981
-rect 11977 2941 11989 2975
-rect 12023 2972 12035 2975
-rect 12710 2972 12716 2984
-rect 12023 2944 12716 2972
-rect 12023 2941 12035 2944
-rect 11977 2935 12035 2941
-rect 12710 2932 12716 2944
-rect 12768 2932 12774 2984
+rect 2740 2932 2746 2984
+rect 3881 2975 3939 2981
+rect 3881 2941 3893 2975
+rect 3927 2941 3939 2975
+rect 3881 2935 3939 2941
rect 2041 2907 2099 2913
rect 2041 2873 2053 2907
rect 2087 2904 2099 2907
-rect 4614 2904 4620 2916
-rect 2087 2876 4620 2904
+rect 2314 2904 2320 2916
+rect 2087 2876 2320 2904
rect 2087 2873 2099 2876
rect 2041 2867 2099 2873
-rect 4614 2864 4620 2876
-rect 4672 2864 4678 2916
-rect 4706 2864 4712 2916
-rect 4764 2904 4770 2916
-rect 7006 2904 7012 2916
-rect 4764 2876 4809 2904
-rect 6967 2876 7012 2904
-rect 4764 2864 4770 2876
-rect 7006 2864 7012 2876
-rect 7064 2864 7070 2916
-rect 7742 2864 7748 2916
-rect 7800 2904 7806 2916
-rect 7929 2907 7987 2913
-rect 7929 2904 7941 2907
-rect 7800 2876 7941 2904
-rect 7800 2864 7806 2876
-rect 7929 2873 7941 2876
-rect 7975 2873 7987 2907
-rect 7929 2867 7987 2873
-rect 9766 2864 9772 2916
-rect 9824 2904 9830 2916
-rect 10226 2904 10232 2916
-rect 9824 2876 10232 2904
-rect 9824 2864 9830 2876
-rect 10226 2864 10232 2876
-rect 10284 2864 10290 2916
-rect 10410 2904 10416 2916
-rect 10371 2876 10416 2904
-rect 10410 2864 10416 2876
-rect 10468 2864 10474 2916
-rect 1670 2796 1676 2848
-rect 1728 2836 1734 2848
-rect 1765 2839 1823 2845
-rect 1765 2836 1777 2839
-rect 1728 2808 1777 2836
-rect 1728 2796 1734 2808
-rect 1765 2805 1777 2808
-rect 1811 2805 1823 2839
-rect 1765 2799 1823 2805
-rect 2866 2796 2872 2848
-rect 2924 2836 2930 2848
-rect 3234 2836 3240 2848
-rect 2924 2808 3240 2836
-rect 2924 2796 2930 2808
-rect 3234 2796 3240 2808
-rect 3292 2836 3298 2848
-rect 3329 2839 3387 2845
-rect 3329 2836 3341 2839
-rect 3292 2808 3341 2836
-rect 3292 2796 3298 2808
-rect 3329 2805 3341 2808
-rect 3375 2805 3387 2839
-rect 3970 2836 3976 2848
-rect 3931 2808 3976 2836
-rect 3329 2799 3387 2805
-rect 3970 2796 3976 2808
-rect 4028 2796 4034 2848
-rect 4982 2796 4988 2848
-rect 5040 2836 5046 2848
-rect 5718 2836 5724 2848
-rect 5040 2808 5724 2836
-rect 5040 2796 5046 2808
-rect 5718 2796 5724 2808
-rect 5776 2796 5782 2848
-rect 6454 2796 6460 2848
-rect 6512 2836 6518 2848
-rect 9784 2836 9812 2864
-rect 13446 2836 13452 2848
-rect 6512 2808 9812 2836
-rect 13407 2808 13452 2836
-rect 6512 2796 6518 2808
-rect 13446 2796 13452 2808
-rect 13504 2796 13510 2848
+rect 2314 2864 2320 2876
+rect 2372 2904 2378 2916
+rect 3896 2904 3924 2935
+rect 4338 2932 4344 2984
+rect 4396 2972 4402 2984
+rect 4614 2972 4620 2984
+rect 4396 2944 4620 2972
+rect 4396 2932 4402 2944
+rect 4614 2932 4620 2944
+rect 4672 2932 4678 2984
+rect 2372 2876 3924 2904
+rect 5920 2904 5948 3012
+rect 6288 2984 6316 3080
+rect 7024 3080 8033 3108
+rect 6546 3040 6552 3052
+rect 6507 3012 6552 3040
+rect 6546 3000 6552 3012
+rect 6604 3000 6610 3052
+rect 7024 3049 7052 3080
+rect 8021 3077 8033 3080
+rect 8067 3077 8079 3111
+rect 8570 3108 8576 3120
+rect 8021 3071 8079 3077
+rect 8312 3080 8576 3108
+rect 7009 3043 7067 3049
+rect 7009 3009 7021 3043
+rect 7055 3009 7067 3043
+rect 7009 3003 7067 3009
+rect 7098 3000 7104 3052
+rect 7156 3040 7162 3052
+rect 8312 3049 8340 3080
+rect 8570 3068 8576 3080
+rect 8628 3068 8634 3120
+rect 9858 3108 9864 3120
+rect 9798 3080 9864 3108
+rect 9858 3068 9864 3080
+rect 9916 3068 9922 3120
+rect 11532 3049 11560 3148
+rect 11974 3136 11980 3148
+rect 12032 3136 12038 3188
+rect 12066 3108 12072 3120
+rect 11808 3080 12072 3108
+rect 11808 3049 11836 3080
+rect 12066 3068 12072 3080
+rect 12124 3068 12130 3120
+rect 7193 3043 7251 3049
+rect 7193 3040 7205 3043
+rect 7156 3012 7205 3040
+rect 7156 3000 7162 3012
+rect 7193 3009 7205 3012
+rect 7239 3009 7251 3043
+rect 7653 3043 7711 3049
+rect 7653 3040 7665 3043
+rect 7193 3003 7251 3009
+rect 7300 3012 7665 3040
+rect 6270 2932 6276 2984
+rect 6328 2972 6334 2984
+rect 6365 2975 6423 2981
+rect 6365 2972 6377 2975
+rect 6328 2944 6377 2972
+rect 6328 2932 6334 2944
+rect 6365 2941 6377 2944
+rect 6411 2941 6423 2975
+rect 6914 2972 6920 2984
+rect 6875 2944 6920 2972
+rect 6365 2935 6423 2941
+rect 6914 2932 6920 2944
+rect 6972 2932 6978 2984
+rect 6546 2904 6552 2916
+rect 5920 2876 6552 2904
+rect 2372 2864 2378 2876
+rect 5920 2845 5948 2876
+rect 6546 2864 6552 2876
+rect 6604 2864 6610 2916
+rect 6825 2907 6883 2913
+rect 6825 2873 6837 2907
+rect 6871 2904 6883 2907
+rect 7300 2904 7328 3012
+rect 7653 3009 7665 3012
+rect 7699 3009 7711 3043
+rect 7653 3003 7711 3009
+rect 7837 3043 7895 3049
+rect 7837 3009 7849 3043
+rect 7883 3009 7895 3043
+rect 7837 3003 7895 3009
+rect 8297 3043 8355 3049
+rect 8297 3009 8309 3043
+rect 8343 3009 8355 3043
+rect 8297 3003 8355 3009
+rect 10689 3043 10747 3049
+rect 10689 3009 10701 3043
+rect 10735 3009 10747 3043
+rect 10689 3003 10747 3009
+rect 11517 3043 11575 3049
+rect 11517 3009 11529 3043
+rect 11563 3009 11575 3043
+rect 11517 3003 11575 3009
+rect 11793 3043 11851 3049
+rect 11793 3009 11805 3043
+rect 11839 3009 11851 3043
+rect 11793 3003 11851 3009
+rect 12897 3043 12955 3049
+rect 12897 3009 12909 3043
+rect 12943 3009 12955 3043
+rect 12897 3003 12955 3009
+rect 13081 3043 13139 3049
+rect 13081 3009 13093 3043
+rect 13127 3040 13139 3043
+rect 13170 3040 13176 3052
+rect 13127 3012 13176 3040
+rect 13127 3009 13139 3012
+rect 13081 3003 13139 3009
+rect 7561 2975 7619 2981
+rect 7561 2941 7573 2975
+rect 7607 2941 7619 2975
+rect 7852 2972 7880 3003
+rect 8573 2975 8631 2981
+rect 7852 2944 7972 2972
+rect 7561 2935 7619 2941
+rect 6871 2876 7328 2904
+rect 7576 2904 7604 2935
+rect 7834 2904 7840 2916
+rect 7576 2876 7840 2904
+rect 6871 2873 6883 2876
+rect 6825 2867 6883 2873
+rect 7834 2864 7840 2876
+rect 7892 2864 7898 2916
+rect 5905 2839 5963 2845
+rect 5905 2805 5917 2839
+rect 5951 2805 5963 2839
+rect 5905 2799 5963 2805
+rect 6089 2839 6147 2845
+rect 6089 2805 6101 2839
+rect 6135 2836 6147 2839
+rect 6178 2836 6184 2848
+rect 6135 2808 6184 2836
+rect 6135 2805 6147 2808
+rect 6089 2799 6147 2805
+rect 6178 2796 6184 2808
+rect 6236 2836 6242 2848
+rect 7944 2836 7972 2944
+rect 8573 2941 8585 2975
+rect 8619 2972 8631 2975
+rect 8938 2972 8944 2984
+rect 8619 2944 8944 2972
+rect 8619 2941 8631 2944
+rect 8573 2935 8631 2941
+rect 8938 2932 8944 2944
+rect 8996 2932 9002 2984
+rect 9766 2932 9772 2984
+rect 9824 2972 9830 2984
+rect 10042 2972 10048 2984
+rect 9824 2944 10048 2972
+rect 9824 2932 9830 2944
+rect 10042 2932 10048 2944
+rect 10100 2972 10106 2984
+rect 10704 2972 10732 3003
+rect 10100 2944 10732 2972
+rect 10965 2975 11023 2981
+rect 10100 2932 10106 2944
+rect 10965 2941 10977 2975
+rect 11011 2972 11023 2975
+rect 12069 2975 12127 2981
+rect 12069 2972 12081 2975
+rect 11011 2944 12081 2972
+rect 11011 2941 11023 2944
+rect 10965 2935 11023 2941
+rect 12069 2941 12081 2944
+rect 12115 2941 12127 2975
+rect 12912 2972 12940 3003
+rect 13170 3000 13176 3012
+rect 13228 3000 13234 3052
+rect 13262 2972 13268 2984
+rect 12912 2944 13268 2972
+rect 12069 2935 12127 2941
+rect 10980 2904 11008 2935
+rect 13262 2932 13268 2944
+rect 13320 2932 13326 2984
+rect 9600 2876 11008 2904
+rect 11793 2907 11851 2913
+rect 6236 2808 7972 2836
+rect 6236 2796 6242 2808
+rect 8018 2796 8024 2848
+rect 8076 2836 8082 2848
+rect 9600 2836 9628 2876
+rect 11793 2873 11805 2907
+rect 11839 2904 11851 2907
+rect 12618 2904 12624 2916
+rect 11839 2876 12624 2904
+rect 11839 2873 11851 2876
+rect 11793 2867 11851 2873
+rect 12618 2864 12624 2876
+rect 12676 2864 12682 2916
+rect 8076 2808 9628 2836
+rect 10321 2839 10379 2845
+rect 8076 2796 8082 2808
+rect 10321 2805 10333 2839
+rect 10367 2836 10379 2839
+rect 10594 2836 10600 2848
+rect 10367 2808 10600 2836
+rect 10367 2805 10379 2808
+rect 10321 2799 10379 2805
+rect 10594 2796 10600 2808
+rect 10652 2796 10658 2848
rect 1104 2746 13892 2768
rect 1104 2694 4214 2746
rect 4266 2694 4278 2746
@@ -8746,444 +9519,321 @@ rect 12394 2694 12406 2746
rect 12458 2694 12470 2746
rect 12522 2694 13892 2746
rect 1104 2672 13892 2694
-rect 1489 2635 1547 2641
-rect 1489 2601 1501 2635
-rect 1535 2632 1547 2635
-rect 1578 2632 1584 2644
-rect 1535 2604 1584 2632
-rect 1535 2601 1547 2604
-rect 1489 2595 1547 2601
-rect 1578 2592 1584 2604
-rect 1636 2632 1642 2644
-rect 2222 2632 2228 2644
-rect 1636 2604 2228 2632
-rect 1636 2592 1642 2604
-rect 2222 2592 2228 2604
-rect 2280 2632 2286 2644
-rect 2590 2632 2596 2644
-rect 2280 2604 2596 2632
-rect 2280 2592 2286 2604
-rect 2590 2592 2596 2604
-rect 2648 2592 2654 2644
-rect 2958 2632 2964 2644
-rect 2919 2604 2964 2632
-rect 2958 2592 2964 2604
-rect 3016 2592 3022 2644
-rect 3881 2635 3939 2641
-rect 3881 2601 3893 2635
-rect 3927 2632 3939 2635
-rect 3970 2632 3976 2644
-rect 3927 2604 3976 2632
-rect 3927 2601 3939 2604
-rect 3881 2595 3939 2601
-rect 3970 2592 3976 2604
-rect 4028 2592 4034 2644
-rect 4433 2635 4491 2641
-rect 4433 2601 4445 2635
-rect 4479 2632 4491 2635
-rect 4798 2632 4804 2644
-rect 4479 2604 4804 2632
-rect 4479 2601 4491 2604
-rect 4433 2595 4491 2601
-rect 4798 2592 4804 2604
-rect 4856 2592 4862 2644
-rect 5810 2592 5816 2644
-rect 5868 2592 5874 2644
-rect 7558 2632 7564 2644
-rect 7519 2604 7564 2632
-rect 7558 2592 7564 2604
-rect 7616 2592 7622 2644
-rect 8573 2635 8631 2641
-rect 8573 2601 8585 2635
-rect 8619 2632 8631 2635
-rect 8662 2632 8668 2644
-rect 8619 2604 8668 2632
-rect 8619 2601 8631 2604
-rect 8573 2595 8631 2601
-rect 8662 2592 8668 2604
-rect 8720 2592 8726 2644
-rect 9033 2635 9091 2641
-rect 9033 2601 9045 2635
-rect 9079 2632 9091 2635
-rect 9398 2632 9404 2644
-rect 9079 2604 9404 2632
-rect 9079 2601 9091 2604
-rect 9033 2595 9091 2601
-rect 9398 2592 9404 2604
-rect 9456 2592 9462 2644
-rect 11882 2632 11888 2644
-rect 11843 2604 11888 2632
-rect 11882 2592 11888 2604
-rect 11940 2592 11946 2644
-rect 2406 2564 2412 2576
-rect 2367 2536 2412 2564
-rect 2406 2524 2412 2536
-rect 2464 2524 2470 2576
-rect 5074 2564 5080 2576
-rect 5035 2536 5080 2564
-rect 5074 2524 5080 2536
-rect 5132 2524 5138 2576
-rect 5442 2524 5448 2576
-rect 5500 2564 5506 2576
-rect 5500 2536 5763 2564
-rect 5500 2524 5506 2536
+rect 2685 2635 2743 2641
+rect 2685 2601 2697 2635
+rect 2731 2632 2743 2635
+rect 2866 2632 2872 2644
+rect 2731 2604 2872 2632
+rect 2731 2601 2743 2604
+rect 2685 2595 2743 2601
+rect 2866 2592 2872 2604
+rect 2924 2592 2930 2644
+rect 4157 2635 4215 2641
+rect 4157 2601 4169 2635
+rect 4203 2632 4215 2635
+rect 4706 2632 4712 2644
+rect 4203 2604 4712 2632
+rect 4203 2601 4215 2604
+rect 4157 2595 4215 2601
+rect 4706 2592 4712 2604
+rect 4764 2592 4770 2644
+rect 8938 2632 8944 2644
+rect 8899 2604 8944 2632
+rect 8938 2592 8944 2604
+rect 8996 2592 9002 2644
+rect 11054 2592 11060 2644
+rect 11112 2632 11118 2644
+rect 12069 2635 12127 2641
+rect 12069 2632 12081 2635
+rect 11112 2604 12081 2632
+rect 11112 2592 11118 2604
+rect 12069 2601 12081 2604
+rect 12115 2601 12127 2635
+rect 12069 2595 12127 2601
+rect 3418 2564 3424 2576
+rect 1688 2536 3424 2564
+rect 1688 2496 1716 2536
+rect 3418 2524 3424 2536
+rect 3476 2524 3482 2576
+rect 3786 2524 3792 2576
+rect 3844 2564 3850 2576
+rect 9858 2564 9864 2576
+rect 3844 2536 5672 2564
+rect 9819 2536 9864 2564
+rect 3844 2524 3850 2536
+rect 1596 2468 1716 2496
+rect 1596 2437 1624 2468
+rect 1762 2456 1768 2508
+rect 1820 2496 1826 2508
rect 1949 2499 2007 2505
-rect 1949 2465 1961 2499
-rect 1995 2496 2007 2499
-rect 2593 2499 2651 2505
-rect 2593 2496 2605 2499
-rect 1995 2468 2605 2496
-rect 1995 2465 2007 2468
+rect 1949 2496 1961 2499
+rect 1820 2468 1961 2496
+rect 1820 2456 1826 2468
+rect 1949 2465 1961 2468
+rect 1995 2465 2007 2499
rect 1949 2459 2007 2465
-rect 2593 2465 2605 2468
-rect 2639 2465 2651 2499
-rect 2593 2459 2651 2465
-rect 3125 2499 3183 2505
-rect 3125 2465 3137 2499
-rect 3171 2496 3183 2499
-rect 3605 2499 3663 2505
-rect 3605 2496 3617 2499
-rect 3171 2468 3617 2496
-rect 3171 2465 3183 2468
-rect 3125 2459 3183 2465
-rect 3605 2465 3617 2468
-rect 3651 2496 3663 2499
-rect 4062 2496 4068 2508
-rect 3651 2468 4068 2496
-rect 3651 2465 3663 2468
-rect 3605 2459 3663 2465
-rect 4062 2456 4068 2468
-rect 4120 2456 4126 2508
-rect 5626 2496 5632 2508
-rect 5276 2468 5632 2496
-rect 1670 2428 1676 2440
-rect 1631 2400 1676 2428
-rect 1670 2388 1676 2400
-rect 1728 2388 1734 2440
-rect 1762 2388 1768 2440
-rect 1820 2428 1826 2440
-rect 1856 2431 1914 2437
-rect 1856 2428 1868 2431
-rect 1820 2400 1868 2428
-rect 1820 2388 1826 2400
-rect 1856 2397 1868 2400
-rect 1902 2428 1914 2431
-rect 1902 2400 1992 2428
-rect 1902 2397 1914 2400
-rect 1856 2391 1914 2397
-rect 1964 2360 1992 2400
-rect 2038 2388 2044 2440
-rect 2096 2428 2102 2440
-rect 2222 2428 2228 2440
-rect 2096 2400 2141 2428
-rect 2183 2400 2228 2428
-rect 2096 2388 2102 2400
-rect 2222 2388 2228 2400
-rect 2280 2388 2286 2440
-rect 2682 2428 2688 2440
-rect 2643 2400 2688 2428
-rect 2682 2388 2688 2400
-rect 2740 2388 2746 2440
-rect 3237 2431 3295 2437
-rect 3237 2397 3249 2431
-rect 3283 2397 3295 2431
-rect 3786 2428 3792 2440
-rect 3747 2400 3792 2428
-rect 3237 2391 3295 2397
-rect 2866 2360 2872 2372
-rect 1964 2332 2872 2360
-rect 2866 2320 2872 2332
-rect 2924 2320 2930 2372
-rect 3252 2360 3280 2391
-rect 3786 2388 3792 2400
-rect 3844 2388 3850 2440
-rect 4311 2431 4369 2437
-rect 4311 2397 4323 2431
-rect 4357 2428 4369 2431
-rect 4614 2428 4620 2440
-rect 4357 2400 4620 2428
-rect 4357 2397 4369 2400
-rect 4311 2391 4369 2397
-rect 4614 2388 4620 2400
-rect 4672 2388 4678 2440
-rect 5276 2437 5304 2468
-rect 5626 2456 5632 2468
-rect 5684 2456 5690 2508
-rect 5077 2431 5135 2437
-rect 5077 2397 5089 2431
-rect 5123 2397 5135 2431
-rect 5077 2391 5135 2397
-rect 5261 2431 5319 2437
-rect 5261 2397 5273 2431
-rect 5307 2397 5319 2431
-rect 5534 2428 5540 2440
-rect 5495 2400 5540 2428
-rect 5261 2391 5319 2397
-rect 3510 2360 3516 2372
-rect 3252 2332 3516 2360
-rect 3510 2320 3516 2332
-rect 3568 2320 3574 2372
-rect 4709 2363 4767 2369
-rect 4080 2332 4384 2360
-rect 2038 2252 2044 2304
-rect 2096 2292 2102 2304
-rect 4080 2292 4108 2332
-rect 4246 2292 4252 2304
-rect 2096 2264 4108 2292
-rect 4207 2264 4252 2292
-rect 2096 2252 2102 2264
-rect 4246 2252 4252 2264
-rect 4304 2252 4310 2304
-rect 4356 2292 4384 2332
-rect 4709 2329 4721 2363
-rect 4755 2360 4767 2363
-rect 4890 2360 4896 2372
-rect 4755 2332 4896 2360
-rect 4755 2329 4767 2332
-rect 4709 2323 4767 2329
-rect 4890 2320 4896 2332
-rect 4948 2320 4954 2372
-rect 5092 2360 5120 2391
-rect 5534 2388 5540 2400
-rect 5592 2388 5598 2440
-rect 5735 2437 5763 2536
-rect 5828 2505 5856 2592
-rect 5994 2564 6000 2576
-rect 5920 2536 6000 2564
-rect 5920 2505 5948 2536
-rect 5994 2524 6000 2536
-rect 6052 2524 6058 2576
-rect 7742 2524 7748 2576
-rect 7800 2564 7806 2576
-rect 8113 2567 8171 2573
-rect 8113 2564 8125 2567
-rect 7800 2536 8125 2564
-rect 7800 2524 7806 2536
-rect 8113 2533 8125 2536
-rect 8159 2564 8171 2567
-rect 12713 2567 12771 2573
-rect 12713 2564 12725 2567
-rect 8159 2536 9352 2564
-rect 8159 2533 8171 2536
-rect 8113 2527 8171 2533
-rect 5813 2499 5871 2505
-rect 5813 2465 5825 2499
-rect 5859 2465 5871 2499
-rect 5813 2459 5871 2465
-rect 5905 2499 5963 2505
-rect 5905 2465 5917 2499
-rect 5951 2465 5963 2499
-rect 5905 2459 5963 2465
-rect 6641 2499 6699 2505
-rect 6641 2465 6653 2499
-rect 6687 2496 6699 2499
-rect 6914 2496 6920 2508
-rect 6687 2468 6920 2496
-rect 6687 2465 6699 2468
-rect 6641 2459 6699 2465
-rect 6914 2456 6920 2468
-rect 6972 2496 6978 2508
-rect 7101 2499 7159 2505
-rect 7101 2496 7113 2499
-rect 6972 2468 7113 2496
-rect 6972 2456 6978 2468
-rect 7101 2465 7113 2468
-rect 7147 2496 7159 2499
-rect 7374 2496 7380 2508
-rect 7147 2468 7380 2496
-rect 7147 2465 7159 2468
-rect 7101 2459 7159 2465
-rect 7374 2456 7380 2468
-rect 7432 2456 7438 2508
-rect 6086 2437 6092 2440
-rect 5720 2431 5778 2437
-rect 5720 2397 5732 2431
-rect 5766 2397 5778 2431
-rect 6043 2431 6092 2437
-rect 6043 2428 6055 2431
-rect 5720 2391 5778 2397
-rect 5828 2400 6055 2428
-rect 5828 2360 5856 2400
-rect 6043 2397 6055 2400
-rect 6089 2397 6092 2431
-rect 6043 2391 6092 2397
-rect 6086 2388 6092 2391
-rect 6144 2388 6150 2440
-rect 6549 2431 6607 2437
-rect 6549 2397 6561 2431
-rect 6595 2428 6607 2431
-rect 7193 2431 7251 2437
-rect 7193 2428 7205 2431
-rect 6595 2400 7205 2428
-rect 6595 2397 6607 2400
-rect 6549 2391 6607 2397
-rect 7193 2397 7205 2400
-rect 7239 2428 7251 2431
+rect 3513 2499 3571 2505
+rect 3513 2465 3525 2499
+rect 3559 2496 3571 2499
+rect 3602 2496 3608 2508
+rect 3559 2468 3608 2496
+rect 3559 2465 3571 2468
+rect 3513 2459 3571 2465
+rect 3602 2456 3608 2468
+rect 3660 2456 3666 2508
+rect 4525 2499 4583 2505
+rect 3896 2468 4108 2496
+rect 1581 2431 1639 2437
+rect 1581 2397 1593 2431
+rect 1627 2397 1639 2431
+rect 1581 2391 1639 2397
+rect 1670 2388 1676 2440
+rect 1728 2428 1734 2440
+rect 2130 2428 2136 2440
+rect 1728 2400 1773 2428
+rect 2091 2400 2136 2428
+rect 1728 2388 1734 2400
+rect 2130 2388 2136 2400
+rect 2188 2388 2194 2440
+rect 2314 2428 2320 2440
+rect 2275 2400 2320 2428
+rect 2314 2388 2320 2400
+rect 2372 2388 2378 2440
+rect 2593 2431 2651 2437
+rect 2593 2397 2605 2431
+rect 2639 2397 2651 2431
+rect 2593 2391 2651 2397
+rect 2777 2431 2835 2437
+rect 2777 2397 2789 2431
+rect 2823 2428 2835 2431
+rect 2866 2428 2872 2440
+rect 2823 2400 2872 2428
+rect 2823 2397 2835 2400
+rect 2777 2391 2835 2397
+rect 1854 2360 1860 2372
+rect 1815 2332 1860 2360
+rect 1854 2320 1860 2332
+rect 1912 2320 1918 2372
+rect 2608 2360 2636 2391
+rect 2866 2388 2872 2400
+rect 2924 2428 2930 2440
+rect 3896 2437 3924 2468
+rect 3329 2431 3387 2437
+rect 3329 2428 3341 2431
+rect 2924 2400 3341 2428
+rect 2924 2388 2930 2400
+rect 3329 2397 3341 2400
+rect 3375 2397 3387 2431
+rect 3329 2391 3387 2397
+rect 3881 2431 3939 2437
+rect 3881 2397 3893 2431
+rect 3927 2397 3939 2431
+rect 3881 2391 3939 2397
+rect 3973 2431 4031 2437
+rect 3973 2397 3985 2431
+rect 4019 2397 4031 2431
+rect 3973 2391 4031 2397
+rect 3344 2360 3372 2391
+rect 3694 2360 3700 2372
+rect 2608 2332 3280 2360
+rect 3344 2332 3700 2360
+rect 2869 2295 2927 2301
+rect 2869 2261 2881 2295
+rect 2915 2292 2927 2295
+rect 3142 2292 3148 2304
+rect 2915 2264 3148 2292
+rect 2915 2261 2927 2264
+rect 2869 2255 2927 2261
+rect 3142 2252 3148 2264
+rect 3200 2252 3206 2304
+rect 3252 2301 3280 2332
+rect 3694 2320 3700 2332
+rect 3752 2360 3758 2372
+rect 3988 2360 4016 2391
+rect 3752 2332 4016 2360
+rect 3752 2320 3758 2332
+rect 3237 2295 3295 2301
+rect 3237 2261 3249 2295
+rect 3283 2292 3295 2295
+rect 3326 2292 3332 2304
+rect 3283 2264 3332 2292
+rect 3283 2261 3295 2264
+rect 3237 2255 3295 2261
+rect 3326 2252 3332 2264
+rect 3384 2292 3390 2304
+rect 4080 2292 4108 2468
+rect 4525 2465 4537 2499
+rect 4571 2496 4583 2499
+rect 4614 2496 4620 2508
+rect 4571 2468 4620 2496
+rect 4571 2465 4583 2468
+rect 4525 2459 4583 2465
+rect 4614 2456 4620 2468
+rect 4672 2456 4678 2508
+rect 5644 2505 5672 2536
+rect 9858 2524 9864 2536
+rect 9916 2524 9922 2576
+rect 5629 2499 5687 2505
+rect 5629 2465 5641 2499
+rect 5675 2496 5687 2499
+rect 8570 2496 8576 2508
+rect 5675 2468 8576 2496
+rect 5675 2465 5687 2468
+rect 5629 2459 5687 2465
+rect 8570 2456 8576 2468
+rect 8628 2456 8634 2508
+rect 9582 2496 9588 2508
+rect 9232 2468 9588 2496
+rect 5356 2440 5408 2446
+rect 5442 2388 5448 2440
+rect 5500 2428 5506 2440
rect 7558 2428 7564 2440
-rect 7239 2400 7564 2428
-rect 7239 2397 7251 2400
-rect 7193 2391 7251 2397
+rect 5500 2400 5545 2428
+rect 7519 2400 7564 2428
+rect 5500 2388 5506 2400
rect 7558 2388 7564 2400
rect 7616 2388 7622 2440
-rect 7650 2388 7656 2440
-rect 7708 2437 7714 2440
-rect 7708 2431 7741 2437
-rect 7729 2428 7741 2431
-rect 8205 2431 8263 2437
-rect 8205 2428 8217 2431
-rect 7729 2400 8217 2428
-rect 7729 2397 7741 2400
-rect 7708 2391 7741 2397
-rect 8205 2397 8217 2400
-rect 8251 2397 8263 2431
-rect 8754 2428 8760 2440
-rect 8715 2400 8760 2428
-rect 8205 2391 8263 2397
-rect 7708 2388 7714 2391
-rect 6270 2360 6276 2372
-rect 5092 2332 5856 2360
-rect 6231 2332 6276 2360
-rect 6270 2320 6276 2332
-rect 6328 2320 6334 2372
-rect 6825 2363 6883 2369
-rect 6825 2329 6837 2363
-rect 6871 2329 6883 2363
-rect 8220 2360 8248 2391
-rect 8754 2388 8760 2400
-rect 8812 2388 8818 2440
-rect 9214 2437 9220 2440
-rect 9212 2428 9220 2437
-rect 9175 2400 9220 2428
-rect 9212 2391 9220 2400
-rect 9214 2388 9220 2391
-rect 9272 2388 9278 2440
-rect 9324 2437 9352 2536
-rect 12406 2536 12725 2564
-rect 12406 2496 12434 2536
-rect 12713 2533 12725 2536
-rect 12759 2533 12771 2567
-rect 12713 2527 12771 2533
-rect 13265 2499 13323 2505
-rect 13265 2496 13277 2499
-rect 9876 2468 12434 2496
-rect 13004 2468 13277 2496
-rect 9876 2440 9904 2468
+rect 7745 2431 7803 2437
+rect 7745 2397 7757 2431
+rect 7791 2397 7803 2431
+rect 7745 2391 7803 2397
+rect 5356 2382 5408 2388
+rect 5902 2360 5908 2372
+rect 5863 2332 5908 2360
+rect 5902 2320 5908 2332
+rect 5960 2320 5966 2372
+rect 6362 2320 6368 2372
+rect 6420 2320 6426 2372
+rect 7190 2320 7196 2372
+rect 7248 2360 7254 2372
+rect 7760 2360 7788 2391
+rect 7834 2388 7840 2440
+rect 7892 2428 7898 2440
+rect 8113 2431 8171 2437
+rect 8113 2428 8125 2431
+rect 7892 2400 8125 2428
+rect 7892 2388 7898 2400
+rect 8113 2397 8125 2400
+rect 8159 2428 8171 2431
+rect 9232 2428 9260 2468
+rect 9582 2456 9588 2468
+rect 9640 2496 9646 2508
+rect 12253 2499 12311 2505
+rect 12253 2496 12265 2499
+rect 9640 2468 12265 2496
+rect 9640 2456 9646 2468
+rect 12253 2465 12265 2468
+rect 12299 2465 12311 2499
+rect 12253 2459 12311 2465
+rect 13176 2440 13228 2446
+rect 8159 2400 9260 2428
rect 9309 2431 9367 2437
+rect 8159 2397 8171 2400
+rect 8113 2391 8171 2397
rect 9309 2397 9321 2431
-rect 9355 2397 9367 2431
-rect 9582 2428 9588 2440
-rect 9543 2400 9588 2428
+rect 9355 2428 9367 2431
+rect 9766 2428 9772 2440
+rect 9355 2400 9772 2428
+rect 9355 2397 9367 2400
rect 9309 2391 9367 2397
-rect 9582 2388 9588 2400
-rect 9640 2388 9646 2440
-rect 9677 2431 9735 2437
-rect 9677 2397 9689 2431
-rect 9723 2428 9735 2431
-rect 9858 2428 9864 2440
-rect 9723 2400 9864 2428
-rect 9723 2397 9735 2400
-rect 9677 2391 9735 2397
-rect 9858 2388 9864 2400
-rect 9916 2388 9922 2440
-rect 9953 2431 10011 2437
-rect 9953 2418 9965 2431
-rect 9999 2418 10011 2431
-rect 12066 2428 12072 2440
+rect 9766 2388 9772 2400
+rect 9824 2388 9830 2440
+rect 9950 2428 9956 2440
+rect 9911 2400 9956 2428
+rect 9950 2388 9956 2400
+rect 10008 2388 10014 2440
+rect 10045 2431 10103 2437
+rect 10045 2397 10057 2431
+rect 10091 2397 10103 2431
+rect 10045 2391 10103 2397
+rect 10321 2431 10379 2437
+rect 10321 2397 10333 2431
+rect 10367 2397 10379 2431
+rect 10321 2391 10379 2397
+rect 7248 2332 7788 2360
+rect 7248 2320 7254 2332
+rect 9122 2320 9128 2372
+rect 9180 2360 9186 2372
rect 9401 2363 9459 2369
rect 9401 2360 9413 2363
-rect 8220 2332 9413 2360
-rect 6825 2323 6883 2329
+rect 9180 2332 9413 2360
+rect 9180 2320 9186 2332
rect 9401 2329 9413 2332
-rect 9447 2329 9459 2363
-rect 9600 2360 9628 2388
-rect 9766 2360 9772 2372
-rect 9600 2332 9772 2360
+rect 9447 2360 9459 2363
+rect 9674 2360 9680 2372
+rect 9447 2332 9680 2360
+rect 9447 2329 9459 2332
rect 9401 2323 9459 2329
-rect 6840 2292 6868 2323
-rect 9766 2320 9772 2332
-rect 9824 2320 9830 2372
-rect 9950 2366 9956 2418
-rect 10008 2366 10014 2418
-rect 12027 2400 12072 2428
-rect 12066 2388 12072 2400
-rect 12124 2428 12130 2440
-rect 12342 2428 12348 2440
-rect 12124 2400 12348 2428
-rect 12124 2388 12130 2400
-rect 12342 2388 12348 2400
-rect 12400 2388 12406 2440
-rect 12710 2388 12716 2440
-rect 12768 2428 12774 2440
-rect 13004 2437 13032 2468
-rect 13265 2465 13277 2468
-rect 13311 2496 13323 2499
-rect 13446 2496 13452 2508
-rect 13311 2468 13452 2496
-rect 13311 2465 13323 2468
-rect 13265 2459 13323 2465
-rect 13446 2456 13452 2468
-rect 13504 2456 13510 2508
-rect 12877 2431 12935 2437
-rect 12877 2428 12889 2431
-rect 12768 2400 12889 2428
-rect 12768 2388 12774 2400
-rect 12877 2397 12889 2400
-rect 12923 2428 12935 2431
-rect 12989 2431 13047 2437
-rect 12923 2397 12940 2428
-rect 12877 2391 12940 2397
-rect 12989 2397 13001 2431
-rect 13035 2397 13047 2431
-rect 12989 2391 13047 2397
-rect 10226 2360 10232 2372
-rect 10187 2332 10232 2360
-rect 10226 2320 10232 2332
-rect 10284 2320 10290 2372
-rect 12912 2360 12940 2391
-rect 13357 2363 13415 2369
-rect 13357 2360 13369 2363
-rect 11072 2304 11100 2346
-rect 12912 2332 13369 2360
-rect 13357 2329 13369 2332
-rect 13403 2360 13415 2363
-rect 13446 2360 13452 2372
-rect 13403 2332 13452 2360
-rect 13403 2329 13415 2332
-rect 13357 2323 13415 2329
-rect 13446 2320 13452 2332
-rect 13504 2320 13510 2372
-rect 7742 2292 7748 2304
-rect 4356 2264 6868 2292
-rect 7703 2264 7748 2292
-rect 7742 2252 7748 2264
-rect 7800 2252 7806 2304
-rect 8754 2252 8760 2304
-rect 8812 2292 8818 2304
-rect 9306 2292 9312 2304
-rect 8812 2264 9312 2292
-rect 8812 2252 8818 2264
-rect 9306 2252 9312 2264
-rect 9364 2252 9370 2304
-rect 11054 2252 11060 2304
-rect 11112 2252 11118 2304
-rect 11146 2252 11152 2304
-rect 11204 2292 11210 2304
-rect 11701 2295 11759 2301
-rect 11701 2292 11713 2295
-rect 11204 2264 11713 2292
-rect 11204 2252 11210 2264
-rect 11701 2261 11713 2264
-rect 11747 2261 11759 2295
-rect 11701 2255 11759 2261
-rect 12529 2295 12587 2301
-rect 12529 2261 12541 2295
-rect 12575 2292 12587 2295
-rect 12618 2292 12624 2304
-rect 12575 2264 12624 2292
-rect 12575 2261 12587 2264
-rect 12529 2255 12587 2261
-rect 12618 2252 12624 2264
-rect 12676 2252 12682 2304
+rect 9674 2320 9680 2332
+rect 9732 2320 9738 2372
+rect 10060 2360 10088 2391
+rect 9968 2332 10088 2360
+rect 5626 2292 5632 2304
+rect 3384 2264 5632 2292
+rect 3384 2252 3390 2264
+rect 5626 2252 5632 2264
+rect 5684 2292 5690 2304
+rect 6270 2292 6276 2304
+rect 5684 2264 6276 2292
+rect 5684 2252 5690 2264
+rect 6270 2252 6276 2264
+rect 6328 2292 6334 2304
+rect 7282 2292 7288 2304
+rect 6328 2264 7288 2292
+rect 6328 2252 6334 2264
+rect 7282 2252 7288 2264
+rect 7340 2292 7346 2304
+rect 7377 2295 7435 2301
+rect 7377 2292 7389 2295
+rect 7340 2264 7389 2292
+rect 7340 2252 7346 2264
+rect 7377 2261 7389 2264
+rect 7423 2261 7435 2295
+rect 7377 2255 7435 2261
+rect 8021 2295 8079 2301
+rect 8021 2261 8033 2295
+rect 8067 2292 8079 2295
+rect 8110 2292 8116 2304
+rect 8067 2264 8116 2292
+rect 8067 2261 8079 2264
+rect 8021 2255 8079 2261
+rect 8110 2252 8116 2264
+rect 8168 2252 8174 2304
+rect 8297 2295 8355 2301
+rect 8297 2261 8309 2295
+rect 8343 2292 8355 2295
+rect 8662 2292 8668 2304
+rect 8343 2264 8668 2292
+rect 8343 2261 8355 2264
+rect 8297 2255 8355 2261
+rect 8662 2252 8668 2264
+rect 8720 2292 8726 2304
+rect 9490 2292 9496 2304
+rect 8720 2264 9496 2292
+rect 8720 2252 8726 2264
+rect 9490 2252 9496 2264
+rect 9548 2292 9554 2304
+rect 9968 2292 9996 2332
+rect 9548 2264 9996 2292
+rect 10336 2292 10364 2391
+rect 13262 2388 13268 2440
+rect 13320 2428 13326 2440
+rect 13320 2400 13365 2428
+rect 13320 2388 13326 2400
+rect 13176 2382 13228 2388
+rect 10594 2360 10600 2372
+rect 10555 2332 10600 2360
+rect 10594 2320 10600 2332
+rect 10652 2320 10658 2372
+rect 11882 2360 11888 2372
+rect 11822 2332 11888 2360
+rect 11882 2320 11888 2332
+rect 11940 2320 11946 2372
+rect 11514 2292 11520 2304
+rect 10336 2264 11520 2292
+rect 9548 2252 9554 2264
+rect 11514 2252 11520 2264
+rect 11572 2252 11578 2304
rect 1104 2202 13892 2224
rect 1104 2150 8214 2202
rect 8266 2150 8278 2202
@@ -9192,229 +9842,228 @@ rect 8394 2150 8406 2202
rect 8458 2150 8470 2202
rect 8522 2150 13892 2202
rect 1104 2128 13892 2150
-rect 1489 2091 1547 2097
-rect 1489 2057 1501 2091
-rect 1535 2088 1547 2091
rect 1578 2088 1584 2100
-rect 1535 2060 1584 2088
-rect 1535 2057 1547 2060
-rect 1489 2051 1547 2057
+rect 1539 2060 1584 2088
rect 1578 2048 1584 2060
rect 1636 2048 1642 2100
-rect 2240 2060 3464 2088
-rect 2240 2020 2268 2060
-rect 1596 1992 2268 2020
-rect 1596 1896 1624 1992
-rect 2866 1980 2872 2032
-rect 2924 1980 2930 2032
-rect 3436 2020 3464 2060
-rect 3510 2048 3516 2100
-rect 3568 2088 3574 2100
+rect 1765 2091 1823 2097
+rect 1765 2057 1777 2091
+rect 1811 2088 1823 2091
+rect 2866 2088 2872 2100
+rect 1811 2060 2872 2088
+rect 1811 2057 1823 2060
+rect 1765 2051 1823 2057
+rect 2866 2048 2872 2060
+rect 2924 2048 2930 2100
+rect 3418 2048 3424 2100
+rect 3476 2088 3482 2100
rect 3605 2091 3663 2097
rect 3605 2088 3617 2091
-rect 3568 2060 3617 2088
-rect 3568 2048 3574 2060
+rect 3476 2060 3617 2088
+rect 3476 2048 3482 2060
rect 3605 2057 3617 2060
rect 3651 2057 3663 2091
-rect 9950 2088 9956 2100
rect 3605 2051 3663 2057
-rect 6380 2060 7972 2088
-rect 3436 1992 4476 2020
-rect 3513 1955 3571 1961
-rect 3513 1921 3525 1955
-rect 3559 1952 3571 1955
+rect 5350 2048 5356 2100
+rect 5408 2088 5414 2100
+rect 5537 2091 5595 2097
+rect 5537 2088 5549 2091
+rect 5408 2060 5549 2088
+rect 5408 2048 5414 2060
+rect 5537 2057 5549 2060
+rect 5583 2057 5595 2091
+rect 5537 2051 5595 2057
+rect 6089 2091 6147 2097
+rect 6089 2057 6101 2091
+rect 6135 2088 6147 2091
+rect 6362 2088 6368 2100
+rect 6135 2060 6368 2088
+rect 6135 2057 6147 2060
+rect 6089 2051 6147 2057
+rect 6362 2048 6368 2060
+rect 6420 2048 6426 2100
+rect 6454 2048 6460 2100
+rect 6512 2088 6518 2100
+rect 8662 2088 8668 2100
+rect 6512 2060 8668 2088
+rect 6512 2048 6518 2060
+rect 8662 2048 8668 2060
+rect 8720 2048 8726 2100
+rect 9674 2048 9680 2100
+rect 9732 2088 9738 2100
+rect 10321 2091 10379 2097
+rect 10321 2088 10333 2091
+rect 9732 2060 10333 2088
+rect 9732 2048 9738 2060
+rect 10321 2057 10333 2060
+rect 10367 2057 10379 2091
+rect 10321 2051 10379 2057
+rect 13170 2048 13176 2100
+rect 13228 2088 13234 2100
+rect 13265 2091 13323 2097
+rect 13265 2088 13277 2091
+rect 13228 2060 13277 2088
+rect 13228 2048 13234 2060
+rect 13265 2057 13277 2060
+rect 13311 2057 13323 2091
+rect 13265 2051 13323 2057
+rect 1854 1980 1860 2032
+rect 1912 2020 1918 2032
+rect 1912 1992 2070 2020
+rect 1912 1980 1918 1992
+rect 3142 1980 3148 2032
+rect 3200 2020 3206 2032
+rect 3237 2023 3295 2029
+rect 3237 2020 3249 2023
+rect 3200 1992 3249 2020
+rect 3200 1980 3206 1992
+rect 3237 1989 3249 1992
+rect 3283 1989 3295 2023
+rect 3237 1983 3295 1989
+rect 4614 1980 4620 2032
+rect 4672 1980 4678 2032
+rect 6472 2020 6500 2048
+rect 7926 2020 7932 2032
+rect 5920 1992 6500 2020
+rect 7774 1992 7932 2020
+rect 3510 1912 3516 1964
+rect 3568 1952 3574 1964
rect 3786 1952 3792 1964
-rect 3559 1924 3792 1952
-rect 3559 1921 3571 1924
-rect 3513 1915 3571 1921
+rect 3568 1924 3792 1952
+rect 3568 1912 3574 1924
rect 3786 1912 3792 1924
rect 3844 1912 3850 1964
-rect 3881 1955 3939 1961
-rect 3881 1921 3893 1955
-rect 3927 1921 3939 1955
-rect 3881 1915 3939 1921
-rect 4065 1955 4123 1961
-rect 4065 1921 4077 1955
-rect 4111 1952 4123 1955
-rect 4246 1952 4252 1964
-rect 4111 1924 4252 1952
-rect 4111 1921 4123 1924
-rect 4065 1915 4123 1921
-rect 1578 1884 1584 1896
-rect 1539 1856 1584 1884
-rect 1578 1844 1584 1856
-rect 1636 1844 1642 1896
-rect 1857 1887 1915 1893
-rect 1857 1853 1869 1887
-rect 1903 1884 1915 1887
-rect 3326 1884 3332 1896
-rect 1903 1856 3332 1884
-rect 1903 1853 1915 1856
-rect 1857 1847 1915 1853
-rect 3326 1844 3332 1856
-rect 3384 1844 3390 1896
-rect 3896 1884 3924 1915
-rect 4246 1912 4252 1924
-rect 4304 1912 4310 1964
-rect 4448 1884 4476 1992
-rect 5258 1980 5264 2032
-rect 5316 1980 5322 2032
-rect 5718 2020 5724 2032
-rect 5679 1992 5724 2020
-rect 5718 1980 5724 1992
-rect 5776 1980 5782 2032
-rect 5994 1884 6000 1896
-rect 3896 1856 4292 1884
-rect 4448 1856 6000 1884
-rect 3329 1751 3387 1757
-rect 3329 1717 3341 1751
-rect 3375 1748 3387 1751
-rect 4062 1748 4068 1760
-rect 3375 1720 4068 1748
-rect 3375 1717 3387 1720
-rect 3329 1711 3387 1717
-rect 4062 1708 4068 1720
-rect 4120 1708 4126 1760
-rect 4264 1757 4292 1856
-rect 5994 1844 6000 1856
-rect 6052 1884 6058 1896
-rect 6380 1893 6408 2060
-rect 7374 1980 7380 2032
-rect 7432 1980 7438 2032
-rect 7944 2020 7972 2060
-rect 8404 2060 9956 2088
-rect 8404 2020 8432 2060
-rect 9950 2048 9956 2060
-rect 10008 2048 10014 2100
-rect 10226 2048 10232 2100
-rect 10284 2088 10290 2100
-rect 10396 2091 10454 2097
-rect 10396 2088 10408 2091
-rect 10284 2060 10408 2088
-rect 10284 2048 10290 2060
-rect 10396 2057 10408 2060
-rect 10442 2057 10454 2091
-rect 11054 2088 11060 2100
-rect 11015 2060 11060 2088
-rect 10396 2051 10454 2057
-rect 11054 2048 11060 2060
-rect 11112 2048 11118 2100
-rect 12066 2088 12072 2100
-rect 11256 2060 12072 2088
-rect 7944 1992 8432 2020
-rect 8404 1961 8432 1992
-rect 9398 1980 9404 2032
-rect 9456 1980 9462 2032
-rect 10689 2023 10747 2029
-rect 10689 1989 10701 2023
-rect 10735 2020 10747 2023
-rect 11146 2020 11152 2032
-rect 10735 1992 11152 2020
-rect 10735 1989 10747 1992
-rect 10689 1983 10747 1989
-rect 11146 1980 11152 1992
-rect 11204 1980 11210 2032
-rect 11256 1964 11284 2060
-rect 12066 2048 12072 2060
-rect 12124 2048 12130 2100
-rect 11330 1980 11336 2032
-rect 11388 2020 11394 2032
-rect 11388 1992 12282 2020
-rect 11388 1980 11394 1992
-rect 8389 1955 8447 1961
-rect 8389 1921 8401 1955
-rect 8435 1921 8447 1955
-rect 10545 1955 10603 1961
-rect 10545 1952 10557 1955
-rect 8389 1915 8447 1921
-rect 9876 1924 10557 1952
-rect 9876 1896 9904 1924
-rect 10545 1921 10557 1924
-rect 10591 1921 10603 1955
-rect 10778 1952 10784 1964
-rect 10739 1924 10784 1952
-rect 10545 1915 10603 1921
-rect 10778 1912 10784 1924
-rect 10836 1912 10842 1964
-rect 10962 1952 10968 1964
-rect 10923 1924 10968 1952
-rect 10962 1912 10968 1924
-rect 11020 1912 11026 1964
-rect 11238 1952 11244 1964
-rect 11199 1924 11244 1952
-rect 11238 1912 11244 1924
-rect 11296 1912 11302 1964
-rect 11514 1952 11520 1964
-rect 11475 1924 11520 1952
-rect 11514 1912 11520 1924
-rect 11572 1912 11578 1964
-rect 6365 1887 6423 1893
-rect 6365 1884 6377 1887
-rect 6052 1856 6377 1884
-rect 6052 1844 6058 1856
-rect 6365 1853 6377 1856
-rect 6411 1853 6423 1887
-rect 6638 1884 6644 1896
-rect 6599 1856 6644 1884
-rect 6365 1847 6423 1853
-rect 6638 1844 6644 1856
-rect 6696 1844 6702 1896
-rect 7650 1844 7656 1896
-rect 7708 1884 7714 1896
-rect 8113 1887 8171 1893
-rect 8113 1884 8125 1887
-rect 7708 1856 8125 1884
-rect 7708 1844 7714 1856
-rect 8113 1853 8125 1856
-rect 8159 1853 8171 1887
-rect 8662 1884 8668 1896
-rect 8623 1856 8668 1884
-rect 8113 1847 8171 1853
-rect 8662 1844 8668 1856
-rect 8720 1844 8726 1896
-rect 9858 1844 9864 1896
-rect 9916 1844 9922 1896
-rect 10134 1884 10140 1896
-rect 10047 1856 10140 1884
-rect 10134 1844 10140 1856
-rect 10192 1884 10198 1896
-rect 10796 1884 10824 1912
-rect 11790 1884 11796 1896
-rect 10192 1856 10824 1884
-rect 11751 1856 11796 1884
-rect 10192 1844 10198 1856
-rect 11790 1844 11796 1856
-rect 11848 1844 11854 1896
-rect 4249 1751 4307 1757
-rect 4249 1717 4261 1751
-rect 4295 1748 4307 1751
-rect 4614 1748 4620 1760
-rect 4295 1720 4620 1748
-rect 4295 1717 4307 1720
-rect 4249 1711 4307 1717
-rect 4614 1708 4620 1720
-rect 4672 1708 4678 1760
-rect 6822 1708 6828 1760
-rect 6880 1748 6886 1760
-rect 9214 1748 9220 1760
-rect 6880 1720 9220 1748
-rect 6880 1708 6886 1720
-rect 9214 1708 9220 1720
-rect 9272 1748 9278 1760
-rect 9858 1748 9864 1760
-rect 9272 1720 9864 1748
-rect 9272 1708 9278 1720
-rect 9858 1708 9864 1720
-rect 9916 1708 9922 1760
-rect 11974 1708 11980 1760
-rect 12032 1748 12038 1760
-rect 13265 1751 13323 1757
-rect 13265 1748 13277 1751
-rect 12032 1720 13277 1748
-rect 12032 1708 12038 1720
-rect 13265 1717 13277 1720
-rect 13311 1717 13323 1751
-rect 13265 1711 13323 1717
+rect 5920 1961 5948 1992
+rect 7926 1980 7932 1992
+rect 7984 1980 7990 2032
+rect 8110 1980 8116 2032
+rect 8168 2020 8174 2032
+rect 8205 2023 8263 2029
+rect 8205 2020 8217 2023
+rect 8168 1992 8217 2020
+rect 8168 1980 8174 1992
+rect 8205 1989 8217 1992
+rect 8251 1989 8263 2023
+rect 10505 2023 10563 2029
+rect 10505 2020 10517 2023
+rect 10074 1992 10517 2020
+rect 8205 1983 8263 1989
+rect 10505 1989 10517 1992
+rect 10551 1989 10563 2023
+rect 12066 2020 12072 2032
+rect 10505 1983 10563 1989
+rect 10704 1992 12072 2020
+rect 5905 1955 5963 1961
+rect 5905 1921 5917 1955
+rect 5951 1921 5963 1955
+rect 5905 1915 5963 1921
+rect 6089 1955 6147 1961
+rect 6089 1921 6101 1955
+rect 6135 1952 6147 1955
+rect 6730 1952 6736 1964
+rect 6135 1924 6736 1952
+rect 6135 1921 6147 1924
+rect 6089 1915 6147 1921
+rect 6730 1912 6736 1924
+rect 6788 1912 6794 1964
+rect 10134 1912 10140 1964
+rect 10192 1952 10198 1964
+rect 10704 1961 10732 1992
+rect 11164 1961 11192 1992
+rect 12066 1980 12072 1992
+rect 12124 1980 12130 2032
+rect 12526 1980 12532 2032
+rect 12584 1980 12590 2032
+rect 10689 1955 10747 1961
+rect 10689 1952 10701 1955
+rect 10192 1924 10701 1952
+rect 10192 1912 10198 1924
+rect 10689 1921 10701 1924
+rect 10735 1921 10747 1955
+rect 10689 1915 10747 1921
+rect 10781 1955 10839 1961
+rect 10781 1921 10793 1955
+rect 10827 1921 10839 1955
+rect 10781 1915 10839 1921
+rect 11149 1955 11207 1961
+rect 11149 1921 11161 1955
+rect 11195 1921 11207 1955
+rect 11149 1915 11207 1921
+rect 11241 1955 11299 1961
+rect 11241 1921 11253 1955
+rect 11287 1952 11299 1955
+rect 11330 1952 11336 1964
+rect 11287 1924 11336 1952
+rect 11287 1921 11299 1924
+rect 11241 1915 11299 1921
+rect 4062 1884 4068 1896
+rect 4023 1856 4068 1884
+rect 4062 1844 4068 1856
+rect 4120 1844 4126 1896
+rect 8481 1887 8539 1893
+rect 8481 1853 8493 1887
+rect 8527 1884 8539 1887
+rect 8570 1884 8576 1896
+rect 8527 1856 8576 1884
+rect 8527 1853 8539 1856
+rect 8481 1847 8539 1853
+rect 8570 1844 8576 1856
+rect 8628 1844 8634 1896
+rect 8849 1887 8907 1893
+rect 8849 1853 8861 1887
+rect 8895 1884 8907 1887
+rect 8938 1884 8944 1896
+rect 8895 1856 8944 1884
+rect 8895 1853 8907 1856
+rect 8849 1847 8907 1853
+rect 8938 1844 8944 1856
+rect 8996 1844 9002 1896
+rect 9490 1844 9496 1896
+rect 9548 1884 9554 1896
+rect 10796 1884 10824 1915
+rect 11256 1884 11284 1915
+rect 11330 1912 11336 1924
+rect 11388 1912 11394 1964
+rect 11514 1884 11520 1896
+rect 9548 1856 11284 1884
+rect 11475 1856 11520 1884
+rect 9548 1844 9554 1856
+rect 11054 1816 11060 1828
+rect 11015 1788 11060 1816
+rect 11054 1776 11060 1788
+rect 11112 1776 11118 1828
+rect 6546 1708 6552 1760
+rect 6604 1748 6610 1760
+rect 6733 1751 6791 1757
+rect 6733 1748 6745 1751
+rect 6604 1720 6745 1748
+rect 6604 1708 6610 1720
+rect 6733 1717 6745 1720
+rect 6779 1748 6791 1751
+rect 7006 1748 7012 1760
+rect 6779 1720 7012 1748
+rect 6779 1717 6791 1720
+rect 6733 1711 6791 1717
+rect 7006 1708 7012 1720
+rect 7064 1708 7070 1760
+rect 11256 1748 11284 1856
+rect 11514 1844 11520 1856
+rect 11572 1844 11578 1896
+rect 11793 1887 11851 1893
+rect 11793 1853 11805 1887
+rect 11839 1884 11851 1887
+rect 13262 1884 13268 1896
+rect 11839 1856 13268 1884
+rect 11839 1853 11851 1856
+rect 11793 1847 11851 1853
+rect 13262 1844 13268 1856
+rect 13320 1844 13326 1896
+rect 13449 1751 13507 1757
+rect 13449 1748 13461 1751
+rect 11256 1720 13461 1748
+rect 13449 1717 13461 1720
+rect 13495 1717 13507 1751
+rect 13449 1711 13507 1717
rect 1104 1658 13892 1680
rect 1104 1606 4214 1658
rect 4266 1606 4278 1658
@@ -9428,317 +10077,296 @@ rect 12394 1606 12406 1658
rect 12458 1606 12470 1658
rect 12522 1606 13892 1658
rect 1104 1584 13892 1606
-rect 1762 1504 1768 1556
-rect 1820 1544 1826 1556
-rect 1857 1547 1915 1553
-rect 1857 1544 1869 1547
-rect 1820 1516 1869 1544
-rect 1820 1504 1826 1516
-rect 1857 1513 1869 1516
-rect 1903 1513 1915 1547
-rect 1857 1507 1915 1513
-rect 2777 1547 2835 1553
-rect 2777 1513 2789 1547
-rect 2823 1544 2835 1547
-rect 2866 1544 2872 1556
-rect 2823 1516 2872 1544
-rect 2823 1513 2835 1516
-rect 2777 1507 2835 1513
-rect 2866 1504 2872 1516
-rect 2924 1504 2930 1556
-rect 3326 1504 3332 1556
-rect 3384 1544 3390 1556
-rect 3881 1547 3939 1553
-rect 3881 1544 3893 1547
-rect 3384 1516 3893 1544
-rect 3384 1504 3390 1516
-rect 3881 1513 3893 1516
-rect 3927 1513 3939 1547
-rect 3881 1507 3939 1513
-rect 5169 1547 5227 1553
-rect 5169 1513 5181 1547
-rect 5215 1544 5227 1547
-rect 5350 1544 5356 1556
-rect 5215 1516 5356 1544
-rect 5215 1513 5227 1516
-rect 5169 1507 5227 1513
-rect 5350 1504 5356 1516
-rect 5408 1504 5414 1556
-rect 5537 1547 5595 1553
-rect 5537 1513 5549 1547
-rect 5583 1544 5595 1547
-rect 5626 1544 5632 1556
-rect 5583 1516 5632 1544
-rect 5583 1513 5595 1516
-rect 5537 1507 5595 1513
-rect 5626 1504 5632 1516
-rect 5684 1504 5690 1556
-rect 6086 1544 6092 1556
-rect 6047 1516 6092 1544
-rect 6086 1504 6092 1516
-rect 6144 1504 6150 1556
-rect 6638 1544 6644 1556
-rect 6599 1516 6644 1544
-rect 6638 1504 6644 1516
-rect 6696 1504 6702 1556
-rect 7374 1504 7380 1556
-rect 7432 1544 7438 1556
-rect 7469 1547 7527 1553
-rect 7469 1544 7481 1547
-rect 7432 1516 7481 1544
-rect 7432 1504 7438 1516
-rect 7469 1513 7481 1516
-rect 7515 1513 7527 1547
-rect 7469 1507 7527 1513
-rect 9398 1504 9404 1556
-rect 9456 1544 9462 1556
-rect 9585 1547 9643 1553
-rect 9585 1544 9597 1547
-rect 9456 1516 9597 1544
-rect 9456 1504 9462 1516
-rect 9585 1513 9597 1516
-rect 9631 1513 9643 1547
-rect 11330 1544 11336 1556
-rect 11291 1516 11336 1544
-rect 9585 1507 9643 1513
-rect 11330 1504 11336 1516
-rect 11388 1504 11394 1556
-rect 13446 1544 13452 1556
-rect 13407 1516 13452 1544
-rect 13446 1504 13452 1516
-rect 13504 1504 13510 1556
+rect 1670 1504 1676 1556
+rect 1728 1544 1734 1556
+rect 4065 1547 4123 1553
+rect 4065 1544 4077 1547
+rect 1728 1516 4077 1544
+rect 1728 1504 1734 1516
+rect 4065 1513 4077 1516
+rect 4111 1544 4123 1547
+rect 4430 1544 4436 1556
+rect 4111 1516 4436 1544
+rect 4111 1513 4123 1516
+rect 4065 1507 4123 1513
+rect 4430 1504 4436 1516
+rect 4488 1544 4494 1556
+rect 6730 1544 6736 1556
+rect 4488 1516 6736 1544
+rect 4488 1504 4494 1516
+rect 6730 1504 6736 1516
+rect 6788 1504 6794 1556
+rect 8481 1547 8539 1553
+rect 8481 1513 8493 1547
+rect 8527 1544 8539 1547
+rect 8662 1544 8668 1556
+rect 8527 1516 8668 1544
+rect 8527 1513 8539 1516
+rect 8481 1507 8539 1513
+rect 3418 1436 3424 1488
+rect 3476 1476 3482 1488
rect 4246 1476 4252 1488
-rect 3528 1448 4252 1476
-rect 1578 1300 1584 1352
-rect 1636 1340 1642 1352
-rect 1765 1343 1823 1349
-rect 1765 1340 1777 1343
-rect 1636 1312 1777 1340
-rect 1636 1300 1642 1312
-rect 1765 1309 1777 1312
-rect 1811 1309 1823 1343
-rect 1765 1303 1823 1309
-rect 2593 1343 2651 1349
-rect 2593 1309 2605 1343
-rect 2639 1340 2651 1343
-rect 2682 1340 2688 1352
-rect 2639 1312 2688 1340
-rect 2639 1309 2651 1312
-rect 2593 1303 2651 1309
-rect 2682 1300 2688 1312
-rect 2740 1300 2746 1352
-rect 3528 1349 3556 1448
+rect 3476 1448 4252 1476
+rect 3476 1436 3482 1448
rect 4246 1436 4252 1448
-rect 4304 1476 4310 1488
-rect 4614 1476 4620 1488
-rect 4304 1448 4620 1476
-rect 4304 1436 4310 1448
-rect 4614 1436 4620 1448
-rect 4672 1436 4678 1488
-rect 5442 1436 5448 1488
-rect 5500 1476 5506 1488
-rect 7650 1476 7656 1488
-rect 5500 1448 7656 1476
-rect 5500 1436 5506 1448
-rect 3602 1368 3608 1420
-rect 3660 1408 3666 1420
-rect 3660 1380 4384 1408
-rect 3660 1368 3666 1380
-rect 3421 1343 3479 1349
-rect 3421 1309 3433 1343
-rect 3467 1309 3479 1343
-rect 3421 1303 3479 1309
-rect 3513 1343 3571 1349
-rect 3513 1309 3525 1343
-rect 3559 1309 3571 1343
-rect 3513 1303 3571 1309
-rect 3436 1272 3464 1303
+rect 4304 1436 4310 1488
+rect 1394 1408 1400 1420
+rect 1355 1380 1400 1408
+rect 1394 1368 1400 1380
+rect 1452 1368 1458 1420
+rect 3694 1408 3700 1420
+rect 3620 1380 3700 1408
+rect 3145 1343 3203 1349
+rect 3145 1309 3157 1343
+rect 3191 1340 3203 1343
+rect 3510 1340 3516 1352
+rect 3191 1312 3516 1340
+rect 3191 1309 3203 1312
+rect 3145 1303 3203 1309
+rect 3510 1300 3516 1312
+rect 3568 1300 3574 1352
+rect 3620 1349 3648 1380
+rect 3694 1368 3700 1380
+rect 3752 1368 3758 1420
+rect 4080 1380 4752 1408
+rect 3605 1343 3663 1349
+rect 3605 1309 3617 1343
+rect 3651 1309 3663 1343
+rect 3605 1303 3663 1309
rect 3878 1300 3884 1352
rect 3936 1340 3942 1352
-rect 4013 1343 4071 1349
-rect 4013 1340 4025 1343
-rect 3936 1312 4025 1340
+rect 4080 1349 4108 1380
+rect 4065 1343 4123 1349
+rect 3936 1312 3981 1340
rect 3936 1300 3942 1312
-rect 4013 1309 4025 1312
-rect 4059 1309 4071 1343
-rect 4013 1303 4071 1309
-rect 4154 1300 4160 1352
-rect 4212 1340 4218 1352
-rect 4356 1340 4384 1380
+rect 4065 1309 4077 1343
+rect 4111 1309 4123 1343
+rect 4246 1340 4252 1352
+rect 4207 1312 4252 1340
+rect 4065 1303 4123 1309
+rect 3234 1272 3240 1284
+rect 3195 1244 3240 1272
+rect 3234 1232 3240 1244
+rect 3292 1232 3298 1284
+rect 3326 1232 3332 1284
+rect 3384 1272 3390 1284
+rect 3421 1275 3479 1281
+rect 3421 1272 3433 1275
+rect 3384 1244 3433 1272
+rect 3384 1232 3390 1244
+rect 3421 1241 3433 1244
+rect 3467 1241 3479 1275
+rect 3421 1235 3479 1241
+rect 3694 1164 3700 1216
+rect 3752 1204 3758 1216
+rect 4080 1204 4108 1303
+rect 4246 1300 4252 1312
+rect 4304 1300 4310 1352
+rect 4430 1340 4436 1352
+rect 4391 1312 4436 1340
+rect 4430 1300 4436 1312
+rect 4488 1300 4494 1352
+rect 4614 1340 4620 1352
+rect 4575 1312 4620 1340
+rect 4614 1300 4620 1312
+rect 4672 1300 4678 1352
+rect 4724 1340 4752 1380
rect 4890 1368 4896 1420
rect 4948 1408 4954 1420
-rect 4948 1380 5580 1408
+rect 5261 1411 5319 1417
+rect 5261 1408 5273 1411
+rect 4948 1380 5273 1408
rect 4948 1368 4954 1380
-rect 5552 1349 5580 1380
-rect 6196 1349 6224 1448
-rect 7650 1436 7656 1448
-rect 7708 1436 7714 1488
-rect 8662 1436 8668 1488
-rect 8720 1476 8726 1488
-rect 8941 1479 8999 1485
-rect 8941 1476 8953 1479
-rect 8720 1448 8953 1476
-rect 8720 1436 8726 1448
-rect 8941 1445 8953 1448
-rect 8987 1445 8999 1479
-rect 8941 1439 8999 1445
-rect 11238 1408 11244 1420
-rect 9416 1380 11244 1408
-rect 4433 1343 4491 1349
-rect 4433 1340 4445 1343
-rect 4212 1312 4257 1340
-rect 4356 1312 4445 1340
-rect 4212 1300 4218 1312
-rect 4433 1309 4445 1312
-rect 4479 1309 4491 1343
-rect 4433 1303 4491 1309
-rect 5445 1343 5503 1349
-rect 5445 1309 5457 1343
-rect 5491 1309 5503 1343
-rect 5445 1303 5503 1309
+rect 5261 1377 5273 1380
+rect 5307 1408 5319 1411
+rect 5902 1408 5908 1420
+rect 5307 1380 5764 1408
+rect 5863 1380 5908 1408
+rect 5307 1377 5319 1380
+rect 5261 1371 5319 1377
+rect 5169 1343 5227 1349
+rect 4724 1312 4844 1340
+rect 4522 1232 4528 1284
+rect 4580 1272 4586 1284
+rect 4816 1272 4844 1312
+rect 5169 1309 5181 1343
+rect 5215 1340 5227 1343
+rect 5350 1340 5356 1352
+rect 5215 1312 5356 1340
+rect 5215 1309 5227 1312
+rect 5169 1303 5227 1309
+rect 5350 1300 5356 1312
+rect 5408 1300 5414 1352
rect 5537 1343 5595 1349
rect 5537 1309 5549 1343
-rect 5583 1309 5595 1343
+rect 5583 1340 5595 1343
+rect 5626 1340 5632 1352
+rect 5583 1312 5632 1340
+rect 5583 1309 5595 1312
rect 5537 1303 5595 1309
-rect 5813 1343 5871 1349
-rect 5813 1309 5825 1343
-rect 5859 1340 5871 1343
-rect 5905 1343 5963 1349
-rect 5905 1340 5917 1343
-rect 5859 1312 5917 1340
-rect 5859 1309 5871 1312
-rect 5813 1303 5871 1309
-rect 5905 1309 5917 1312
-rect 5951 1309 5963 1343
-rect 5905 1303 5963 1309
+rect 5626 1300 5632 1312
+rect 5684 1300 5690 1352
+rect 5736 1349 5764 1380
+rect 5902 1368 5908 1380
+rect 5960 1368 5966 1420
+rect 6104 1380 6316 1408
+rect 5721 1343 5779 1349
+rect 5721 1309 5733 1343
+rect 5767 1309 5779 1343
+rect 5721 1303 5779 1309
+rect 6104 1272 6132 1380
rect 6181 1343 6239 1349
rect 6181 1309 6193 1343
rect 6227 1309 6239 1343
+rect 6288 1340 6316 1380
+rect 6730 1368 6736 1420
+rect 6788 1408 6794 1420
+rect 7282 1408 7288 1420
+rect 6788 1380 7144 1408
+rect 7243 1380 7288 1408
+rect 6788 1368 6794 1380
+rect 6549 1343 6607 1349
+rect 6549 1340 6561 1343
+rect 6288 1312 6561 1340
rect 6181 1303 6239 1309
-rect 4172 1272 4200 1300
-rect 3436 1244 4200 1272
-rect 4246 1232 4252 1284
-rect 4304 1272 4310 1284
-rect 5460 1272 5488 1303
-rect 6270 1300 6276 1352
-rect 6328 1340 6334 1352
-rect 6365 1343 6423 1349
-rect 6365 1340 6377 1343
-rect 6328 1312 6377 1340
-rect 6328 1300 6334 1312
-rect 6365 1309 6377 1312
-rect 6411 1309 6423 1343
-rect 6546 1340 6552 1352
-rect 6507 1312 6552 1340
-rect 6365 1303 6423 1309
-rect 6546 1300 6552 1312
-rect 6604 1300 6610 1352
-rect 7285 1343 7343 1349
-rect 7285 1309 7297 1343
-rect 7331 1340 7343 1343
-rect 8754 1340 8760 1352
-rect 7331 1312 8760 1340
-rect 7331 1309 7343 1312
-rect 7285 1303 7343 1309
-rect 6086 1272 6092 1284
-rect 4304 1244 4349 1272
-rect 5460 1244 6092 1272
-rect 4304 1232 4310 1244
-rect 6086 1232 6092 1244
-rect 6144 1232 6150 1284
-rect 1578 1204 1584 1216
-rect 1539 1176 1584 1204
-rect 1578 1164 1584 1176
-rect 1636 1164 1642 1216
-rect 3513 1207 3571 1213
-rect 3513 1173 3525 1207
-rect 3559 1204 3571 1207
-rect 3786 1204 3792 1216
-rect 3559 1176 3792 1204
-rect 3559 1173 3571 1176
-rect 3513 1167 3571 1173
-rect 3786 1164 3792 1176
-rect 3844 1164 3850 1216
-rect 5258 1164 5264 1216
-rect 5316 1204 5322 1216
-rect 5629 1207 5687 1213
-rect 5629 1204 5641 1207
-rect 5316 1176 5641 1204
-rect 5316 1164 5322 1176
-rect 5629 1173 5641 1176
-rect 5675 1173 5687 1207
-rect 5629 1167 5687 1173
-rect 5905 1207 5963 1213
-rect 5905 1173 5917 1207
-rect 5951 1204 5963 1207
-rect 7300 1204 7328 1303
-rect 8754 1300 8760 1312
-rect 8812 1340 8818 1352
-rect 9416 1349 9444 1380
-rect 11164 1349 11192 1380
-rect 11238 1368 11244 1380
-rect 11296 1368 11302 1420
-rect 11514 1368 11520 1420
-rect 11572 1408 11578 1420
-rect 11701 1411 11759 1417
-rect 11701 1408 11713 1411
-rect 11572 1380 11713 1408
-rect 11572 1368 11578 1380
-rect 11701 1377 11713 1380
-rect 11747 1377 11759 1411
-rect 11974 1408 11980 1420
-rect 11935 1380 11980 1408
-rect 11701 1371 11759 1377
-rect 11974 1368 11980 1380
-rect 12032 1368 12038 1420
-rect 9401 1343 9459 1349
-rect 9401 1340 9413 1343
-rect 8812 1312 9413 1340
-rect 8812 1300 8818 1312
-rect 9401 1309 9413 1312
-rect 9447 1309 9459 1343
-rect 9401 1303 9459 1309
-rect 11149 1343 11207 1349
-rect 11149 1309 11161 1343
-rect 11195 1309 11207 1343
-rect 11149 1303 11207 1309
-rect 9125 1275 9183 1281
-rect 9125 1241 9137 1275
-rect 9171 1241 9183 1275
-rect 9125 1235 9183 1241
+rect 6549 1309 6561 1312
+rect 6595 1309 6607 1343
+rect 7006 1340 7012 1352
+rect 6967 1312 7012 1340
+rect 6549 1303 6607 1309
+rect 4580 1244 4752 1272
+rect 4816 1244 6132 1272
+rect 6196 1272 6224 1303
+rect 7006 1300 7012 1312
+rect 7064 1300 7070 1352
+rect 7116 1340 7144 1380
+rect 7282 1368 7288 1380
+rect 7340 1368 7346 1420
+rect 7558 1368 7564 1420
+rect 7616 1408 7622 1420
+rect 7745 1411 7803 1417
+rect 7745 1408 7757 1411
+rect 7616 1380 7757 1408
+rect 7616 1368 7622 1380
+rect 7745 1377 7757 1380
+rect 7791 1377 7803 1411
+rect 7926 1408 7932 1420
+rect 7887 1380 7932 1408
+rect 7745 1371 7803 1377
+rect 7926 1368 7932 1380
+rect 7984 1368 7990 1420
+rect 8021 1343 8079 1349
+rect 8021 1340 8033 1343
+rect 7116 1312 8033 1340
+rect 8021 1309 8033 1312
+rect 8067 1309 8079 1343
+rect 8021 1303 8079 1309
+rect 8297 1343 8355 1349
+rect 8297 1309 8309 1343
+rect 8343 1340 8355 1343
+rect 8496 1340 8524 1507
+rect 8662 1504 8668 1516
+rect 8720 1504 8726 1556
+rect 9756 1547 9814 1553
+rect 9756 1513 9768 1547
+rect 9802 1544 9814 1547
+rect 13262 1544 13268 1556
+rect 9802 1516 11192 1544
+rect 13223 1516 13268 1544
+rect 9802 1513 9814 1516
+rect 9756 1507 9814 1513
+rect 11164 1488 11192 1516
+rect 13262 1504 13268 1516
+rect 13320 1504 13326 1556
+rect 8938 1476 8944 1488
+rect 8899 1448 8944 1476
+rect 8938 1436 8944 1448
+rect 8996 1436 9002 1488
+rect 11146 1436 11152 1488
+rect 11204 1476 11210 1488
+rect 11204 1448 11652 1476
+rect 11204 1436 11210 1448
+rect 8570 1368 8576 1420
+rect 8628 1408 8634 1420
+rect 9493 1411 9551 1417
+rect 9493 1408 9505 1411
+rect 8628 1380 9505 1408
+rect 8628 1368 8634 1380
+rect 9493 1377 9505 1380
+rect 9539 1408 9551 1411
+rect 11514 1408 11520 1420
+rect 9539 1380 11520 1408
+rect 9539 1377 9551 1380
+rect 9493 1371 9551 1377
+rect 11514 1368 11520 1380
+rect 11572 1368 11578 1420
+rect 11624 1408 11652 1448
+rect 11624 1380 13032 1408
+rect 9122 1340 9128 1352
+rect 8343 1312 8524 1340
+rect 9083 1312 9128 1340
+rect 8343 1309 8355 1312
+rect 8297 1303 8355 1309
+rect 9122 1300 9128 1312
+rect 9180 1300 9186 1352
+rect 13004 1340 13032 1380
+rect 13449 1343 13507 1349
+rect 13449 1340 13461 1343
+rect 13004 1312 13461 1340
+rect 13449 1309 13461 1312
+rect 13495 1309 13507 1343
+rect 13449 1303 13507 1309
+rect 7098 1272 7104 1284
+rect 6196 1244 7104 1272
+rect 4580 1232 4586 1244
+rect 4724 1213 4752 1244
+rect 7098 1232 7104 1244
+rect 7156 1232 7162 1284
rect 9309 1275 9367 1281
rect 9309 1241 9321 1275
rect 9355 1272 9367 1275
-rect 9582 1272 9588 1284
-rect 9355 1244 9588 1272
+rect 9490 1272 9496 1284
+rect 9355 1244 9496 1272
rect 9355 1241 9367 1244
rect 9309 1235 9367 1241
-rect 5951 1176 7328 1204
-rect 9140 1204 9168 1235
-rect 9582 1232 9588 1244
-rect 9640 1232 9646 1284
-rect 12618 1232 12624 1284
-rect 12676 1232 12682 1284
-rect 10134 1204 10140 1216
-rect 9140 1176 10140 1204
-rect 5951 1173 5963 1176
-rect 5905 1167 5963 1173
-rect 10134 1164 10140 1176
-rect 10192 1164 10198 1216
-rect 11146 1164 11152 1216
-rect 11204 1204 11210 1216
-rect 11517 1207 11575 1213
-rect 11517 1204 11529 1207
-rect 11204 1176 11529 1204
-rect 11204 1164 11210 1176
-rect 11517 1173 11529 1176
-rect 11563 1204 11575 1207
-rect 11790 1204 11796 1216
-rect 11563 1176 11796 1204
-rect 11563 1173 11575 1176
-rect 11517 1167 11575 1173
-rect 11790 1164 11796 1176
-rect 11848 1164 11854 1216
+rect 9490 1232 9496 1244
+rect 9548 1232 9554 1284
+rect 11054 1272 11060 1284
+rect 10994 1244 11060 1272
+rect 11054 1232 11060 1244
+rect 11112 1232 11118 1284
+rect 11793 1275 11851 1281
+rect 11793 1241 11805 1275
+rect 11839 1241 11851 1275
+rect 11793 1235 11851 1241
+rect 3752 1176 4108 1204
+rect 4709 1207 4767 1213
+rect 3752 1164 3758 1176
+rect 4709 1173 4721 1207
+rect 4755 1173 4767 1207
+rect 4709 1167 4767 1173
+rect 5077 1207 5135 1213
+rect 5077 1173 5089 1207
+rect 5123 1204 5135 1207
+rect 5442 1204 5448 1216
+rect 5123 1176 5448 1204
+rect 5123 1173 5135 1176
+rect 5077 1167 5135 1173
+rect 5442 1164 5448 1176
+rect 5500 1164 5506 1216
+rect 6362 1204 6368 1216
+rect 6323 1176 6368 1204
+rect 6362 1164 6368 1176
+rect 6420 1164 6426 1216
+rect 11241 1207 11299 1213
+rect 11241 1173 11253 1207
+rect 11287 1204 11299 1207
+rect 11808 1204 11836 1235
+rect 12802 1232 12808 1284
+rect 12860 1232 12866 1284
+rect 11287 1176 11836 1204
+rect 11287 1173 11299 1176
+rect 11241 1167 11299 1173
rect 1104 1114 13892 1136
rect 1104 1062 8214 1114
rect 8266 1062 8278 1114
@@ -9747,11 +10375,20 @@ rect 8394 1062 8406 1114
rect 8458 1062 8470 1114
rect 8522 1062 13892 1114
rect 1104 1040 13892 1062
+rect 3878 960 3884 1012
+rect 3936 1000 3942 1012
+rect 6362 1000 6368 1012
+rect 3936 972 6368 1000
+rect 3936 960 3942 972
+rect 6362 960 6368 972
+rect 6420 960 6426 1012
<< via1 >>
-rect 2872 13744 2924 13796
-rect 6644 13744 6696 13796
-rect 2504 13676 2556 13728
-rect 11520 13676 11572 13728
+rect 2320 13744 2372 13796
+rect 6644 13880 6696 13932
+rect 1584 13676 1636 13728
+rect 11244 13812 11296 13864
+rect 5080 13676 5132 13728
+rect 8116 13676 8168 13728
rect 4214 13574 4266 13626
rect 4278 13574 4330 13626
rect 4342 13574 4394 13626
@@ -9762,185 +10399,270 @@ rect 12278 13574 12330 13626
rect 12342 13574 12394 13626
rect 12406 13574 12458 13626
rect 12470 13574 12522 13626
-rect 1676 13472 1728 13524
-rect 6460 13472 6512 13524
-rect 572 13404 624 13456
-rect 12072 13472 12124 13524
-rect 11520 13447 11572 13456
-rect 1400 13268 1452 13320
-rect 2504 13311 2556 13320
-rect 2504 13277 2513 13311
-rect 2513 13277 2547 13311
-rect 2547 13277 2556 13311
-rect 2504 13268 2556 13277
-rect 2964 13311 3016 13320
-rect 2964 13277 2973 13311
-rect 2973 13277 3007 13311
-rect 3007 13277 3016 13311
-rect 3332 13311 3384 13320
-rect 2964 13268 3016 13277
-rect 2872 13200 2924 13252
-rect 3332 13277 3341 13311
-rect 3341 13277 3375 13311
-rect 3375 13277 3384 13311
-rect 3332 13268 3384 13277
-rect 3608 13268 3660 13320
-rect 5172 13311 5224 13320
-rect 5172 13277 5181 13311
-rect 5181 13277 5215 13311
-rect 5215 13277 5224 13311
-rect 5172 13268 5224 13277
-rect 5816 13311 5868 13320
-rect 5816 13277 5825 13311
-rect 5825 13277 5859 13311
-rect 5859 13277 5868 13311
-rect 5816 13268 5868 13277
-rect 4804 13243 4856 13252
-rect 4804 13209 4813 13243
-rect 4813 13209 4847 13243
-rect 4847 13209 4856 13243
-rect 4804 13200 4856 13209
-rect 5724 13243 5776 13252
-rect 5724 13209 5733 13243
-rect 5733 13209 5767 13243
-rect 5767 13209 5776 13243
-rect 5724 13200 5776 13209
-rect 8024 13336 8076 13388
-rect 11520 13413 11529 13447
-rect 11529 13413 11563 13447
-rect 11563 13413 11572 13447
-rect 11520 13404 11572 13413
-rect 9680 13268 9732 13320
-rect 10876 13336 10928 13388
-rect 11060 13268 11112 13320
-rect 12348 13311 12400 13320
-rect 12348 13277 12357 13311
-rect 12357 13277 12391 13311
-rect 12391 13277 12400 13311
-rect 12348 13268 12400 13277
-rect 9404 13243 9456 13252
-rect 9404 13209 9413 13243
-rect 9413 13209 9447 13243
-rect 9447 13209 9456 13243
-rect 9404 13200 9456 13209
-rect 9864 13200 9916 13252
-rect 10048 13243 10100 13252
-rect 10048 13209 10057 13243
-rect 10057 13209 10091 13243
-rect 10091 13209 10100 13243
-rect 10048 13200 10100 13209
-rect 10140 13243 10192 13252
-rect 10140 13209 10149 13243
-rect 10149 13209 10183 13243
-rect 10183 13209 10192 13243
-rect 12808 13243 12860 13252
-rect 10140 13200 10192 13209
-rect 12808 13209 12817 13243
-rect 12817 13209 12851 13243
-rect 12851 13209 12860 13243
-rect 12808 13200 12860 13209
-rect 12900 13243 12952 13252
-rect 12900 13209 12909 13243
-rect 12909 13209 12943 13243
-rect 12943 13209 12952 13243
-rect 12900 13200 12952 13209
-rect 3884 13175 3936 13184
-rect 3884 13141 3893 13175
-rect 3893 13141 3927 13175
-rect 3927 13141 3936 13175
-rect 3884 13132 3936 13141
-rect 5540 13132 5592 13184
-rect 6736 13132 6788 13184
-rect 7288 13175 7340 13184
-rect 7288 13141 7297 13175
-rect 7297 13141 7331 13175
-rect 7331 13141 7340 13175
-rect 7288 13132 7340 13141
-rect 8576 13132 8628 13184
-rect 10600 13175 10652 13184
-rect 10600 13141 10609 13175
-rect 10609 13141 10643 13175
-rect 10643 13141 10652 13175
-rect 10600 13132 10652 13141
-rect 11888 13175 11940 13184
-rect 11888 13141 11897 13175
-rect 11897 13141 11931 13175
-rect 11931 13141 11940 13175
-rect 11888 13132 11940 13141
+rect 1400 13515 1452 13524
+rect 1400 13481 1409 13515
+rect 1409 13481 1443 13515
+rect 1443 13481 1452 13515
+rect 1400 13472 1452 13481
+rect 4068 13472 4120 13524
+rect 1676 13404 1728 13456
+rect 6920 13472 6972 13524
+rect 848 13336 900 13388
+rect 2320 13379 2372 13388
+rect 2320 13345 2329 13379
+rect 2329 13345 2363 13379
+rect 2363 13345 2372 13379
+rect 2320 13336 2372 13345
+rect 5080 13379 5132 13388
+rect 5080 13345 5089 13379
+rect 5089 13345 5123 13379
+rect 5123 13345 5132 13379
+rect 5080 13336 5132 13345
+rect 8116 13447 8168 13456
+rect 8116 13413 8125 13447
+rect 8125 13413 8159 13447
+rect 8159 13413 8168 13447
+rect 8668 13472 8720 13524
+rect 8116 13404 8168 13413
+rect 9036 13404 9088 13456
+rect 7104 13336 7156 13388
+rect 8208 13336 8260 13388
+rect 14372 13472 14424 13524
+rect 1584 13311 1636 13320
+rect 1584 13277 1593 13311
+rect 1593 13277 1627 13311
+rect 1627 13277 1636 13311
+rect 1584 13268 1636 13277
+rect 2228 13268 2280 13320
+rect 2688 13268 2740 13320
+rect 2044 13243 2096 13252
+rect 2044 13209 2053 13243
+rect 2053 13209 2087 13243
+rect 2087 13209 2096 13243
+rect 2044 13200 2096 13209
+rect 2136 13243 2188 13252
+rect 2136 13209 2145 13243
+rect 2145 13209 2179 13243
+rect 2179 13209 2188 13243
+rect 2872 13243 2924 13252
+rect 2136 13200 2188 13209
+rect 2872 13209 2881 13243
+rect 2881 13209 2915 13243
+rect 2915 13209 2924 13243
+rect 2872 13200 2924 13209
+rect 3424 13200 3476 13252
+rect 3884 13268 3936 13320
+rect 5724 13268 5776 13320
+rect 6092 13268 6144 13320
+rect 6644 13268 6696 13320
+rect 7012 13311 7064 13320
+rect 7012 13277 7021 13311
+rect 7021 13277 7055 13311
+rect 7055 13277 7064 13311
+rect 7288 13311 7340 13320
+rect 7012 13268 7064 13277
+rect 7288 13277 7297 13311
+rect 7297 13277 7331 13311
+rect 7331 13277 7340 13311
+rect 7288 13268 7340 13277
+rect 7748 13268 7800 13320
+rect 8668 13311 8720 13320
+rect 8668 13277 8677 13311
+rect 8677 13277 8711 13311
+rect 8711 13277 8720 13311
+rect 8668 13268 8720 13277
+rect 8760 13268 8812 13320
+rect 10600 13268 10652 13320
+rect 11888 13336 11940 13388
+rect 12072 13311 12124 13320
+rect 5540 13243 5592 13252
+rect 5540 13209 5549 13243
+rect 5549 13209 5583 13243
+rect 5583 13209 5592 13243
+rect 5540 13200 5592 13209
+rect 6000 13243 6052 13252
+rect 6000 13209 6009 13243
+rect 6009 13209 6043 13243
+rect 6043 13209 6052 13243
+rect 6000 13200 6052 13209
+rect 6184 13200 6236 13252
+rect 7104 13243 7156 13252
+rect 7104 13209 7113 13243
+rect 7113 13209 7147 13243
+rect 7147 13209 7156 13243
+rect 7104 13200 7156 13209
+rect 7840 13243 7892 13252
+rect 7840 13209 7849 13243
+rect 7849 13209 7883 13243
+rect 7883 13209 7892 13243
+rect 7840 13200 7892 13209
+rect 4252 13132 4304 13184
+rect 5632 13132 5684 13184
+rect 7656 13132 7708 13184
+rect 8116 13200 8168 13252
+rect 8484 13243 8536 13252
+rect 8484 13209 8493 13243
+rect 8493 13209 8527 13243
+rect 8527 13209 8536 13243
+rect 8484 13200 8536 13209
+rect 10048 13200 10100 13252
+rect 12072 13277 12081 13311
+rect 12081 13277 12115 13311
+rect 12115 13277 12124 13311
+rect 12072 13268 12124 13277
+rect 12164 13311 12216 13320
+rect 12164 13277 12173 13311
+rect 12173 13277 12207 13311
+rect 12207 13277 12216 13311
+rect 12164 13268 12216 13277
+rect 12992 13268 13044 13320
+rect 13176 13268 13228 13320
+rect 9680 13175 9732 13184
+rect 9680 13141 9689 13175
+rect 9689 13141 9723 13175
+rect 9723 13141 9732 13175
+rect 9680 13132 9732 13141
+rect 9864 13175 9916 13184
+rect 9864 13141 9873 13175
+rect 9873 13141 9907 13175
+rect 9907 13141 9916 13175
+rect 9864 13132 9916 13141
+rect 9956 13175 10008 13184
+rect 9956 13141 9965 13175
+rect 9965 13141 9999 13175
+rect 9999 13141 10008 13175
+rect 10416 13175 10468 13184
+rect 9956 13132 10008 13141
+rect 10416 13141 10425 13175
+rect 10425 13141 10459 13175
+rect 10459 13141 10468 13175
+rect 10416 13132 10468 13141
+rect 11612 13243 11664 13252
+rect 11612 13209 11621 13243
+rect 11621 13209 11655 13243
+rect 11655 13209 11664 13243
+rect 12624 13243 12676 13252
+rect 11612 13200 11664 13209
+rect 12624 13209 12633 13243
+rect 12633 13209 12667 13243
+rect 12667 13209 12676 13243
+rect 12624 13200 12676 13209
+rect 13360 13243 13412 13252
+rect 13360 13209 13369 13243
+rect 13369 13209 13403 13243
+rect 13403 13209 13412 13243
+rect 13360 13200 13412 13209
+rect 12900 13175 12952 13184
+rect 12900 13141 12909 13175
+rect 12909 13141 12943 13175
+rect 12943 13141 12952 13175
+rect 12900 13132 12952 13141
+rect 13084 13132 13136 13184
rect 8214 13030 8266 13082
rect 8278 13030 8330 13082
rect 8342 13030 8394 13082
rect 8406 13030 8458 13082
rect 8470 13030 8522 13082
-rect 5724 12928 5776 12980
-rect 6460 12928 6512 12980
-rect 9404 12928 9456 12980
-rect 2872 12860 2924 12912
-rect 5816 12903 5868 12912
-rect 5816 12869 5825 12903
-rect 5825 12869 5859 12903
-rect 5859 12869 5868 12903
-rect 5816 12860 5868 12869
-rect 1400 12835 1452 12844
-rect 1400 12801 1409 12835
-rect 1409 12801 1443 12835
-rect 1443 12801 1452 12835
-rect 1400 12792 1452 12801
-rect 3332 12792 3384 12844
-rect 3608 12792 3660 12844
-rect 5172 12835 5224 12844
-rect 5172 12801 5181 12835
-rect 5181 12801 5215 12835
-rect 5215 12801 5224 12835
-rect 8576 12860 8628 12912
-rect 10048 12860 10100 12912
-rect 5172 12792 5224 12801
-rect 7012 12835 7064 12844
-rect 7012 12801 7021 12835
-rect 7021 12801 7055 12835
-rect 7055 12801 7064 12835
-rect 7012 12792 7064 12801
-rect 7748 12835 7800 12844
-rect 7748 12801 7757 12835
-rect 7757 12801 7791 12835
-rect 7791 12801 7800 12835
-rect 7748 12792 7800 12801
-rect 8024 12835 8076 12844
-rect 8024 12801 8033 12835
-rect 8033 12801 8067 12835
-rect 8067 12801 8076 12835
-rect 8024 12792 8076 12801
-rect 9680 12835 9732 12844
-rect 6736 12767 6788 12776
-rect 6736 12733 6745 12767
-rect 6745 12733 6779 12767
-rect 6779 12733 6788 12767
-rect 6736 12724 6788 12733
-rect 9680 12801 9689 12835
-rect 9689 12801 9723 12835
-rect 9723 12801 9732 12835
-rect 9680 12792 9732 12801
-rect 9956 12724 10008 12776
-rect 4804 12699 4856 12708
-rect 4804 12665 4813 12699
-rect 4813 12665 4847 12699
-rect 4847 12665 4856 12699
-rect 4804 12656 4856 12665
-rect 11428 12792 11480 12844
-rect 12348 12792 12400 12844
-rect 12716 12792 12768 12844
-rect 11336 12767 11388 12776
-rect 11336 12733 11345 12767
-rect 11345 12733 11379 12767
-rect 11379 12733 11388 12767
-rect 11336 12724 11388 12733
-rect 12072 12656 12124 12708
-rect 12808 12656 12860 12708
-rect 13176 12699 13228 12708
-rect 13176 12665 13185 12699
-rect 13185 12665 13219 12699
-rect 13219 12665 13228 12699
-rect 13176 12656 13228 12665
+rect 3148 12928 3200 12980
+rect 7104 12928 7156 12980
+rect 7840 12928 7892 12980
+rect 1492 12860 1544 12912
+rect 3240 12860 3292 12912
+rect 3700 12860 3752 12912
+rect 4252 12903 4304 12912
+rect 4252 12869 4261 12903
+rect 4261 12869 4295 12903
+rect 4295 12869 4304 12903
+rect 4252 12860 4304 12869
+rect 6644 12860 6696 12912
+rect 7012 12860 7064 12912
+rect 7288 12903 7340 12912
+rect 7288 12869 7297 12903
+rect 7297 12869 7331 12903
+rect 7331 12869 7340 12903
+rect 7288 12860 7340 12869
+rect 8484 12860 8536 12912
+rect 9680 12928 9732 12980
+rect 10508 12928 10560 12980
+rect 9864 12860 9916 12912
+rect 10232 12860 10284 12912
+rect 10876 12860 10928 12912
+rect 1400 12792 1452 12844
+rect 1860 12835 1912 12844
+rect 1860 12801 1869 12835
+rect 1869 12801 1903 12835
+rect 1903 12801 1912 12835
+rect 1860 12792 1912 12801
+rect 2228 12835 2280 12844
+rect 2228 12801 2237 12835
+rect 2237 12801 2271 12835
+rect 2271 12801 2280 12835
+rect 2228 12792 2280 12801
+rect 3884 12792 3936 12844
+rect 4068 12835 4120 12844
+rect 4068 12801 4117 12835
+rect 4117 12801 4120 12835
+rect 4068 12792 4120 12801
+rect 4436 12792 4488 12844
+rect 5724 12835 5776 12844
+rect 2872 12656 2924 12708
+rect 3792 12656 3844 12708
+rect 5724 12801 5733 12835
+rect 5733 12801 5767 12835
+rect 5767 12801 5776 12835
+rect 5724 12792 5776 12801
+rect 6736 12835 6788 12844
+rect 6460 12724 6512 12776
+rect 6736 12801 6745 12835
+rect 6745 12801 6779 12835
+rect 6779 12801 6788 12835
+rect 6736 12792 6788 12801
+rect 6920 12835 6972 12844
+rect 6920 12801 6934 12835
+rect 6934 12801 6968 12835
+rect 6968 12801 6972 12835
+rect 6920 12792 6972 12801
+rect 7380 12792 7432 12844
+rect 7748 12792 7800 12844
+rect 8760 12835 8812 12844
+rect 8760 12801 8769 12835
+rect 8769 12801 8803 12835
+rect 8803 12801 8812 12835
+rect 8760 12792 8812 12801
+rect 8852 12835 8904 12844
+rect 8852 12801 8861 12835
+rect 8861 12801 8895 12835
+rect 8895 12801 8904 12835
+rect 9036 12835 9088 12844
+rect 8852 12792 8904 12801
+rect 9036 12801 9045 12835
+rect 9045 12801 9079 12835
+rect 9079 12801 9088 12835
+rect 9036 12792 9088 12801
+rect 7288 12724 7340 12776
+rect 7656 12724 7708 12776
+rect 10416 12792 10468 12844
+rect 11888 12860 11940 12912
+rect 10600 12767 10652 12776
+rect 10600 12733 10609 12767
+rect 10609 12733 10643 12767
+rect 10643 12733 10652 12767
+rect 10600 12724 10652 12733
+rect 11796 12724 11848 12776
+rect 6000 12656 6052 12708
+rect 1400 12631 1452 12640
+rect 1400 12597 1409 12631
+rect 1409 12597 1443 12631
+rect 1443 12597 1452 12631
+rect 1400 12588 1452 12597
+rect 4436 12588 4488 12640
+rect 8484 12656 8536 12708
+rect 8944 12656 8996 12708
+rect 9864 12656 9916 12708
+rect 12164 12792 12216 12844
+rect 12624 12860 12676 12912
+rect 12992 12656 13044 12708
+rect 11244 12588 11296 12640
rect 4214 12486 4266 12538
rect 4278 12486 4330 12538
rect 4342 12486 4394 12538
@@ -9951,244 +10673,317 @@ rect 12278 12486 12330 12538
rect 12342 12486 12394 12538
rect 12406 12486 12458 12538
rect 12470 12486 12522 12538
-rect 3332 12427 3384 12436
-rect 3332 12393 3341 12427
-rect 3341 12393 3375 12427
-rect 3375 12393 3384 12427
-rect 3332 12384 3384 12393
-rect 3516 12427 3568 12436
-rect 3516 12393 3525 12427
-rect 3525 12393 3559 12427
-rect 3559 12393 3568 12427
-rect 3516 12384 3568 12393
-rect 3608 12384 3660 12436
-rect 6736 12384 6788 12436
-rect 4160 12316 4212 12368
-rect 5540 12291 5592 12300
-rect 2504 12180 2556 12232
-rect 2964 12180 3016 12232
-rect 3332 12180 3384 12232
-rect 5540 12257 5549 12291
-rect 5549 12257 5583 12291
-rect 5583 12257 5592 12291
-rect 5540 12248 5592 12257
-rect 7748 12359 7800 12368
-rect 7748 12325 7757 12359
-rect 7757 12325 7791 12359
-rect 7791 12325 7800 12359
-rect 7748 12316 7800 12325
-rect 3240 12155 3292 12164
-rect 3240 12121 3249 12155
-rect 3249 12121 3283 12155
-rect 3283 12121 3292 12155
-rect 3240 12112 3292 12121
-rect 1492 12087 1544 12096
-rect 1492 12053 1501 12087
-rect 1501 12053 1535 12087
-rect 1535 12053 1544 12087
-rect 1492 12044 1544 12053
-rect 3884 12044 3936 12096
-rect 4804 12180 4856 12232
-rect 9680 12384 9732 12436
-rect 10140 12427 10192 12436
-rect 10140 12393 10149 12427
-rect 10149 12393 10183 12427
-rect 10183 12393 10192 12427
-rect 10140 12384 10192 12393
-rect 10324 12427 10376 12436
-rect 10324 12393 10333 12427
-rect 10333 12393 10367 12427
-rect 10367 12393 10376 12427
-rect 10324 12384 10376 12393
-rect 11336 12384 11388 12436
-rect 12900 12427 12952 12436
-rect 12900 12393 12909 12427
-rect 12909 12393 12943 12427
-rect 12943 12393 12952 12427
-rect 12900 12384 12952 12393
-rect 11060 12291 11112 12300
-rect 7472 12223 7524 12232
-rect 7472 12189 7481 12223
-rect 7481 12189 7515 12223
-rect 7515 12189 7524 12223
-rect 7472 12180 7524 12189
-rect 5724 12112 5776 12164
-rect 11060 12257 11069 12291
-rect 11069 12257 11103 12291
-rect 11103 12257 11112 12291
-rect 11060 12248 11112 12257
-rect 9956 12223 10008 12232
-rect 9956 12189 9965 12223
-rect 9965 12189 9999 12223
-rect 9999 12189 10008 12223
-rect 9956 12180 10008 12189
-rect 14372 12248 14424 12300
-rect 11520 12223 11572 12232
-rect 11520 12189 11529 12223
-rect 11529 12189 11563 12223
-rect 11563 12189 11572 12223
-rect 11520 12180 11572 12189
-rect 12072 12180 12124 12232
-rect 12716 12223 12768 12232
-rect 10324 12112 10376 12164
-rect 12716 12189 12725 12223
-rect 12725 12189 12759 12223
-rect 12759 12189 12768 12223
-rect 12716 12180 12768 12189
-rect 13176 12223 13228 12232
-rect 13176 12189 13185 12223
-rect 13185 12189 13219 12223
-rect 13219 12189 13228 12223
-rect 13176 12180 13228 12189
-rect 4896 12044 4948 12096
-rect 6368 12044 6420 12096
-rect 8576 12087 8628 12096
-rect 8576 12053 8585 12087
-rect 8585 12053 8619 12087
-rect 8619 12053 8628 12087
-rect 8576 12044 8628 12053
-rect 9036 12044 9088 12096
-rect 9588 12044 9640 12096
-rect 11060 12044 11112 12096
-rect 13452 12044 13504 12096
+rect 2136 12384 2188 12436
+rect 3884 12384 3936 12436
+rect 7656 12427 7708 12436
+rect 7656 12393 7665 12427
+rect 7665 12393 7699 12427
+rect 7699 12393 7708 12427
+rect 7656 12384 7708 12393
+rect 7748 12384 7800 12436
+rect 8668 12384 8720 12436
+rect 9496 12384 9548 12436
+rect 10692 12384 10744 12436
+rect 11980 12384 12032 12436
+rect 1584 12180 1636 12232
+rect 2044 12223 2096 12232
+rect 2044 12189 2053 12223
+rect 2053 12189 2087 12223
+rect 2087 12189 2096 12223
+rect 2044 12180 2096 12189
+rect 2688 12223 2740 12232
+rect 2688 12189 2697 12223
+rect 2697 12189 2731 12223
+rect 2731 12189 2740 12223
+rect 3424 12223 3476 12232
+rect 2688 12180 2740 12189
+rect 3424 12189 3433 12223
+rect 3433 12189 3467 12223
+rect 3467 12189 3476 12223
+rect 3424 12180 3476 12189
+rect 6000 12359 6052 12368
+rect 6000 12325 6009 12359
+rect 6009 12325 6043 12359
+rect 6043 12325 6052 12359
+rect 6000 12316 6052 12325
+rect 6644 12316 6696 12368
+rect 6920 12316 6972 12368
+rect 5632 12248 5684 12300
+rect 6460 12291 6512 12300
+rect 6460 12257 6469 12291
+rect 6469 12257 6503 12291
+rect 6503 12257 6512 12291
+rect 6460 12248 6512 12257
+rect 4068 12180 4120 12232
+rect 4804 12223 4856 12232
+rect 4804 12189 4813 12223
+rect 4813 12189 4847 12223
+rect 4847 12189 4856 12223
+rect 4804 12180 4856 12189
+rect 5080 12180 5132 12232
+rect 5540 12223 5592 12232
+rect 5540 12189 5549 12223
+rect 5549 12189 5583 12223
+rect 5583 12189 5592 12223
+rect 5540 12180 5592 12189
+rect 5816 12223 5868 12232
+rect 5816 12189 5825 12223
+rect 5825 12189 5859 12223
+rect 5859 12189 5868 12223
+rect 5816 12180 5868 12189
+rect 6092 12180 6144 12232
+rect 6276 12180 6328 12232
+rect 6828 12180 6880 12232
+rect 7104 12223 7156 12232
+rect 7104 12189 7118 12223
+rect 7118 12189 7152 12223
+rect 7152 12189 7156 12223
+rect 7104 12180 7156 12189
+rect 2412 12112 2464 12164
+rect 2872 12112 2924 12164
+rect 6644 12112 6696 12164
+rect 7012 12155 7064 12164
+rect 7012 12121 7021 12155
+rect 7021 12121 7055 12155
+rect 7055 12121 7064 12155
+rect 7012 12112 7064 12121
+rect 8852 12248 8904 12300
+rect 9036 12248 9088 12300
+rect 9956 12291 10008 12300
+rect 9956 12257 9965 12291
+rect 9965 12257 9999 12291
+rect 9999 12257 10008 12291
+rect 9956 12248 10008 12257
+rect 10692 12248 10744 12300
+rect 11336 12248 11388 12300
+rect 8668 12180 8720 12232
+rect 9312 12223 9364 12232
+rect 1860 12044 1912 12096
+rect 5448 12044 5500 12096
+rect 6552 12087 6604 12096
+rect 6552 12053 6561 12087
+rect 6561 12053 6595 12087
+rect 6595 12053 6604 12087
+rect 8116 12112 8168 12164
+rect 6552 12044 6604 12053
+rect 7748 12044 7800 12096
+rect 8484 12044 8536 12096
+rect 8668 12087 8720 12096
+rect 8668 12053 8677 12087
+rect 8677 12053 8711 12087
+rect 8711 12053 8720 12087
+rect 9312 12189 9321 12223
+rect 9321 12189 9355 12223
+rect 9355 12189 9364 12223
+rect 9312 12180 9364 12189
+rect 9864 12223 9916 12232
+rect 9864 12189 9873 12223
+rect 9873 12189 9907 12223
+rect 9907 12189 9916 12223
+rect 9864 12180 9916 12189
+rect 10416 12180 10468 12232
+rect 10876 12223 10928 12232
+rect 10876 12189 10885 12223
+rect 10885 12189 10919 12223
+rect 10919 12189 10928 12223
+rect 10876 12180 10928 12189
+rect 11244 12180 11296 12232
+rect 11612 12223 11664 12232
+rect 9128 12155 9180 12164
+rect 9128 12121 9137 12155
+rect 9137 12121 9171 12155
+rect 9171 12121 9180 12155
+rect 9128 12112 9180 12121
+rect 9220 12112 9272 12164
+rect 11612 12189 11621 12223
+rect 11621 12189 11655 12223
+rect 11655 12189 11664 12223
+rect 11612 12180 11664 12189
+rect 11888 12223 11940 12232
+rect 11888 12189 11897 12223
+rect 11897 12189 11931 12223
+rect 11931 12189 11940 12223
+rect 11888 12180 11940 12189
+rect 12808 12180 12860 12232
+rect 12992 12223 13044 12232
+rect 12992 12189 13001 12223
+rect 13001 12189 13035 12223
+rect 13035 12189 13044 12223
+rect 12992 12180 13044 12189
+rect 10692 12087 10744 12096
+rect 8668 12044 8720 12053
+rect 10692 12053 10701 12087
+rect 10701 12053 10735 12087
+rect 10735 12053 10744 12087
+rect 10692 12044 10744 12053
+rect 12072 12112 12124 12164
+rect 13268 12112 13320 12164
+rect 11428 12044 11480 12096
rect 8214 11942 8266 11994
rect 8278 11942 8330 11994
rect 8342 11942 8394 11994
rect 8406 11942 8458 11994
rect 8470 11942 8522 11994
-rect 1400 11883 1452 11892
-rect 1400 11849 1409 11883
-rect 1409 11849 1443 11883
-rect 1443 11849 1452 11883
-rect 1400 11840 1452 11849
-rect 3424 11840 3476 11892
-rect 5264 11840 5316 11892
-rect 1768 11815 1820 11824
-rect 1768 11781 1777 11815
-rect 1777 11781 1811 11815
-rect 1811 11781 1820 11815
-rect 1768 11772 1820 11781
-rect 3240 11772 3292 11824
-rect 10048 11840 10100 11892
-rect 10416 11840 10468 11892
-rect 11152 11840 11204 11892
-rect 2872 11747 2924 11756
-rect 2872 11713 2881 11747
-rect 2881 11713 2915 11747
-rect 2915 11713 2924 11747
-rect 8576 11815 8628 11824
-rect 8576 11781 8585 11815
-rect 8585 11781 8619 11815
-rect 8619 11781 8628 11815
-rect 8576 11772 8628 11781
-rect 10140 11772 10192 11824
-rect 13452 11815 13504 11824
-rect 2872 11704 2924 11713
-rect 5632 11704 5684 11756
-rect 1400 11636 1452 11688
-rect 2228 11679 2280 11688
-rect 1492 11568 1544 11620
-rect 2228 11645 2237 11679
-rect 2237 11645 2271 11679
-rect 2271 11645 2280 11679
-rect 2228 11636 2280 11645
-rect 2780 11679 2832 11688
-rect 2780 11645 2789 11679
-rect 2789 11645 2823 11679
-rect 2823 11645 2832 11679
-rect 3424 11679 3476 11688
-rect 2780 11636 2832 11645
-rect 3424 11645 3433 11679
-rect 3433 11645 3467 11679
-rect 3467 11645 3476 11679
-rect 3424 11636 3476 11645
-rect 3884 11679 3936 11688
-rect 3884 11645 3893 11679
-rect 3893 11645 3927 11679
-rect 3927 11645 3936 11679
-rect 3884 11636 3936 11645
-rect 3332 11611 3384 11620
-rect 3332 11577 3341 11611
-rect 3341 11577 3375 11611
-rect 3375 11577 3384 11611
-rect 3332 11568 3384 11577
-rect 3976 11500 4028 11552
-rect 5172 11679 5224 11688
-rect 5172 11645 5181 11679
-rect 5181 11645 5215 11679
-rect 5215 11645 5224 11679
-rect 5172 11636 5224 11645
-rect 5264 11679 5316 11688
-rect 5264 11645 5273 11679
-rect 5273 11645 5307 11679
-rect 5307 11645 5316 11679
-rect 5264 11636 5316 11645
-rect 4988 11568 5040 11620
-rect 5356 11611 5408 11620
-rect 5356 11577 5365 11611
-rect 5365 11577 5399 11611
-rect 5399 11577 5408 11611
-rect 5356 11568 5408 11577
-rect 4896 11500 4948 11552
-rect 6184 11704 6236 11756
-rect 6552 11704 6604 11756
-rect 7104 11747 7156 11756
-rect 7104 11713 7113 11747
-rect 7113 11713 7147 11747
-rect 7147 11713 7156 11747
-rect 7104 11704 7156 11713
-rect 7472 11747 7524 11756
-rect 7472 11713 7481 11747
-rect 7481 11713 7515 11747
-rect 7515 11713 7524 11747
-rect 7472 11704 7524 11713
-rect 5816 11679 5868 11688
-rect 5816 11645 5825 11679
-rect 5825 11645 5859 11679
-rect 5859 11645 5868 11679
-rect 5816 11636 5868 11645
-rect 6092 11568 6144 11620
-rect 8300 11636 8352 11688
+rect 2044 11840 2096 11892
+rect 4068 11840 4120 11892
+rect 6552 11840 6604 11892
+rect 6828 11883 6880 11892
+rect 6828 11849 6837 11883
+rect 6837 11849 6871 11883
+rect 6871 11849 6880 11883
+rect 6828 11840 6880 11849
+rect 1400 11772 1452 11824
+rect 3148 11772 3200 11824
+rect 3792 11815 3844 11824
+rect 3792 11781 3801 11815
+rect 3801 11781 3835 11815
+rect 3835 11781 3844 11815
+rect 3792 11772 3844 11781
+rect 5448 11772 5500 11824
+rect 7840 11840 7892 11892
+rect 8392 11883 8444 11892
+rect 2504 11747 2556 11756
+rect 2504 11713 2513 11747
+rect 2513 11713 2547 11747
+rect 2547 11713 2556 11747
+rect 2504 11704 2556 11713
+rect 2688 11704 2740 11756
+rect 5080 11704 5132 11756
+rect 2228 11636 2280 11688
+rect 4712 11636 4764 11688
+rect 4988 11636 5040 11688
+rect 5632 11636 5684 11688
+rect 2872 11568 2924 11620
+rect 3148 11543 3200 11552
+rect 3148 11509 3157 11543
+rect 3157 11509 3191 11543
+rect 3191 11509 3200 11543
+rect 3700 11568 3752 11620
+rect 3148 11500 3200 11509
+rect 3884 11543 3936 11552
+rect 3884 11509 3893 11543
+rect 3893 11509 3927 11543
+rect 3927 11509 3936 11543
+rect 3884 11500 3936 11509
+rect 4620 11568 4672 11620
+rect 5540 11568 5592 11620
+rect 6000 11747 6052 11756
+rect 6000 11713 6009 11747
+rect 6009 11713 6043 11747
+rect 6043 11713 6052 11747
+rect 6000 11704 6052 11713
+rect 6184 11747 6236 11756
+rect 6184 11713 6193 11747
+rect 6193 11713 6227 11747
+rect 6227 11713 6236 11747
+rect 6184 11704 6236 11713
+rect 6736 11704 6788 11756
+rect 7288 11772 7340 11824
+rect 7748 11772 7800 11824
+rect 8392 11849 8401 11883
+rect 8401 11849 8435 11883
+rect 8435 11849 8444 11883
+rect 8392 11840 8444 11849
+rect 8668 11840 8720 11892
+rect 7380 11747 7432 11756
+rect 6460 11636 6512 11688
+rect 6552 11568 6604 11620
+rect 6736 11568 6788 11620
+rect 7380 11713 7389 11747
+rect 7389 11713 7423 11747
+rect 7423 11713 7432 11747
+rect 7656 11747 7708 11756
+rect 7380 11704 7432 11713
+rect 7656 11713 7665 11747
+rect 7665 11713 7699 11747
+rect 7699 11713 7708 11747
+rect 7656 11704 7708 11713
+rect 7840 11747 7892 11756
+rect 7840 11713 7849 11747
+rect 7849 11713 7883 11747
+rect 7883 11713 7892 11747
+rect 7840 11704 7892 11713
+rect 8208 11747 8260 11756
+rect 8208 11713 8217 11747
+rect 8217 11713 8251 11747
+rect 8251 11713 8260 11747
+rect 8208 11704 8260 11713
+rect 8760 11815 8812 11824
+rect 7748 11636 7800 11688
+rect 8760 11781 8769 11815
+rect 8769 11781 8803 11815
+rect 8803 11781 8812 11815
+rect 8760 11772 8812 11781
+rect 9588 11772 9640 11824
+rect 10784 11840 10836 11892
+rect 11980 11840 12032 11892
+rect 13176 11840 13228 11892
+rect 13452 11883 13504 11892
+rect 13452 11849 13461 11883
+rect 13461 11849 13495 11883
+rect 13495 11849 13504 11883
+rect 13452 11840 13504 11849
+rect 10600 11772 10652 11824
+rect 10692 11772 10744 11824
+rect 12900 11772 12952 11824
+rect 13360 11815 13412 11824
+rect 13360 11781 13369 11815
+rect 13369 11781 13403 11815
+rect 13403 11781 13412 11815
+rect 13360 11772 13412 11781
+rect 9496 11747 9548 11756
+rect 9496 11713 9505 11747
+rect 9505 11713 9539 11747
+rect 9539 11713 9548 11747
+rect 9496 11704 9548 11713
+rect 9680 11747 9732 11756
+rect 9680 11713 9688 11747
+rect 9688 11713 9722 11747
+rect 9722 11713 9732 11747
+rect 9864 11747 9916 11756
+rect 9680 11704 9732 11713
+rect 9864 11713 9873 11747
+rect 9873 11713 9907 11747
+rect 9907 11713 9916 11747
+rect 9864 11704 9916 11713
rect 9956 11704 10008 11756
-rect 10416 11704 10468 11756
-rect 13452 11781 13461 11815
-rect 13461 11781 13495 11815
-rect 13495 11781 13504 11815
-rect 13452 11772 13504 11781
+rect 9404 11636 9456 11688
+rect 11152 11704 11204 11756
rect 11704 11747 11756 11756
rect 11704 11713 11713 11747
rect 11713 11713 11747 11747
rect 11747 11713 11756 11747
rect 11704 11704 11756 11713
-rect 12992 11704 13044 11756
+rect 13084 11704 13136 11756
rect 13268 11747 13320 11756
rect 13268 11713 13277 11747
rect 13277 11713 13311 11747
rect 13311 11713 13320 11747
rect 13268 11704 13320 11713
-rect 9588 11636 9640 11688
-rect 9680 11679 9732 11688
-rect 9680 11645 9689 11679
-rect 9689 11645 9723 11679
-rect 9723 11645 9732 11679
-rect 9680 11636 9732 11645
-rect 9864 11679 9916 11688
-rect 9864 11645 9873 11679
-rect 9873 11645 9907 11679
-rect 9907 11645 9916 11679
-rect 9864 11636 9916 11645
-rect 10324 11636 10376 11688
-rect 10508 11679 10560 11688
-rect 10508 11645 10517 11679
-rect 10517 11645 10551 11679
-rect 10551 11645 10560 11679
-rect 10508 11636 10560 11645
-rect 8944 11568 8996 11620
-rect 11060 11568 11112 11620
-rect 6736 11500 6788 11552
-rect 9772 11500 9824 11552
+rect 8208 11568 8260 11620
+rect 9220 11568 9272 11620
+rect 11336 11636 11388 11688
+rect 12072 11636 12124 11688
+rect 12808 11679 12860 11688
+rect 12808 11645 12817 11679
+rect 12817 11645 12851 11679
+rect 12851 11645 12860 11679
+rect 12808 11636 12860 11645
+rect 10048 11568 10100 11620
+rect 4804 11500 4856 11552
+rect 6460 11500 6512 11552
+rect 7380 11500 7432 11552
+rect 8392 11500 8444 11552
+rect 8668 11500 8720 11552
+rect 9036 11500 9088 11552
+rect 9496 11500 9548 11552
+rect 10692 11543 10744 11552
+rect 10692 11509 10701 11543
+rect 10701 11509 10735 11543
+rect 10735 11509 10744 11543
+rect 10692 11500 10744 11509
rect 10876 11500 10928 11552
-rect 12900 11500 12952 11552
+rect 11060 11500 11112 11552
rect 4214 11398 4266 11450
rect 4278 11398 4330 11450
rect 4342 11398 4394 11450
@@ -10199,282 +10994,333 @@ rect 12278 11398 12330 11450
rect 12342 11398 12394 11450
rect 12406 11398 12458 11450
rect 12470 11398 12522 11450
-rect 1400 11339 1452 11348
-rect 1400 11305 1409 11339
-rect 1409 11305 1443 11339
-rect 1443 11305 1452 11339
-rect 1400 11296 1452 11305
-rect 2780 11296 2832 11348
-rect 5632 11296 5684 11348
-rect 3240 11228 3292 11280
-rect 4988 11228 5040 11280
-rect 5264 11271 5316 11280
-rect 5264 11237 5273 11271
-rect 5273 11237 5307 11271
-rect 5307 11237 5316 11271
-rect 5264 11228 5316 11237
-rect 6092 11271 6144 11280
-rect 6092 11237 6105 11271
-rect 6105 11237 6139 11271
-rect 6139 11237 6144 11271
-rect 6092 11228 6144 11237
-rect 6552 11160 6604 11212
-rect 7656 11296 7708 11348
-rect 8300 11339 8352 11348
-rect 8300 11305 8309 11339
-rect 8309 11305 8343 11339
-rect 8343 11305 8352 11339
-rect 8300 11296 8352 11305
-rect 8944 11339 8996 11348
-rect 8944 11305 8953 11339
-rect 8953 11305 8987 11339
-rect 8987 11305 8996 11339
-rect 8944 11296 8996 11305
-rect 9680 11296 9732 11348
-rect 10140 11339 10192 11348
-rect 10140 11305 10149 11339
-rect 10149 11305 10183 11339
-rect 10183 11305 10192 11339
-rect 10140 11296 10192 11305
-rect 11152 11296 11204 11348
-rect 11888 11296 11940 11348
+rect 8668 11339 8720 11348
+rect 8668 11305 8677 11339
+rect 8677 11305 8711 11339
+rect 8711 11305 8720 11339
+rect 8668 11296 8720 11305
+rect 8852 11296 8904 11348
+rect 9128 11339 9180 11348
+rect 9128 11305 9137 11339
+rect 9137 11305 9171 11339
+rect 9171 11305 9180 11339
+rect 9128 11296 9180 11305
+rect 2872 11271 2924 11280
+rect 2872 11237 2881 11271
+rect 2881 11237 2915 11271
+rect 2915 11237 2924 11271
+rect 2872 11228 2924 11237
+rect 3516 11228 3568 11280
+rect 5080 11271 5132 11280
+rect 5080 11237 5089 11271
+rect 5089 11237 5123 11271
+rect 5123 11237 5132 11271
+rect 5080 11228 5132 11237
+rect 6092 11228 6144 11280
+rect 4528 11160 4580 11212
+rect 5172 11160 5224 11212
rect 2228 11092 2280 11144
-rect 3056 11135 3108 11144
-rect 3056 11101 3065 11135
-rect 3065 11101 3099 11135
-rect 3099 11101 3108 11135
-rect 3056 11092 3108 11101
+rect 2412 11135 2464 11144
+rect 2412 11101 2421 11135
+rect 2421 11101 2455 11135
+rect 2455 11101 2464 11135
+rect 2412 11092 2464 11101
rect 3332 11092 3384 11144
-rect 3976 11135 4028 11144
-rect 3976 11101 3985 11135
-rect 3985 11101 4019 11135
-rect 4019 11101 4028 11135
-rect 3976 11092 4028 11101
-rect 4528 11092 4580 11144
-rect 4896 11135 4948 11144
-rect 4896 11101 4905 11135
-rect 4905 11101 4939 11135
-rect 4939 11101 4948 11135
-rect 4896 11092 4948 11101
-rect 6000 11135 6052 11144
-rect 6000 11101 6034 11135
-rect 6034 11101 6052 11135
-rect 6000 11092 6052 11101
-rect 6460 11092 6512 11144
-rect 8852 11160 8904 11212
-rect 9864 11160 9916 11212
-rect 10232 11160 10284 11212
-rect 7472 11092 7524 11144
-rect 8576 11135 8628 11144
-rect 1492 11024 1544 11076
-rect 3884 11024 3936 11076
-rect 6644 11024 6696 11076
-rect 6920 11024 6972 11076
-rect 8576 11101 8585 11135
-rect 8585 11101 8619 11135
-rect 8619 11101 8628 11135
-rect 8576 11092 8628 11101
+rect 3884 11092 3936 11144
+rect 4804 11092 4856 11144
+rect 6552 11113 6604 11144
+rect 6552 11092 6560 11113
+rect 6560 11092 6594 11113
+rect 6594 11092 6604 11113
+rect 3516 11067 3568 11076
+rect 3516 11033 3525 11067
+rect 3525 11033 3559 11067
+rect 3559 11033 3568 11067
+rect 3516 11024 3568 11033
+rect 3608 11067 3660 11076
+rect 3608 11033 3617 11067
+rect 3617 11033 3651 11067
+rect 3651 11033 3660 11067
+rect 3608 11024 3660 11033
+rect 2688 10956 2740 11008
+rect 5908 11024 5960 11076
+rect 6092 11067 6144 11076
+rect 6092 11033 6101 11067
+rect 6101 11033 6135 11067
+rect 6135 11033 6144 11067
+rect 6092 11024 6144 11033
+rect 6276 11067 6328 11076
+rect 6276 11033 6285 11067
+rect 6285 11033 6319 11067
+rect 6319 11033 6328 11067
+rect 6276 11024 6328 11033
+rect 6000 10999 6052 11008
+rect 6000 10965 6009 10999
+rect 6009 10965 6043 10999
+rect 6043 10965 6052 10999
+rect 7012 11135 7064 11144
+rect 7012 11101 7021 11135
+rect 7021 11101 7055 11135
+rect 7055 11101 7064 11135
+rect 7748 11228 7800 11280
+rect 7840 11228 7892 11280
+rect 8576 11228 8628 11280
+rect 7288 11160 7340 11212
+rect 7564 11160 7616 11212
+rect 8300 11160 8352 11212
+rect 7012 11092 7064 11101
+rect 8024 11092 8076 11144
+rect 8668 11160 8720 11212
+rect 9128 11160 9180 11212
+rect 7288 11024 7340 11076
+rect 7564 11024 7616 11076
+rect 9036 11092 9088 11144
+rect 9312 11296 9364 11348
+rect 9772 11296 9824 11348
+rect 11244 11296 11296 11348
+rect 11980 11339 12032 11348
+rect 11980 11305 11989 11339
+rect 11989 11305 12023 11339
+rect 12023 11305 12032 11339
+rect 11980 11296 12032 11305
+rect 10048 11228 10100 11280
+rect 10692 11228 10744 11280
+rect 9312 11203 9364 11212
+rect 9312 11169 9321 11203
+rect 9321 11169 9355 11203
+rect 9355 11169 9364 11203
+rect 11060 11203 11112 11212
+rect 9312 11160 9364 11169
+rect 11060 11169 11069 11203
+rect 11069 11169 11103 11203
+rect 11103 11169 11112 11203
+rect 11060 11160 11112 11169
+rect 11796 11228 11848 11280
+rect 13452 11228 13504 11280
+rect 13544 11203 13596 11212
+rect 9588 11135 9640 11144
+rect 9588 11101 9597 11135
+rect 9597 11101 9631 11135
+rect 9631 11101 9640 11135
+rect 9588 11092 9640 11101
+rect 9680 11135 9732 11144
+rect 9680 11101 9689 11135
+rect 9689 11101 9723 11135
+rect 9723 11101 9732 11135
+rect 9680 11092 9732 11101
rect 10048 11092 10100 11144
rect 10324 11135 10376 11144
rect 10324 11101 10333 11135
rect 10333 11101 10367 11135
rect 10367 11101 10376 11135
rect 10324 11092 10376 11101
-rect 11428 11228 11480 11280
-rect 12808 11271 12860 11280
-rect 12808 11237 12817 11271
-rect 12817 11237 12851 11271
-rect 12851 11237 12860 11271
-rect 12808 11228 12860 11237
-rect 10876 11203 10928 11212
-rect 10876 11169 10885 11203
-rect 10885 11169 10919 11203
-rect 10919 11169 10928 11203
-rect 10876 11160 10928 11169
-rect 11060 11135 11112 11144
+rect 10600 11135 10652 11144
+rect 10600 11101 10609 11135
+rect 10609 11101 10643 11135
+rect 10643 11101 10652 11135
+rect 10600 11092 10652 11101
+rect 13544 11169 13553 11203
+rect 13553 11169 13587 11203
+rect 13587 11169 13596 11203
+rect 13544 11160 13596 11169
+rect 6000 10956 6052 10965
+rect 6736 10956 6788 11008
+rect 7932 10956 7984 11008
rect 9956 11024 10008 11076
-rect 4068 10956 4120 11008
-rect 7564 10956 7616 11008
-rect 11060 11101 11069 11135
-rect 11069 11101 11103 11135
-rect 11103 11101 11112 11135
-rect 11060 11092 11112 11101
-rect 11152 11092 11204 11144
-rect 12348 11092 12400 11144
-rect 13452 11092 13504 11144
-rect 10784 10956 10836 11008
-rect 11060 10956 11112 11008
-rect 13360 10999 13412 11008
-rect 13360 10965 13369 10999
-rect 13369 10965 13403 10999
-rect 13403 10965 13412 10999
-rect 13360 10956 13412 10965
+rect 10508 11067 10560 11076
+rect 10508 11033 10517 11067
+rect 10517 11033 10551 11067
+rect 10551 11033 10560 11067
+rect 10508 11024 10560 11033
+rect 10968 11024 11020 11076
+rect 12072 11135 12124 11144
+rect 12072 11101 12081 11135
+rect 12081 11101 12115 11135
+rect 12115 11101 12124 11135
+rect 12072 11092 12124 11101
+rect 12992 11135 13044 11144
+rect 12992 11101 13001 11135
+rect 13001 11101 13035 11135
+rect 13035 11101 13044 11135
+rect 12992 11092 13044 11101
+rect 13268 11135 13320 11144
+rect 13268 11101 13277 11135
+rect 13277 11101 13311 11135
+rect 13311 11101 13320 11135
+rect 13268 11092 13320 11101
+rect 9036 10956 9088 11008
+rect 10692 10956 10744 11008
+rect 11336 10956 11388 11008
+rect 11980 11024 12032 11076
+rect 12256 11024 12308 11076
+rect 13084 11024 13136 11076
+rect 12532 10956 12584 11008
+rect 12716 10956 12768 11008
rect 8214 10854 8266 10906
rect 8278 10854 8330 10906
rect 8342 10854 8394 10906
rect 8406 10854 8458 10906
rect 8470 10854 8522 10906
-rect 2228 10752 2280 10804
-rect 3424 10752 3476 10804
-rect 5172 10752 5224 10804
-rect 5816 10752 5868 10804
-rect 6552 10752 6604 10804
-rect 6828 10752 6880 10804
-rect 7012 10752 7064 10804
+rect 2412 10795 2464 10804
+rect 2412 10761 2421 10795
+rect 2421 10761 2455 10795
+rect 2455 10761 2464 10795
+rect 2412 10752 2464 10761
+rect 2780 10752 2832 10804
+rect 1584 10659 1636 10668
+rect 2688 10684 2740 10736
+rect 4528 10752 4580 10804
+rect 4712 10752 4764 10804
+rect 5816 10795 5868 10804
+rect 5816 10761 5825 10795
+rect 5825 10761 5859 10795
+rect 5859 10761 5868 10795
+rect 5816 10752 5868 10761
+rect 5908 10752 5960 10804
+rect 6276 10752 6328 10804
rect 3332 10727 3384 10736
rect 3332 10693 3341 10727
rect 3341 10693 3375 10727
rect 3375 10693 3384 10727
rect 3332 10684 3384 10693
-rect 5356 10684 5408 10736
-rect 1676 10659 1728 10668
-rect 1676 10625 1685 10659
-rect 1685 10625 1719 10659
-rect 1719 10625 1728 10659
-rect 1676 10616 1728 10625
-rect 1492 10591 1544 10600
-rect 1492 10557 1501 10591
-rect 1501 10557 1535 10591
-rect 1535 10557 1544 10591
-rect 1492 10548 1544 10557
-rect 2872 10616 2924 10668
-rect 3056 10616 3108 10668
-rect 4528 10659 4580 10668
-rect 4528 10625 4537 10659
-rect 4537 10625 4571 10659
-rect 4571 10625 4580 10659
-rect 4528 10616 4580 10625
-rect 5816 10659 5868 10668
-rect 5816 10625 5825 10659
-rect 5825 10625 5859 10659
-rect 5859 10625 5868 10659
-rect 5816 10616 5868 10625
-rect 6184 10684 6236 10736
-rect 6368 10727 6420 10736
-rect 6368 10693 6377 10727
-rect 6377 10693 6411 10727
-rect 6411 10693 6420 10727
-rect 6368 10684 6420 10693
-rect 6460 10616 6512 10668
-rect 6552 10659 6604 10668
-rect 6552 10625 6561 10659
-rect 6561 10625 6595 10659
-rect 6595 10625 6604 10659
-rect 6552 10616 6604 10625
-rect 7196 10616 7248 10668
-rect 7840 10752 7892 10804
-rect 8668 10752 8720 10804
-rect 7748 10684 7800 10736
-rect 7564 10616 7616 10668
-rect 7656 10591 7708 10600
-rect 7656 10557 7665 10591
-rect 7665 10557 7699 10591
-rect 7699 10557 7708 10591
-rect 7656 10548 7708 10557
-rect 9680 10616 9732 10668
-rect 10048 10752 10100 10804
-rect 10140 10752 10192 10804
-rect 10876 10752 10928 10804
-rect 11060 10795 11112 10804
-rect 11060 10761 11069 10795
-rect 11069 10761 11103 10795
-rect 11103 10761 11112 10795
-rect 11060 10752 11112 10761
-rect 11244 10795 11296 10804
-rect 11244 10761 11253 10795
-rect 11253 10761 11287 10795
-rect 11287 10761 11296 10795
-rect 11244 10752 11296 10761
-rect 11520 10795 11572 10804
-rect 11520 10761 11529 10795
-rect 11529 10761 11563 10795
-rect 11563 10761 11572 10795
-rect 11520 10752 11572 10761
-rect 11980 10795 12032 10804
-rect 11980 10761 11989 10795
-rect 11989 10761 12023 10795
-rect 12023 10761 12032 10795
-rect 11980 10752 12032 10761
-rect 9956 10684 10008 10736
-rect 13084 10752 13136 10804
-rect 12900 10727 12952 10736
-rect 12900 10693 12909 10727
-rect 12909 10693 12943 10727
-rect 12943 10693 12952 10727
-rect 12900 10684 12952 10693
-rect 13360 10684 13412 10736
-rect 10140 10659 10192 10668
-rect 10140 10625 10149 10659
-rect 10149 10625 10183 10659
-rect 10183 10625 10192 10659
-rect 10140 10616 10192 10625
-rect 10416 10659 10468 10668
-rect 10416 10625 10425 10659
-rect 10425 10625 10459 10659
-rect 10459 10625 10468 10659
-rect 10416 10616 10468 10625
-rect 10508 10616 10560 10668
-rect 10876 10659 10928 10668
-rect 10876 10625 10885 10659
-rect 10885 10625 10919 10659
-rect 10919 10625 10928 10659
-rect 10876 10616 10928 10625
-rect 11060 10616 11112 10668
-rect 12348 10659 12400 10668
-rect 12348 10625 12357 10659
-rect 12357 10625 12391 10659
-rect 12391 10625 12400 10659
-rect 12348 10616 12400 10625
-rect 12808 10659 12860 10668
-rect 12808 10625 12817 10659
-rect 12817 10625 12851 10659
-rect 12851 10625 12860 10659
-rect 12808 10616 12860 10625
-rect 12992 10659 13044 10668
-rect 12992 10625 13001 10659
-rect 13001 10625 13035 10659
-rect 13035 10625 13044 10659
-rect 12992 10616 13044 10625
-rect 13268 10616 13320 10668
-rect 5908 10480 5960 10532
-rect 6184 10480 6236 10532
-rect 8116 10480 8168 10532
-rect 5172 10412 5224 10464
-rect 5816 10412 5868 10464
-rect 6368 10412 6420 10464
-rect 6828 10412 6880 10464
-rect 10232 10548 10284 10600
+rect 3608 10684 3660 10736
+rect 4620 10684 4672 10736
+rect 6460 10684 6512 10736
+rect 7012 10727 7064 10736
+rect 7012 10693 7021 10727
+rect 7021 10693 7055 10727
+rect 7055 10693 7064 10727
+rect 7012 10684 7064 10693
+rect 7380 10727 7432 10736
+rect 7380 10693 7389 10727
+rect 7389 10693 7423 10727
+rect 7423 10693 7432 10727
+rect 7380 10684 7432 10693
+rect 7932 10727 7984 10736
+rect 7932 10693 7941 10727
+rect 7941 10693 7975 10727
+rect 7975 10693 7984 10727
+rect 7932 10684 7984 10693
+rect 8392 10752 8444 10804
+rect 8944 10752 8996 10804
+rect 1584 10625 1633 10659
+rect 1633 10625 1636 10659
+rect 1584 10616 1636 10625
+rect 1400 10480 1452 10532
+rect 2780 10616 2832 10668
+rect 2688 10548 2740 10600
+rect 3884 10616 3936 10668
+rect 4988 10659 5040 10668
+rect 4988 10625 4997 10659
+rect 4997 10625 5031 10659
+rect 5031 10625 5040 10659
+rect 4988 10616 5040 10625
+rect 5264 10659 5316 10668
+rect 5264 10625 5273 10659
+rect 5273 10625 5307 10659
+rect 5307 10625 5316 10659
+rect 5264 10616 5316 10625
+rect 6736 10616 6788 10668
+rect 7656 10616 7708 10668
+rect 7840 10659 7892 10668
+rect 7840 10625 7844 10659
+rect 7844 10625 7878 10659
+rect 7878 10625 7892 10659
+rect 7840 10616 7892 10625
+rect 8208 10659 8260 10668
+rect 8208 10625 8217 10659
+rect 8217 10625 8251 10659
+rect 8251 10625 8260 10659
+rect 8208 10616 8260 10625
+rect 8760 10684 8812 10736
+rect 9220 10684 9272 10736
+rect 9680 10684 9732 10736
+rect 10324 10752 10376 10804
+rect 9128 10659 9180 10668
+rect 5908 10548 5960 10600
+rect 1492 10455 1544 10464
+rect 1492 10421 1501 10455
+rect 1501 10421 1535 10455
+rect 1535 10421 1544 10455
+rect 1492 10412 1544 10421
+rect 2136 10455 2188 10464
+rect 2136 10421 2145 10455
+rect 2145 10421 2179 10455
+rect 2179 10421 2188 10455
+rect 2136 10412 2188 10421
+rect 6276 10480 6328 10532
+rect 3148 10412 3200 10464
+rect 4620 10412 4672 10464
+rect 5356 10412 5408 10464
+rect 6000 10455 6052 10464
+rect 6000 10421 6009 10455
+rect 6009 10421 6043 10455
+rect 6043 10421 6052 10455
+rect 6000 10412 6052 10421
+rect 6184 10412 6236 10464
+rect 6828 10548 6880 10600
+rect 7104 10548 7156 10600
+rect 7288 10548 7340 10600
+rect 9128 10625 9137 10659
+rect 9137 10625 9171 10659
+rect 9171 10625 9180 10659
+rect 9128 10616 9180 10625
+rect 9404 10616 9456 10668
+rect 9588 10659 9640 10668
+rect 9588 10625 9597 10659
+rect 9597 10625 9631 10659
+rect 9631 10625 9640 10659
+rect 9588 10616 9640 10625
+rect 10692 10684 10744 10736
+rect 11336 10659 11388 10668
+rect 8944 10591 8996 10600
+rect 8944 10557 8953 10591
+rect 8953 10557 8987 10591
+rect 8987 10557 8996 10591
+rect 8944 10548 8996 10557
+rect 7380 10480 7432 10532
rect 8392 10480 8444 10532
-rect 8484 10480 8536 10532
-rect 9864 10480 9916 10532
-rect 8852 10455 8904 10464
-rect 8852 10421 8861 10455
-rect 8861 10421 8895 10455
-rect 8895 10421 8904 10455
-rect 8852 10412 8904 10421
-rect 9680 10412 9732 10464
-rect 9956 10455 10008 10464
-rect 9956 10421 9965 10455
-rect 9965 10421 9999 10455
-rect 9999 10421 10008 10455
-rect 9956 10412 10008 10421
-rect 10048 10455 10100 10464
-rect 10048 10421 10057 10455
-rect 10057 10421 10091 10455
-rect 10091 10421 10100 10455
-rect 11152 10548 11204 10600
+rect 8576 10480 8628 10532
+rect 11336 10625 11345 10659
+rect 11345 10625 11379 10659
+rect 11379 10625 11388 10659
+rect 11336 10616 11388 10625
+rect 11796 10659 11848 10668
+rect 11796 10625 11800 10659
+rect 11800 10625 11834 10659
+rect 11834 10625 11848 10659
+rect 11796 10616 11848 10625
+rect 12256 10684 12308 10736
+rect 12164 10659 12216 10668
+rect 9864 10548 9916 10600
rect 11244 10548 11296 10600
-rect 12072 10591 12124 10600
-rect 12072 10557 12081 10591
-rect 12081 10557 12115 10591
-rect 12115 10557 12124 10591
-rect 12072 10548 12124 10557
-rect 10692 10523 10744 10532
-rect 10692 10489 10701 10523
-rect 10701 10489 10735 10523
-rect 10735 10489 10744 10523
-rect 10692 10480 10744 10489
-rect 10048 10412 10100 10421
+rect 12164 10625 12173 10659
+rect 12173 10625 12207 10659
+rect 12207 10625 12216 10659
+rect 12164 10616 12216 10625
+rect 12624 10616 12676 10668
+rect 12072 10548 12124 10600
+rect 12348 10548 12400 10600
+rect 12900 10591 12952 10600
+rect 12900 10557 12909 10591
+rect 12909 10557 12943 10591
+rect 12943 10557 12952 10591
+rect 12900 10548 12952 10557
+rect 13360 10523 13412 10532
+rect 13360 10489 13369 10523
+rect 13369 10489 13403 10523
+rect 13403 10489 13412 10523
+rect 13360 10480 13412 10489
+rect 9956 10412 10008 10464
+rect 10600 10412 10652 10464
+rect 10876 10455 10928 10464
+rect 10876 10421 10885 10455
+rect 10885 10421 10919 10455
+rect 10919 10421 10928 10455
+rect 10876 10412 10928 10421
+rect 11704 10412 11756 10464
+rect 11980 10412 12032 10464
+rect 12256 10412 12308 10464
rect 4214 10310 4266 10362
rect 4278 10310 4330 10362
rect 4342 10310 4394 10362
@@ -10485,233 +11331,286 @@ rect 12278 10310 12330 10362
rect 12342 10310 12394 10362
rect 12406 10310 12458 10362
rect 12470 10310 12522 10362
-rect 1676 10208 1728 10260
-rect 3056 10251 3108 10260
-rect 3056 10217 3065 10251
-rect 3065 10217 3099 10251
-rect 3099 10217 3108 10251
-rect 3056 10208 3108 10217
-rect 3884 10208 3936 10260
-rect 5724 10251 5776 10260
-rect 5724 10217 5733 10251
-rect 5733 10217 5767 10251
-rect 5767 10217 5776 10251
-rect 5724 10208 5776 10217
-rect 6920 10251 6972 10260
-rect 6920 10217 6929 10251
-rect 6929 10217 6963 10251
-rect 6963 10217 6972 10251
-rect 6920 10208 6972 10217
+rect 1400 10251 1452 10260
+rect 1400 10217 1409 10251
+rect 1409 10217 1443 10251
+rect 1443 10217 1452 10251
+rect 1400 10208 1452 10217
+rect 2872 10208 2924 10260
+rect 3332 10208 3384 10260
+rect 5816 10208 5868 10260
rect 7196 10208 7248 10260
-rect 7840 10208 7892 10260
-rect 7472 10140 7524 10192
-rect 7564 10140 7616 10192
rect 8484 10208 8536 10260
-rect 8852 10208 8904 10260
-rect 10600 10208 10652 10260
-rect 8116 10140 8168 10192
-rect 9956 10140 10008 10192
-rect 10784 10208 10836 10260
-rect 11428 10208 11480 10260
-rect 11980 10251 12032 10260
-rect 11980 10217 11989 10251
-rect 11989 10217 12023 10251
-rect 12023 10217 12032 10251
-rect 11980 10208 12032 10217
-rect 12072 10208 12124 10260
-rect 10968 10140 11020 10192
-rect 12992 10208 13044 10260
-rect 5448 10072 5500 10124
-rect 6552 10072 6604 10124
-rect 7656 10072 7708 10124
-rect 3884 10004 3936 10056
-rect 5172 10047 5224 10056
-rect 5172 10013 5181 10047
-rect 5181 10013 5215 10047
-rect 5215 10013 5224 10047
-rect 5172 10004 5224 10013
-rect 5908 10004 5960 10056
+rect 9496 10208 9548 10260
+rect 1492 10072 1544 10124
+rect 2688 10140 2740 10192
+rect 8208 10183 8260 10192
+rect 4620 10115 4672 10124
+rect 4620 10081 4629 10115
+rect 4629 10081 4663 10115
+rect 4663 10081 4672 10115
+rect 4620 10072 4672 10081
+rect 2412 10047 2464 10056
+rect 2412 10013 2421 10047
+rect 2421 10013 2455 10047
+rect 2455 10013 2464 10047
+rect 2872 10047 2924 10056
+rect 2412 10004 2464 10013
+rect 2872 10013 2881 10047
+rect 2881 10013 2915 10047
+rect 2915 10013 2924 10047
+rect 2872 10004 2924 10013
+rect 2780 9936 2832 9988
rect 2964 9979 3016 9988
rect 2964 9945 2973 9979
rect 2973 9945 3007 9979
rect 3007 9945 3016 9979
rect 2964 9936 3016 9945
-rect 6368 10004 6420 10056
-rect 7104 10004 7156 10056
-rect 7472 10047 7524 10056
-rect 6736 9936 6788 9988
-rect 7472 10013 7481 10047
-rect 7481 10013 7515 10047
-rect 7515 10013 7524 10047
-rect 7472 10004 7524 10013
-rect 1492 9868 1544 9920
-rect 3240 9868 3292 9920
-rect 4896 9868 4948 9920
-rect 6276 9868 6328 9920
-rect 7104 9868 7156 9920
-rect 8024 9911 8076 9920
-rect 8024 9877 8033 9911
-rect 8033 9877 8067 9911
-rect 8067 9877 8076 9911
-rect 8024 9868 8076 9877
+rect 3332 10047 3384 10056
+rect 3332 10013 3341 10047
+rect 3341 10013 3375 10047
+rect 3375 10013 3384 10047
+rect 3332 10004 3384 10013
+rect 3700 10004 3752 10056
+rect 5356 10047 5408 10056
+rect 5356 10013 5365 10047
+rect 5365 10013 5399 10047
+rect 5399 10013 5408 10047
+rect 5356 10004 5408 10013
+rect 5540 10047 5592 10056
+rect 5540 10013 5549 10047
+rect 5549 10013 5583 10047
+rect 5583 10013 5592 10047
+rect 5540 10004 5592 10013
+rect 7656 10072 7708 10124
+rect 7840 10072 7892 10124
+rect 8208 10149 8217 10183
+rect 8217 10149 8251 10183
+rect 8251 10149 8260 10183
+rect 8208 10140 8260 10149
rect 8484 10072 8536 10124
-rect 9864 10072 9916 10124
-rect 11244 10115 11296 10124
-rect 11244 10081 11253 10115
-rect 11253 10081 11287 10115
-rect 11287 10081 11296 10115
-rect 11244 10072 11296 10081
-rect 8668 9936 8720 9988
-rect 8760 9936 8812 9988
-rect 9496 10047 9548 10056
-rect 9496 10013 9505 10047
-rect 9505 10013 9539 10047
-rect 9539 10013 9548 10047
-rect 9496 10004 9548 10013
-rect 9680 10047 9732 10056
-rect 9680 10013 9689 10047
-rect 9689 10013 9723 10047
-rect 9723 10013 9732 10047
+rect 6368 10004 6420 10056
+rect 6644 10047 6696 10056
+rect 6644 10013 6653 10047
+rect 6653 10013 6687 10047
+rect 6687 10013 6696 10047
+rect 6644 10004 6696 10013
+rect 6828 10047 6880 10056
+rect 6828 10013 6837 10047
+rect 6837 10013 6871 10047
+rect 6871 10013 6880 10047
+rect 6828 10004 6880 10013
+rect 4528 9979 4580 9988
+rect 2136 9868 2188 9920
+rect 4528 9945 4537 9979
+rect 4537 9945 4571 9979
+rect 4571 9945 4580 9979
+rect 4528 9936 4580 9945
+rect 4988 9979 5040 9988
+rect 4988 9945 4997 9979
+rect 4997 9945 5031 9979
+rect 5031 9945 5040 9979
+rect 4988 9936 5040 9945
+rect 5172 9979 5224 9988
+rect 5172 9945 5181 9979
+rect 5181 9945 5215 9979
+rect 5215 9945 5224 9979
+rect 5172 9936 5224 9945
+rect 3148 9911 3200 9920
+rect 3148 9877 3157 9911
+rect 3157 9877 3191 9911
+rect 3191 9877 3200 9911
+rect 3148 9868 3200 9877
+rect 3976 9868 4028 9920
+rect 6736 9936 6788 9988
+rect 7104 10004 7156 10056
+rect 7564 10047 7616 10056
+rect 7564 10013 7573 10047
+rect 7573 10013 7607 10047
+rect 7607 10013 7616 10047
+rect 7564 10004 7616 10013
+rect 7748 10047 7800 10056
+rect 7748 10013 7757 10047
+rect 7757 10013 7791 10047
+rect 7791 10013 7800 10047
+rect 7748 10004 7800 10013
+rect 8576 10047 8628 10056
+rect 8576 10013 8585 10047
+rect 8585 10013 8619 10047
+rect 8619 10013 8628 10047
+rect 8576 10004 8628 10013
+rect 8944 10072 8996 10124
+rect 10968 10208 11020 10260
+rect 9864 10183 9916 10192
+rect 9864 10149 9873 10183
+rect 9873 10149 9907 10183
+rect 9907 10149 9916 10183
+rect 9864 10140 9916 10149
+rect 11060 10140 11112 10192
+rect 9772 10072 9824 10124
+rect 10416 10072 10468 10124
+rect 10692 10072 10744 10124
+rect 9588 10004 9640 10056
rect 10600 10047 10652 10056
-rect 9680 10004 9732 10013
rect 10600 10013 10609 10047
rect 10609 10013 10643 10047
rect 10643 10013 10652 10047
rect 10600 10004 10652 10013
-rect 10324 9936 10376 9988
-rect 10876 10004 10928 10056
-rect 10140 9868 10192 9920
-rect 12808 9936 12860 9988
-rect 13084 9979 13136 9988
-rect 13084 9945 13093 9979
-rect 13093 9945 13127 9979
-rect 13127 9945 13136 9979
-rect 13084 9936 13136 9945
-rect 12532 9911 12584 9920
-rect 12532 9877 12541 9911
-rect 12541 9877 12575 9911
-rect 12575 9877 12584 9911
-rect 12532 9868 12584 9877
-rect 13544 9868 13596 9920
+rect 10784 10047 10836 10056
+rect 10784 10013 10793 10047
+rect 10793 10013 10827 10047
+rect 10827 10013 10836 10047
+rect 10784 10004 10836 10013
+rect 6184 9868 6236 9920
+rect 7196 9868 7248 9920
+rect 7840 9868 7892 9920
+rect 9772 9936 9824 9988
+rect 10140 9936 10192 9988
+rect 10324 9979 10376 9988
+rect 10324 9945 10343 9979
+rect 10343 9945 10376 9979
+rect 10324 9936 10376 9945
+rect 11796 10072 11848 10124
+rect 11060 10047 11112 10056
+rect 11060 10013 11069 10047
+rect 11069 10013 11103 10047
+rect 11103 10013 11112 10047
+rect 11060 10004 11112 10013
+rect 11152 10004 11204 10056
+rect 12900 10140 12952 10192
+rect 13360 10183 13412 10192
+rect 13360 10149 13369 10183
+rect 13369 10149 13403 10183
+rect 13403 10149 13412 10183
+rect 13360 10140 13412 10149
+rect 12716 10004 12768 10056
+rect 11244 9936 11296 9988
+rect 11796 9936 11848 9988
+rect 8392 9868 8444 9920
+rect 9312 9868 9364 9920
+rect 9496 9868 9548 9920
+rect 10600 9868 10652 9920
rect 8214 9766 8266 9818
rect 8278 9766 8330 9818
rect 8342 9766 8394 9818
rect 8406 9766 8458 9818
rect 8470 9766 8522 9818
-rect 9496 9664 9548 9716
-rect 10416 9664 10468 9716
-rect 2228 9528 2280 9580
-rect 3332 9596 3384 9648
-rect 5080 9639 5132 9648
-rect 3884 9528 3936 9580
-rect 5080 9605 5089 9639
-rect 5089 9605 5123 9639
-rect 5123 9605 5132 9639
-rect 5080 9596 5132 9605
-rect 6276 9596 6328 9648
-rect 6368 9596 6420 9648
+rect 6460 9664 6512 9716
+rect 7012 9664 7064 9716
+rect 7472 9664 7524 9716
+rect 7748 9664 7800 9716
+rect 1768 9596 1820 9648
+rect 4528 9596 4580 9648
+rect 5264 9596 5316 9648
+rect 8392 9664 8444 9716
+rect 8852 9707 8904 9716
+rect 8852 9673 8861 9707
+rect 8861 9673 8895 9707
+rect 8895 9673 8904 9707
+rect 8852 9664 8904 9673
+rect 9220 9664 9272 9716
+rect 1492 9528 1544 9580
+rect 3240 9528 3292 9580
+rect 3700 9571 3752 9580
+rect 3700 9537 3709 9571
+rect 3709 9537 3743 9571
+rect 3743 9537 3752 9571
+rect 3700 9528 3752 9537
+rect 5172 9571 5224 9580
+rect 5172 9537 5181 9571
+rect 5181 9537 5215 9571
+rect 5215 9537 5224 9571
+rect 5172 9528 5224 9537
+rect 6644 9571 6696 9580
+rect 6644 9537 6648 9571
+rect 6648 9537 6682 9571
+rect 6682 9537 6696 9571
+rect 6644 9528 6696 9537
rect 6736 9571 6788 9580
-rect 2964 9435 3016 9444
-rect 2964 9401 2973 9435
-rect 2973 9401 3007 9435
-rect 3007 9401 3016 9435
-rect 2964 9392 3016 9401
-rect 6736 9537 6745 9571
-rect 6745 9537 6779 9571
-rect 6779 9537 6788 9571
+rect 6736 9537 6748 9571
+rect 6748 9537 6782 9571
+rect 6782 9537 6788 9571
rect 6736 9528 6788 9537
-rect 7104 9571 7156 9580
-rect 7104 9537 7113 9571
-rect 7113 9537 7147 9571
-rect 7147 9537 7156 9571
-rect 7104 9528 7156 9537
-rect 7748 9596 7800 9648
-rect 9036 9639 9088 9648
-rect 7840 9571 7892 9580
-rect 7840 9537 7849 9571
-rect 7849 9537 7883 9571
-rect 7883 9537 7892 9571
-rect 7840 9528 7892 9537
-rect 8300 9571 8352 9580
-rect 8300 9537 8309 9571
-rect 8309 9537 8343 9571
-rect 8343 9537 8352 9571
-rect 8300 9528 8352 9537
-rect 5448 9460 5500 9512
-rect 6828 9503 6880 9512
-rect 6828 9469 6837 9503
-rect 6837 9469 6871 9503
-rect 6871 9469 6880 9503
-rect 6828 9460 6880 9469
+rect 5908 9503 5960 9512
+rect 2780 9392 2832 9444
+rect 4528 9392 4580 9444
+rect 5908 9469 5917 9503
+rect 5917 9469 5951 9503
+rect 5951 9469 5960 9503
+rect 5908 9460 5960 9469
+rect 6828 9392 6880 9444
+rect 7656 9528 7708 9580
+rect 8116 9528 8168 9580
+rect 8484 9571 8536 9580
+rect 8484 9537 8492 9571
+rect 8492 9537 8526 9571
+rect 8526 9537 8536 9571
+rect 8484 9528 8536 9537
+rect 8760 9571 8812 9580
+rect 8760 9537 8764 9571
+rect 8764 9537 8798 9571
+rect 8798 9537 8812 9571
+rect 9772 9664 9824 9716
+rect 10140 9664 10192 9716
+rect 10784 9707 10836 9716
+rect 10784 9673 10793 9707
+rect 10793 9673 10827 9707
+rect 10827 9673 10836 9707
+rect 10784 9664 10836 9673
+rect 11244 9664 11296 9716
+rect 9864 9596 9916 9648
+rect 10692 9639 10744 9648
+rect 10692 9605 10701 9639
+rect 10701 9605 10735 9639
+rect 10735 9605 10744 9639
+rect 10692 9596 10744 9605
+rect 8760 9528 8812 9537
rect 7196 9460 7248 9512
-rect 9036 9605 9045 9639
-rect 9045 9605 9079 9639
-rect 9079 9605 9088 9639
-rect 9036 9596 9088 9605
-rect 8944 9528 8996 9580
-rect 9220 9571 9272 9580
-rect 9220 9537 9229 9571
-rect 9229 9537 9263 9571
-rect 9263 9537 9272 9571
-rect 9220 9528 9272 9537
-rect 10692 9596 10744 9648
-rect 10876 9664 10928 9716
-rect 4620 9392 4672 9444
+rect 7840 9460 7892 9512
+rect 7564 9435 7616 9444
+rect 7564 9401 7573 9435
+rect 7573 9401 7607 9435
+rect 7607 9401 7616 9435
+rect 7564 9392 7616 9401
+rect 9312 9460 9364 9512
+rect 9680 9460 9732 9512
+rect 9956 9460 10008 9512
+rect 10232 9460 10284 9512
+rect 6184 9324 6236 9376
+rect 6644 9324 6696 9376
rect 8024 9392 8076 9444
-rect 1492 9367 1544 9376
-rect 1492 9333 1501 9367
-rect 1501 9333 1535 9367
-rect 1535 9333 1544 9367
-rect 1492 9324 1544 9333
-rect 3792 9324 3844 9376
-rect 5540 9367 5592 9376
-rect 5540 9333 5549 9367
-rect 5549 9333 5583 9367
-rect 5583 9333 5592 9367
-rect 5540 9324 5592 9333
-rect 7012 9367 7064 9376
-rect 7012 9333 7021 9367
-rect 7021 9333 7055 9367
-rect 7055 9333 7064 9367
-rect 7012 9324 7064 9333
-rect 7564 9324 7616 9376
-rect 8852 9392 8904 9444
-rect 10508 9460 10560 9512
-rect 10140 9392 10192 9444
-rect 11152 9528 11204 9580
-rect 11520 9571 11572 9580
-rect 11520 9537 11529 9571
-rect 11529 9537 11563 9571
-rect 11563 9537 11572 9571
-rect 11520 9528 11572 9537
-rect 11980 9528 12032 9580
-rect 12532 9571 12584 9580
-rect 12532 9537 12541 9571
-rect 12541 9537 12575 9571
-rect 12575 9537 12584 9571
-rect 12532 9528 12584 9537
-rect 13360 9571 13412 9580
-rect 13360 9537 13369 9571
-rect 13369 9537 13403 9571
-rect 13403 9537 13412 9571
-rect 13360 9528 13412 9537
-rect 11520 9392 11572 9444
-rect 12808 9435 12860 9444
-rect 12808 9401 12817 9435
-rect 12817 9401 12851 9435
-rect 12851 9401 12860 9435
-rect 12808 9392 12860 9401
-rect 11152 9324 11204 9376
-rect 13268 9367 13320 9376
-rect 13268 9333 13277 9367
-rect 13277 9333 13311 9367
-rect 13311 9333 13320 9367
-rect 13268 9324 13320 9333
-rect 13544 9367 13596 9376
-rect 13544 9333 13553 9367
-rect 13553 9333 13587 9367
-rect 13587 9333 13596 9367
-rect 13544 9324 13596 9333
+rect 9864 9392 9916 9444
+rect 10048 9392 10100 9444
+rect 10416 9528 10468 9580
+rect 11152 9571 11204 9580
+rect 11152 9537 11161 9571
+rect 11161 9537 11195 9571
+rect 11195 9537 11204 9571
+rect 11152 9528 11204 9537
+rect 12808 9639 12860 9648
+rect 12808 9605 12817 9639
+rect 12817 9605 12851 9639
+rect 12851 9605 12860 9639
+rect 12808 9596 12860 9605
+rect 13360 9596 13412 9648
+rect 11612 9460 11664 9512
+rect 11428 9392 11480 9444
+rect 7932 9324 7984 9376
+rect 8852 9324 8904 9376
+rect 9404 9367 9456 9376
+rect 9404 9333 9413 9367
+rect 9413 9333 9447 9367
+rect 9447 9333 9456 9367
+rect 9404 9324 9456 9333
+rect 10140 9324 10192 9376
+rect 11336 9324 11388 9376
+rect 12072 9528 12124 9580
+rect 12716 9528 12768 9580
+rect 13452 9435 13504 9444
+rect 13452 9401 13461 9435
+rect 13461 9401 13495 9435
+rect 13495 9401 13504 9435
+rect 13452 9392 13504 9401
rect 4214 9222 4266 9274
rect 4278 9222 4330 9274
rect 4342 9222 4394 9274
@@ -10722,268 +11621,232 @@ rect 12278 9222 12330 9274
rect 12342 9222 12394 9274
rect 12406 9222 12458 9274
rect 12470 9222 12522 9274
-rect 2228 9163 2280 9172
-rect 2228 9129 2237 9163
-rect 2237 9129 2271 9163
-rect 2271 9129 2280 9163
-rect 2228 9120 2280 9129
-rect 1492 8984 1544 9036
-rect 1768 9027 1820 9036
-rect 1768 8993 1777 9027
-rect 1777 8993 1811 9027
-rect 1811 8993 1820 9027
-rect 1768 8984 1820 8993
-rect 7380 9120 7432 9172
+rect 1584 9120 1636 9172
+rect 2964 9120 3016 9172
+rect 6552 9120 6604 9172
+rect 3516 9052 3568 9104
+rect 5724 9052 5776 9104
+rect 7104 9120 7156 9172
+rect 8024 9120 8076 9172
+rect 8392 9120 8444 9172
+rect 8944 9120 8996 9172
rect 9128 9163 9180 9172
rect 9128 9129 9137 9163
rect 9137 9129 9171 9163
rect 9171 9129 9180 9163
+rect 9680 9163 9732 9172
rect 9128 9120 9180 9129
-rect 9680 9120 9732 9172
-rect 10692 9163 10744 9172
-rect 10692 9129 10701 9163
-rect 10701 9129 10735 9163
-rect 10735 9129 10744 9163
-rect 10692 9120 10744 9129
-rect 11152 9120 11204 9172
-rect 2964 9052 3016 9104
-rect 3332 9095 3384 9104
-rect 3332 9061 3341 9095
-rect 3341 9061 3375 9095
-rect 3375 9061 3384 9095
-rect 3332 9052 3384 9061
-rect 3884 9095 3936 9104
-rect 3884 9061 3893 9095
-rect 3893 9061 3927 9095
-rect 3927 9061 3936 9095
-rect 3884 9052 3936 9061
-rect 7012 9052 7064 9104
-rect 7288 9095 7340 9104
-rect 7288 9061 7297 9095
-rect 7297 9061 7331 9095
-rect 7331 9061 7340 9095
-rect 7288 9052 7340 9061
-rect 8116 9052 8168 9104
-rect 10232 9095 10284 9104
-rect 10232 9061 10241 9095
-rect 10241 9061 10275 9095
-rect 10275 9061 10284 9095
-rect 10232 9052 10284 9061
-rect 3792 9027 3844 9036
-rect 3792 8993 3801 9027
-rect 3801 8993 3835 9027
-rect 3835 8993 3844 9027
-rect 3792 8984 3844 8993
-rect 4620 8984 4672 9036
-rect 5540 8984 5592 9036
-rect 9956 8984 10008 9036
-rect 3240 8916 3292 8968
-rect 3608 8959 3660 8968
-rect 3608 8925 3617 8959
-rect 3617 8925 3651 8959
-rect 3651 8925 3660 8959
-rect 3608 8916 3660 8925
-rect 3148 8891 3200 8900
-rect 3148 8857 3157 8891
-rect 3157 8857 3191 8891
-rect 3191 8857 3200 8891
-rect 3148 8848 3200 8857
-rect 5356 8848 5408 8900
-rect 3424 8823 3476 8832
-rect 3424 8789 3433 8823
-rect 3433 8789 3467 8823
-rect 3467 8789 3476 8823
-rect 3424 8780 3476 8789
-rect 4804 8823 4856 8832
-rect 4804 8789 4813 8823
-rect 4813 8789 4847 8823
-rect 4847 8789 4856 8823
-rect 4804 8780 4856 8789
-rect 6736 8959 6788 8968
-rect 6736 8925 6745 8959
-rect 6745 8925 6779 8959
-rect 6779 8925 6788 8959
-rect 6736 8916 6788 8925
-rect 7196 8916 7248 8968
-rect 7840 8891 7892 8900
-rect 7840 8857 7849 8891
-rect 7849 8857 7883 8891
-rect 7883 8857 7892 8891
-rect 7840 8848 7892 8857
-rect 8024 8848 8076 8900
-rect 9036 8848 9088 8900
-rect 9220 8959 9272 8968
-rect 9220 8925 9229 8959
-rect 9229 8925 9263 8959
-rect 9263 8925 9272 8959
-rect 9220 8916 9272 8925
-rect 9864 8891 9916 8900
-rect 9864 8857 9873 8891
-rect 9873 8857 9907 8891
-rect 9907 8857 9916 8891
-rect 9864 8848 9916 8857
-rect 10048 8891 10100 8900
-rect 10048 8857 10057 8891
-rect 10057 8857 10091 8891
-rect 10091 8857 10100 8891
-rect 10048 8848 10100 8857
-rect 10784 9052 10836 9104
-rect 12808 9052 12860 9104
-rect 13268 8984 13320 9036
-rect 11980 8959 12032 8968
-rect 11980 8925 11989 8959
-rect 11989 8925 12023 8959
-rect 12023 8925 12032 8959
-rect 11980 8916 12032 8925
-rect 12624 8959 12676 8968
-rect 12624 8925 12633 8959
-rect 12633 8925 12667 8959
-rect 12667 8925 12676 8959
-rect 12624 8916 12676 8925
-rect 13360 8959 13412 8968
-rect 13360 8925 13369 8959
-rect 13369 8925 13403 8959
-rect 13403 8925 13412 8959
-rect 13360 8916 13412 8925
-rect 7104 8780 7156 8832
-rect 7564 8823 7616 8832
-rect 7564 8789 7573 8823
-rect 7573 8789 7607 8823
-rect 7607 8789 7616 8823
-rect 7564 8780 7616 8789
-rect 9772 8780 9824 8832
-rect 10508 8823 10560 8832
-rect 10508 8789 10517 8823
-rect 10517 8789 10551 8823
-rect 10551 8789 10560 8823
-rect 10508 8780 10560 8789
-rect 10600 8780 10652 8832
-rect 11520 8848 11572 8900
-rect 12716 8848 12768 8900
-rect 13176 8848 13228 8900
-rect 11060 8780 11112 8832
-rect 11796 8823 11848 8832
-rect 11796 8789 11805 8823
-rect 11805 8789 11839 8823
-rect 11839 8789 11848 8823
-rect 11796 8780 11848 8789
+rect 9680 9129 9689 9163
+rect 9689 9129 9723 9163
+rect 9723 9129 9732 9163
+rect 9680 9120 9732 9129
+rect 9956 9120 10008 9172
+rect 11244 9120 11296 9172
+rect 12072 9163 12124 9172
+rect 2596 8916 2648 8968
+rect 1768 8823 1820 8832
+rect 1768 8789 1777 8823
+rect 1777 8789 1811 8823
+rect 1811 8789 1820 8823
+rect 1768 8780 1820 8789
+rect 2136 8823 2188 8832
+rect 2136 8789 2145 8823
+rect 2145 8789 2179 8823
+rect 2179 8789 2188 8823
+rect 2872 8916 2924 8968
+rect 3240 8959 3292 8968
+rect 3240 8925 3249 8959
+rect 3249 8925 3283 8959
+rect 3283 8925 3292 8959
+rect 3240 8916 3292 8925
+rect 4620 8916 4672 8968
+rect 5264 8916 5316 8968
+rect 3976 8848 4028 8900
+rect 4252 8891 4304 8900
+rect 4252 8857 4261 8891
+rect 4261 8857 4295 8891
+rect 4295 8857 4304 8891
+rect 4252 8848 4304 8857
+rect 5172 8848 5224 8900
+rect 5908 8916 5960 8968
+rect 6460 8984 6512 9036
+rect 6552 8959 6604 8968
+rect 6552 8925 6561 8959
+rect 6561 8925 6595 8959
+rect 6595 8925 6604 8959
+rect 6552 8916 6604 8925
+rect 7012 8984 7064 9036
+rect 7104 8959 7156 8968
+rect 6828 8891 6880 8900
+rect 6828 8857 6837 8891
+rect 6837 8857 6871 8891
+rect 6871 8857 6880 8891
+rect 6828 8848 6880 8857
+rect 7104 8925 7113 8959
+rect 7113 8925 7147 8959
+rect 7147 8925 7156 8959
+rect 7104 8916 7156 8925
+rect 7840 8959 7892 8968
+rect 7840 8925 7849 8959
+rect 7849 8925 7883 8959
+rect 7883 8925 7892 8959
+rect 7840 8916 7892 8925
+rect 8208 8916 8260 8968
+rect 2136 8780 2188 8789
+rect 5448 8780 5500 8832
+rect 5724 8823 5776 8832
+rect 5724 8789 5733 8823
+rect 5733 8789 5767 8823
+rect 5767 8789 5776 8823
+rect 5724 8780 5776 8789
+rect 7564 8848 7616 8900
+rect 8668 9052 8720 9104
+rect 8852 9052 8904 9104
+rect 9404 9052 9456 9104
+rect 9772 9052 9824 9104
+rect 11612 9052 11664 9104
+rect 9036 9027 9088 9036
+rect 9036 8993 9045 9027
+rect 9045 8993 9079 9027
+rect 9079 8993 9088 9027
+rect 9036 8984 9088 8993
+rect 9312 8984 9364 9036
+rect 9588 8984 9640 9036
+rect 8576 8916 8628 8968
+rect 8760 8916 8812 8968
+rect 10048 8984 10100 9036
+rect 10876 8984 10928 9036
+rect 10140 8959 10192 8968
+rect 10140 8925 10149 8959
+rect 10149 8925 10183 8959
+rect 10183 8925 10192 8959
+rect 10140 8916 10192 8925
+rect 11336 8916 11388 8968
+rect 10232 8848 10284 8900
+rect 9128 8780 9180 8832
+rect 10692 8780 10744 8832
+rect 10876 8848 10928 8900
+rect 12072 9129 12081 9163
+rect 12081 9129 12115 9163
+rect 12115 9129 12124 9163
+rect 12072 9120 12124 9129
+rect 12348 9052 12400 9104
+rect 11888 8916 11940 8968
+rect 13268 8916 13320 8968
+rect 12900 8848 12952 8900
+rect 13084 8891 13136 8900
+rect 13084 8857 13093 8891
+rect 13093 8857 13127 8891
+rect 13127 8857 13136 8891
+rect 13084 8848 13136 8857
+rect 11336 8780 11388 8832
rect 8214 8678 8266 8730
rect 8278 8678 8330 8730
rect 8342 8678 8394 8730
rect 8406 8678 8458 8730
rect 8470 8678 8522 8730
-rect 1768 8576 1820 8628
-rect 7380 8576 7432 8628
+rect 5540 8576 5592 8628
+rect 6552 8576 6604 8628
+rect 4988 8508 5040 8560
+rect 5448 8551 5500 8560
+rect 5448 8517 5457 8551
+rect 5457 8517 5491 8551
+rect 5491 8517 5500 8551
+rect 5448 8508 5500 8517
+rect 6828 8508 6880 8560
rect 7564 8576 7616 8628
-rect 3148 8551 3200 8560
-rect 3148 8517 3157 8551
-rect 3157 8517 3191 8551
-rect 3191 8517 3200 8551
-rect 3148 8508 3200 8517
-rect 1584 8483 1636 8492
-rect 1584 8449 1593 8483
-rect 1593 8449 1627 8483
-rect 1627 8449 1636 8483
-rect 1584 8440 1636 8449
-rect 3608 8508 3660 8560
-rect 8024 8619 8076 8628
-rect 8024 8585 8033 8619
-rect 8033 8585 8067 8619
-rect 8067 8585 8076 8619
-rect 8024 8576 8076 8585
-rect 8208 8551 8260 8560
-rect 8208 8517 8217 8551
-rect 8217 8517 8251 8551
-rect 8251 8517 8260 8551
-rect 8208 8508 8260 8517
-rect 9128 8576 9180 8628
-rect 10048 8576 10100 8628
-rect 11060 8576 11112 8628
-rect 8944 8508 8996 8560
-rect 9864 8508 9916 8560
-rect 10416 8551 10468 8560
-rect 3332 8440 3384 8492
-rect 3516 8440 3568 8492
-rect 4620 8440 4672 8492
-rect 5908 8483 5960 8492
-rect 5908 8449 5917 8483
-rect 5917 8449 5951 8483
-rect 5951 8449 5960 8483
-rect 5908 8440 5960 8449
+rect 9312 8576 9364 8628
+rect 9496 8619 9548 8628
+rect 9496 8585 9505 8619
+rect 9505 8585 9539 8619
+rect 9539 8585 9548 8619
+rect 9496 8576 9548 8585
+rect 9588 8576 9640 8628
+rect 8116 8508 8168 8560
+rect 1492 8483 1544 8492
+rect 1492 8449 1501 8483
+rect 1501 8449 1535 8483
+rect 1535 8449 1544 8483
+rect 1492 8440 1544 8449
+rect 4252 8440 4304 8492
+rect 5264 8483 5316 8492
+rect 5264 8449 5273 8483
+rect 5273 8449 5307 8483
+rect 5307 8449 5316 8483
+rect 5264 8440 5316 8449
+rect 6184 8483 6236 8492
+rect 6184 8449 6193 8483
+rect 6193 8449 6227 8483
+rect 6227 8449 6236 8483
+rect 6184 8440 6236 8449
rect 6552 8483 6604 8492
rect 6552 8449 6561 8483
rect 6561 8449 6595 8483
rect 6595 8449 6604 8483
rect 6552 8440 6604 8449
-rect 7380 8415 7432 8424
-rect 5356 8304 5408 8356
-rect 7380 8381 7389 8415
-rect 7389 8381 7423 8415
-rect 7423 8381 7432 8415
-rect 7380 8372 7432 8381
-rect 7748 8372 7800 8424
-rect 7932 8440 7984 8492
-rect 8852 8440 8904 8492
-rect 9496 8483 9548 8492
-rect 9496 8449 9505 8483
-rect 9505 8449 9539 8483
-rect 9539 8449 9548 8483
-rect 9496 8440 9548 8449
-rect 9680 8483 9732 8492
-rect 9680 8449 9689 8483
-rect 9689 8449 9723 8483
-rect 9723 8449 9732 8483
-rect 9680 8440 9732 8449
-rect 10416 8517 10425 8551
-rect 10425 8517 10459 8551
-rect 10459 8517 10468 8551
-rect 10416 8508 10468 8517
-rect 10140 8440 10192 8492
-rect 11152 8440 11204 8492
-rect 11336 8483 11388 8492
-rect 11336 8449 11345 8483
-rect 11345 8449 11379 8483
-rect 11379 8449 11388 8483
-rect 11336 8440 11388 8449
-rect 9864 8372 9916 8424
-rect 10784 8415 10836 8424
-rect 10784 8381 10793 8415
-rect 10793 8381 10827 8415
-rect 10827 8381 10836 8415
-rect 10784 8372 10836 8381
-rect 8116 8304 8168 8356
-rect 11796 8440 11848 8492
-rect 13176 8483 13228 8492
-rect 13176 8449 13185 8483
-rect 13185 8449 13219 8483
-rect 13219 8449 13228 8483
-rect 13176 8440 13228 8449
-rect 6460 8279 6512 8288
-rect 6460 8245 6469 8279
-rect 6469 8245 6503 8279
-rect 6503 8245 6512 8279
-rect 6460 8236 6512 8245
-rect 7380 8236 7432 8288
-rect 9036 8279 9088 8288
-rect 9036 8245 9045 8279
-rect 9045 8245 9079 8279
-rect 9079 8245 9088 8279
-rect 9036 8236 9088 8245
-rect 9312 8279 9364 8288
-rect 9312 8245 9321 8279
-rect 9321 8245 9355 8279
-rect 9355 8245 9364 8279
-rect 9312 8236 9364 8245
-rect 10140 8279 10192 8288
-rect 10140 8245 10149 8279
-rect 10149 8245 10183 8279
-rect 10183 8245 10192 8279
-rect 13360 8279 13412 8288
-rect 10140 8236 10192 8245
-rect 13360 8245 13369 8279
-rect 13369 8245 13403 8279
-rect 13403 8245 13412 8279
-rect 13360 8236 13412 8245
+rect 7012 8483 7064 8492
+rect 2964 8347 3016 8356
+rect 2964 8313 2973 8347
+rect 2973 8313 3007 8347
+rect 3007 8313 3016 8347
+rect 2964 8304 3016 8313
+rect 7012 8449 7021 8483
+rect 7021 8449 7055 8483
+rect 7055 8449 7064 8483
+rect 7012 8440 7064 8449
+rect 7840 8440 7892 8492
+rect 9956 8508 10008 8560
+rect 11152 8576 11204 8628
+rect 11704 8576 11756 8628
+rect 11612 8508 11664 8560
+rect 11980 8576 12032 8628
+rect 6920 8415 6972 8424
+rect 6920 8381 6929 8415
+rect 6929 8381 6963 8415
+rect 6963 8381 6972 8415
+rect 6920 8372 6972 8381
+rect 8024 8415 8076 8424
+rect 8024 8381 8033 8415
+rect 8033 8381 8067 8415
+rect 8067 8381 8076 8415
+rect 8024 8372 8076 8381
+rect 9496 8372 9548 8424
+rect 9864 8440 9916 8492
+rect 10416 8440 10468 8492
+rect 12716 8508 12768 8560
+rect 13084 8551 13136 8560
+rect 13084 8517 13093 8551
+rect 13093 8517 13127 8551
+rect 13127 8517 13136 8551
+rect 13084 8508 13136 8517
+rect 12348 8483 12400 8492
+rect 12348 8449 12357 8483
+rect 12357 8449 12391 8483
+rect 12391 8449 12400 8483
+rect 12348 8440 12400 8449
+rect 13268 8483 13320 8492
+rect 13268 8449 13277 8483
+rect 13277 8449 13311 8483
+rect 13311 8449 13320 8483
+rect 13268 8440 13320 8449
+rect 9220 8304 9272 8356
+rect 10048 8372 10100 8424
+rect 10232 8415 10284 8424
+rect 10232 8381 10241 8415
+rect 10241 8381 10275 8415
+rect 10275 8381 10284 8415
+rect 10232 8372 10284 8381
+rect 10600 8372 10652 8424
+rect 11244 8372 11296 8424
+rect 12072 8304 12124 8356
+rect 3056 8236 3108 8288
+rect 7656 8236 7708 8288
+rect 8944 8279 8996 8288
+rect 8944 8245 8953 8279
+rect 8953 8245 8987 8279
+rect 8987 8245 8996 8279
+rect 8944 8236 8996 8245
+rect 9680 8236 9732 8288
rect 4214 8134 4266 8186
rect 4278 8134 4330 8186
rect 4342 8134 4394 8186
@@ -10994,256 +11857,209 @@ rect 12278 8134 12330 8186
rect 12342 8134 12394 8186
rect 12406 8134 12458 8186
rect 12470 8134 12522 8186
-rect 1584 8032 1636 8084
-rect 1492 7939 1544 7948
-rect 1492 7905 1501 7939
-rect 1501 7905 1535 7939
-rect 1535 7905 1544 7939
-rect 1492 7896 1544 7905
-rect 1676 7939 1728 7948
-rect 1676 7905 1685 7939
-rect 1685 7905 1719 7939
-rect 1719 7905 1728 7939
-rect 1676 7896 1728 7905
-rect 4620 8032 4672 8084
-rect 7656 8032 7708 8084
-rect 8576 8032 8628 8084
-rect 9128 8032 9180 8084
-rect 9680 8032 9732 8084
-rect 10232 8032 10284 8084
-rect 10784 8032 10836 8084
-rect 3332 7964 3384 8016
-rect 4804 7964 4856 8016
-rect 5356 8007 5408 8016
-rect 5356 7973 5365 8007
-rect 5365 7973 5399 8007
-rect 5399 7973 5408 8007
-rect 5356 7964 5408 7973
-rect 3424 7896 3476 7948
-rect 3516 7939 3568 7948
-rect 3516 7905 3525 7939
-rect 3525 7905 3559 7939
-rect 3559 7905 3568 7939
-rect 4896 7939 4948 7948
-rect 3516 7896 3568 7905
-rect 3148 7828 3200 7880
-rect 3884 7828 3936 7880
-rect 4896 7905 4905 7939
-rect 4905 7905 4939 7939
-rect 4939 7905 4948 7939
-rect 4896 7896 4948 7905
-rect 6460 7896 6512 7948
-rect 6552 7896 6604 7948
-rect 12072 7964 12124 8016
-rect 12440 7964 12492 8016
-rect 13268 8007 13320 8016
-rect 13268 7973 13277 8007
-rect 13277 7973 13311 8007
-rect 13311 7973 13320 8007
-rect 13268 7964 13320 7973
-rect 7656 7939 7708 7948
-rect 5908 7828 5960 7880
-rect 7656 7905 7665 7939
-rect 7665 7905 7699 7939
-rect 7699 7905 7708 7939
-rect 7656 7896 7708 7905
+rect 1492 8075 1544 8084
+rect 1492 8041 1501 8075
+rect 1501 8041 1535 8075
+rect 1535 8041 1544 8075
+rect 1492 8032 1544 8041
+rect 1768 7871 1820 7880
+rect 1768 7837 1777 7871
+rect 1777 7837 1811 7871
+rect 1811 7837 1820 7871
+rect 1768 7828 1820 7837
+rect 3792 7896 3844 7948
+rect 4068 7896 4120 7948
+rect 4620 7896 4672 7948
+rect 3056 7871 3108 7880
+rect 3056 7837 3065 7871
+rect 3065 7837 3099 7871
+rect 3099 7837 3108 7871
+rect 3056 7828 3108 7837
+rect 3976 7871 4028 7880
+rect 3976 7837 3985 7871
+rect 3985 7837 4019 7871
+rect 4019 7837 4028 7871
+rect 3976 7828 4028 7837
+rect 5264 8032 5316 8084
+rect 7840 8075 7892 8084
+rect 7840 8041 7849 8075
+rect 7849 8041 7883 8075
+rect 7883 8041 7892 8075
+rect 7840 8032 7892 8041
+rect 12900 8032 12952 8084
+rect 13544 8032 13596 8084
+rect 5448 7964 5500 8016
+rect 10232 8007 10284 8016
+rect 6092 7896 6144 7948
+rect 4988 7828 5040 7880
+rect 10232 7973 10241 8007
+rect 10241 7973 10275 8007
+rect 10275 7973 10284 8007
+rect 10232 7964 10284 7973
+rect 7196 7896 7248 7948
+rect 7748 7896 7800 7948
rect 8024 7896 8076 7948
-rect 8668 7939 8720 7948
-rect 7748 7871 7800 7880
-rect 7748 7837 7757 7871
-rect 7757 7837 7791 7871
-rect 7791 7837 7800 7871
-rect 7748 7828 7800 7837
-rect 8668 7905 8677 7939
-rect 8677 7905 8711 7939
-rect 8711 7905 8720 7939
-rect 8668 7896 8720 7905
-rect 9036 7896 9088 7948
-rect 9496 7939 9548 7948
-rect 9496 7905 9505 7939
-rect 9505 7905 9539 7939
-rect 9539 7905 9548 7939
-rect 9496 7896 9548 7905
-rect 8944 7828 8996 7880
-rect 9312 7871 9364 7880
-rect 9312 7837 9321 7871
-rect 9321 7837 9355 7871
-rect 9355 7837 9364 7871
-rect 9312 7828 9364 7837
-rect 10600 7896 10652 7948
-rect 9864 7871 9916 7880
-rect 9864 7837 9873 7871
-rect 9873 7837 9907 7871
-rect 9907 7837 9916 7871
-rect 9864 7828 9916 7837
-rect 10232 7828 10284 7880
-rect 10692 7828 10744 7880
-rect 1768 7803 1820 7812
-rect 1768 7769 1777 7803
-rect 1777 7769 1811 7803
-rect 1811 7769 1820 7803
-rect 1768 7760 1820 7769
-rect 2780 7803 2832 7812
-rect 2780 7769 2789 7803
-rect 2789 7769 2823 7803
-rect 2823 7769 2832 7803
-rect 2780 7760 2832 7769
-rect 6736 7803 6788 7812
-rect 6736 7769 6745 7803
-rect 6745 7769 6779 7803
-rect 6779 7769 6788 7803
-rect 6736 7760 6788 7769
-rect 4436 7692 4488 7744
-rect 5356 7692 5408 7744
-rect 7564 7692 7616 7744
-rect 8116 7803 8168 7812
-rect 8116 7769 8125 7803
-rect 8125 7769 8159 7803
-rect 8159 7769 8168 7803
-rect 8116 7760 8168 7769
-rect 8392 7760 8444 7812
-rect 9680 7760 9732 7812
-rect 10140 7760 10192 7812
-rect 8668 7692 8720 7744
-rect 9220 7692 9272 7744
-rect 9864 7735 9916 7744
-rect 9864 7701 9873 7735
-rect 9873 7701 9907 7735
-rect 9907 7701 9916 7735
-rect 9864 7692 9916 7701
-rect 10416 7692 10468 7744
-rect 11152 7803 11204 7812
-rect 11152 7769 11161 7803
-rect 11161 7769 11195 7803
-rect 11195 7769 11204 7803
-rect 11152 7760 11204 7769
-rect 11796 7871 11848 7880
-rect 11796 7837 11805 7871
-rect 11805 7837 11839 7871
-rect 11839 7837 11848 7871
-rect 12624 7896 12676 7948
-rect 13360 7939 13412 7948
-rect 13360 7905 13369 7939
-rect 13369 7905 13403 7939
-rect 13403 7905 13412 7939
-rect 13360 7896 13412 7905
-rect 11796 7828 11848 7837
-rect 12256 7760 12308 7812
-rect 13360 7760 13412 7812
-rect 13268 7692 13320 7744
+rect 9680 7896 9732 7948
+rect 6828 7828 6880 7880
+rect 7656 7871 7708 7880
+rect 7656 7837 7665 7871
+rect 7665 7837 7699 7871
+rect 7699 7837 7708 7871
+rect 8116 7871 8168 7880
+rect 7656 7828 7708 7837
+rect 8116 7837 8125 7871
+rect 8125 7837 8159 7871
+rect 8159 7837 8168 7871
+rect 8116 7828 8168 7837
+rect 9036 7828 9088 7880
+rect 9496 7871 9548 7880
+rect 9496 7837 9505 7871
+rect 9505 7837 9539 7871
+rect 9539 7837 9548 7871
+rect 9496 7828 9548 7837
+rect 9956 7828 10008 7880
+rect 10692 7871 10744 7880
+rect 10692 7837 10701 7871
+rect 10701 7837 10735 7871
+rect 10735 7837 10744 7871
+rect 10692 7828 10744 7837
+rect 10876 7871 10928 7880
+rect 10876 7837 10885 7871
+rect 10885 7837 10919 7871
+rect 10919 7837 10928 7871
+rect 10876 7828 10928 7837
+rect 11060 7828 11112 7880
+rect 13268 7871 13320 7880
+rect 13268 7837 13277 7871
+rect 13277 7837 13311 7871
+rect 13311 7837 13320 7871
+rect 13268 7828 13320 7837
+rect 1676 7760 1728 7812
+rect 3516 7803 3568 7812
+rect 2872 7692 2924 7744
+rect 3056 7692 3108 7744
+rect 3516 7769 3525 7803
+rect 3525 7769 3559 7803
+rect 3559 7769 3568 7803
+rect 3516 7760 3568 7769
+rect 3700 7692 3752 7744
+rect 5448 7760 5500 7812
+rect 6276 7692 6328 7744
+rect 7380 7735 7432 7744
+rect 7380 7701 7389 7735
+rect 7389 7701 7423 7735
+rect 7423 7701 7432 7735
+rect 7380 7692 7432 7701
+rect 10140 7735 10192 7744
+rect 10140 7701 10149 7735
+rect 10149 7701 10183 7735
+rect 10183 7701 10192 7735
+rect 10140 7692 10192 7701
+rect 11980 7760 12032 7812
+rect 12256 7692 12308 7744
rect 8214 7590 8266 7642
rect 8278 7590 8330 7642
rect 8342 7590 8394 7642
rect 8406 7590 8458 7642
rect 8470 7590 8522 7642
-rect 2780 7488 2832 7540
-rect 3332 7488 3384 7540
-rect 6736 7488 6788 7540
-rect 5264 7420 5316 7472
-rect 3884 7395 3936 7404
-rect 1492 7284 1544 7336
-rect 3884 7361 3893 7395
-rect 3893 7361 3927 7395
-rect 3927 7361 3936 7395
-rect 3884 7352 3936 7361
-rect 4436 7395 4488 7404
-rect 4436 7361 4445 7395
-rect 4445 7361 4479 7395
-rect 4479 7361 4488 7395
-rect 4436 7352 4488 7361
-rect 5908 7352 5960 7404
-rect 7472 7488 7524 7540
+rect 3424 7488 3476 7540
+rect 3700 7488 3752 7540
+rect 3056 7420 3108 7472
+rect 1676 7395 1728 7404
+rect 1676 7361 1685 7395
+rect 1685 7361 1719 7395
+rect 1719 7361 1728 7395
+rect 1676 7352 1728 7361
+rect 1768 7395 1820 7404
+rect 1768 7361 1777 7395
+rect 1777 7361 1811 7395
+rect 1811 7361 1820 7395
+rect 1768 7352 1820 7361
+rect 4160 7352 4212 7404
+rect 6828 7488 6880 7540
+rect 7472 7531 7524 7540
+rect 7472 7497 7481 7531
+rect 7481 7497 7515 7531
+rect 7515 7497 7524 7531
+rect 7472 7488 7524 7497
rect 8116 7488 8168 7540
-rect 7288 7395 7340 7404
-rect 7288 7361 7297 7395
-rect 7297 7361 7331 7395
-rect 7331 7361 7340 7395
-rect 7288 7352 7340 7361
-rect 7656 7352 7708 7404
-rect 8576 7386 8628 7438
-rect 8852 7420 8904 7472
-rect 10968 7488 11020 7540
-rect 11152 7488 11204 7540
-rect 12256 7531 12308 7540
-rect 12256 7497 12265 7531
-rect 12265 7497 12299 7531
-rect 12299 7497 12308 7531
-rect 12256 7488 12308 7497
-rect 9956 7420 10008 7472
-rect 8760 7395 8812 7404
-rect 8760 7361 8774 7395
-rect 8774 7361 8808 7395
-rect 8808 7361 8812 7395
-rect 8760 7352 8812 7361
-rect 9036 7352 9088 7404
-rect 9404 7352 9456 7404
-rect 9864 7395 9916 7404
-rect 9864 7361 9873 7395
-rect 9873 7361 9907 7395
-rect 9907 7361 9916 7395
-rect 9864 7352 9916 7361
-rect 10508 7420 10560 7472
-rect 2964 7216 3016 7268
-rect 3148 7216 3200 7268
-rect 5080 7284 5132 7336
-rect 7104 7327 7156 7336
-rect 7104 7293 7113 7327
-rect 7113 7293 7147 7327
-rect 7147 7293 7156 7327
-rect 7104 7284 7156 7293
-rect 7196 7284 7248 7336
-rect 7932 7284 7984 7336
-rect 8944 7284 8996 7336
-rect 9956 7327 10008 7336
-rect 9956 7293 9965 7327
-rect 9965 7293 9999 7327
-rect 9999 7293 10008 7327
-rect 9956 7284 10008 7293
-rect 10876 7352 10928 7404
-rect 11796 7352 11848 7404
-rect 7012 7259 7064 7268
-rect 7012 7225 7021 7259
-rect 7021 7225 7055 7259
-rect 7055 7225 7064 7259
-rect 7012 7216 7064 7225
-rect 8668 7216 8720 7268
-rect 10508 7284 10560 7336
-rect 10968 7327 11020 7336
-rect 10968 7293 10977 7327
-rect 10977 7293 11011 7327
-rect 11011 7293 11020 7327
-rect 10968 7284 11020 7293
-rect 10140 7216 10192 7268
-rect 12440 7284 12492 7336
-rect 12900 7327 12952 7336
-rect 12900 7293 12909 7327
-rect 12909 7293 12943 7327
-rect 12943 7293 12952 7327
-rect 12900 7284 12952 7293
-rect 13360 7395 13412 7404
-rect 13360 7361 13369 7395
-rect 13369 7361 13403 7395
-rect 13403 7361 13412 7395
-rect 13360 7352 13412 7361
+rect 5448 7395 5500 7404
+rect 2596 7327 2648 7336
+rect 2596 7293 2605 7327
+rect 2605 7293 2639 7327
+rect 2639 7293 2648 7327
+rect 2596 7284 2648 7293
+rect 2780 7327 2832 7336
+rect 2780 7293 2789 7327
+rect 2789 7293 2823 7327
+rect 2823 7293 2832 7327
+rect 3056 7327 3108 7336
+rect 2780 7284 2832 7293
+rect 3056 7293 3065 7327
+rect 3065 7293 3099 7327
+rect 3099 7293 3108 7327
+rect 3056 7284 3108 7293
+rect 3516 7284 3568 7336
+rect 5448 7361 5457 7395
+rect 5457 7361 5491 7395
+rect 5491 7361 5500 7395
+rect 5448 7352 5500 7361
+rect 5540 7352 5592 7404
+rect 6736 7420 6788 7472
+rect 6920 7463 6972 7472
+rect 5724 7352 5776 7404
+rect 6276 7352 6328 7404
+rect 6920 7429 6929 7463
+rect 6929 7429 6963 7463
+rect 6963 7429 6972 7463
+rect 6920 7420 6972 7429
+rect 7564 7420 7616 7472
+rect 6092 7284 6144 7336
+rect 7196 7352 7248 7404
+rect 7012 7284 7064 7336
+rect 1768 7216 1820 7268
+rect 1492 7191 1544 7200
+rect 1492 7157 1501 7191
+rect 1501 7157 1535 7191
+rect 1535 7157 1544 7191
+rect 1492 7148 1544 7157
+rect 1952 7191 2004 7200
+rect 1952 7157 1961 7191
+rect 1961 7157 1995 7191
+rect 1995 7157 2004 7191
+rect 1952 7148 2004 7157
+rect 5724 7216 5776 7268
+rect 8392 7284 8444 7336
+rect 8300 7216 8352 7268
+rect 8944 7352 8996 7404
+rect 10692 7488 10744 7540
+rect 12256 7488 12308 7540
+rect 12072 7420 12124 7472
+rect 10232 7395 10284 7404
+rect 8852 7327 8904 7336
+rect 8852 7293 8861 7327
+rect 8861 7293 8895 7327
+rect 8895 7293 8904 7327
+rect 8852 7284 8904 7293
rect 4620 7148 4672 7200
-rect 5172 7148 5224 7200
-rect 7748 7148 7800 7200
-rect 8484 7148 8536 7200
-rect 9036 7148 9088 7200
-rect 9864 7148 9916 7200
-rect 10416 7148 10468 7200
-rect 10784 7148 10836 7200
-rect 11060 7148 11112 7200
-rect 13176 7191 13228 7200
-rect 13176 7157 13185 7191
-rect 13185 7157 13219 7191
-rect 13219 7157 13228 7191
-rect 13176 7148 13228 7157
-rect 13544 7191 13596 7200
-rect 13544 7157 13553 7191
-rect 13553 7157 13587 7191
-rect 13587 7157 13596 7191
-rect 13544 7148 13596 7157
+rect 5264 7191 5316 7200
+rect 5264 7157 5273 7191
+rect 5273 7157 5307 7191
+rect 5307 7157 5316 7191
+rect 5264 7148 5316 7157
+rect 8024 7148 8076 7200
+rect 10232 7361 10241 7395
+rect 10241 7361 10275 7395
+rect 10275 7361 10284 7395
+rect 10232 7352 10284 7361
+rect 11060 7352 11112 7404
+rect 12256 7395 12308 7404
+rect 12256 7361 12265 7395
+rect 12265 7361 12299 7395
+rect 12299 7361 12308 7395
+rect 12256 7352 12308 7361
+rect 10508 7284 10560 7336
+rect 12072 7284 12124 7336
rect 4214 7046 4266 7098
rect 4278 7046 4330 7098
rect 4342 7046 4394 7098
@@ -11254,208 +12070,203 @@ rect 12278 7046 12330 7098
rect 12342 7046 12394 7098
rect 12406 7046 12458 7098
rect 12470 7046 12522 7098
-rect 1676 6944 1728 6996
-rect 3884 6987 3936 6996
-rect 3884 6953 3893 6987
-rect 3893 6953 3927 6987
-rect 3927 6953 3936 6987
-rect 3884 6944 3936 6953
-rect 5172 6944 5224 6996
-rect 7196 6944 7248 6996
-rect 7288 6944 7340 6996
-rect 8760 6944 8812 6996
-rect 1492 6808 1544 6860
-rect 5540 6808 5592 6860
-rect 3148 6740 3200 6792
-rect 3700 6740 3752 6792
-rect 3976 6783 4028 6792
-rect 2872 6672 2924 6724
-rect 3976 6749 3985 6783
-rect 3985 6749 4019 6783
-rect 4019 6749 4028 6783
-rect 3976 6740 4028 6749
-rect 7564 6876 7616 6928
-rect 5816 6672 5868 6724
-rect 1400 6604 1452 6656
-rect 2780 6647 2832 6656
-rect 2780 6613 2789 6647
-rect 2789 6613 2823 6647
-rect 2823 6613 2832 6647
-rect 2780 6604 2832 6613
-rect 3608 6604 3660 6656
-rect 7564 6783 7616 6792
-rect 7564 6749 7568 6783
-rect 7568 6749 7602 6783
-rect 7602 6749 7616 6783
-rect 7564 6740 7616 6749
-rect 7748 6808 7800 6860
+rect 1952 6944 2004 6996
+rect 3516 6944 3568 6996
+rect 3976 6944 4028 6996
+rect 4160 6944 4212 6996
+rect 5632 6944 5684 6996
+rect 7380 6944 7432 6996
+rect 2780 6808 2832 6860
+rect 3976 6808 4028 6860
+rect 5632 6851 5684 6860
+rect 5632 6817 5641 6851
+rect 5641 6817 5675 6851
+rect 5675 6817 5684 6851
+rect 5632 6808 5684 6817
+rect 7840 6876 7892 6928
+rect 6460 6808 6512 6860
+rect 6920 6808 6972 6860
+rect 7656 6808 7708 6860
rect 8576 6808 8628 6860
-rect 9128 6876 9180 6928
-rect 9404 6851 9456 6860
-rect 9404 6817 9413 6851
-rect 9413 6817 9447 6851
-rect 9447 6817 9456 6851
-rect 9404 6808 9456 6817
-rect 8116 6740 8168 6792
-rect 8484 6783 8536 6792
-rect 8484 6749 8493 6783
-rect 8493 6749 8527 6783
-rect 8527 6749 8536 6783
-rect 8760 6783 8812 6792
-rect 8484 6740 8536 6749
-rect 8760 6749 8769 6783
-rect 8769 6749 8803 6783
-rect 8803 6749 8812 6783
-rect 8760 6740 8812 6749
+rect 9680 6876 9732 6928
+rect 13268 6919 13320 6928
+rect 13268 6885 13277 6919
+rect 13277 6885 13311 6919
+rect 13311 6885 13320 6919
+rect 13268 6876 13320 6885
+rect 2872 6740 2924 6792
+rect 3332 6740 3384 6792
+rect 3792 6740 3844 6792
+rect 4160 6783 4212 6792
+rect 4160 6749 4169 6783
+rect 4169 6749 4203 6783
+rect 4203 6749 4212 6783
+rect 4160 6740 4212 6749
+rect 5264 6783 5316 6792
+rect 5264 6749 5273 6783
+rect 5273 6749 5307 6783
+rect 5307 6749 5316 6783
+rect 5264 6740 5316 6749
+rect 7196 6740 7248 6792
+rect 8024 6740 8076 6792
+rect 6552 6672 6604 6724
+rect 7748 6672 7800 6724
+rect 8300 6740 8352 6792
+rect 8392 6783 8444 6792
+rect 8392 6749 8401 6783
+rect 8401 6749 8435 6783
+rect 8435 6749 8444 6783
+rect 8392 6740 8444 6749
rect 8852 6740 8904 6792
-rect 9036 6740 9088 6792
-rect 9312 6783 9364 6792
-rect 9312 6749 9321 6783
-rect 9321 6749 9355 6783
-rect 9355 6749 9364 6783
-rect 9312 6740 9364 6749
-rect 10968 6944 11020 6996
-rect 9956 6876 10008 6928
-rect 10508 6876 10560 6928
-rect 10876 6808 10928 6860
-rect 8208 6715 8260 6724
-rect 8208 6681 8217 6715
-rect 8217 6681 8251 6715
-rect 8251 6681 8260 6715
-rect 8208 6672 8260 6681
-rect 10324 6783 10376 6792
-rect 10324 6749 10333 6783
-rect 10333 6749 10367 6783
-rect 10367 6749 10376 6783
-rect 10324 6740 10376 6749
-rect 10508 6740 10560 6792
-rect 10784 6783 10836 6792
-rect 10784 6749 10793 6783
-rect 10793 6749 10827 6783
-rect 10827 6749 10836 6783
-rect 10784 6740 10836 6749
-rect 12624 6944 12676 6996
-rect 8024 6604 8076 6656
-rect 10876 6672 10928 6724
-rect 9220 6604 9272 6656
-rect 10232 6604 10284 6656
-rect 11152 6783 11204 6792
-rect 11152 6749 11162 6783
-rect 11162 6749 11196 6783
-rect 11196 6749 11204 6783
-rect 11152 6740 11204 6749
-rect 11060 6604 11112 6656
-rect 12900 6808 12952 6860
-rect 11520 6740 11572 6792
+rect 11612 6808 11664 6860
+rect 10048 6783 10100 6792
+rect 8668 6715 8720 6724
+rect 1492 6604 1544 6656
+rect 2504 6604 2556 6656
+rect 4068 6647 4120 6656
+rect 4068 6613 4077 6647
+rect 4077 6613 4111 6647
+rect 4111 6613 4120 6647
+rect 4068 6604 4120 6613
+rect 8668 6681 8677 6715
+rect 8677 6681 8711 6715
+rect 8711 6681 8720 6715
+rect 8668 6672 8720 6681
+rect 8760 6672 8812 6724
+rect 9312 6604 9364 6656
+rect 10048 6749 10057 6783
+rect 10057 6749 10091 6783
+rect 10091 6749 10100 6783
+rect 10048 6740 10100 6749
+rect 11704 6740 11756 6792
rect 12348 6740 12400 6792
-rect 12624 6740 12676 6792
-rect 13176 6783 13228 6792
-rect 13176 6749 13185 6783
-rect 13185 6749 13219 6783
-rect 13219 6749 13228 6783
-rect 13176 6740 13228 6749
-rect 12256 6604 12308 6656
-rect 12716 6647 12768 6656
-rect 12716 6613 12725 6647
-rect 12725 6613 12759 6647
-rect 12759 6613 12768 6647
-rect 12716 6604 12768 6613
-rect 13268 6647 13320 6656
-rect 13268 6613 13277 6647
-rect 13277 6613 13311 6647
-rect 13311 6613 13320 6647
-rect 13268 6604 13320 6613
+rect 13452 6783 13504 6792
+rect 13452 6749 13461 6783
+rect 13461 6749 13495 6783
+rect 13495 6749 13504 6783
+rect 13452 6740 13504 6749
+rect 11888 6672 11940 6724
rect 8214 6502 8266 6554
rect 8278 6502 8330 6554
rect 8342 6502 8394 6554
rect 8406 6502 8458 6554
rect 8470 6502 8522 6554
-rect 2780 6400 2832 6452
-rect 5816 6443 5868 6452
-rect 5816 6409 5825 6443
-rect 5825 6409 5859 6443
-rect 5859 6409 5868 6443
-rect 5816 6400 5868 6409
-rect 8116 6443 8168 6452
-rect 8116 6409 8125 6443
-rect 8125 6409 8159 6443
-rect 8159 6409 8168 6443
-rect 8116 6400 8168 6409
-rect 10140 6400 10192 6452
-rect 10232 6400 10284 6452
-rect 12348 6400 12400 6452
-rect 3884 6307 3936 6316
-rect 3884 6273 3893 6307
-rect 3893 6273 3927 6307
-rect 3927 6273 3936 6307
-rect 3884 6264 3936 6273
-rect 4620 6307 4672 6316
-rect 4620 6273 4629 6307
-rect 4629 6273 4663 6307
-rect 4663 6273 4672 6307
-rect 4620 6264 4672 6273
-rect 5080 6264 5132 6316
-rect 8668 6264 8720 6316
-rect 8852 6307 8904 6316
-rect 8852 6273 8861 6307
-rect 8861 6273 8895 6307
-rect 8895 6273 8904 6307
-rect 8852 6264 8904 6273
-rect 9036 6307 9088 6316
-rect 9036 6273 9045 6307
-rect 9045 6273 9079 6307
-rect 9079 6273 9088 6307
-rect 9036 6264 9088 6273
-rect 12256 6332 12308 6384
-rect 12716 6332 12768 6384
+rect 2044 6400 2096 6452
+rect 3056 6400 3108 6452
+rect 1768 6307 1820 6316
+rect 1768 6273 1777 6307
+rect 1777 6273 1811 6307
+rect 1811 6273 1820 6307
+rect 1768 6264 1820 6273
+rect 1952 6307 2004 6316
+rect 1952 6273 1977 6307
+rect 1977 6273 2004 6307
+rect 1952 6264 2004 6273
+rect 2504 6307 2556 6316
+rect 2504 6273 2513 6307
+rect 2513 6273 2547 6307
+rect 2547 6273 2556 6307
+rect 2504 6264 2556 6273
+rect 2688 6307 2740 6316
+rect 2688 6273 2697 6307
+rect 2697 6273 2731 6307
+rect 2731 6273 2740 6307
+rect 2688 6264 2740 6273
+rect 5540 6400 5592 6452
+rect 6092 6443 6144 6452
+rect 6092 6409 6101 6443
+rect 6101 6409 6135 6443
+rect 6135 6409 6144 6443
+rect 6092 6400 6144 6409
+rect 6552 6443 6604 6452
+rect 6552 6409 6561 6443
+rect 6561 6409 6595 6443
+rect 6595 6409 6604 6443
+rect 6552 6400 6604 6409
+rect 10416 6400 10468 6452
+rect 10876 6400 10928 6452
+rect 3976 6332 4028 6384
+rect 6920 6375 6972 6384
+rect 6920 6341 6929 6375
+rect 6929 6341 6963 6375
+rect 6963 6341 6972 6375
+rect 6920 6332 6972 6341
+rect 7472 6332 7524 6384
rect 1400 6103 1452 6112
rect 1400 6069 1409 6103
rect 1409 6069 1443 6103
rect 1443 6069 1452 6103
rect 1400 6060 1452 6069
-rect 3424 6196 3476 6248
-rect 5540 6196 5592 6248
-rect 2596 6060 2648 6112
-rect 3700 6060 3752 6112
-rect 3792 6103 3844 6112
-rect 3792 6069 3801 6103
-rect 3801 6069 3835 6103
-rect 3835 6069 3844 6103
-rect 5172 6103 5224 6112
-rect 3792 6060 3844 6069
-rect 5172 6069 5181 6103
-rect 5181 6069 5215 6103
-rect 5215 6069 5224 6103
-rect 5172 6060 5224 6069
-rect 7012 6196 7064 6248
-rect 10140 6264 10192 6316
-rect 10324 6307 10376 6316
-rect 10324 6273 10347 6307
-rect 10347 6273 10376 6307
-rect 10324 6264 10376 6273
-rect 9956 6196 10008 6248
-rect 10784 6264 10836 6316
-rect 7656 6128 7708 6180
-rect 8760 6128 8812 6180
-rect 8944 6171 8996 6180
-rect 8944 6137 8953 6171
-rect 8953 6137 8987 6171
-rect 8987 6137 8996 6171
-rect 8944 6128 8996 6137
-rect 6644 6060 6696 6112
-rect 8852 6060 8904 6112
-rect 10048 6103 10100 6112
-rect 10048 6069 10057 6103
-rect 10057 6069 10091 6103
-rect 10091 6069 10100 6103
-rect 10048 6060 10100 6069
-rect 11152 6103 11204 6112
-rect 11152 6069 11161 6103
-rect 11161 6069 11195 6103
-rect 11195 6069 11204 6103
-rect 11152 6060 11204 6069
-rect 11520 6196 11572 6248
-rect 12624 6060 12676 6112
+rect 5724 6264 5776 6316
+rect 6460 6264 6512 6316
+rect 7932 6307 7984 6316
+rect 2228 6128 2280 6180
+rect 3148 6171 3200 6180
+rect 3148 6137 3157 6171
+rect 3157 6137 3191 6171
+rect 3191 6137 3200 6171
+rect 3148 6128 3200 6137
+rect 2320 6060 2372 6112
+rect 4068 6239 4120 6248
+rect 4068 6205 4077 6239
+rect 4077 6205 4111 6239
+rect 4111 6205 4120 6239
+rect 4068 6196 4120 6205
+rect 5356 6196 5408 6248
+rect 7932 6273 7941 6307
+rect 7941 6273 7975 6307
+rect 7975 6273 7984 6307
+rect 7932 6264 7984 6273
+rect 8668 6307 8720 6316
+rect 8668 6273 8677 6307
+rect 8677 6273 8711 6307
+rect 8711 6273 8720 6307
+rect 8668 6264 8720 6273
+rect 9680 6332 9732 6384
+rect 12072 6400 12124 6452
+rect 10692 6307 10744 6316
+rect 6736 6196 6788 6248
+rect 7748 6239 7800 6248
+rect 7748 6205 7757 6239
+rect 7757 6205 7791 6239
+rect 7791 6205 7800 6239
+rect 7748 6196 7800 6205
+rect 10692 6273 10701 6307
+rect 10701 6273 10735 6307
+rect 10735 6273 10744 6307
+rect 10692 6264 10744 6273
+rect 10784 6307 10836 6316
+rect 10784 6273 10793 6307
+rect 10793 6273 10827 6307
+rect 10827 6273 10836 6307
+rect 11888 6332 11940 6384
+rect 13268 6332 13320 6384
+rect 10784 6264 10836 6273
+rect 12072 6307 12124 6316
+rect 10508 6196 10560 6248
+rect 11152 6196 11204 6248
+rect 12072 6273 12081 6307
+rect 12081 6273 12115 6307
+rect 12115 6273 12124 6307
+rect 12072 6264 12124 6273
+rect 12348 6264 12400 6316
+rect 13268 6239 13320 6248
+rect 11612 6171 11664 6180
+rect 11612 6137 11621 6171
+rect 11621 6137 11655 6171
+rect 11655 6137 11664 6171
+rect 11612 6128 11664 6137
+rect 4620 6060 4672 6112
+rect 9772 6103 9824 6112
+rect 9772 6069 9781 6103
+rect 9781 6069 9815 6103
+rect 9815 6069 9824 6103
+rect 9772 6060 9824 6069
+rect 12072 6060 12124 6112
+rect 13268 6205 13277 6239
+rect 13277 6205 13311 6239
+rect 13311 6205 13320 6239
+rect 13268 6196 13320 6205
rect 4214 5958 4266 6010
rect 4278 5958 4330 6010
rect 4342 5958 4394 6010
@@ -11466,218 +12277,221 @@ rect 12278 5958 12330 6010
rect 12342 5958 12394 6010
rect 12406 5958 12458 6010
rect 12470 5958 12522 6010
-rect 7196 5856 7248 5908
-rect 7656 5899 7708 5908
-rect 7656 5865 7665 5899
-rect 7665 5865 7699 5899
-rect 7699 5865 7708 5899
-rect 7656 5856 7708 5865
-rect 8668 5899 8720 5908
-rect 8668 5865 8677 5899
-rect 8677 5865 8711 5899
-rect 8711 5865 8720 5899
-rect 8668 5856 8720 5865
-rect 1768 5788 1820 5840
-rect 2044 5788 2096 5840
-rect 1400 5652 1452 5704
-rect 1952 5695 2004 5704
-rect 1492 5559 1544 5568
-rect 1492 5525 1501 5559
-rect 1501 5525 1535 5559
-rect 1535 5525 1544 5559
-rect 1492 5516 1544 5525
-rect 1952 5661 1961 5695
-rect 1961 5661 1995 5695
-rect 1995 5661 2004 5695
-rect 1952 5652 2004 5661
-rect 2044 5695 2096 5704
-rect 2044 5661 2053 5695
-rect 2053 5661 2087 5695
-rect 2087 5661 2096 5695
-rect 2596 5720 2648 5772
-rect 5540 5720 5592 5772
-rect 7840 5720 7892 5772
-rect 8576 5720 8628 5772
-rect 8852 5720 8904 5772
-rect 2044 5652 2096 5661
-rect 2872 5695 2924 5704
-rect 2872 5661 2881 5695
-rect 2881 5661 2915 5695
-rect 2915 5661 2924 5695
-rect 2872 5652 2924 5661
-rect 3148 5652 3200 5704
-rect 2780 5584 2832 5636
-rect 3516 5627 3568 5636
-rect 3516 5593 3525 5627
-rect 3525 5593 3559 5627
-rect 3559 5593 3568 5627
-rect 3516 5584 3568 5593
-rect 7472 5652 7524 5704
-rect 4160 5627 4212 5636
-rect 4160 5593 4169 5627
-rect 4169 5593 4203 5627
-rect 4203 5593 4212 5627
-rect 4160 5584 4212 5593
-rect 5172 5584 5224 5636
-rect 3792 5516 3844 5568
-rect 4436 5516 4488 5568
-rect 5632 5559 5684 5568
-rect 5632 5525 5641 5559
-rect 5641 5525 5675 5559
-rect 5675 5525 5684 5559
-rect 5632 5516 5684 5525
-rect 8300 5652 8352 5704
-rect 8668 5652 8720 5704
-rect 8760 5652 8812 5704
-rect 8944 5695 8996 5704
-rect 8944 5661 8953 5695
-rect 8953 5661 8987 5695
-rect 8987 5661 8996 5695
-rect 8944 5652 8996 5661
-rect 9220 5695 9272 5704
-rect 9220 5661 9229 5695
-rect 9229 5661 9263 5695
-rect 9263 5661 9272 5695
-rect 9220 5652 9272 5661
-rect 10140 5856 10192 5908
-rect 10416 5856 10468 5908
-rect 13360 5856 13412 5908
-rect 10048 5763 10100 5772
-rect 10048 5729 10057 5763
-rect 10057 5729 10091 5763
-rect 10091 5729 10100 5763
-rect 10048 5720 10100 5729
-rect 10140 5720 10192 5772
-rect 11244 5720 11296 5772
-rect 9588 5652 9640 5704
-rect 8944 5516 8996 5568
-rect 9036 5516 9088 5568
-rect 9312 5516 9364 5568
-rect 9588 5559 9640 5568
-rect 9588 5525 9597 5559
-rect 9597 5525 9631 5559
-rect 9631 5525 9640 5559
-rect 9588 5516 9640 5525
-rect 11152 5652 11204 5704
-rect 11520 5652 11572 5704
-rect 11980 5627 12032 5636
-rect 11980 5593 11989 5627
-rect 11989 5593 12023 5627
-rect 12023 5593 12032 5627
-rect 11980 5584 12032 5593
-rect 12716 5584 12768 5636
-rect 11520 5516 11572 5568
+rect 1584 5856 1636 5908
+rect 2688 5856 2740 5908
+rect 5356 5899 5408 5908
+rect 2320 5831 2372 5840
+rect 2320 5797 2329 5831
+rect 2329 5797 2363 5831
+rect 2363 5797 2372 5831
+rect 2320 5788 2372 5797
+rect 5356 5865 5365 5899
+rect 5365 5865 5399 5899
+rect 5399 5865 5408 5899
+rect 5356 5856 5408 5865
+rect 4068 5788 4120 5840
+rect 5724 5856 5776 5908
+rect 8116 5856 8168 5908
+rect 10324 5856 10376 5908
+rect 10692 5856 10744 5908
+rect 13268 5899 13320 5908
+rect 13268 5865 13277 5899
+rect 13277 5865 13311 5899
+rect 13311 5865 13320 5899
+rect 13268 5856 13320 5865
+rect 1400 5720 1452 5772
+rect 2780 5720 2832 5772
+rect 3148 5720 3200 5772
+rect 1492 5695 1544 5704
+rect 1492 5661 1501 5695
+rect 1501 5661 1535 5695
+rect 1535 5661 1544 5695
+rect 1492 5652 1544 5661
+rect 1584 5695 1636 5704
+rect 1584 5661 1593 5695
+rect 1593 5661 1627 5695
+rect 1627 5661 1636 5695
+rect 1584 5652 1636 5661
+rect 2044 5652 2096 5704
+rect 4620 5720 4672 5772
+rect 8760 5788 8812 5840
+rect 6000 5763 6052 5772
+rect 6000 5729 6009 5763
+rect 6009 5729 6043 5763
+rect 6043 5729 6052 5763
+rect 6000 5720 6052 5729
+rect 7748 5720 7800 5772
+rect 4712 5695 4764 5704
+rect 2872 5584 2924 5636
+rect 3608 5584 3660 5636
+rect 3976 5627 4028 5636
+rect 3976 5593 3985 5627
+rect 3985 5593 4019 5627
+rect 4019 5593 4028 5627
+rect 3976 5584 4028 5593
+rect 2228 5516 2280 5568
+rect 3332 5516 3384 5568
+rect 3424 5516 3476 5568
+rect 4068 5559 4120 5568
+rect 4068 5525 4077 5559
+rect 4077 5525 4111 5559
+rect 4111 5525 4120 5559
+rect 4068 5516 4120 5525
+rect 4712 5661 4721 5695
+rect 4721 5661 4755 5695
+rect 4755 5661 4764 5695
+rect 4712 5652 4764 5661
+rect 4436 5584 4488 5636
+rect 5908 5652 5960 5704
+rect 6184 5695 6236 5704
+rect 6184 5661 6193 5695
+rect 6193 5661 6227 5695
+rect 6227 5661 6236 5695
+rect 6184 5652 6236 5661
+rect 8576 5695 8628 5704
+rect 6092 5584 6144 5636
+rect 8576 5661 8585 5695
+rect 8585 5661 8619 5695
+rect 8619 5661 8628 5695
+rect 8576 5652 8628 5661
+rect 10048 5720 10100 5772
+rect 10600 5763 10652 5772
+rect 10600 5729 10609 5763
+rect 10609 5729 10643 5763
+rect 10643 5729 10652 5763
+rect 10600 5720 10652 5729
+rect 9312 5695 9364 5704
+rect 9312 5661 9321 5695
+rect 9321 5661 9355 5695
+rect 9355 5661 9364 5695
+rect 9312 5652 9364 5661
+rect 9680 5695 9732 5704
+rect 9680 5661 9719 5695
+rect 9719 5661 9732 5695
+rect 9680 5652 9732 5661
+rect 9864 5652 9916 5704
+rect 10232 5695 10284 5704
+rect 10232 5661 10241 5695
+rect 10241 5661 10275 5695
+rect 10275 5661 10284 5695
+rect 10232 5652 10284 5661
+rect 11980 5652 12032 5704
+rect 5540 5516 5592 5568
+rect 9404 5584 9456 5636
+rect 11612 5584 11664 5636
+rect 11888 5584 11940 5636
+rect 8576 5516 8628 5568
+rect 9680 5516 9732 5568
+rect 10140 5516 10192 5568
+rect 11428 5516 11480 5568
+rect 12164 5516 12216 5568
+rect 13176 5559 13228 5568
+rect 13176 5525 13185 5559
+rect 13185 5525 13219 5559
+rect 13219 5525 13228 5559
+rect 13176 5516 13228 5525
rect 8214 5414 8266 5466
rect 8278 5414 8330 5466
rect 8342 5414 8394 5466
rect 8406 5414 8458 5466
rect 8470 5414 8522 5466
-rect 1952 5355 2004 5364
-rect 1952 5321 1961 5355
-rect 1961 5321 1995 5355
-rect 1995 5321 2004 5355
-rect 1952 5312 2004 5321
-rect 2872 5312 2924 5364
-rect 1400 5219 1452 5228
-rect 1400 5185 1409 5219
-rect 1409 5185 1443 5219
-rect 1443 5185 1452 5219
-rect 1400 5176 1452 5185
-rect 1584 5219 1636 5228
-rect 1584 5185 1593 5219
-rect 1593 5185 1627 5219
-rect 1627 5185 1636 5219
-rect 1584 5176 1636 5185
-rect 2044 5219 2096 5228
-rect 2044 5185 2054 5219
-rect 2054 5185 2088 5219
-rect 2088 5185 2096 5219
-rect 2044 5176 2096 5185
-rect 2688 5176 2740 5228
-rect 2780 5108 2832 5160
-rect 2872 5040 2924 5092
-rect 3148 5176 3200 5228
-rect 3976 5312 4028 5364
-rect 4160 5355 4212 5364
-rect 4160 5321 4169 5355
-rect 4169 5321 4203 5355
-rect 4203 5321 4212 5355
-rect 4160 5312 4212 5321
-rect 3700 5287 3752 5296
-rect 3700 5253 3709 5287
-rect 3709 5253 3743 5287
-rect 3743 5253 3752 5287
-rect 3700 5244 3752 5253
-rect 3884 5244 3936 5296
-rect 4436 5219 4488 5228
-rect 4436 5185 4445 5219
-rect 4445 5185 4479 5219
-rect 4479 5185 4488 5219
-rect 4436 5176 4488 5185
-rect 3424 5083 3476 5092
-rect 3424 5049 3433 5083
-rect 3433 5049 3467 5083
-rect 3467 5049 3476 5083
-rect 3424 5040 3476 5049
-rect 3792 5040 3844 5092
-rect 3976 5040 4028 5092
-rect 5172 5219 5224 5228
-rect 5172 5185 5180 5219
-rect 5180 5185 5214 5219
-rect 5214 5185 5224 5219
-rect 5172 5176 5224 5185
-rect 5540 5219 5592 5228
-rect 5540 5185 5549 5219
-rect 5549 5185 5583 5219
-rect 5583 5185 5592 5219
-rect 5540 5176 5592 5185
-rect 7840 5244 7892 5296
-rect 11980 5312 12032 5364
-rect 12716 5355 12768 5364
-rect 12716 5321 12725 5355
-rect 12725 5321 12759 5355
-rect 12759 5321 12768 5355
-rect 12716 5312 12768 5321
-rect 5356 5151 5408 5160
-rect 5356 5117 5365 5151
-rect 5365 5117 5399 5151
-rect 5399 5117 5408 5151
-rect 6828 5176 6880 5228
-rect 8300 5219 8352 5228
-rect 8300 5185 8309 5219
-rect 8309 5185 8343 5219
-rect 8343 5185 8352 5219
-rect 8944 5219 8996 5228
-rect 8300 5176 8352 5185
-rect 8944 5185 8953 5219
-rect 8953 5185 8987 5219
-rect 8987 5185 8996 5219
-rect 8944 5176 8996 5185
-rect 9588 5244 9640 5296
-rect 10784 5287 10836 5296
-rect 10784 5253 10793 5287
-rect 10793 5253 10827 5287
-rect 10827 5253 10836 5287
-rect 10784 5244 10836 5253
-rect 10876 5244 10928 5296
-rect 9496 5176 9548 5228
-rect 9772 5176 9824 5228
-rect 11612 5176 11664 5228
-rect 12624 5176 12676 5228
-rect 5356 5108 5408 5117
+rect 2780 5312 2832 5364
+rect 4068 5312 4120 5364
+rect 1952 5244 2004 5296
+rect 1492 5219 1544 5228
+rect 1492 5185 1501 5219
+rect 1501 5185 1535 5219
+rect 1535 5185 1544 5219
+rect 1492 5176 1544 5185
+rect 1584 5176 1636 5228
+rect 2136 5219 2188 5228
+rect 2136 5185 2145 5219
+rect 2145 5185 2179 5219
+rect 2179 5185 2188 5219
+rect 2136 5176 2188 5185
+rect 2320 5219 2372 5228
+rect 2320 5185 2329 5219
+rect 2329 5185 2363 5219
+rect 2363 5185 2372 5219
+rect 2320 5176 2372 5185
+rect 3240 5176 3292 5228
+rect 3608 5287 3660 5296
+rect 3608 5253 3617 5287
+rect 3617 5253 3651 5287
+rect 3651 5253 3660 5287
+rect 4436 5287 4488 5296
+rect 3608 5244 3660 5253
+rect 4436 5253 4445 5287
+rect 4445 5253 4479 5287
+rect 4479 5253 4488 5287
+rect 4436 5244 4488 5253
+rect 4620 5287 4672 5296
+rect 4620 5253 4629 5287
+rect 4629 5253 4663 5287
+rect 4663 5253 4672 5287
+rect 4620 5244 4672 5253
+rect 8576 5312 8628 5364
+rect 11796 5312 11848 5364
+rect 5448 5244 5500 5296
+rect 4068 5219 4120 5228
+rect 4068 5185 4077 5219
+rect 4077 5185 4111 5219
+rect 4111 5185 4120 5219
+rect 4068 5176 4120 5185
+rect 5356 5219 5408 5228
+rect 5356 5185 5365 5219
+rect 5365 5185 5399 5219
+rect 5399 5185 5408 5219
+rect 5356 5176 5408 5185
+rect 5908 5244 5960 5296
+rect 6000 5219 6052 5228
+rect 6000 5185 6009 5219
+rect 6009 5185 6043 5219
+rect 6043 5185 6052 5219
+rect 6000 5176 6052 5185
+rect 1768 4972 1820 5024
+rect 2320 5015 2372 5024
+rect 2320 4981 2329 5015
+rect 2329 4981 2363 5015
+rect 2363 4981 2372 5015
+rect 2320 4972 2372 4981
+rect 3240 5040 3292 5092
+rect 8116 5176 8168 5228
+rect 9036 5176 9088 5228
+rect 7748 5108 7800 5160
+rect 7104 5040 7156 5092
+rect 3424 4972 3476 5024
+rect 3792 5015 3844 5024
+rect 3792 4981 3801 5015
+rect 3801 4981 3835 5015
+rect 3835 4981 3844 5015
+rect 3792 4972 3844 4981
+rect 5908 4972 5960 5024
+rect 7656 4972 7708 5024
rect 8668 5108 8720 5160
-rect 9864 5108 9916 5160
-rect 10692 5108 10744 5160
-rect 9220 5040 9272 5092
-rect 2412 4972 2464 5024
-rect 8392 5015 8444 5024
-rect 8392 4981 8401 5015
-rect 8401 4981 8435 5015
-rect 8435 4981 8444 5015
-rect 8392 4972 8444 4981
-rect 10048 4972 10100 5024
-rect 10876 4972 10928 5024
-rect 11060 4972 11112 5024
+rect 10048 5244 10100 5296
+rect 11152 5244 11204 5296
+rect 11612 5176 11664 5228
+rect 12072 5244 12124 5296
+rect 13176 5244 13228 5296
+rect 12624 5219 12676 5228
+rect 12624 5185 12633 5219
+rect 12633 5185 12667 5219
+rect 12667 5185 12676 5219
+rect 12624 5176 12676 5185
+rect 13544 5219 13596 5228
+rect 13544 5185 13553 5219
+rect 13553 5185 13587 5219
+rect 13587 5185 13596 5219
+rect 13544 5176 13596 5185
+rect 9772 5151 9824 5160
+rect 9772 5117 9781 5151
+rect 9781 5117 9815 5151
+rect 9815 5117 9824 5151
+rect 9772 5108 9824 5117
+rect 9864 4972 9916 5024
+rect 10416 4972 10468 5024
+rect 12716 4972 12768 5024
rect 4214 4870 4266 4922
rect 4278 4870 4330 4922
rect 4342 4870 4394 4922
@@ -11688,259 +12502,262 @@ rect 12278 4870 12330 4922
rect 12342 4870 12394 4922
rect 12406 4870 12458 4922
rect 12470 4870 12522 4922
+rect 1400 4811 1452 4820
+rect 1400 4777 1409 4811
+rect 1409 4777 1443 4811
+rect 1443 4777 1452 4811
+rect 1400 4768 1452 4777
rect 2780 4768 2832 4820
-rect 3516 4768 3568 4820
-rect 5080 4768 5132 4820
-rect 5908 4768 5960 4820
-rect 1400 4700 1452 4752
-rect 3608 4700 3660 4752
-rect 3792 4700 3844 4752
+rect 3240 4768 3292 4820
+rect 5632 4768 5684 4820
+rect 6644 4768 6696 4820
rect 2320 4675 2372 4684
rect 2320 4641 2329 4675
rect 2329 4641 2363 4675
rect 2363 4641 2372 4675
rect 2320 4632 2372 4641
-rect 3516 4675 3568 4684
-rect 3516 4641 3525 4675
-rect 3525 4641 3559 4675
-rect 3559 4641 3568 4675
-rect 3516 4632 3568 4641
-rect 4804 4700 4856 4752
-rect 5172 4700 5224 4752
-rect 5632 4700 5684 4752
-rect 6000 4700 6052 4752
-rect 1584 4564 1636 4616
+rect 3424 4675 3476 4684
+rect 3424 4641 3433 4675
+rect 3433 4641 3467 4675
+rect 3467 4641 3476 4675
+rect 3424 4632 3476 4641
+rect 5632 4675 5684 4684
+rect 5632 4641 5641 4675
+rect 5641 4641 5675 4675
+rect 5675 4641 5684 4675
+rect 5632 4632 5684 4641
+rect 1584 4607 1636 4616
+rect 1584 4573 1593 4607
+rect 1593 4573 1627 4607
+rect 1627 4573 1636 4607
+rect 1584 4564 1636 4573
+rect 1676 4564 1728 4616
+rect 2136 4607 2188 4616
+rect 2136 4573 2145 4607
+rect 2145 4573 2179 4607
+rect 2179 4573 2188 4607
+rect 2136 4564 2188 4573
rect 2412 4607 2464 4616
-rect 1676 4496 1728 4548
rect 2412 4573 2421 4607
rect 2421 4573 2455 4607
rect 2455 4573 2464 4607
rect 2412 4564 2464 4573
-rect 3792 4607 3844 4616
-rect 3792 4573 3801 4607
-rect 3801 4573 3835 4607
-rect 3835 4573 3844 4607
-rect 3792 4564 3844 4573
-rect 3884 4564 3936 4616
-rect 5172 4607 5224 4616
-rect 5172 4573 5181 4607
-rect 5181 4573 5215 4607
-rect 5215 4573 5224 4607
-rect 5724 4632 5776 4684
-rect 6828 4768 6880 4820
-rect 8944 4768 8996 4820
-rect 9404 4768 9456 4820
-rect 8300 4700 8352 4752
-rect 10048 4743 10100 4752
-rect 10048 4709 10057 4743
-rect 10057 4709 10091 4743
-rect 10091 4709 10100 4743
-rect 10048 4700 10100 4709
-rect 10232 4700 10284 4752
-rect 5172 4564 5224 4573
+rect 3332 4607 3384 4616
+rect 2688 4539 2740 4548
+rect 2688 4505 2697 4539
+rect 2697 4505 2731 4539
+rect 2731 4505 2740 4539
+rect 2688 4496 2740 4505
+rect 2780 4496 2832 4548
+rect 3332 4573 3341 4607
+rect 3341 4573 3375 4607
+rect 3375 4573 3384 4607
+rect 3332 4564 3384 4573
+rect 3792 4564 3844 4616
+rect 4252 4564 4304 4616
+rect 5724 4607 5776 4616
+rect 5724 4573 5733 4607
+rect 5733 4573 5767 4607
+rect 5767 4573 5776 4607
+rect 5724 4564 5776 4573
rect 5908 4607 5960 4616
-rect 2964 4496 3016 4548
-rect 3608 4496 3660 4548
-rect 5264 4539 5316 4548
-rect 2136 4428 2188 4480
-rect 3976 4428 4028 4480
-rect 4620 4428 4672 4480
-rect 5264 4505 5273 4539
-rect 5273 4505 5307 4539
-rect 5307 4505 5316 4539
-rect 5264 4496 5316 4505
-rect 5540 4496 5592 4548
rect 5908 4573 5917 4607
rect 5917 4573 5951 4607
rect 5951 4573 5960 4607
rect 5908 4564 5960 4573
-rect 6460 4607 6512 4616
-rect 6460 4573 6469 4607
-rect 6469 4573 6503 4607
-rect 6503 4573 6512 4607
-rect 6460 4564 6512 4573
-rect 7196 4607 7248 4616
-rect 7196 4573 7205 4607
-rect 7205 4573 7239 4607
-rect 7239 4573 7248 4607
-rect 7196 4564 7248 4573
-rect 8116 4564 8168 4616
-rect 8392 4632 8444 4684
-rect 8576 4564 8628 4616
+rect 6184 4700 6236 4752
+rect 6092 4607 6144 4616
+rect 6828 4632 6880 4684
+rect 8576 4768 8628 4820
+rect 7656 4632 7708 4684
+rect 7748 4632 7800 4684
+rect 9404 4768 9456 4820
+rect 10232 4768 10284 4820
+rect 9680 4700 9732 4752
+rect 13176 4743 13228 4752
+rect 6092 4573 6135 4607
+rect 6135 4573 6144 4607
+rect 6092 4564 6144 4573
+rect 6736 4607 6788 4616
+rect 6736 4573 6745 4607
+rect 6745 4573 6779 4607
+rect 6779 4573 6788 4607
+rect 6736 4564 6788 4573
rect 9128 4607 9180 4616
rect 9128 4573 9137 4607
rect 9137 4573 9171 4607
rect 9171 4573 9180 4607
+rect 10048 4632 10100 4684
+rect 13176 4709 13185 4743
+rect 13185 4709 13219 4743
+rect 13219 4709 13228 4743
+rect 13176 4700 13228 4709
rect 9128 4564 9180 4573
-rect 9496 4607 9548 4616
-rect 9496 4573 9505 4607
-rect 9505 4573 9539 4607
-rect 9539 4573 9548 4607
-rect 9496 4564 9548 4573
-rect 9864 4607 9916 4616
-rect 9864 4573 9873 4607
-rect 9873 4573 9907 4607
-rect 9907 4573 9916 4607
-rect 9864 4564 9916 4573
-rect 10784 4632 10836 4684
-rect 10140 4607 10192 4616
-rect 10140 4573 10149 4607
-rect 10149 4573 10183 4607
-rect 10183 4573 10192 4607
-rect 10140 4564 10192 4573
-rect 10692 4607 10744 4616
-rect 10692 4573 10701 4607
-rect 10701 4573 10735 4607
-rect 10735 4573 10744 4607
-rect 10692 4564 10744 4573
-rect 11796 4632 11848 4684
-rect 11244 4564 11296 4616
-rect 11612 4607 11664 4616
-rect 11612 4573 11621 4607
-rect 11621 4573 11655 4607
-rect 11655 4573 11664 4607
-rect 11612 4564 11664 4573
-rect 7380 4539 7432 4548
-rect 7380 4505 7389 4539
-rect 7389 4505 7423 4539
-rect 7423 4505 7432 4539
-rect 7380 4496 7432 4505
-rect 6092 4428 6144 4480
-rect 6460 4428 6512 4480
-rect 6644 4428 6696 4480
-rect 7840 4428 7892 4480
-rect 8852 4428 8904 4480
-rect 9220 4428 9272 4480
+rect 12532 4564 12584 4616
+rect 13544 4607 13596 4616
+rect 13544 4573 13553 4607
+rect 13553 4573 13587 4607
+rect 13587 4573 13596 4607
+rect 13544 4564 13596 4573
+rect 2320 4428 2372 4480
+rect 3240 4471 3292 4480
+rect 3240 4437 3249 4471
+rect 3249 4437 3283 4471
+rect 3283 4437 3292 4471
+rect 3240 4428 3292 4437
+rect 3516 4471 3568 4480
+rect 3516 4437 3525 4471
+rect 3525 4437 3559 4471
+rect 3559 4437 3568 4471
+rect 3516 4428 3568 4437
+rect 4528 4428 4580 4480
+rect 7196 4496 7248 4548
+rect 5540 4428 5592 4480
+rect 11888 4496 11940 4548
+rect 9680 4471 9732 4480
+rect 9680 4437 9689 4471
+rect 9689 4437 9723 4471
+rect 9723 4437 9732 4471
+rect 9680 4428 9732 4437
rect 8214 4326 8266 4378
rect 8278 4326 8330 4378
rect 8342 4326 8394 4378
rect 8406 4326 8458 4378
rect 8470 4326 8522 4378
-rect 1584 4224 1636 4276
-rect 3884 4267 3936 4276
-rect 3884 4233 3893 4267
-rect 3893 4233 3927 4267
-rect 3927 4233 3936 4267
-rect 3884 4224 3936 4233
-rect 3516 4199 3568 4208
-rect 3516 4165 3525 4199
-rect 3525 4165 3559 4199
-rect 3559 4165 3568 4199
-rect 3516 4156 3568 4165
-rect 3976 4199 4028 4208
-rect 3976 4165 3985 4199
-rect 3985 4165 4019 4199
-rect 4019 4165 4028 4199
-rect 3976 4156 4028 4165
-rect 5908 4224 5960 4276
-rect 4620 4199 4672 4208
-rect 1584 4131 1636 4140
-rect 1584 4097 1593 4131
-rect 1593 4097 1627 4131
-rect 1627 4097 1636 4131
-rect 1584 4088 1636 4097
-rect 1768 4131 1820 4140
-rect 1768 4097 1777 4131
-rect 1777 4097 1811 4131
-rect 1811 4097 1820 4131
-rect 1768 4088 1820 4097
-rect 2136 4131 2188 4140
-rect 2136 4097 2145 4131
-rect 2145 4097 2179 4131
-rect 2179 4097 2188 4131
-rect 2136 4088 2188 4097
+rect 2412 4224 2464 4276
+rect 2780 4156 2832 4208
+rect 1860 4131 1912 4140
+rect 1860 4097 1869 4131
+rect 1869 4097 1903 4131
+rect 1903 4097 1912 4131
+rect 1860 4088 1912 4097
+rect 2044 4131 2096 4140
+rect 2044 4097 2053 4131
+rect 2053 4097 2087 4131
+rect 2087 4097 2096 4131
+rect 2044 4088 2096 4097
+rect 2228 4131 2280 4140
+rect 2228 4097 2237 4131
+rect 2237 4097 2271 4131
+rect 2271 4097 2280 4131
+rect 2228 4088 2280 4097
rect 2320 4131 2372 4140
rect 2320 4097 2329 4131
rect 2329 4097 2363 4131
rect 2363 4097 2372 4131
+rect 2688 4131 2740 4140
rect 2320 4088 2372 4097
-rect 2596 4131 2648 4140
-rect 2596 4097 2605 4131
-rect 2605 4097 2639 4131
-rect 2639 4097 2648 4131
-rect 2596 4088 2648 4097
-rect 3148 4088 3200 4140
-rect 2044 4063 2096 4072
-rect 2044 4029 2053 4063
-rect 2053 4029 2087 4063
-rect 2087 4029 2096 4063
-rect 3792 4088 3844 4140
-rect 4620 4165 4629 4199
-rect 4629 4165 4663 4199
-rect 4663 4165 4672 4199
-rect 4620 4156 4672 4165
-rect 6092 4156 6144 4208
-rect 7380 4224 7432 4276
-rect 8116 4224 8168 4276
-rect 6552 4156 6604 4208
-rect 2044 4020 2096 4029
-rect 3976 4020 4028 4072
-rect 6460 4131 6512 4140
-rect 6460 4097 6469 4131
-rect 6469 4097 6503 4131
-rect 6503 4097 6512 4131
-rect 6460 4088 6512 4097
-rect 6828 4131 6880 4140
-rect 6828 4097 6842 4131
-rect 6842 4097 6876 4131
-rect 6876 4097 6880 4131
-rect 7380 4131 7432 4140
-rect 6828 4088 6880 4097
-rect 7380 4097 7389 4131
-rect 7389 4097 7423 4131
-rect 7423 4097 7432 4131
-rect 7380 4088 7432 4097
-rect 8392 4156 8444 4208
-rect 8852 4156 8904 4208
-rect 9496 4224 9548 4276
-rect 10140 4224 10192 4276
-rect 10692 4224 10744 4276
-rect 7840 4131 7892 4140
-rect 7840 4097 7849 4131
-rect 7849 4097 7883 4131
-rect 7883 4097 7892 4131
-rect 7840 4088 7892 4097
-rect 11796 4199 11848 4208
-rect 11796 4165 11805 4199
-rect 11805 4165 11839 4199
-rect 11839 4165 11848 4199
-rect 11796 4156 11848 4165
-rect 12808 4156 12860 4208
-rect 10232 4131 10284 4140
-rect 10232 4097 10241 4131
-rect 10241 4097 10275 4131
-rect 10275 4097 10284 4131
-rect 10232 4088 10284 4097
-rect 10508 4131 10560 4140
-rect 8116 4063 8168 4072
-rect 4620 3884 4672 3936
-rect 6736 3952 6788 4004
-rect 8116 4029 8125 4063
-rect 8125 4029 8159 4063
-rect 8159 4029 8168 4063
-rect 8116 4020 8168 4029
-rect 10508 4097 10517 4131
-rect 10517 4097 10551 4131
-rect 10551 4097 10560 4131
-rect 10508 4088 10560 4097
-rect 10968 4131 11020 4140
-rect 10968 4097 10977 4131
-rect 10977 4097 11011 4131
-rect 11011 4097 11020 4131
-rect 10968 4088 11020 4097
-rect 5816 3884 5868 3936
-rect 6552 3884 6604 3936
-rect 6920 3884 6972 3936
-rect 7656 3884 7708 3936
-rect 10784 4020 10836 4072
-rect 11520 4063 11572 4072
-rect 11520 4029 11529 4063
-rect 11529 4029 11563 4063
-rect 11563 4029 11572 4063
-rect 11520 4020 11572 4029
-rect 10876 3952 10928 4004
-rect 10968 3952 11020 4004
-rect 11612 3884 11664 3936
+rect 2688 4097 2697 4131
+rect 2697 4097 2731 4131
+rect 2731 4097 2740 4131
+rect 2688 4088 2740 4097
+rect 2872 4131 2924 4140
+rect 2872 4097 2881 4131
+rect 2881 4097 2915 4131
+rect 2915 4097 2924 4131
+rect 2872 4088 2924 4097
+rect 3700 4156 3752 4208
+rect 4712 4224 4764 4276
+rect 5356 4224 5408 4276
+rect 5540 4267 5592 4276
+rect 5540 4233 5549 4267
+rect 5549 4233 5583 4267
+rect 5583 4233 5592 4267
+rect 5540 4224 5592 4233
+rect 6092 4224 6144 4276
+rect 6644 4224 6696 4276
+rect 3516 4131 3568 4140
+rect 3516 4097 3525 4131
+rect 3525 4097 3559 4131
+rect 3559 4097 3568 4131
+rect 3516 4088 3568 4097
+rect 5724 4156 5776 4208
+rect 4252 4131 4304 4140
+rect 1768 4063 1820 4072
+rect 1768 4029 1777 4063
+rect 1777 4029 1811 4063
+rect 1811 4029 1820 4063
+rect 1768 4020 1820 4029
+rect 2228 3884 2280 3936
+rect 3148 3884 3200 3936
+rect 3424 3952 3476 4004
+rect 4252 4097 4261 4131
+rect 4261 4097 4295 4131
+rect 4295 4097 4304 4131
+rect 4252 4088 4304 4097
+rect 4528 4131 4580 4140
+rect 4528 4097 4537 4131
+rect 4537 4097 4571 4131
+rect 4571 4097 4580 4131
+rect 4528 4088 4580 4097
+rect 5448 4088 5500 4140
+rect 4896 4063 4948 4072
+rect 4896 4029 4905 4063
+rect 4905 4029 4939 4063
+rect 4939 4029 4948 4063
+rect 4896 4020 4948 4029
+rect 4804 3952 4856 4004
+rect 4988 3952 5040 4004
+rect 6644 4088 6696 4140
+rect 8576 4224 8628 4276
+rect 9680 4267 9732 4276
+rect 9680 4233 9689 4267
+rect 9689 4233 9723 4267
+rect 9723 4233 9732 4267
+rect 9680 4224 9732 4233
+rect 9864 4224 9916 4276
+rect 11152 4267 11204 4276
+rect 8484 4088 8536 4140
+rect 9404 4156 9456 4208
+rect 11152 4233 11161 4267
+rect 11161 4233 11195 4267
+rect 11195 4233 11204 4267
+rect 11152 4224 11204 4233
+rect 11612 4267 11664 4276
+rect 11612 4233 11621 4267
+rect 11621 4233 11655 4267
+rect 11655 4233 11664 4267
+rect 11612 4224 11664 4233
+rect 7196 4020 7248 4072
+rect 9588 4131 9640 4140
+rect 9588 4097 9597 4131
+rect 9597 4097 9631 4131
+rect 9631 4097 9640 4131
+rect 9588 4088 9640 4097
+rect 10324 4088 10376 4140
+rect 10784 4131 10836 4140
+rect 10784 4097 10793 4131
+rect 10793 4097 10827 4131
+rect 10827 4097 10836 4131
+rect 10784 4088 10836 4097
+rect 11980 4156 12032 4208
+rect 12532 4199 12584 4208
+rect 12072 4131 12124 4140
+rect 6828 3952 6880 4004
+rect 9220 3952 9272 4004
+rect 9956 3952 10008 4004
+rect 12072 4097 12081 4131
+rect 12081 4097 12115 4131
+rect 12115 4097 12124 4131
+rect 12072 4088 12124 4097
+rect 12532 4165 12541 4199
+rect 12541 4165 12575 4199
+rect 12575 4165 12584 4199
+rect 12532 4156 12584 4165
+rect 12716 4131 12768 4140
+rect 11704 4020 11756 4072
+rect 12716 4097 12725 4131
+rect 12725 4097 12759 4131
+rect 12759 4097 12768 4131
+rect 12716 4088 12768 4097
+rect 13360 4088 13412 4140
+rect 9036 3884 9088 3936
+rect 10324 3884 10376 3936
+rect 13360 3927 13412 3936
+rect 13360 3893 13369 3927
+rect 13369 3893 13403 3927
+rect 13403 3893 13412 3927
+rect 13360 3884 13412 3893
rect 4214 3782 4266 3834
rect 4278 3782 4330 3834
rect 4342 3782 4394 3834
@@ -11951,205 +12768,193 @@ rect 12278 3782 12330 3834
rect 12342 3782 12394 3834
rect 12406 3782 12458 3834
rect 12470 3782 12522 3834
-rect 1584 3680 1636 3732
-rect 2964 3680 3016 3732
-rect 2044 3612 2096 3664
-rect 2136 3544 2188 3596
-rect 2228 3519 2280 3528
-rect 2228 3485 2237 3519
-rect 2237 3485 2271 3519
-rect 2271 3485 2280 3519
-rect 2228 3476 2280 3485
-rect 2412 3476 2464 3528
-rect 2688 3519 2740 3528
-rect 2688 3485 2697 3519
-rect 2697 3485 2731 3519
-rect 2731 3485 2740 3519
-rect 2688 3476 2740 3485
-rect 3148 3519 3200 3528
-rect 2504 3408 2556 3460
-rect 3148 3485 3157 3519
-rect 3157 3485 3191 3519
-rect 3191 3485 3200 3519
-rect 3148 3476 3200 3485
-rect 3976 3680 4028 3732
-rect 4068 3680 4120 3732
-rect 5356 3680 5408 3732
-rect 5540 3680 5592 3732
-rect 8116 3680 8168 3732
-rect 9496 3680 9548 3732
-rect 5908 3544 5960 3596
-rect 6644 3587 6696 3596
-rect 6644 3553 6653 3587
-rect 6653 3553 6687 3587
-rect 6687 3553 6696 3587
-rect 6644 3544 6696 3553
-rect 6920 3587 6972 3596
-rect 6920 3553 6929 3587
-rect 6929 3553 6963 3587
-rect 6963 3553 6972 3587
-rect 6920 3544 6972 3553
-rect 7380 3544 7432 3596
-rect 9404 3544 9456 3596
-rect 10232 3680 10284 3732
-rect 12808 3680 12860 3732
-rect 10968 3544 11020 3596
-rect 3884 3476 3936 3528
-rect 4068 3476 4120 3528
-rect 6000 3519 6052 3528
-rect 6000 3485 6009 3519
-rect 6009 3485 6043 3519
-rect 6043 3485 6052 3519
-rect 6000 3476 6052 3485
+rect 1676 3680 1728 3732
+rect 2136 3612 2188 3664
+rect 6736 3680 6788 3732
+rect 9588 3723 9640 3732
+rect 2044 3544 2096 3596
+rect 2780 3544 2832 3596
+rect 3516 3544 3568 3596
+rect 4068 3544 4120 3596
+rect 1492 3519 1544 3528
+rect 1492 3485 1501 3519
+rect 1501 3485 1535 3519
+rect 1535 3485 1544 3519
+rect 1492 3476 1544 3485
+rect 1584 3519 1636 3528
+rect 1584 3485 1593 3519
+rect 1593 3485 1627 3519
+rect 1627 3485 1636 3519
+rect 1584 3476 1636 3485
+rect 2320 3476 2372 3528
+rect 3332 3519 3384 3528
+rect 3332 3485 3341 3519
+rect 3341 3485 3375 3519
+rect 3375 3485 3384 3519
+rect 3332 3476 3384 3485
+rect 4344 3519 4396 3528
+rect 4344 3485 4353 3519
+rect 4353 3485 4387 3519
+rect 4387 3485 4396 3519
+rect 4344 3476 4396 3485
+rect 4436 3519 4488 3528
+rect 4436 3485 4445 3519
+rect 4445 3485 4479 3519
+rect 4479 3485 4488 3519
+rect 4436 3476 4488 3485
+rect 4712 3519 4764 3528
+rect 4712 3485 4721 3519
+rect 4721 3485 4755 3519
+rect 4755 3485 4764 3519
+rect 4712 3476 4764 3485
+rect 5356 3519 5408 3528
+rect 2688 3408 2740 3460
+rect 5356 3485 5365 3519
+rect 5365 3485 5399 3519
+rect 5399 3485 5408 3519
+rect 5356 3476 5408 3485
+rect 5724 3519 5776 3528
+rect 5724 3485 5733 3519
+rect 5733 3485 5767 3519
+rect 5767 3485 5776 3519
+rect 5724 3476 5776 3485
+rect 6460 3544 6512 3596
+rect 6828 3544 6880 3596
+rect 8484 3544 8536 3596
+rect 8852 3544 8904 3596
+rect 9588 3689 9597 3723
+rect 9597 3689 9631 3723
+rect 9631 3689 9640 3723
+rect 9588 3680 9640 3689
+rect 9680 3680 9732 3732
+rect 10784 3680 10836 3732
+rect 9128 3544 9180 3596
+rect 10324 3587 10376 3596
+rect 10324 3553 10333 3587
+rect 10333 3553 10367 3587
+rect 10367 3553 10376 3587
+rect 10324 3544 10376 3553
+rect 11980 3544 12032 3596
rect 9220 3519 9272 3528
+rect 5448 3408 5500 3460
+rect 1860 3340 1912 3392
+rect 3240 3340 3292 3392
+rect 6920 3340 6972 3392
+rect 8208 3408 8260 3460
rect 9220 3485 9229 3519
rect 9229 3485 9263 3519
rect 9263 3485 9272 3519
rect 9220 3476 9272 3485
-rect 9312 3519 9364 3528
-rect 9312 3485 9321 3519
-rect 9321 3485 9355 3519
-rect 9355 3485 9364 3519
-rect 9680 3519 9732 3528
-rect 9312 3476 9364 3485
-rect 9680 3485 9689 3519
-rect 9689 3485 9723 3519
-rect 9723 3485 9732 3519
-rect 9680 3476 9732 3485
-rect 3608 3408 3660 3460
-rect 4896 3408 4948 3460
-rect 5080 3408 5132 3460
-rect 5356 3408 5408 3460
-rect 6828 3408 6880 3460
-rect 7656 3408 7708 3460
-rect 2596 3340 2648 3392
-rect 4712 3340 4764 3392
-rect 5264 3340 5316 3392
-rect 6552 3340 6604 3392
-rect 12624 3476 12676 3528
-rect 10416 3408 10468 3460
+rect 9496 3476 9548 3528
+rect 12072 3519 12124 3528
+rect 12072 3485 12081 3519
+rect 12081 3485 12115 3519
+rect 12115 3485 12124 3519
+rect 12072 3476 12124 3485
+rect 9864 3408 9916 3460
+rect 10600 3408 10652 3460
+rect 11704 3408 11756 3460
rect 11888 3408 11940 3460
-rect 11520 3340 11572 3392
-rect 11980 3383 12032 3392
-rect 11980 3349 11989 3383
-rect 11989 3349 12023 3383
-rect 12023 3349 12032 3383
-rect 11980 3340 12032 3349
-rect 12992 3340 13044 3392
+rect 12808 3451 12860 3460
+rect 12808 3417 12817 3451
+rect 12817 3417 12851 3451
+rect 12851 3417 12860 3451
+rect 12808 3408 12860 3417
+rect 9588 3340 9640 3392
rect 8214 3238 8266 3290
rect 8278 3238 8330 3290
rect 8342 3238 8394 3290
rect 8406 3238 8458 3290
rect 8470 3238 8522 3290
-rect 2596 3136 2648 3188
-rect 2688 3136 2740 3188
-rect 1584 3043 1636 3052
-rect 1584 3009 1593 3043
-rect 1593 3009 1627 3043
-rect 1627 3009 1636 3043
-rect 1584 3000 1636 3009
-rect 2044 3068 2096 3120
-rect 1860 3000 1912 3052
-rect 2780 3068 2832 3120
-rect 4896 3136 4948 3188
-rect 4988 3179 5040 3188
-rect 4988 3145 4997 3179
-rect 4997 3145 5031 3179
-rect 5031 3145 5040 3179
-rect 4988 3136 5040 3145
-rect 5356 3136 5408 3188
-rect 6736 3136 6788 3188
-rect 10508 3136 10560 3188
-rect 2412 3043 2464 3052
-rect 2412 3009 2421 3043
-rect 2421 3009 2455 3043
-rect 2455 3009 2464 3043
-rect 2412 3000 2464 3009
-rect 3240 3043 3292 3052
-rect 3240 3009 3249 3043
-rect 3249 3009 3283 3043
-rect 3283 3009 3292 3043
-rect 3240 3000 3292 3009
-rect 3976 3000 4028 3052
-rect 6552 3068 6604 3120
-rect 7656 3068 7708 3120
-rect 8668 3068 8720 3120
+rect 1492 3136 1544 3188
+rect 2320 3179 2372 3188
+rect 2320 3145 2329 3179
+rect 2329 3145 2363 3179
+rect 2363 3145 2372 3179
+rect 2320 3136 2372 3145
+rect 3332 3111 3384 3120
+rect 3332 3077 3341 3111
+rect 3341 3077 3375 3111
+rect 3375 3077 3384 3111
+rect 3332 3068 3384 3077
+rect 1492 2932 1544 2984
+rect 2136 2975 2188 2984
+rect 2136 2941 2145 2975
+rect 2145 2941 2179 2975
+rect 2179 2941 2188 2975
+rect 2136 2932 2188 2941
+rect 2872 3043 2924 3052
+rect 2872 3009 2881 3043
+rect 2881 3009 2915 3043
+rect 2915 3009 2924 3043
+rect 2872 3000 2924 3009
+rect 3240 3000 3292 3052
+rect 4712 3136 4764 3188
+rect 5448 3136 5500 3188
+rect 6920 3136 6972 3188
+rect 8116 3136 8168 3188
+rect 10600 3136 10652 3188
+rect 11060 3136 11112 3188
+rect 11336 3179 11388 3188
+rect 11336 3145 11345 3179
+rect 11345 3145 11379 3179
+rect 11379 3145 11388 3179
+rect 11336 3136 11388 3145
+rect 4436 3000 4488 3052
+rect 4712 3043 4764 3052
+rect 4712 3009 4721 3043
+rect 4721 3009 4755 3043
+rect 4755 3009 4764 3043
+rect 4712 3000 4764 3009
+rect 5356 3043 5408 3052
+rect 5356 3009 5365 3043
+rect 5365 3009 5399 3043
+rect 5399 3009 5408 3043
+rect 5356 3000 5408 3009
+rect 5448 3000 5500 3052
+rect 2688 2975 2740 2984
+rect 2688 2941 2697 2975
+rect 2697 2941 2731 2975
+rect 2731 2941 2740 2975
+rect 2688 2932 2740 2941
+rect 2320 2864 2372 2916
+rect 4344 2932 4396 2984
+rect 4620 2975 4672 2984
+rect 4620 2941 4629 2975
+rect 4629 2941 4663 2975
+rect 4663 2941 4672 2975
+rect 4620 2932 4672 2941
+rect 6552 3043 6604 3052
+rect 6552 3009 6561 3043
+rect 6561 3009 6595 3043
+rect 6595 3009 6604 3043
+rect 6552 3000 6604 3009
+rect 7104 3000 7156 3052
+rect 8576 3068 8628 3120
+rect 9864 3068 9916 3120
rect 11980 3136 12032 3188
-rect 12992 3068 13044 3120
-rect 5264 3000 5316 3052
-rect 5356 3000 5408 3052
-rect 5724 3000 5776 3052
-rect 6000 3000 6052 3052
-rect 6736 3043 6788 3052
-rect 6736 3009 6745 3043
-rect 6745 3009 6779 3043
-rect 6779 3009 6788 3043
-rect 6736 3000 6788 3009
-rect 2688 2932 2740 2984
-rect 6920 2932 6972 2984
-rect 7380 3043 7432 3052
-rect 7380 3009 7389 3043
-rect 7389 3009 7423 3043
-rect 7423 3009 7432 3043
-rect 7380 3000 7432 3009
-rect 7564 3043 7616 3052
-rect 7564 3009 7573 3043
-rect 7573 3009 7607 3043
-rect 7607 3009 7616 3043
-rect 9864 3043 9916 3052
-rect 7564 3000 7616 3009
-rect 9864 3009 9873 3043
-rect 9873 3009 9907 3043
-rect 9907 3009 9916 3043
-rect 9864 3000 9916 3009
-rect 9404 2975 9456 2984
-rect 9404 2941 9413 2975
-rect 9413 2941 9447 2975
-rect 9447 2941 9456 2975
-rect 9404 2932 9456 2941
-rect 9956 2932 10008 2984
-rect 10232 3000 10284 3052
-rect 10692 3000 10744 3052
-rect 10784 3000 10836 3052
-rect 10968 3000 11020 3052
-rect 11152 2932 11204 2984
-rect 11520 2932 11572 2984
-rect 12716 2932 12768 2984
-rect 4620 2864 4672 2916
-rect 4712 2907 4764 2916
-rect 4712 2873 4721 2907
-rect 4721 2873 4755 2907
-rect 4755 2873 4764 2907
-rect 7012 2907 7064 2916
-rect 4712 2864 4764 2873
-rect 7012 2873 7021 2907
-rect 7021 2873 7055 2907
-rect 7055 2873 7064 2907
-rect 7012 2864 7064 2873
-rect 7748 2864 7800 2916
-rect 9772 2864 9824 2916
-rect 10232 2864 10284 2916
-rect 10416 2907 10468 2916
-rect 10416 2873 10425 2907
-rect 10425 2873 10459 2907
-rect 10459 2873 10468 2907
-rect 10416 2864 10468 2873
-rect 1676 2796 1728 2848
-rect 2872 2796 2924 2848
-rect 3240 2796 3292 2848
-rect 3976 2839 4028 2848
-rect 3976 2805 3985 2839
-rect 3985 2805 4019 2839
-rect 4019 2805 4028 2839
-rect 3976 2796 4028 2805
-rect 4988 2796 5040 2848
-rect 5724 2796 5776 2848
-rect 6460 2796 6512 2848
-rect 13452 2839 13504 2848
-rect 13452 2805 13461 2839
-rect 13461 2805 13495 2839
-rect 13495 2805 13504 2839
-rect 13452 2796 13504 2805
+rect 12072 3068 12124 3120
+rect 6276 2932 6328 2984
+rect 6920 2975 6972 2984
+rect 6920 2941 6929 2975
+rect 6929 2941 6963 2975
+rect 6963 2941 6972 2975
+rect 6920 2932 6972 2941
+rect 6552 2864 6604 2916
+rect 7840 2864 7892 2916
+rect 6184 2796 6236 2848
+rect 8944 2932 8996 2984
+rect 9772 2932 9824 2984
+rect 10048 2975 10100 2984
+rect 10048 2941 10057 2975
+rect 10057 2941 10091 2975
+rect 10091 2941 10100 2975
+rect 10048 2932 10100 2941
+rect 13176 3000 13228 3052
+rect 13268 2932 13320 2984
+rect 8024 2796 8076 2848
+rect 12624 2864 12676 2916
+rect 10600 2796 10652 2848
rect 4214 2694 4266 2746
rect 4278 2694 4330 2746
rect 4342 2694 4394 2746
@@ -12160,250 +12965,171 @@ rect 12278 2694 12330 2746
rect 12342 2694 12394 2746
rect 12406 2694 12458 2746
rect 12470 2694 12522 2746
-rect 1584 2592 1636 2644
-rect 2228 2592 2280 2644
-rect 2596 2592 2648 2644
-rect 2964 2635 3016 2644
-rect 2964 2601 2973 2635
-rect 2973 2601 3007 2635
-rect 3007 2601 3016 2635
-rect 2964 2592 3016 2601
-rect 3976 2592 4028 2644
-rect 4804 2592 4856 2644
-rect 5816 2592 5868 2644
-rect 7564 2635 7616 2644
-rect 7564 2601 7573 2635
-rect 7573 2601 7607 2635
-rect 7607 2601 7616 2635
-rect 7564 2592 7616 2601
-rect 8668 2592 8720 2644
-rect 9404 2592 9456 2644
-rect 11888 2635 11940 2644
-rect 11888 2601 11897 2635
-rect 11897 2601 11931 2635
-rect 11931 2601 11940 2635
-rect 11888 2592 11940 2601
-rect 2412 2567 2464 2576
-rect 2412 2533 2421 2567
-rect 2421 2533 2455 2567
-rect 2455 2533 2464 2567
-rect 2412 2524 2464 2533
-rect 5080 2567 5132 2576
-rect 5080 2533 5089 2567
-rect 5089 2533 5123 2567
-rect 5123 2533 5132 2567
-rect 5080 2524 5132 2533
-rect 5448 2524 5500 2576
-rect 4068 2456 4120 2508
+rect 2872 2592 2924 2644
+rect 4712 2592 4764 2644
+rect 8944 2635 8996 2644
+rect 8944 2601 8953 2635
+rect 8953 2601 8987 2635
+rect 8987 2601 8996 2635
+rect 8944 2592 8996 2601
+rect 11060 2592 11112 2644
+rect 3424 2524 3476 2576
+rect 3792 2524 3844 2576
+rect 9864 2567 9916 2576
+rect 1768 2456 1820 2508
+rect 3608 2456 3660 2508
rect 1676 2431 1728 2440
rect 1676 2397 1685 2431
rect 1685 2397 1719 2431
rect 1719 2397 1728 2431
+rect 2136 2431 2188 2440
rect 1676 2388 1728 2397
-rect 1768 2388 1820 2440
-rect 2044 2431 2096 2440
-rect 2044 2397 2053 2431
-rect 2053 2397 2087 2431
-rect 2087 2397 2096 2431
-rect 2228 2431 2280 2440
-rect 2044 2388 2096 2397
-rect 2228 2397 2237 2431
-rect 2237 2397 2271 2431
-rect 2271 2397 2280 2431
-rect 2228 2388 2280 2397
-rect 2688 2431 2740 2440
-rect 2688 2397 2697 2431
-rect 2697 2397 2731 2431
-rect 2731 2397 2740 2431
-rect 2688 2388 2740 2397
-rect 3792 2431 3844 2440
-rect 2872 2320 2924 2372
-rect 3792 2397 3801 2431
-rect 3801 2397 3835 2431
-rect 3835 2397 3844 2431
-rect 3792 2388 3844 2397
-rect 4620 2431 4672 2440
-rect 4620 2397 4629 2431
-rect 4629 2397 4663 2431
-rect 4663 2397 4672 2431
-rect 4620 2388 4672 2397
-rect 5632 2456 5684 2508
-rect 5540 2431 5592 2440
-rect 3516 2363 3568 2372
-rect 3516 2329 3525 2363
-rect 3525 2329 3559 2363
-rect 3559 2329 3568 2363
-rect 3516 2320 3568 2329
-rect 2044 2252 2096 2304
-rect 4252 2295 4304 2304
-rect 4252 2261 4261 2295
-rect 4261 2261 4295 2295
-rect 4295 2261 4304 2295
-rect 4252 2252 4304 2261
-rect 4896 2363 4948 2372
-rect 4896 2329 4905 2363
-rect 4905 2329 4939 2363
-rect 4939 2329 4948 2363
-rect 4896 2320 4948 2329
-rect 5540 2397 5549 2431
-rect 5549 2397 5583 2431
-rect 5583 2397 5592 2431
-rect 5540 2388 5592 2397
-rect 6000 2524 6052 2576
-rect 7748 2524 7800 2576
-rect 6920 2456 6972 2508
-rect 7380 2456 7432 2508
-rect 6092 2388 6144 2440
-rect 7564 2388 7616 2440
-rect 7656 2431 7708 2440
-rect 7656 2397 7695 2431
-rect 7695 2397 7708 2431
-rect 7656 2388 7708 2397
-rect 8760 2431 8812 2440
-rect 6276 2363 6328 2372
-rect 6276 2329 6285 2363
-rect 6285 2329 6319 2363
-rect 6319 2329 6328 2363
-rect 6276 2320 6328 2329
-rect 8760 2397 8769 2431
-rect 8769 2397 8803 2431
-rect 8803 2397 8812 2431
-rect 8760 2388 8812 2397
-rect 9220 2431 9272 2440
-rect 9220 2397 9224 2431
-rect 9224 2397 9258 2431
-rect 9258 2397 9272 2431
-rect 9220 2388 9272 2397
-rect 9588 2431 9640 2440
-rect 9588 2397 9597 2431
-rect 9597 2397 9631 2431
-rect 9631 2397 9640 2431
-rect 9588 2388 9640 2397
-rect 9864 2388 9916 2440
-rect 12072 2431 12124 2440
-rect 9772 2363 9824 2372
-rect 9772 2329 9781 2363
-rect 9781 2329 9815 2363
-rect 9815 2329 9824 2363
-rect 9772 2320 9824 2329
-rect 9956 2397 9965 2418
-rect 9965 2397 9999 2418
-rect 9999 2397 10008 2418
-rect 9956 2366 10008 2397
-rect 12072 2397 12081 2431
-rect 12081 2397 12115 2431
-rect 12115 2397 12124 2431
-rect 12348 2431 12400 2440
-rect 12072 2388 12124 2397
-rect 12348 2397 12357 2431
-rect 12357 2397 12391 2431
-rect 12391 2397 12400 2431
-rect 12348 2388 12400 2397
-rect 12716 2388 12768 2440
-rect 13452 2456 13504 2508
-rect 10232 2363 10284 2372
-rect 10232 2329 10241 2363
-rect 10241 2329 10275 2363
-rect 10275 2329 10284 2363
-rect 10232 2320 10284 2329
-rect 13452 2320 13504 2372
-rect 7748 2295 7800 2304
-rect 7748 2261 7757 2295
-rect 7757 2261 7791 2295
-rect 7791 2261 7800 2295
-rect 7748 2252 7800 2261
-rect 8760 2252 8812 2304
-rect 9312 2252 9364 2304
-rect 11060 2252 11112 2304
-rect 11152 2252 11204 2304
-rect 12624 2252 12676 2304
+rect 2136 2397 2145 2431
+rect 2145 2397 2179 2431
+rect 2179 2397 2188 2431
+rect 2136 2388 2188 2397
+rect 2320 2431 2372 2440
+rect 2320 2397 2329 2431
+rect 2329 2397 2363 2431
+rect 2363 2397 2372 2431
+rect 2320 2388 2372 2397
+rect 1860 2363 1912 2372
+rect 1860 2329 1869 2363
+rect 1869 2329 1903 2363
+rect 1903 2329 1912 2363
+rect 1860 2320 1912 2329
+rect 2872 2388 2924 2440
+rect 3148 2252 3200 2304
+rect 3700 2320 3752 2372
+rect 3332 2252 3384 2304
+rect 4620 2456 4672 2508
+rect 9864 2533 9873 2567
+rect 9873 2533 9907 2567
+rect 9907 2533 9916 2567
+rect 9864 2524 9916 2533
+rect 8576 2456 8628 2508
+rect 9588 2499 9640 2508
+rect 5356 2388 5408 2440
+rect 5448 2431 5500 2440
+rect 5448 2397 5457 2431
+rect 5457 2397 5491 2431
+rect 5491 2397 5500 2431
+rect 7564 2431 7616 2440
+rect 5448 2388 5500 2397
+rect 7564 2397 7573 2431
+rect 7573 2397 7607 2431
+rect 7607 2397 7616 2431
+rect 7564 2388 7616 2397
+rect 5908 2363 5960 2372
+rect 5908 2329 5917 2363
+rect 5917 2329 5951 2363
+rect 5951 2329 5960 2363
+rect 5908 2320 5960 2329
+rect 6368 2320 6420 2372
+rect 7196 2320 7248 2372
+rect 7840 2388 7892 2440
+rect 9588 2465 9597 2499
+rect 9597 2465 9631 2499
+rect 9631 2465 9640 2499
+rect 9588 2456 9640 2465
+rect 9772 2388 9824 2440
+rect 9956 2431 10008 2440
+rect 9956 2397 9965 2431
+rect 9965 2397 9999 2431
+rect 9999 2397 10008 2431
+rect 9956 2388 10008 2397
+rect 9128 2320 9180 2372
+rect 9680 2320 9732 2372
+rect 5632 2252 5684 2304
+rect 6276 2252 6328 2304
+rect 7288 2252 7340 2304
+rect 8116 2252 8168 2304
+rect 8668 2295 8720 2304
+rect 8668 2261 8677 2295
+rect 8677 2261 8711 2295
+rect 8711 2261 8720 2295
+rect 8668 2252 8720 2261
+rect 9496 2252 9548 2304
+rect 13176 2388 13228 2440
+rect 13268 2431 13320 2440
+rect 13268 2397 13277 2431
+rect 13277 2397 13311 2431
+rect 13311 2397 13320 2431
+rect 13268 2388 13320 2397
+rect 10600 2363 10652 2372
+rect 10600 2329 10609 2363
+rect 10609 2329 10643 2363
+rect 10643 2329 10652 2363
+rect 10600 2320 10652 2329
+rect 11888 2320 11940 2372
+rect 11520 2252 11572 2304
rect 8214 2150 8266 2202
rect 8278 2150 8330 2202
rect 8342 2150 8394 2202
rect 8406 2150 8458 2202
rect 8470 2150 8522 2202
-rect 1584 2048 1636 2100
-rect 2872 1980 2924 2032
-rect 3516 2048 3568 2100
-rect 3792 1912 3844 1964
-rect 1584 1887 1636 1896
-rect 1584 1853 1593 1887
-rect 1593 1853 1627 1887
-rect 1627 1853 1636 1887
-rect 1584 1844 1636 1853
-rect 3332 1844 3384 1896
-rect 4252 1912 4304 1964
-rect 5264 1980 5316 2032
-rect 5724 2023 5776 2032
-rect 5724 1989 5733 2023
-rect 5733 1989 5767 2023
-rect 5767 1989 5776 2023
-rect 5724 1980 5776 1989
-rect 6000 1887 6052 1896
-rect 4068 1708 4120 1760
-rect 6000 1853 6009 1887
-rect 6009 1853 6043 1887
-rect 6043 1853 6052 1887
-rect 7380 1980 7432 2032
-rect 9956 2048 10008 2100
-rect 10232 2048 10284 2100
-rect 11060 2091 11112 2100
-rect 11060 2057 11069 2091
-rect 11069 2057 11103 2091
-rect 11103 2057 11112 2091
-rect 11060 2048 11112 2057
-rect 9404 1980 9456 2032
-rect 11152 1980 11204 2032
-rect 12072 2048 12124 2100
-rect 11336 1980 11388 2032
-rect 10784 1955 10836 1964
-rect 10784 1921 10793 1955
-rect 10793 1921 10827 1955
-rect 10827 1921 10836 1955
-rect 10784 1912 10836 1921
-rect 10968 1955 11020 1964
-rect 10968 1921 10977 1955
-rect 10977 1921 11011 1955
-rect 11011 1921 11020 1955
-rect 10968 1912 11020 1921
-rect 11244 1955 11296 1964
-rect 11244 1921 11253 1955
-rect 11253 1921 11287 1955
-rect 11287 1921 11296 1955
-rect 11244 1912 11296 1921
-rect 11520 1955 11572 1964
-rect 11520 1921 11529 1955
-rect 11529 1921 11563 1955
-rect 11563 1921 11572 1955
-rect 11520 1912 11572 1921
-rect 6000 1844 6052 1853
-rect 6644 1887 6696 1896
-rect 6644 1853 6653 1887
-rect 6653 1853 6687 1887
-rect 6687 1853 6696 1887
-rect 6644 1844 6696 1853
-rect 7656 1844 7708 1896
-rect 8668 1887 8720 1896
-rect 8668 1853 8677 1887
-rect 8677 1853 8711 1887
-rect 8711 1853 8720 1887
-rect 8668 1844 8720 1853
-rect 9864 1844 9916 1896
-rect 10140 1887 10192 1896
-rect 10140 1853 10149 1887
-rect 10149 1853 10183 1887
-rect 10183 1853 10192 1887
-rect 11796 1887 11848 1896
-rect 10140 1844 10192 1853
-rect 11796 1853 11805 1887
-rect 11805 1853 11839 1887
-rect 11839 1853 11848 1887
-rect 11796 1844 11848 1853
-rect 4620 1708 4672 1760
-rect 6828 1708 6880 1760
-rect 9220 1708 9272 1760
-rect 9864 1708 9916 1760
-rect 11980 1708 12032 1760
+rect 1584 2091 1636 2100
+rect 1584 2057 1593 2091
+rect 1593 2057 1627 2091
+rect 1627 2057 1636 2091
+rect 1584 2048 1636 2057
+rect 2872 2048 2924 2100
+rect 3424 2048 3476 2100
+rect 5356 2048 5408 2100
+rect 6368 2048 6420 2100
+rect 6460 2091 6512 2100
+rect 6460 2057 6469 2091
+rect 6469 2057 6503 2091
+rect 6503 2057 6512 2091
+rect 6460 2048 6512 2057
+rect 8668 2048 8720 2100
+rect 9680 2048 9732 2100
+rect 13176 2048 13228 2100
+rect 1860 1980 1912 2032
+rect 3148 1980 3200 2032
+rect 4620 1980 4672 2032
+rect 3516 1955 3568 1964
+rect 3516 1921 3525 1955
+rect 3525 1921 3559 1955
+rect 3559 1921 3568 1955
+rect 3792 1955 3844 1964
+rect 3516 1912 3568 1921
+rect 3792 1921 3801 1955
+rect 3801 1921 3835 1955
+rect 3835 1921 3844 1955
+rect 3792 1912 3844 1921
+rect 7932 1980 7984 2032
+rect 8116 1980 8168 2032
+rect 6736 1912 6788 1964
+rect 10140 1912 10192 1964
+rect 12072 1980 12124 2032
+rect 12532 1980 12584 2032
+rect 4068 1887 4120 1896
+rect 4068 1853 4077 1887
+rect 4077 1853 4111 1887
+rect 4111 1853 4120 1887
+rect 4068 1844 4120 1853
+rect 8576 1887 8628 1896
+rect 8576 1853 8585 1887
+rect 8585 1853 8619 1887
+rect 8619 1853 8628 1887
+rect 8576 1844 8628 1853
+rect 8944 1844 8996 1896
+rect 9496 1844 9548 1896
+rect 11336 1912 11388 1964
+rect 11520 1887 11572 1896
+rect 11060 1819 11112 1828
+rect 11060 1785 11069 1819
+rect 11069 1785 11103 1819
+rect 11103 1785 11112 1819
+rect 11060 1776 11112 1785
+rect 6552 1708 6604 1760
+rect 7012 1708 7064 1760
+rect 11520 1853 11529 1887
+rect 11529 1853 11563 1887
+rect 11563 1853 11572 1887
+rect 11520 1844 11572 1853
+rect 13268 1844 13320 1896
rect 4214 1606 4266 1658
rect 4278 1606 4330 1658
rect 4342 1606 4394 1658
@@ -12414,221 +13140,342 @@ rect 12278 1606 12330 1658
rect 12342 1606 12394 1658
rect 12406 1606 12458 1658
rect 12470 1606 12522 1658
-rect 1768 1504 1820 1556
-rect 2872 1504 2924 1556
-rect 3332 1504 3384 1556
-rect 5356 1504 5408 1556
-rect 5632 1504 5684 1556
-rect 6092 1547 6144 1556
-rect 6092 1513 6101 1547
-rect 6101 1513 6135 1547
-rect 6135 1513 6144 1547
-rect 6092 1504 6144 1513
-rect 6644 1547 6696 1556
-rect 6644 1513 6653 1547
-rect 6653 1513 6687 1547
-rect 6687 1513 6696 1547
-rect 6644 1504 6696 1513
-rect 7380 1504 7432 1556
-rect 9404 1504 9456 1556
-rect 11336 1547 11388 1556
-rect 11336 1513 11345 1547
-rect 11345 1513 11379 1547
-rect 11379 1513 11388 1547
-rect 11336 1504 11388 1513
-rect 13452 1547 13504 1556
-rect 13452 1513 13461 1547
-rect 13461 1513 13495 1547
-rect 13495 1513 13504 1547
-rect 13452 1504 13504 1513
-rect 1584 1300 1636 1352
-rect 2688 1300 2740 1352
+rect 1676 1504 1728 1556
+rect 4436 1504 4488 1556
+rect 6736 1504 6788 1556
+rect 8668 1547 8720 1556
+rect 3424 1436 3476 1488
rect 4252 1436 4304 1488
-rect 4620 1436 4672 1488
-rect 5448 1436 5500 1488
-rect 3608 1368 3660 1420
-rect 3884 1300 3936 1352
-rect 4160 1343 4212 1352
-rect 4160 1309 4169 1343
-rect 4169 1309 4203 1343
-rect 4203 1309 4212 1343
+rect 1400 1411 1452 1420
+rect 1400 1377 1409 1411
+rect 1409 1377 1443 1411
+rect 1443 1377 1452 1411
+rect 1400 1368 1452 1377
+rect 3516 1300 3568 1352
+rect 3700 1368 3752 1420
+rect 3884 1343 3936 1352
+rect 3884 1309 3893 1343
+rect 3893 1309 3927 1343
+rect 3927 1309 3936 1343
+rect 3884 1300 3936 1309
+rect 4252 1343 4304 1352
+rect 3240 1275 3292 1284
+rect 3240 1241 3249 1275
+rect 3249 1241 3283 1275
+rect 3283 1241 3292 1275
+rect 3240 1232 3292 1241
+rect 3332 1232 3384 1284
+rect 3700 1164 3752 1216
+rect 4252 1309 4261 1343
+rect 4261 1309 4295 1343
+rect 4295 1309 4304 1343
+rect 4252 1300 4304 1309
+rect 4436 1343 4488 1352
+rect 4436 1309 4445 1343
+rect 4445 1309 4479 1343
+rect 4479 1309 4488 1343
+rect 4436 1300 4488 1309
+rect 4620 1343 4672 1352
+rect 4620 1309 4629 1343
+rect 4629 1309 4663 1343
+rect 4663 1309 4672 1343
+rect 4620 1300 4672 1309
rect 4896 1368 4948 1420
-rect 7656 1436 7708 1488
-rect 8668 1436 8720 1488
-rect 4160 1300 4212 1309
-rect 4252 1275 4304 1284
-rect 4252 1241 4261 1275
-rect 4261 1241 4295 1275
-rect 4295 1241 4304 1275
-rect 6276 1300 6328 1352
-rect 6552 1343 6604 1352
-rect 6552 1309 6561 1343
-rect 6561 1309 6595 1343
-rect 6595 1309 6604 1343
-rect 6552 1300 6604 1309
-rect 4252 1232 4304 1241
-rect 6092 1232 6144 1284
-rect 1584 1207 1636 1216
-rect 1584 1173 1593 1207
-rect 1593 1173 1627 1207
-rect 1627 1173 1636 1207
-rect 1584 1164 1636 1173
-rect 3792 1164 3844 1216
-rect 5264 1164 5316 1216
-rect 8760 1300 8812 1352
-rect 11244 1368 11296 1420
-rect 11520 1368 11572 1420
-rect 11980 1411 12032 1420
-rect 11980 1377 11989 1411
-rect 11989 1377 12023 1411
-rect 12023 1377 12032 1411
-rect 11980 1368 12032 1377
-rect 9588 1232 9640 1284
-rect 12624 1232 12676 1284
-rect 10140 1164 10192 1216
-rect 11152 1164 11204 1216
-rect 11796 1164 11848 1216
+rect 5908 1411 5960 1420
+rect 4528 1232 4580 1284
+rect 5356 1300 5408 1352
+rect 5632 1300 5684 1352
+rect 5908 1377 5917 1411
+rect 5917 1377 5951 1411
+rect 5951 1377 5960 1411
+rect 5908 1368 5960 1377
+rect 6736 1368 6788 1420
+rect 7288 1411 7340 1420
+rect 7012 1343 7064 1352
+rect 7012 1309 7021 1343
+rect 7021 1309 7055 1343
+rect 7055 1309 7064 1343
+rect 7012 1300 7064 1309
+rect 7288 1377 7297 1411
+rect 7297 1377 7331 1411
+rect 7331 1377 7340 1411
+rect 7288 1368 7340 1377
+rect 7564 1368 7616 1420
+rect 7932 1411 7984 1420
+rect 7932 1377 7941 1411
+rect 7941 1377 7975 1411
+rect 7975 1377 7984 1411
+rect 7932 1368 7984 1377
+rect 8668 1513 8677 1547
+rect 8677 1513 8711 1547
+rect 8711 1513 8720 1547
+rect 8668 1504 8720 1513
+rect 13268 1547 13320 1556
+rect 13268 1513 13277 1547
+rect 13277 1513 13311 1547
+rect 13311 1513 13320 1547
+rect 13268 1504 13320 1513
+rect 8944 1479 8996 1488
+rect 8944 1445 8953 1479
+rect 8953 1445 8987 1479
+rect 8987 1445 8996 1479
+rect 8944 1436 8996 1445
+rect 11152 1436 11204 1488
+rect 8576 1368 8628 1420
+rect 11520 1411 11572 1420
+rect 11520 1377 11529 1411
+rect 11529 1377 11563 1411
+rect 11563 1377 11572 1411
+rect 11520 1368 11572 1377
+rect 9128 1343 9180 1352
+rect 9128 1309 9137 1343
+rect 9137 1309 9171 1343
+rect 9171 1309 9180 1343
+rect 9128 1300 9180 1309
+rect 7104 1232 7156 1284
+rect 9496 1232 9548 1284
+rect 11060 1232 11112 1284
+rect 5448 1164 5500 1216
+rect 6368 1207 6420 1216
+rect 6368 1173 6377 1207
+rect 6377 1173 6411 1207
+rect 6411 1173 6420 1207
+rect 6368 1164 6420 1173
+rect 12808 1232 12860 1284
rect 8214 1062 8266 1114
rect 8278 1062 8330 1114
rect 8342 1062 8394 1114
rect 8406 1062 8458 1114
rect 8470 1062 8522 1114
+rect 3884 960 3936 1012
+rect 6368 960 6420 1012
<< metal2 >>
-rect 570 14200 626 15000
+rect 570 14362 626 15000
+rect 1398 14512 1454 14521
+rect 1398 14447 1454 14456
+rect 570 14334 888 14362
+rect 570 14200 626 14334
+rect 860 13394 888 14334
+rect 1412 13530 1440 14447
rect 1674 14200 1730 15000
-rect 2870 14200 2926 15000
-rect 3974 14200 4030 15000
-rect 4066 14512 4122 14521
-rect 4066 14447 4122 14456
-rect 584 13462 612 14200
-rect 1688 13530 1716 14200
-rect 2884 13802 2912 14200
-rect 2872 13796 2924 13802
-rect 2872 13738 2924 13744
-rect 2504 13728 2556 13734
-rect 2504 13670 2556 13676
-rect 1676 13524 1728 13530
-rect 1676 13466 1728 13472
-rect 572 13456 624 13462
-rect 572 13398 624 13404
-rect 2516 13326 2544 13670
-rect 3422 13560 3478 13569
-rect 3422 13495 3478 13504
-rect 1400 13320 1452 13326
-rect 1400 13262 1452 13268
-rect 2504 13320 2556 13326
-rect 2504 13262 2556 13268
-rect 2964 13320 3016 13326
-rect 2964 13262 3016 13268
-rect 3332 13320 3384 13326
-rect 3332 13262 3384 13268
-rect 1412 12850 1440 13262
+rect 2870 14362 2926 15000
+rect 2870 14334 3188 14362
+rect 2870 14200 2926 14334
+rect 1584 13728 1636 13734
+rect 1584 13670 1636 13676
+rect 1400 13524 1452 13530
+rect 1400 13466 1452 13472
+rect 848 13388 900 13394
+rect 848 13330 900 13336
+rect 1596 13326 1624 13670
+rect 1688 13462 1716 14200
+rect 2320 13796 2372 13802
+rect 2320 13738 2372 13744
+rect 1676 13456 1728 13462
+rect 1676 13398 1728 13404
+rect 2332 13394 2360 13738
+rect 2778 13560 2834 13569
+rect 2778 13495 2834 13504
+rect 2320 13388 2372 13394
+rect 2320 13330 2372 13336
+rect 1584 13320 1636 13326
+rect 1584 13262 1636 13268
+rect 2228 13320 2280 13326
+rect 2228 13262 2280 13268
+rect 2688 13320 2740 13326
+rect 2688 13262 2740 13268
+rect 1492 12912 1544 12918
+rect 1492 12854 1544 12860
rect 1400 12844 1452 12850
rect 1400 12786 1452 12792
-rect 1412 11898 1440 12786
-rect 2516 12238 2544 13262
-rect 2872 13252 2924 13258
-rect 2872 13194 2924 13200
-rect 2884 12918 2912 13194
-rect 2872 12912 2924 12918
-rect 2872 12854 2924 12860
-rect 2976 12238 3004 13262
-rect 3344 12850 3372 13262
-rect 3332 12844 3384 12850
-rect 3332 12786 3384 12792
-rect 3344 12442 3372 12786
-rect 3332 12436 3384 12442
-rect 3332 12378 3384 12384
-rect 3344 12238 3372 12378
-rect 2504 12232 2556 12238
-rect 2504 12174 2556 12180
-rect 2964 12232 3016 12238
-rect 2964 12174 3016 12180
-rect 3332 12232 3384 12238
-rect 3332 12174 3384 12180
-rect 3240 12164 3292 12170
-rect 3240 12106 3292 12112
-rect 1492 12096 1544 12102
-rect 1492 12038 1544 12044
-rect 1400 11892 1452 11898
-rect 1400 11834 1452 11840
-rect 1412 11694 1440 11725
-rect 1400 11688 1452 11694
-rect 1398 11656 1400 11665
-rect 1452 11656 1454 11665
-rect 1504 11626 1532 12038
-rect 3252 11830 3280 12106
-rect 3436 11898 3464 13495
-rect 3608 13320 3660 13326
-rect 3608 13262 3660 13268
-rect 3620 12850 3648 13262
-rect 3884 13184 3936 13190
-rect 3884 13126 3936 13132
-rect 3608 12844 3660 12850
-rect 3608 12786 3660 12792
-rect 3514 12608 3570 12617
-rect 3514 12543 3570 12552
-rect 3528 12442 3556 12543
-rect 3620 12442 3648 12786
-rect 3516 12436 3568 12442
-rect 3516 12378 3568 12384
-rect 3608 12436 3660 12442
-rect 3608 12378 3660 12384
-rect 3896 12102 3924 13126
-rect 3884 12096 3936 12102
-rect 3884 12038 3936 12044
-rect 3424 11892 3476 11898
-rect 3424 11834 3476 11840
-rect 1768 11824 1820 11830
-rect 1766 11792 1768 11801
-rect 3240 11824 3292 11830
-rect 1820 11792 1822 11801
-rect 3240 11766 3292 11772
-rect 1766 11727 1822 11736
-rect 2872 11756 2924 11762
-rect 2872 11698 2924 11704
+rect 1412 12646 1440 12786
+rect 1400 12640 1452 12646
+rect 1504 12617 1532 12854
+rect 1400 12582 1452 12588
+rect 1490 12608 1546 12617
+rect 1412 11830 1440 12582
+rect 1490 12543 1546 12552
+rect 1596 12238 1624 13262
+rect 2044 13252 2096 13258
+rect 2044 13194 2096 13200
+rect 2136 13252 2188 13258
+rect 2136 13194 2188 13200
+rect 1860 12844 1912 12850
+rect 1860 12786 1912 12792
+rect 1584 12232 1636 12238
+rect 1584 12174 1636 12180
+rect 1872 12102 1900 12786
+rect 2056 12238 2084 13194
+rect 2148 12442 2176 13194
+rect 2240 12850 2268 13262
+rect 2228 12844 2280 12850
+rect 2228 12786 2280 12792
+rect 2136 12436 2188 12442
+rect 2136 12378 2188 12384
+rect 2700 12345 2728 13262
+rect 2686 12336 2742 12345
+rect 2686 12271 2742 12280
+rect 2700 12238 2728 12271
+rect 2044 12232 2096 12238
+rect 2044 12174 2096 12180
+rect 2688 12232 2740 12238
+rect 2688 12174 2740 12180
+rect 1860 12096 1912 12102
+rect 1860 12038 1912 12044
+rect 2056 11898 2084 12174
+rect 2412 12164 2464 12170
+rect 2412 12106 2464 12112
+rect 2044 11892 2096 11898
+rect 2044 11834 2096 11840
+rect 1400 11824 1452 11830
+rect 1400 11766 1452 11772
rect 2228 11688 2280 11694
+rect 1766 11656 1822 11665
rect 2228 11630 2280 11636
-rect 2780 11688 2832 11694
-rect 2780 11630 2832 11636
-rect 1398 11591 1454 11600
-rect 1492 11620 1544 11626
-rect 1412 11354 1440 11591
-rect 1492 11562 1544 11568
-rect 1400 11348 1452 11354
-rect 1400 11290 1452 11296
-rect 1504 11082 1532 11562
+rect 1766 11591 1822 11600
+rect 1582 10704 1638 10713
+rect 1582 10639 1584 10648
+rect 1636 10639 1638 10648
+rect 1584 10610 1636 10616
+rect 1400 10532 1452 10538
+rect 1400 10474 1452 10480
+rect 1412 10266 1440 10474
+rect 1492 10464 1544 10470
+rect 1492 10406 1544 10412
+rect 1400 10260 1452 10266
+rect 1400 10202 1452 10208
+rect 1504 10130 1532 10406
+rect 1492 10124 1544 10130
+rect 1492 10066 1544 10072
+rect 1504 9586 1532 10066
+rect 1492 9580 1544 9586
+rect 1492 9522 1544 9528
+rect 1596 9178 1624 10610
+rect 1780 9654 1808 11591
rect 2240 11150 2268 11630
-rect 2792 11354 2820 11630
-rect 2780 11348 2832 11354
-rect 2780 11290 2832 11296
+rect 2424 11150 2452 12106
+rect 2504 11756 2556 11762
+rect 2504 11698 2556 11704
+rect 2688 11756 2740 11762
+rect 2688 11698 2740 11704
+rect 2516 11665 2544 11698
+rect 2502 11656 2558 11665
+rect 2502 11591 2558 11600
rect 2228 11144 2280 11150
rect 2228 11086 2280 11092
-rect 1492 11076 1544 11082
-rect 1492 11018 1544 11024
-rect 1504 10606 1532 11018
-rect 2240 10810 2268 11086
-rect 2228 10804 2280 10810
-rect 2228 10746 2280 10752
-rect 1674 10704 1730 10713
-rect 2884 10674 2912 11698
-rect 3252 11286 3280 11766
-rect 3896 11694 3924 12038
-rect 3424 11688 3476 11694
-rect 3424 11630 3476 11636
-rect 3884 11688 3936 11694
-rect 3988 11676 4016 14200
-rect 4080 12434 4108 14447
+rect 2412 11144 2464 11150
+rect 2412 11086 2464 11092
+rect 2424 10810 2452 11086
+rect 2700 11014 2728 11698
+rect 2688 11008 2740 11014
+rect 2688 10950 2740 10956
+rect 2412 10804 2464 10810
+rect 2412 10746 2464 10752
+rect 2700 10742 2728 10950
+rect 2792 10810 2820 13495
+rect 2872 13252 2924 13258
+rect 2872 13194 2924 13200
+rect 2884 12714 2912 13194
+rect 3160 12986 3188 14334
+rect 3974 14200 4030 15000
rect 5170 14200 5226 15000
-rect 6274 14200 6330 15000
-rect 7470 14200 7526 15000
-rect 8574 14200 8630 15000
-rect 9770 14200 9826 15000
-rect 10874 14200 10930 15000
-rect 12070 14200 12126 15000
-rect 13174 14200 13230 15000
-rect 14370 14200 14426 15000
-rect 4214 13628 4522 13648
+rect 6274 14362 6330 15000
+rect 6274 14334 6408 14362
+rect 6274 14200 6330 14334
+rect 3884 13320 3936 13326
+rect 3884 13262 3936 13268
+rect 3424 13252 3476 13258
+rect 3424 13194 3476 13200
+rect 3148 12980 3200 12986
+rect 3148 12922 3200 12928
+rect 3240 12912 3292 12918
+rect 3240 12854 3292 12860
+rect 2872 12708 2924 12714
+rect 2872 12650 2924 12656
+rect 2872 12164 2924 12170
+rect 2872 12106 2924 12112
+rect 2884 11626 2912 12106
+rect 3148 11824 3200 11830
+rect 3148 11766 3200 11772
+rect 2872 11620 2924 11626
+rect 2872 11562 2924 11568
+rect 2884 11286 2912 11562
+rect 3160 11558 3188 11766
+rect 3148 11552 3200 11558
+rect 3148 11494 3200 11500
+rect 2872 11280 2924 11286
+rect 2872 11222 2924 11228
+rect 2780 10804 2832 10810
+rect 2832 10764 2912 10792
+rect 2780 10746 2832 10752
+rect 2688 10736 2740 10742
+rect 2688 10678 2740 10684
+rect 2780 10668 2832 10674
+rect 2780 10610 2832 10616
+rect 2688 10600 2740 10606
+rect 2688 10542 2740 10548
+rect 2136 10464 2188 10470
+rect 2136 10406 2188 10412
+rect 2148 9926 2176 10406
+rect 2700 10198 2728 10542
+rect 2688 10192 2740 10198
+rect 2410 10160 2466 10169
+rect 2688 10134 2740 10140
+rect 2410 10095 2466 10104
+rect 2424 10062 2452 10095
+rect 2412 10056 2464 10062
+rect 2412 9998 2464 10004
+rect 2136 9920 2188 9926
+rect 2136 9862 2188 9868
+rect 2148 9761 2176 9862
+rect 2134 9752 2190 9761
+rect 2134 9687 2190 9696
+rect 2424 9674 2452 9998
+rect 2792 9994 2820 10610
+rect 2884 10266 2912 10764
+rect 3160 10470 3188 11494
+rect 3148 10464 3200 10470
+rect 3148 10406 3200 10412
+rect 2872 10260 2924 10266
+rect 2872 10202 2924 10208
+rect 2872 10056 2924 10062
+rect 2872 9998 2924 10004
+rect 2780 9988 2832 9994
+rect 2780 9930 2832 9936
+rect 1768 9648 1820 9654
+rect 2424 9646 2636 9674
+rect 1768 9590 1820 9596
+rect 1584 9172 1636 9178
+rect 1584 9114 1636 9120
+rect 2608 8974 2636 9646
+rect 2792 9450 2820 9930
+rect 2780 9444 2832 9450
+rect 2780 9386 2832 9392
+rect 2884 8974 2912 9998
+rect 2964 9988 3016 9994
+rect 2964 9930 3016 9936
+rect 2976 9178 3004 9930
+rect 3160 9926 3188 10406
+rect 3148 9920 3200 9926
+rect 3148 9862 3200 9868
+rect 3252 9738 3280 12854
+rect 3436 12238 3464 13194
+rect 3700 12912 3752 12918
+rect 3700 12854 3752 12860
+rect 3424 12232 3476 12238
+rect 3424 12174 3476 12180
+rect 3712 11626 3740 12854
+rect 3896 12850 3924 13262
+rect 3884 12844 3936 12850
+rect 3884 12786 3936 12792
+rect 3792 12708 3844 12714
+rect 3792 12650 3844 12656
+rect 3804 11830 3832 12650
+rect 3896 12442 3924 12786
+rect 3988 12730 4016 14200
+rect 5080 13728 5132 13734
+rect 5080 13670 5132 13676
+rect 4214 13628 4522 13637
rect 4214 13626 4220 13628
rect 4276 13626 4300 13628
rect 4356 13626 4380 13628
@@ -12641,15 +13488,30 @@ rect 4276 13572 4300 13574
rect 4356 13572 4380 13574
rect 4436 13572 4460 13574
rect 4516 13572 4522 13574
-rect 4214 13552 4522 13572
-rect 5184 13410 5212 14200
-rect 5092 13382 5212 13410
-rect 4804 13252 4856 13258
-rect 4804 13194 4856 13200
-rect 4816 12714 4844 13194
-rect 4804 12708 4856 12714
-rect 4804 12650 4856 12656
-rect 4214 12540 4522 12560
+rect 4214 13563 4522 13572
+rect 4068 13524 4120 13530
+rect 4068 13466 4120 13472
+rect 4080 12850 4108 13466
+rect 5092 13394 5120 13670
+rect 5080 13388 5132 13394
+rect 5080 13330 5132 13336
+rect 4252 13184 4304 13190
+rect 4252 13126 4304 13132
+rect 4264 12918 4292 13126
+rect 4252 12912 4304 12918
+rect 4252 12854 4304 12860
+rect 4068 12844 4120 12850
+rect 4068 12786 4120 12792
+rect 4436 12844 4488 12850
+rect 4436 12786 4488 12792
+rect 3988 12702 4108 12730
+rect 3884 12436 3936 12442
+rect 3884 12378 3936 12384
+rect 4080 12238 4108 12702
+rect 4448 12646 4476 12786
+rect 4436 12640 4488 12646
+rect 4436 12582 4488 12588
+rect 4214 12540 4522 12549
rect 4214 12538 4220 12540
rect 4276 12538 4300 12540
rect 4356 12538 4380 12540
@@ -12662,64 +13524,35 @@ rect 4276 12484 4300 12486
rect 4356 12484 4380 12486
rect 4436 12484 4460 12486
rect 4516 12484 4522 12486
-rect 4214 12464 4522 12484
-rect 4080 12406 4200 12434
-rect 4172 12374 4200 12406
-rect 4160 12368 4212 12374
-rect 4160 12310 4212 12316
-rect 4816 12238 4844 12650
+rect 4214 12475 4522 12484
+rect 5092 12238 5120 13330
+rect 4068 12232 4120 12238
+rect 4068 12174 4120 12180
rect 4804 12232 4856 12238
rect 4804 12174 4856 12180
-rect 4896 12096 4948 12102
-rect 4896 12038 4948 12044
-rect 3988 11648 4108 11676
-rect 3884 11630 3936 11636
-rect 3332 11620 3384 11626
-rect 3332 11562 3384 11568
-rect 3240 11280 3292 11286
-rect 3240 11222 3292 11228
-rect 3344 11150 3372 11562
-rect 3056 11144 3108 11150
-rect 3056 11086 3108 11092
+rect 5080 12232 5132 12238
+rect 5080 12174 5132 12180
+rect 4080 11898 4108 12174
+rect 4068 11892 4120 11898
+rect 4068 11834 4120 11840
+rect 3792 11824 3844 11830
+rect 3792 11766 3844 11772
+rect 4712 11688 4764 11694
+rect 4712 11630 4764 11636
+rect 3700 11620 3752 11626
+rect 3700 11562 3752 11568
+rect 4620 11620 4672 11626
+rect 4620 11562 4672 11568
+rect 3884 11552 3936 11558
+rect 3884 11494 3936 11500
+rect 3516 11280 3568 11286
+rect 3516 11222 3568 11228
rect 3332 11144 3384 11150
rect 3332 11086 3384 11092
-rect 3068 10674 3096 11086
rect 3344 10742 3372 11086
-rect 3436 10810 3464 11630
-rect 3896 11082 3924 11630
-rect 3976 11552 4028 11558
-rect 3976 11494 4028 11500
-rect 3988 11150 4016 11494
-rect 3976 11144 4028 11150
-rect 3976 11086 4028 11092
-rect 3884 11076 3936 11082
-rect 3884 11018 3936 11024
-rect 3424 10804 3476 10810
-rect 3424 10746 3476 10752
-rect 3332 10736 3384 10742
-rect 3332 10678 3384 10684
-rect 1674 10639 1676 10648
-rect 1728 10639 1730 10648
-rect 2872 10668 2924 10674
-rect 1676 10610 1728 10616
-rect 2872 10610 2924 10616
-rect 3056 10668 3108 10674
-rect 3056 10610 3108 10616
-rect 1492 10600 1544 10606
-rect 1492 10542 1544 10548
-rect 1504 9926 1532 10542
-rect 1688 10266 1716 10610
-rect 3068 10266 3096 10610
-rect 3896 10266 3924 11018
-rect 4080 11014 4108 11648
-rect 4908 11558 4936 12038
-rect 4986 11656 5042 11665
-rect 4986 11591 4988 11600
-rect 5040 11591 5042 11600
-rect 4988 11562 5040 11568
-rect 4896 11552 4948 11558
-rect 4896 11494 4948 11500
-rect 4214 11452 4522 11472
+rect 3528 11082 3556 11222
+rect 3896 11150 3924 11494
+rect 4214 11452 4522 11461
rect 4214 11450 4220 11452
rect 4276 11450 4300 11452
rect 4356 11450 4380 11452
@@ -12732,21 +13565,410 @@ rect 4276 11396 4300 11398
rect 4356 11396 4380 11398
rect 4436 11396 4460 11398
rect 4516 11396 4522 11398
-rect 4214 11376 4522 11396
-rect 4908 11150 4936 11494
-rect 5000 11286 5028 11562
-rect 4988 11280 5040 11286
-rect 4988 11222 5040 11228
-rect 4528 11144 4580 11150
-rect 4528 11086 4580 11092
-rect 4896 11144 4948 11150
-rect 4896 11086 4948 11092
-rect 4068 11008 4120 11014
-rect 4068 10950 4120 10956
-rect 4540 10674 4568 11086
-rect 4528 10668 4580 10674
-rect 4528 10610 4580 10616
-rect 4214 10364 4522 10384
+rect 4214 11387 4522 11396
+rect 4528 11212 4580 11218
+rect 4528 11154 4580 11160
+rect 3884 11144 3936 11150
+rect 3884 11086 3936 11092
+rect 3516 11076 3568 11082
+rect 3516 11018 3568 11024
+rect 3608 11076 3660 11082
+rect 3608 11018 3660 11024
+rect 3332 10736 3384 10742
+rect 3332 10678 3384 10684
+rect 3332 10260 3384 10266
+rect 3332 10202 3384 10208
+rect 3344 10062 3372 10202
+rect 3332 10056 3384 10062
+rect 3332 9998 3384 10004
+rect 3252 9710 3372 9738
+rect 3240 9580 3292 9586
+rect 3240 9522 3292 9528
+rect 2964 9172 3016 9178
+rect 2964 9114 3016 9120
+rect 3252 8974 3280 9522
+rect 2596 8968 2648 8974
+rect 2596 8910 2648 8916
+rect 2872 8968 2924 8974
+rect 2872 8910 2924 8916
+rect 3240 8968 3292 8974
+rect 3240 8910 3292 8916
+rect 1768 8832 1820 8838
+rect 2136 8832 2188 8838
+rect 1768 8774 1820 8780
+rect 2134 8800 2136 8809
+rect 2188 8800 2190 8809
+rect 1492 8492 1544 8498
+rect 1492 8434 1544 8440
+rect 1504 8090 1532 8434
+rect 1492 8084 1544 8090
+rect 1492 8026 1544 8032
+rect 1780 7886 1808 8774
+rect 2134 8735 2190 8744
+rect 2964 8356 3016 8362
+rect 2964 8298 3016 8304
+rect 1768 7880 1820 7886
+rect 1768 7822 1820 7828
+rect 1676 7812 1728 7818
+rect 1676 7754 1728 7760
+rect 1688 7410 1716 7754
+rect 2872 7744 2924 7750
+rect 2872 7686 2924 7692
+rect 1676 7404 1728 7410
+rect 1676 7346 1728 7352
+rect 1768 7404 1820 7410
+rect 1768 7346 1820 7352
+rect 1780 7274 1808 7346
+rect 2596 7336 2648 7342
+rect 2596 7278 2648 7284
+rect 2780 7336 2832 7342
+rect 2780 7278 2832 7284
+rect 1768 7268 1820 7274
+rect 1768 7210 1820 7216
+rect 1492 7200 1544 7206
+rect 1492 7142 1544 7148
+rect 1504 6662 1532 7142
+rect 1492 6656 1544 6662
+rect 1492 6598 1544 6604
+rect 1400 6112 1452 6118
+rect 1398 6080 1400 6089
+rect 1452 6080 1454 6089
+rect 1398 6015 1454 6024
+rect 1412 5778 1440 6015
+rect 1400 5772 1452 5778
+rect 1400 5714 1452 5720
+rect 1504 5710 1532 6598
+rect 1780 6322 1808 7210
+rect 1952 7200 2004 7206
+rect 1952 7142 2004 7148
+rect 1964 7002 1992 7142
+rect 1952 6996 2004 7002
+rect 1952 6938 2004 6944
+rect 2504 6656 2556 6662
+rect 2504 6598 2556 6604
+rect 2044 6452 2096 6458
+rect 2044 6394 2096 6400
+rect 1768 6316 1820 6322
+rect 1768 6258 1820 6264
+rect 1952 6316 2004 6322
+rect 1952 6258 2004 6264
+rect 1584 5908 1636 5914
+rect 1584 5850 1636 5856
+rect 1596 5710 1624 5850
+rect 1492 5704 1544 5710
+rect 1492 5646 1544 5652
+rect 1584 5704 1636 5710
+rect 1584 5646 1636 5652
+rect 1504 5234 1532 5646
+rect 1596 5234 1624 5646
+rect 1964 5302 1992 6258
+rect 2056 5710 2084 6394
+rect 2516 6322 2544 6598
+rect 2608 6361 2636 7278
+rect 2792 6866 2820 7278
+rect 2780 6860 2832 6866
+rect 2780 6802 2832 6808
+rect 2884 6798 2912 7686
+rect 2872 6792 2924 6798
+rect 2872 6734 2924 6740
+rect 2594 6352 2650 6361
+rect 2504 6316 2556 6322
+rect 2594 6287 2650 6296
+rect 2688 6316 2740 6322
+rect 2504 6258 2556 6264
+rect 2688 6258 2740 6264
+rect 2228 6180 2280 6186
+rect 2228 6122 2280 6128
+rect 2044 5704 2096 5710
+rect 2240 5658 2268 6122
+rect 2320 6112 2372 6118
+rect 2320 6054 2372 6060
+rect 2332 5846 2360 6054
+rect 2700 5914 2728 6258
+rect 2688 5908 2740 5914
+rect 2688 5850 2740 5856
+rect 2320 5840 2372 5846
+rect 2320 5782 2372 5788
+rect 2780 5772 2832 5778
+rect 2780 5714 2832 5720
+rect 2044 5646 2096 5652
+rect 2148 5630 2268 5658
+rect 2148 5386 2176 5630
+rect 2228 5568 2280 5574
+rect 2228 5510 2280 5516
+rect 2056 5358 2176 5386
+rect 1952 5296 2004 5302
+rect 1952 5238 2004 5244
+rect 1492 5228 1544 5234
+rect 1492 5170 1544 5176
+rect 1584 5228 1636 5234
+rect 1584 5170 1636 5176
+rect 1398 5128 1454 5137
+rect 1398 5063 1454 5072
+rect 1412 4826 1440 5063
+rect 1768 5024 1820 5030
+rect 1768 4966 1820 4972
+rect 1400 4820 1452 4826
+rect 1400 4762 1452 4768
+rect 1584 4616 1636 4622
+rect 1584 4558 1636 4564
+rect 1676 4616 1728 4622
+rect 1676 4558 1728 4564
+rect 1596 3534 1624 4558
+rect 1688 3738 1716 4558
+rect 1780 4078 1808 4966
+rect 2056 4146 2084 5358
+rect 2136 5228 2188 5234
+rect 2136 5170 2188 5176
+rect 2148 4622 2176 5170
+rect 2136 4616 2188 4622
+rect 2136 4558 2188 4564
+rect 2240 4146 2268 5510
+rect 2792 5370 2820 5714
+rect 2872 5636 2924 5642
+rect 2872 5578 2924 5584
+rect 2780 5364 2832 5370
+rect 2780 5306 2832 5312
+rect 2320 5228 2372 5234
+rect 2372 5188 2452 5216
+rect 2320 5170 2372 5176
+rect 2320 5024 2372 5030
+rect 2320 4966 2372 4972
+rect 2332 4690 2360 4966
+rect 2320 4684 2372 4690
+rect 2320 4626 2372 4632
+rect 2424 4622 2452 5188
+rect 2792 4826 2820 5306
+rect 2780 4820 2832 4826
+rect 2780 4762 2832 4768
+rect 2412 4616 2464 4622
+rect 2412 4558 2464 4564
+rect 2320 4480 2372 4486
+rect 2320 4422 2372 4428
+rect 2332 4146 2360 4422
+rect 2424 4282 2452 4558
+rect 2688 4548 2740 4554
+rect 2688 4490 2740 4496
+rect 2780 4548 2832 4554
+rect 2780 4490 2832 4496
+rect 2412 4276 2464 4282
+rect 2412 4218 2464 4224
+rect 2700 4146 2728 4490
+rect 2792 4214 2820 4490
+rect 2780 4208 2832 4214
+rect 2780 4150 2832 4156
+rect 1860 4140 1912 4146
+rect 1860 4082 1912 4088
+rect 2044 4140 2096 4146
+rect 2044 4082 2096 4088
+rect 2228 4140 2280 4146
+rect 2228 4082 2280 4088
+rect 2320 4140 2372 4146
+rect 2320 4082 2372 4088
+rect 2688 4140 2740 4146
+rect 2688 4082 2740 4088
+rect 1768 4072 1820 4078
+rect 1768 4014 1820 4020
+rect 1676 3732 1728 3738
+rect 1676 3674 1728 3680
+rect 1492 3528 1544 3534
+rect 1492 3470 1544 3476
+rect 1584 3528 1636 3534
+rect 1584 3470 1636 3476
+rect 1504 3194 1532 3470
+rect 1492 3188 1544 3194
+rect 1492 3130 1544 3136
+rect 1492 2984 1544 2990
+rect 1492 2926 1544 2932
+rect 1504 2774 1532 2926
+rect 1596 2836 1624 3470
+rect 1872 3398 1900 4082
+rect 2056 3602 2084 4082
+rect 2240 3942 2268 4082
+rect 2228 3936 2280 3942
+rect 2228 3878 2280 3884
+rect 2136 3664 2188 3670
+rect 2136 3606 2188 3612
+rect 2044 3596 2096 3602
+rect 2044 3538 2096 3544
+rect 1860 3392 1912 3398
+rect 1860 3334 1912 3340
+rect 2148 3233 2176 3606
+rect 2792 3602 2820 4150
+rect 2884 4146 2912 5578
+rect 2872 4140 2924 4146
+rect 2872 4082 2924 4088
+rect 2780 3596 2832 3602
+rect 2780 3538 2832 3544
+rect 2320 3528 2372 3534
+rect 2320 3470 2372 3476
+rect 2134 3224 2190 3233
+rect 2332 3194 2360 3470
+rect 2688 3460 2740 3466
+rect 2688 3402 2740 3408
+rect 2134 3159 2190 3168
+rect 2320 3188 2372 3194
+rect 2148 2990 2176 3159
+rect 2320 3130 2372 3136
+rect 2700 2990 2728 3402
+rect 2872 3052 2924 3058
+rect 2872 2994 2924 3000
+rect 2136 2984 2188 2990
+rect 2136 2926 2188 2932
+rect 2688 2984 2740 2990
+rect 2688 2926 2740 2932
+rect 1596 2808 1808 2836
+rect 1504 2746 1624 2774
+rect 1596 2281 1624 2746
+rect 1780 2514 1808 2808
+rect 1768 2508 1820 2514
+rect 1768 2450 1820 2456
+rect 2148 2446 2176 2926
+rect 2320 2916 2372 2922
+rect 2320 2858 2372 2864
+rect 2332 2446 2360 2858
+rect 2884 2650 2912 2994
+rect 2872 2644 2924 2650
+rect 2872 2586 2924 2592
+rect 1676 2440 1728 2446
+rect 1676 2382 1728 2388
+rect 2136 2440 2188 2446
+rect 2136 2382 2188 2388
+rect 2320 2440 2372 2446
+rect 2320 2382 2372 2388
+rect 2872 2440 2924 2446
+rect 2872 2382 2924 2388
+rect 1582 2272 1638 2281
+rect 1582 2207 1638 2216
+rect 1596 2106 1624 2207
+rect 1584 2100 1636 2106
+rect 1584 2042 1636 2048
+rect 1688 1562 1716 2382
+rect 1860 2372 1912 2378
+rect 1860 2314 1912 2320
+rect 1872 2038 1900 2314
+rect 2884 2106 2912 2382
+rect 2872 2100 2924 2106
+rect 2872 2042 2924 2048
+rect 1860 2032 1912 2038
+rect 1860 1974 1912 1980
+rect 1676 1556 1728 1562
+rect 1676 1498 1728 1504
+rect 1400 1420 1452 1426
+rect 1400 1362 1452 1368
+rect 1412 513 1440 1362
+rect 2976 1329 3004 8298
+rect 3056 8288 3108 8294
+rect 3056 8230 3108 8236
+rect 3068 7993 3096 8230
+rect 3054 7984 3110 7993
+rect 3054 7919 3110 7928
+rect 3068 7886 3096 7919
+rect 3056 7880 3108 7886
+rect 3056 7822 3108 7828
+rect 3056 7744 3108 7750
+rect 3056 7686 3108 7692
+rect 3068 7478 3096 7686
+rect 3056 7472 3108 7478
+rect 3056 7414 3108 7420
+rect 3056 7336 3108 7342
+rect 3056 7278 3108 7284
+rect 3068 6458 3096 7278
+rect 3344 6798 3372 9710
+rect 3528 9110 3556 11018
+rect 3620 10742 3648 11018
+rect 3608 10736 3660 10742
+rect 3608 10678 3660 10684
+rect 3896 10674 3924 11086
+rect 4540 10810 4568 11154
+rect 4528 10804 4580 10810
+rect 4528 10746 4580 10752
+rect 4632 10742 4660 11562
+rect 4724 10810 4752 11630
+rect 4816 11558 4844 12174
+rect 5080 11756 5132 11762
+rect 5080 11698 5132 11704
+rect 4988 11688 5040 11694
+rect 4988 11630 5040 11636
+rect 4804 11552 4856 11558
+rect 4804 11494 4856 11500
+rect 4816 11150 4844 11494
+rect 4804 11144 4856 11150
+rect 4804 11086 4856 11092
+rect 4712 10804 4764 10810
+rect 4712 10746 4764 10752
+rect 4620 10736 4672 10742
+rect 4620 10678 4672 10684
+rect 5000 10674 5028 11630
+rect 5092 11286 5120 11698
+rect 5080 11280 5132 11286
+rect 5080 11222 5132 11228
+rect 5184 11218 5212 14200
+rect 5724 13320 5776 13326
+rect 5724 13262 5776 13268
+rect 6092 13320 6144 13326
+rect 6092 13262 6144 13268
+rect 5540 13252 5592 13258
+rect 5540 13194 5592 13200
+rect 5552 12238 5580 13194
+rect 5632 13184 5684 13190
+rect 5632 13126 5684 13132
+rect 5644 12306 5672 13126
+rect 5736 12850 5764 13262
+rect 6000 13252 6052 13258
+rect 6000 13194 6052 13200
+rect 5724 12844 5776 12850
+rect 5724 12786 5776 12792
+rect 6012 12714 6040 13194
+rect 6000 12708 6052 12714
+rect 6000 12650 6052 12656
+rect 6012 12374 6040 12650
+rect 6000 12368 6052 12374
+rect 6000 12310 6052 12316
+rect 5632 12300 5684 12306
+rect 5632 12242 5684 12248
+rect 6104 12238 6132 13262
+rect 6184 13252 6236 13258
+rect 6184 13194 6236 13200
+rect 5540 12232 5592 12238
+rect 5446 12200 5502 12209
+rect 5540 12174 5592 12180
+rect 5816 12232 5868 12238
+rect 5816 12174 5868 12180
+rect 6092 12232 6144 12238
+rect 6092 12174 6144 12180
+rect 5446 12135 5502 12144
+rect 5460 12102 5488 12135
+rect 5448 12096 5500 12102
+rect 5448 12038 5500 12044
+rect 5460 11830 5488 12038
+rect 5448 11824 5500 11830
+rect 5448 11766 5500 11772
+rect 5632 11688 5684 11694
+rect 5828 11642 5856 12174
+rect 6196 11880 6224 13194
+rect 6276 12232 6328 12238
+rect 6276 12174 6328 12180
+rect 5684 11636 5856 11642
+rect 5632 11630 5856 11636
+rect 5540 11620 5592 11626
+rect 5644 11614 5856 11630
+rect 5920 11852 6224 11880
+rect 5540 11562 5592 11568
+rect 5172 11212 5224 11218
+rect 5172 11154 5224 11160
+rect 3884 10668 3936 10674
+rect 3884 10610 3936 10616
+rect 4988 10668 5040 10674
+rect 4988 10610 5040 10616
+rect 5264 10668 5316 10674
+rect 5264 10610 5316 10616
+rect 5000 10577 5028 10610
+rect 4986 10568 5042 10577
+rect 4986 10503 5042 10512
+rect 4620 10464 4672 10470
+rect 4620 10406 4672 10412
+rect 4214 10364 4522 10373
rect 4214 10362 4220 10364
rect 4276 10362 4300 10364
rect 4356 10362 4380 10364
@@ -12759,72 +13981,33 @@ rect 4276 10308 4300 10310
rect 4356 10308 4380 10310
rect 4436 10308 4460 10310
rect 4516 10308 4522 10310
-rect 4214 10288 4522 10308
-rect 1676 10260 1728 10266
-rect 1676 10202 1728 10208
-rect 3056 10260 3108 10266
-rect 3056 10202 3108 10208
-rect 3884 10260 3936 10266
-rect 3884 10202 3936 10208
-rect 3884 10056 3936 10062
-rect 3884 9998 3936 10004
-rect 2964 9988 3016 9994
-rect 2964 9930 3016 9936
-rect 1492 9920 1544 9926
-rect 1492 9862 1544 9868
-rect 1504 9382 1532 9862
-rect 1766 9752 1822 9761
-rect 1766 9687 1822 9696
-rect 1492 9376 1544 9382
-rect 1492 9318 1544 9324
-rect 1504 9042 1532 9318
-rect 1780 9042 1808 9687
-rect 2228 9580 2280 9586
-rect 2228 9522 2280 9528
-rect 2240 9178 2268 9522
-rect 2976 9450 3004 9930
-rect 3240 9920 3292 9926
-rect 3240 9862 3292 9868
-rect 2964 9444 3016 9450
-rect 2964 9386 3016 9392
-rect 2228 9172 2280 9178
-rect 2228 9114 2280 9120
-rect 2976 9110 3004 9386
-rect 2964 9104 3016 9110
-rect 2964 9046 3016 9052
-rect 1492 9036 1544 9042
-rect 1492 8978 1544 8984
-rect 1768 9036 1820 9042
-rect 1768 8978 1820 8984
-rect 1504 7993 1532 8978
-rect 1674 8800 1730 8809
-rect 1674 8735 1730 8744
-rect 1584 8492 1636 8498
-rect 1584 8434 1636 8440
-rect 1596 8090 1624 8434
-rect 1584 8084 1636 8090
-rect 1584 8026 1636 8032
-rect 1490 7984 1546 7993
-rect 1688 7954 1716 8735
-rect 1780 8634 1808 8978
-rect 3252 8974 3280 9862
-rect 3332 9648 3384 9654
-rect 3332 9590 3384 9596
-rect 3344 9110 3372 9590
-rect 3896 9586 3924 9998
-rect 4896 9920 4948 9926
-rect 4896 9862 4948 9868
-rect 3884 9580 3936 9586
-rect 3884 9522 3936 9528
-rect 3792 9376 3844 9382
-rect 3792 9318 3844 9324
-rect 3332 9104 3384 9110
-rect 3332 9046 3384 9052
-rect 3804 9042 3832 9318
-rect 3896 9110 3924 9522
-rect 4620 9444 4672 9450
-rect 4620 9386 4672 9392
-rect 4214 9276 4522 9296
+rect 4214 10299 4522 10308
+rect 4632 10130 4660 10406
+rect 4620 10124 4672 10130
+rect 4620 10066 4672 10072
+rect 3700 10056 3752 10062
+rect 3700 9998 3752 10004
+rect 3712 9586 3740 9998
+rect 4528 9988 4580 9994
+rect 4528 9930 4580 9936
+rect 4988 9988 5040 9994
+rect 4988 9930 5040 9936
+rect 5172 9988 5224 9994
+rect 5172 9930 5224 9936
+rect 3976 9920 4028 9926
+rect 3976 9862 4028 9868
+rect 3700 9580 3752 9586
+rect 3700 9522 3752 9528
+rect 3516 9104 3568 9110
+rect 3516 9046 3568 9052
+rect 3988 8906 4016 9862
+rect 4540 9654 4568 9930
+rect 4528 9648 4580 9654
+rect 4528 9590 4580 9596
+rect 4540 9450 4568 9590
+rect 4528 9444 4580 9450
+rect 4528 9386 4580 9392
+rect 4214 9276 4522 9285
rect 4214 9274 4220 9276
rect 4276 9274 4300 9276
rect 4356 9274 4380 9276
@@ -12837,65 +14020,37 @@ rect 4276 9220 4300 9222
rect 4356 9220 4380 9222
rect 4436 9220 4460 9222
rect 4516 9220 4522 9222
-rect 4214 9200 4522 9220
-rect 3884 9104 3936 9110
-rect 3884 9046 3936 9052
-rect 4632 9042 4660 9386
-rect 3792 9036 3844 9042
-rect 3792 8978 3844 8984
-rect 4620 9036 4672 9042
-rect 4620 8978 4672 8984
-rect 3240 8968 3292 8974
-rect 3240 8910 3292 8916
-rect 3608 8968 3660 8974
-rect 3608 8910 3660 8916
-rect 3148 8900 3200 8906
-rect 3148 8842 3200 8848
-rect 1768 8628 1820 8634
-rect 1768 8570 1820 8576
-rect 3160 8566 3188 8842
-rect 3424 8832 3476 8838
-rect 3424 8774 3476 8780
-rect 3148 8560 3200 8566
-rect 3148 8502 3200 8508
-rect 1490 7919 1492 7928
-rect 1544 7919 1546 7928
-rect 1676 7948 1728 7954
-rect 1492 7890 1544 7896
-rect 1676 7890 1728 7896
-rect 1504 7342 1532 7890
-rect 1492 7336 1544 7342
-rect 1492 7278 1544 7284
-rect 1504 6866 1532 7278
-rect 1688 7002 1716 7890
-rect 3160 7886 3188 8502
-rect 3332 8492 3384 8498
-rect 3332 8434 3384 8440
-rect 3344 8022 3372 8434
-rect 3332 8016 3384 8022
-rect 3332 7958 3384 7964
-rect 3148 7880 3200 7886
-rect 1766 7848 1822 7857
-rect 3148 7822 3200 7828
-rect 1766 7783 1768 7792
-rect 1820 7783 1822 7792
-rect 2780 7812 2832 7818
-rect 1768 7754 1820 7760
-rect 2780 7754 2832 7760
-rect 2792 7546 2820 7754
-rect 3344 7546 3372 7958
-rect 3436 7954 3464 8774
-rect 3620 8566 3648 8910
-rect 4804 8832 4856 8838
-rect 4804 8774 4856 8780
-rect 3608 8560 3660 8566
-rect 3608 8502 3660 8508
-rect 3516 8492 3568 8498
-rect 3516 8434 3568 8440
-rect 4620 8492 4672 8498
-rect 4620 8434 4672 8440
-rect 3528 7954 3556 8434
-rect 4214 8188 4522 8208
+rect 4214 9211 4522 9220
+rect 4620 8968 4672 8974
+rect 4620 8910 4672 8916
+rect 3976 8900 4028 8906
+rect 3976 8842 4028 8848
+rect 4252 8900 4304 8906
+rect 4252 8842 4304 8848
+rect 3792 7948 3844 7954
+rect 3792 7890 3844 7896
+rect 3516 7812 3568 7818
+rect 3516 7754 3568 7760
+rect 3528 7562 3556 7754
+rect 3700 7744 3752 7750
+rect 3700 7686 3752 7692
+rect 3436 7546 3556 7562
+rect 3712 7546 3740 7686
+rect 3424 7540 3556 7546
+rect 3476 7534 3556 7540
+rect 3424 7482 3476 7488
+rect 3528 7342 3556 7534
+rect 3700 7540 3752 7546
+rect 3700 7482 3752 7488
+rect 3804 7449 3832 7890
+rect 3988 7886 4016 8842
+rect 4264 8498 4292 8842
+rect 4252 8492 4304 8498
+rect 4252 8434 4304 8440
+rect 4264 8378 4292 8434
+rect 4080 8350 4292 8378
+rect 4080 7954 4108 8350
+rect 4214 8188 4522 8197
rect 4214 8186 4220 8188
rect 4276 8186 4300 8188
rect 4356 8186 4380 8188
@@ -12908,263 +14063,361 @@ rect 4276 8132 4300 8134
rect 4356 8132 4380 8134
rect 4436 8132 4460 8134
rect 4516 8132 4522 8134
-rect 4214 8112 4522 8132
-rect 4632 8090 4660 8434
-rect 4620 8084 4672 8090
-rect 4620 8026 4672 8032
-rect 4816 8022 4844 8774
-rect 4804 8016 4856 8022
-rect 4804 7958 4856 7964
-rect 4908 7954 4936 9862
-rect 5092 9654 5120 13382
-rect 5172 13320 5224 13326
-rect 5172 13262 5224 13268
-rect 5816 13320 5868 13326
-rect 5816 13262 5868 13268
-rect 5184 12850 5212 13262
-rect 5724 13252 5776 13258
-rect 5724 13194 5776 13200
-rect 5540 13184 5592 13190
-rect 5540 13126 5592 13132
-rect 5172 12844 5224 12850
-rect 5172 12786 5224 12792
-rect 5552 12306 5580 13126
-rect 5736 12986 5764 13194
-rect 5724 12980 5776 12986
-rect 5724 12922 5776 12928
-rect 5828 12918 5856 13262
-rect 5816 12912 5868 12918
-rect 5816 12854 5868 12860
-rect 5540 12300 5592 12306
-rect 5540 12242 5592 12248
-rect 5724 12164 5776 12170
-rect 5724 12106 5776 12112
-rect 5264 11892 5316 11898
-rect 5264 11834 5316 11840
-rect 5276 11694 5304 11834
-rect 5632 11756 5684 11762
-rect 5632 11698 5684 11704
-rect 5172 11688 5224 11694
-rect 5172 11630 5224 11636
-rect 5264 11688 5316 11694
-rect 5264 11630 5316 11636
-rect 5184 10810 5212 11630
-rect 5356 11620 5408 11626
-rect 5356 11562 5408 11568
-rect 5264 11280 5316 11286
-rect 5264 11222 5316 11228
-rect 5172 10804 5224 10810
-rect 5172 10746 5224 10752
-rect 5172 10464 5224 10470
-rect 5172 10406 5224 10412
-rect 5184 10062 5212 10406
-rect 5172 10056 5224 10062
-rect 5172 9998 5224 10004
-rect 5080 9648 5132 9654
-rect 5080 9590 5132 9596
-rect 3424 7948 3476 7954
-rect 3424 7890 3476 7896
-rect 3516 7948 3568 7954
-rect 3516 7890 3568 7896
-rect 4896 7948 4948 7954
-rect 4896 7890 4948 7896
-rect 3884 7880 3936 7886
-rect 3884 7822 3936 7828
-rect 2780 7540 2832 7546
-rect 2780 7482 2832 7488
-rect 3332 7540 3384 7546
-rect 3332 7482 3384 7488
-rect 3896 7410 3924 7822
-rect 4436 7744 4488 7750
-rect 4436 7686 4488 7692
-rect 4448 7410 4476 7686
-rect 5276 7478 5304 11222
-rect 5368 10742 5396 11562
-rect 5644 11354 5672 11698
-rect 5632 11348 5684 11354
-rect 5632 11290 5684 11296
-rect 5356 10736 5408 10742
-rect 5356 10678 5408 10684
-rect 5736 10266 5764 12106
+rect 4214 8123 4522 8132
+rect 4632 7954 4660 8910
+rect 5000 8566 5028 9930
+rect 5184 9586 5212 9930
+rect 5276 9654 5304 10610
+rect 5356 10464 5408 10470
+rect 5356 10406 5408 10412
+rect 5368 10062 5396 10406
+rect 5552 10062 5580 11562
+rect 5920 11336 5948 11852
+rect 6000 11756 6052 11762
+rect 6000 11698 6052 11704
rect 6184 11756 6236 11762
-rect 6012 11716 6184 11744
-rect 5816 11688 5868 11694
-rect 5816 11630 5868 11636
-rect 5828 10810 5856 11630
-rect 6012 11150 6040 11716
rect 6184 11698 6236 11704
-rect 6092 11620 6144 11626
-rect 6092 11562 6144 11568
-rect 6104 11286 6132 11562
+rect 5828 11308 5948 11336
+rect 5828 10810 5856 11308
+rect 5908 11076 5960 11082
+rect 5908 11018 5960 11024
+rect 5920 10810 5948 11018
+rect 6012 11014 6040 11698
rect 6092 11280 6144 11286
-rect 6144 11240 6224 11268
-rect 6092 11222 6144 11228
-rect 6000 11144 6052 11150
-rect 6000 11086 6052 11092
+rect 6090 11248 6092 11257
+rect 6144 11248 6146 11257
+rect 6090 11183 6146 11192
+rect 6092 11076 6144 11082
+rect 6196 11064 6224 11698
+rect 6288 11082 6316 12174
+rect 6144 11036 6224 11064
+rect 6092 11018 6144 11024
+rect 6000 11008 6052 11014
+rect 6000 10950 6052 10956
rect 5816 10804 5868 10810
rect 5816 10746 5868 10752
-rect 5816 10668 5868 10674
-rect 6012 10656 6040 11086
-rect 6196 10742 6224 11240
-rect 6184 10736 6236 10742
-rect 6184 10678 6236 10684
-rect 5868 10628 6040 10656
-rect 5816 10610 5868 10616
-rect 5828 10470 5856 10610
-rect 6196 10538 6224 10678
-rect 5908 10532 5960 10538
-rect 5908 10474 5960 10480
-rect 6184 10532 6236 10538
-rect 6184 10474 6236 10480
-rect 5816 10464 5868 10470
-rect 5816 10406 5868 10412
-rect 5724 10260 5776 10266
-rect 5724 10202 5776 10208
-rect 5448 10124 5500 10130
-rect 5448 10066 5500 10072
-rect 5460 9518 5488 10066
-rect 5920 10062 5948 10474
-rect 5908 10056 5960 10062
-rect 5908 9998 5960 10004
-rect 6288 9926 6316 14200
-rect 6644 13796 6696 13802
-rect 6644 13738 6696 13744
-rect 6460 13524 6512 13530
-rect 6460 13466 6512 13472
-rect 6472 12986 6500 13466
-rect 6460 12980 6512 12986
-rect 6460 12922 6512 12928
-rect 6368 12096 6420 12102
-rect 6368 12038 6420 12044
-rect 6380 10742 6408 12038
-rect 6550 11792 6606 11801
-rect 6472 11736 6550 11744
-rect 6472 11716 6552 11736
-rect 6472 11150 6500 11716
-rect 6604 11727 6606 11736
-rect 6552 11698 6604 11704
-rect 6552 11212 6604 11218
-rect 6552 11154 6604 11160
-rect 6460 11144 6512 11150
-rect 6460 11086 6512 11092
-rect 6368 10736 6420 10742
-rect 6368 10678 6420 10684
-rect 6472 10674 6500 11086
-rect 6564 10810 6592 11154
-rect 6656 11082 6684 13738
-rect 6736 13184 6788 13190
-rect 6736 13126 6788 13132
-rect 7288 13184 7340 13190
-rect 7288 13126 7340 13132
-rect 6748 12782 6776 13126
-rect 7012 12844 7064 12850
-rect 7012 12786 7064 12792
-rect 6736 12776 6788 12782
-rect 6736 12718 6788 12724
-rect 6748 12442 6776 12718
-rect 6736 12436 6788 12442
-rect 6736 12378 6788 12384
-rect 6736 11552 6788 11558
-rect 6736 11494 6788 11500
-rect 6644 11076 6696 11082
-rect 6644 11018 6696 11024
-rect 6552 10804 6604 10810
-rect 6552 10746 6604 10752
-rect 6460 10668 6512 10674
-rect 6460 10610 6512 10616
-rect 6552 10668 6604 10674
-rect 6552 10610 6604 10616
-rect 6368 10464 6420 10470
-rect 6368 10406 6420 10412
-rect 6380 10062 6408 10406
-rect 6564 10130 6592 10610
-rect 6552 10124 6604 10130
-rect 6552 10066 6604 10072
+rect 5908 10804 5960 10810
+rect 5908 10746 5960 10752
+rect 5828 10266 5856 10746
+rect 5908 10600 5960 10606
+rect 5908 10542 5960 10548
+rect 5816 10260 5868 10266
+rect 5816 10202 5868 10208
+rect 5356 10056 5408 10062
+rect 5356 9998 5408 10004
+rect 5540 10056 5592 10062
+rect 5540 9998 5592 10004
+rect 5264 9648 5316 9654
+rect 5264 9590 5316 9596
+rect 5172 9580 5224 9586
+rect 5172 9522 5224 9528
+rect 5184 8906 5212 9522
+rect 5276 8974 5304 9590
+rect 5264 8968 5316 8974
+rect 5264 8910 5316 8916
+rect 5172 8900 5224 8906
+rect 5172 8842 5224 8848
+rect 5448 8832 5500 8838
+rect 5448 8774 5500 8780
+rect 5460 8566 5488 8774
+rect 5552 8634 5580 9998
+rect 5828 9489 5856 10202
+rect 5920 9518 5948 10542
+rect 6012 10470 6040 10950
+rect 6196 10470 6224 11036
+rect 6276 11076 6328 11082
+rect 6276 11018 6328 11024
+rect 6288 10810 6316 11018
+rect 6276 10804 6328 10810
+rect 6276 10746 6328 10752
+rect 6288 10538 6316 10746
+rect 6276 10532 6328 10538
+rect 6276 10474 6328 10480
+rect 6000 10464 6052 10470
+rect 6000 10406 6052 10412
+rect 6184 10464 6236 10470
+rect 6184 10406 6236 10412
+rect 6196 9926 6224 10406
+rect 6380 10062 6408 14334
+rect 7470 14200 7526 15000
+rect 8574 14200 8630 15000
+rect 9770 14200 9826 15000
+rect 10874 14200 10930 15000
+rect 12070 14200 12126 15000
+rect 13174 14362 13230 15000
+rect 13174 14334 13492 14362
+rect 13174 14200 13230 14334
+rect 6644 13932 6696 13938
+rect 6644 13874 6696 13880
+rect 6656 13326 6684 13874
+rect 6920 13524 6972 13530
+rect 6920 13466 6972 13472
+rect 6644 13320 6696 13326
+rect 6644 13262 6696 13268
+rect 6644 12912 6696 12918
+rect 6644 12854 6696 12860
+rect 6460 12776 6512 12782
+rect 6460 12718 6512 12724
+rect 6472 12306 6500 12718
+rect 6656 12374 6684 12854
+rect 6932 12850 6960 13466
+rect 7104 13388 7156 13394
+rect 7104 13330 7156 13336
+rect 7012 13320 7064 13326
+rect 7012 13262 7064 13268
+rect 7024 12918 7052 13262
+rect 7116 13258 7144 13330
+rect 7288 13320 7340 13326
+rect 7288 13262 7340 13268
+rect 7104 13252 7156 13258
+rect 7104 13194 7156 13200
+rect 7104 12980 7156 12986
+rect 7104 12922 7156 12928
+rect 7012 12912 7064 12918
+rect 7012 12854 7064 12860
+rect 6736 12844 6788 12850
+rect 6736 12786 6788 12792
+rect 6920 12844 6972 12850
+rect 6920 12786 6972 12792
+rect 6644 12368 6696 12374
+rect 6644 12310 6696 12316
+rect 6460 12300 6512 12306
+rect 6460 12242 6512 12248
+rect 6644 12164 6696 12170
+rect 6644 12106 6696 12112
+rect 6552 12096 6604 12102
+rect 6552 12038 6604 12044
+rect 6564 11898 6592 12038
+rect 6552 11892 6604 11898
+rect 6552 11834 6604 11840
+rect 6460 11688 6512 11694
+rect 6460 11630 6512 11636
+rect 6472 11558 6500 11630
+rect 6564 11626 6592 11834
+rect 6552 11620 6604 11626
+rect 6552 11562 6604 11568
+rect 6460 11552 6512 11558
+rect 6460 11494 6512 11500
+rect 6472 10742 6500 11494
+rect 6552 11144 6604 11150
+rect 6550 11112 6552 11121
+rect 6604 11112 6606 11121
+rect 6550 11047 6606 11056
+rect 6460 10736 6512 10742
+rect 6460 10678 6512 10684
rect 6368 10056 6420 10062
rect 6368 9998 6420 10004
-rect 6276 9920 6328 9926
-rect 6276 9862 6328 9868
-rect 6288 9654 6316 9862
-rect 6380 9654 6408 9998
-rect 6748 9994 6776 11494
-rect 6920 11076 6972 11082
-rect 6920 11018 6972 11024
-rect 6828 10804 6880 10810
-rect 6828 10746 6880 10752
-rect 6840 10470 6868 10746
-rect 6828 10464 6880 10470
-rect 6828 10406 6880 10412
+rect 6184 9920 6236 9926
+rect 6184 9862 6236 9868
+rect 6460 9716 6512 9722
+rect 6460 9658 6512 9664
+rect 5908 9512 5960 9518
+rect 5814 9480 5870 9489
+rect 5908 9454 5960 9460
+rect 5814 9415 5870 9424
+rect 5724 9104 5776 9110
+rect 5724 9046 5776 9052
+rect 5736 8838 5764 9046
+rect 5920 8974 5948 9454
+rect 6184 9376 6236 9382
+rect 6184 9318 6236 9324
+rect 5908 8968 5960 8974
+rect 5908 8910 5960 8916
+rect 5724 8832 5776 8838
+rect 5724 8774 5776 8780
+rect 5540 8628 5592 8634
+rect 5540 8570 5592 8576
+rect 4988 8560 5040 8566
+rect 4988 8502 5040 8508
+rect 5448 8560 5500 8566
+rect 5448 8502 5500 8508
+rect 4068 7948 4120 7954
+rect 4068 7890 4120 7896
+rect 4620 7948 4672 7954
+rect 4620 7890 4672 7896
+rect 5000 7886 5028 8502
+rect 5264 8492 5316 8498
+rect 5264 8434 5316 8440
+rect 5276 8090 5304 8434
+rect 5264 8084 5316 8090
+rect 5264 8026 5316 8032
+rect 5460 8022 5488 8502
+rect 5448 8016 5500 8022
+rect 5448 7958 5500 7964
+rect 3976 7880 4028 7886
+rect 3976 7822 4028 7828
+rect 4988 7880 5040 7886
+rect 4988 7822 5040 7828
+rect 3790 7440 3846 7449
+rect 3790 7375 3846 7384
+rect 3516 7336 3568 7342
+rect 3516 7278 3568 7284
+rect 3528 7002 3556 7278
+rect 3516 6996 3568 7002
+rect 3516 6938 3568 6944
+rect 3804 6798 3832 7375
+rect 3882 7032 3938 7041
+rect 3988 7002 4016 7822
+rect 5448 7812 5500 7818
+rect 5448 7754 5500 7760
+rect 5460 7410 5488 7754
+rect 5538 7440 5594 7449
+rect 4160 7404 4212 7410
+rect 4160 7346 4212 7352
+rect 5448 7404 5500 7410
+rect 5736 7410 5764 8774
+rect 6196 8498 6224 9318
+rect 6472 9042 6500 9658
+rect 6564 9178 6592 11047
+rect 6656 10062 6684 12106
+rect 6748 11762 6776 12786
+rect 6920 12368 6972 12374
+rect 6920 12310 6972 12316
+rect 6828 12232 6880 12238
+rect 6828 12174 6880 12180
+rect 6840 11898 6868 12174
+rect 6828 11892 6880 11898
+rect 6828 11834 6880 11840
+rect 6736 11756 6788 11762
+rect 6736 11698 6788 11704
+rect 6736 11620 6788 11626
+rect 6736 11562 6788 11568
+rect 6748 11014 6776 11562
+rect 6736 11008 6788 11014
+rect 6736 10950 6788 10956
+rect 6748 10674 6776 10950
+rect 6736 10668 6788 10674
+rect 6736 10610 6788 10616
+rect 6644 10056 6696 10062
+rect 6644 9998 6696 10004
+rect 6748 9994 6776 10610
+rect 6828 10600 6880 10606
+rect 6828 10542 6880 10548
+rect 6840 10062 6868 10542
+rect 6828 10056 6880 10062
+rect 6828 9998 6880 10004
rect 6736 9988 6788 9994
rect 6736 9930 6788 9936
-rect 6276 9648 6328 9654
-rect 6276 9590 6328 9596
-rect 6368 9648 6420 9654
-rect 6368 9590 6420 9596
+rect 6642 9752 6698 9761
+rect 6642 9687 6698 9696
+rect 6656 9586 6684 9687
rect 6748 9586 6776 9930
+rect 6644 9580 6696 9586
+rect 6644 9522 6696 9528
rect 6736 9580 6788 9586
rect 6736 9522 6788 9528
-rect 5448 9512 5500 9518
-rect 5448 9454 5500 9460
-rect 5540 9376 5592 9382
-rect 5540 9318 5592 9324
-rect 5552 9042 5580 9318
-rect 5540 9036 5592 9042
-rect 5540 8978 5592 8984
-rect 6748 8974 6776 9522
-rect 6840 9518 6868 10406
-rect 6932 10266 6960 11018
-rect 7024 10810 7052 12786
-rect 7104 11756 7156 11762
-rect 7104 11698 7156 11704
-rect 7116 11665 7144 11698
-rect 7102 11656 7158 11665
-rect 7102 11591 7158 11600
-rect 7012 10804 7064 10810
-rect 7012 10746 7064 10752
-rect 7196 10668 7248 10674
-rect 7196 10610 7248 10616
-rect 7208 10266 7236 10610
-rect 6920 10260 6972 10266
-rect 6920 10202 6972 10208
+rect 6826 9480 6882 9489
+rect 6826 9415 6828 9424
+rect 6880 9415 6882 9424
+rect 6828 9386 6880 9392
+rect 6644 9376 6696 9382
+rect 6644 9318 6696 9324
+rect 6552 9172 6604 9178
+rect 6552 9114 6604 9120
+rect 6460 9036 6512 9042
+rect 6460 8978 6512 8984
+rect 6552 8968 6604 8974
+rect 6656 8956 6684 9318
+rect 6604 8928 6684 8956
+rect 6552 8910 6604 8916
+rect 6828 8900 6880 8906
+rect 6932 8888 6960 12310
+rect 7024 12170 7052 12854
+rect 7116 12238 7144 12922
+rect 7300 12918 7328 13262
+rect 7288 12912 7340 12918
+rect 7288 12854 7340 12860
+rect 7380 12844 7432 12850
+rect 7380 12786 7432 12792
+rect 7288 12776 7340 12782
+rect 7288 12718 7340 12724
+rect 7104 12232 7156 12238
+rect 7104 12174 7156 12180
+rect 7012 12164 7064 12170
+rect 7012 12106 7064 12112
+rect 7300 11830 7328 12718
+rect 7288 11824 7340 11830
+rect 7288 11766 7340 11772
+rect 7300 11218 7328 11766
+rect 7392 11762 7420 12786
+rect 7380 11756 7432 11762
+rect 7380 11698 7432 11704
+rect 7380 11552 7432 11558
+rect 7380 11494 7432 11500
+rect 7288 11212 7340 11218
+rect 7288 11154 7340 11160
+rect 7012 11144 7064 11150
+rect 7012 11086 7064 11092
+rect 7024 10742 7052 11086
+rect 7288 11076 7340 11082
+rect 7288 11018 7340 11024
+rect 7012 10736 7064 10742
+rect 7012 10678 7064 10684
+rect 7300 10606 7328 11018
+rect 7392 10742 7420 11494
+rect 7380 10736 7432 10742
+rect 7380 10678 7432 10684
+rect 7104 10600 7156 10606
+rect 7024 10560 7104 10588
+rect 7024 9722 7052 10560
+rect 7104 10542 7156 10548
+rect 7288 10600 7340 10606
+rect 7288 10542 7340 10548
+rect 7392 10538 7420 10678
+rect 7380 10532 7432 10538
+rect 7380 10474 7432 10480
+rect 7194 10432 7250 10441
+rect 7194 10367 7250 10376
+rect 7208 10266 7236 10367
rect 7196 10260 7248 10266
rect 7196 10202 7248 10208
rect 7104 10056 7156 10062
rect 7104 9998 7156 10004
-rect 7116 9926 7144 9998
-rect 7104 9920 7156 9926
-rect 7104 9862 7156 9868
-rect 7116 9586 7144 9862
-rect 7104 9580 7156 9586
-rect 7104 9522 7156 9528
-rect 6828 9512 6880 9518
-rect 6828 9454 6880 9460
-rect 7012 9376 7064 9382
-rect 7012 9318 7064 9324
-rect 7024 9110 7052 9318
-rect 7012 9104 7064 9110
-rect 7012 9046 7064 9052
-rect 6736 8968 6788 8974
-rect 6736 8910 6788 8916
-rect 5356 8900 5408 8906
-rect 5356 8842 5408 8848
-rect 5368 8362 5396 8842
-rect 7116 8838 7144 9522
-rect 7208 9518 7236 10202
-rect 7196 9512 7248 9518
-rect 7196 9454 7248 9460
-rect 7208 8974 7236 9454
-rect 7300 9110 7328 13126
-rect 7484 12434 7512 14200
-rect 8024 13388 8076 13394
-rect 8024 13330 8076 13336
-rect 8036 12850 8064 13330
-rect 8588 13274 8616 14200
-rect 9680 13320 9732 13326
-rect 8588 13246 8708 13274
-rect 9680 13262 9732 13268
-rect 8576 13184 8628 13190
-rect 8576 13126 8628 13132
-rect 8214 13084 8522 13104
+rect 7012 9716 7064 9722
+rect 7012 9658 7064 9664
+rect 7024 9042 7052 9658
+rect 7116 9178 7144 9998
+rect 7196 9920 7248 9926
+rect 7196 9862 7248 9868
+rect 7208 9625 7236 9862
+rect 7484 9761 7512 14200
+rect 8116 13728 8168 13734
+rect 8116 13670 8168 13676
+rect 8128 13462 8156 13670
+rect 8116 13456 8168 13462
+rect 8116 13398 8168 13404
+rect 8208 13388 8260 13394
+rect 8208 13330 8260 13336
+rect 7748 13320 7800 13326
+rect 7748 13262 7800 13268
+rect 7656 13184 7708 13190
+rect 7656 13126 7708 13132
+rect 7668 12782 7696 13126
+rect 7760 12850 7788 13262
+rect 7840 13252 7892 13258
+rect 7840 13194 7892 13200
+rect 8116 13252 8168 13258
+rect 8220 13240 8248 13330
+rect 8484 13252 8536 13258
+rect 8220 13212 8484 13240
+rect 8116 13194 8168 13200
+rect 8484 13194 8536 13200
+rect 7852 12986 7880 13194
+rect 7840 12980 7892 12986
+rect 7840 12922 7892 12928
+rect 7748 12844 7800 12850
+rect 7748 12786 7800 12792
+rect 7656 12776 7708 12782
+rect 7656 12718 7708 12724
+rect 7654 12472 7710 12481
+rect 7760 12442 7788 12786
+rect 7654 12407 7656 12416
+rect 7708 12407 7710 12416
+rect 7748 12436 7800 12442
+rect 7656 12378 7708 12384
+rect 7748 12378 7800 12384
+rect 8128 12170 8156 13194
+rect 8214 13084 8522 13093
rect 8214 13082 8220 13084
rect 8276 13082 8300 13084
rect 8356 13082 8380 13084
@@ -13177,25 +14430,22 @@ rect 8276 13028 8300 13030
rect 8356 13028 8380 13030
rect 8436 13028 8460 13030
rect 8516 13028 8522 13030
-rect 8214 13008 8522 13028
-rect 8588 12918 8616 13126
-rect 8576 12912 8628 12918
-rect 8576 12854 8628 12860
-rect 7748 12844 7800 12850
-rect 7748 12786 7800 12792
-rect 8024 12844 8076 12850
-rect 8024 12786 8076 12792
-rect 7392 12406 7512 12434
-rect 7392 9178 7420 12406
-rect 7760 12374 7788 12786
-rect 7748 12368 7800 12374
-rect 7748 12310 7800 12316
-rect 7472 12232 7524 12238
-rect 7472 12174 7524 12180
-rect 7484 11762 7512 12174
-rect 8576 12096 8628 12102
-rect 8576 12038 8628 12044
-rect 8214 11996 8522 12016
+rect 8214 13019 8522 13028
+rect 8484 12912 8536 12918
+rect 8482 12880 8484 12889
+rect 8536 12880 8538 12889
+rect 8482 12815 8538 12824
+rect 8484 12708 8536 12714
+rect 8484 12650 8536 12656
+rect 8116 12164 8168 12170
+rect 8116 12106 8168 12112
+rect 8496 12102 8524 12650
+rect 7748 12096 7800 12102
+rect 7748 12038 7800 12044
+rect 8484 12096 8536 12102
+rect 8484 12038 8536 12044
+rect 7760 11830 7788 12038
+rect 8214 11996 8522 12005
rect 8214 11994 8220 11996
rect 8276 11994 8300 11996
rect 8356 11994 8380 11996
@@ -13208,31 +14458,181 @@ rect 8276 11940 8300 11942
rect 8356 11940 8380 11942
rect 8436 11940 8460 11942
rect 8516 11940 8522 11942
-rect 8214 11920 8522 11940
-rect 8588 11830 8616 12038
-rect 8576 11824 8628 11830
-rect 8576 11766 8628 11772
-rect 7472 11756 7524 11762
-rect 7472 11698 7524 11704
-rect 7484 11150 7512 11698
-rect 8300 11688 8352 11694
-rect 8300 11630 8352 11636
-rect 8312 11354 8340 11630
-rect 7656 11348 7708 11354
-rect 7656 11290 7708 11296
-rect 8300 11348 8352 11354
-rect 8300 11290 8352 11296
-rect 7472 11144 7524 11150
-rect 7472 11086 7524 11092
-rect 7564 11008 7616 11014
-rect 7564 10950 7616 10956
-rect 7576 10674 7604 10950
-rect 7564 10668 7616 10674
-rect 7564 10610 7616 10616
-rect 7668 10606 7696 11290
-rect 8576 11144 8628 11150
-rect 8576 11086 8628 11092
-rect 8214 10908 8522 10928
+rect 8214 11931 8522 11940
+rect 7840 11892 7892 11898
+rect 7840 11834 7892 11840
+rect 8392 11892 8444 11898
+rect 8392 11834 8444 11840
+rect 7748 11824 7800 11830
+rect 7748 11766 7800 11772
+rect 7852 11762 7880 11834
+rect 8404 11801 8432 11834
+rect 8390 11792 8446 11801
+rect 7656 11756 7708 11762
+rect 7656 11698 7708 11704
+rect 7840 11756 7892 11762
+rect 7840 11698 7892 11704
+rect 8208 11756 8260 11762
+rect 8390 11727 8446 11736
+rect 8208 11698 8260 11704
+rect 7668 11529 7696 11698
+rect 7748 11688 7800 11694
+rect 7748 11630 7800 11636
+rect 7654 11520 7710 11529
+rect 7654 11455 7710 11464
+rect 7654 11384 7710 11393
+rect 7654 11319 7710 11328
+rect 7564 11212 7616 11218
+rect 7564 11154 7616 11160
+rect 7576 11121 7604 11154
+rect 7562 11112 7618 11121
+rect 7562 11047 7564 11056
+rect 7616 11047 7618 11056
+rect 7564 11018 7616 11024
+rect 7576 10987 7604 11018
+rect 7668 10674 7696 11319
+rect 7760 11286 7788 11630
+rect 7852 11286 7880 11698
+rect 8220 11626 8248 11698
+rect 8208 11620 8260 11626
+rect 8260 11580 8340 11608
+rect 8208 11562 8260 11568
+rect 8114 11520 8170 11529
+rect 8114 11455 8170 11464
+rect 7748 11280 7800 11286
+rect 7748 11222 7800 11228
+rect 7840 11280 7892 11286
+rect 7840 11222 7892 11228
+rect 8024 11144 8076 11150
+rect 7838 11112 7894 11121
+rect 8024 11086 8076 11092
+rect 7838 11047 7894 11056
+rect 7852 10674 7880 11047
+rect 7932 11008 7984 11014
+rect 7932 10950 7984 10956
+rect 7944 10742 7972 10950
+rect 7932 10736 7984 10742
+rect 7932 10678 7984 10684
+rect 7656 10668 7708 10674
+rect 7656 10610 7708 10616
+rect 7840 10668 7892 10674
+rect 7840 10610 7892 10616
+rect 7668 10130 7696 10610
+rect 7656 10124 7708 10130
+rect 7656 10066 7708 10072
+rect 7840 10124 7892 10130
+rect 7892 10084 7972 10112
+rect 7840 10066 7892 10072
+rect 7564 10056 7616 10062
+rect 7564 9998 7616 10004
+rect 7470 9752 7526 9761
+rect 7470 9687 7472 9696
+rect 7524 9687 7526 9696
+rect 7472 9658 7524 9664
+rect 7484 9627 7512 9658
+rect 7194 9616 7250 9625
+rect 7194 9551 7250 9560
+rect 7196 9512 7248 9518
+rect 7196 9454 7248 9460
+rect 7104 9172 7156 9178
+rect 7104 9114 7156 9120
+rect 7012 9036 7064 9042
+rect 7012 8978 7064 8984
+rect 7116 8974 7144 9114
+rect 7104 8968 7156 8974
+rect 7104 8910 7156 8916
+rect 6880 8860 6960 8888
+rect 6828 8842 6880 8848
+rect 6552 8628 6604 8634
+rect 7208 8616 7236 9454
+rect 7576 9450 7604 9998
+rect 7668 9586 7696 10066
+rect 7748 10056 7800 10062
+rect 7748 9998 7800 10004
+rect 7760 9722 7788 9998
+rect 7840 9920 7892 9926
+rect 7840 9862 7892 9868
+rect 7748 9716 7800 9722
+rect 7748 9658 7800 9664
+rect 7656 9580 7708 9586
+rect 7656 9522 7708 9528
+rect 7852 9518 7880 9862
+rect 7840 9512 7892 9518
+rect 7840 9454 7892 9460
+rect 7564 9444 7616 9450
+rect 7564 9386 7616 9392
+rect 7852 8974 7880 9454
+rect 7944 9382 7972 10084
+rect 8036 9450 8064 11086
+rect 8128 9586 8156 11455
+rect 8312 11218 8340 11580
+rect 8404 11558 8432 11727
+rect 8588 11642 8616 14200
+rect 8668 13524 8720 13530
+rect 8668 13466 8720 13472
+rect 8680 13326 8708 13466
+rect 9036 13456 9088 13462
+rect 8850 13424 8906 13433
+rect 9036 13398 9088 13404
+rect 8850 13359 8906 13368
+rect 8668 13320 8720 13326
+rect 8668 13262 8720 13268
+rect 8760 13320 8812 13326
+rect 8760 13262 8812 13268
+rect 8680 12481 8708 13262
+rect 8772 12850 8800 13262
+rect 8864 12850 8892 13359
+rect 9048 12850 9076 13398
+rect 9680 13184 9732 13190
+rect 9680 13126 9732 13132
+rect 9692 12986 9720 13126
+rect 9680 12980 9732 12986
+rect 9680 12922 9732 12928
+rect 9310 12880 9366 12889
+rect 8760 12844 8812 12850
+rect 8760 12786 8812 12792
+rect 8852 12844 8904 12850
+rect 8852 12786 8904 12792
+rect 9036 12844 9088 12850
+rect 9310 12815 9366 12824
+rect 9036 12786 9088 12792
+rect 8666 12472 8722 12481
+rect 8666 12407 8668 12416
+rect 8720 12407 8722 12416
+rect 8668 12378 8720 12384
+rect 8680 12347 8708 12378
+rect 8864 12306 8892 12786
+rect 8944 12708 8996 12714
+rect 8944 12650 8996 12656
+rect 8852 12300 8904 12306
+rect 8852 12242 8904 12248
+rect 8668 12232 8720 12238
+rect 8720 12180 8800 12186
+rect 8668 12174 8800 12180
+rect 8680 12158 8800 12174
+rect 8668 12096 8720 12102
+rect 8668 12038 8720 12044
+rect 8680 11898 8708 12038
+rect 8668 11892 8720 11898
+rect 8668 11834 8720 11840
+rect 8772 11830 8800 12158
+rect 8850 11928 8906 11937
+rect 8850 11863 8906 11872
+rect 8760 11824 8812 11830
+rect 8760 11766 8812 11772
+rect 8588 11614 8800 11642
+rect 8392 11552 8444 11558
+rect 8392 11494 8444 11500
+rect 8668 11552 8720 11558
+rect 8668 11494 8720 11500
+rect 8680 11354 8708 11494
+rect 8668 11348 8720 11354
+rect 8668 11290 8720 11296
+rect 8576 11280 8628 11286
+rect 8576 11222 8628 11228
+rect 8300 11212 8352 11218
+rect 8300 11154 8352 11160
+rect 8214 10908 8522 10917
rect 8214 10906 8220 10908
rect 8276 10906 8300 10908
rect 8356 10906 8380 10908
@@ -13245,224 +14645,40 @@ rect 8276 10852 8300 10854
rect 8356 10852 8380 10854
rect 8436 10852 8460 10854
rect 8516 10852 8522 10854
-rect 8214 10832 8522 10852
-rect 7840 10804 7892 10810
-rect 7840 10746 7892 10752
-rect 7748 10736 7800 10742
-rect 7748 10678 7800 10684
-rect 7656 10600 7708 10606
-rect 7656 10542 7708 10548
-rect 7668 10282 7696 10542
-rect 7576 10254 7696 10282
-rect 7576 10198 7604 10254
-rect 7472 10192 7524 10198
-rect 7472 10134 7524 10140
-rect 7564 10192 7616 10198
-rect 7564 10134 7616 10140
-rect 7484 10062 7512 10134
-rect 7656 10124 7708 10130
-rect 7656 10066 7708 10072
-rect 7472 10056 7524 10062
-rect 7472 9998 7524 10004
-rect 7484 9674 7512 9998
-rect 7484 9646 7604 9674
-rect 7576 9382 7604 9646
-rect 7564 9376 7616 9382
-rect 7564 9318 7616 9324
-rect 7380 9172 7432 9178
-rect 7380 9114 7432 9120
-rect 7288 9104 7340 9110
-rect 7288 9046 7340 9052
-rect 7196 8968 7248 8974
-rect 7196 8910 7248 8916
-rect 7104 8832 7156 8838
-rect 7104 8774 7156 8780
-rect 5908 8492 5960 8498
-rect 5908 8434 5960 8440
-rect 6552 8492 6604 8498
-rect 6552 8434 6604 8440
-rect 5920 8401 5948 8434
-rect 5906 8392 5962 8401
-rect 5356 8356 5408 8362
-rect 5906 8327 5962 8336
-rect 5356 8298 5408 8304
-rect 5368 8022 5396 8298
-rect 5356 8016 5408 8022
-rect 5356 7958 5408 7964
-rect 5368 7750 5396 7958
-rect 5920 7886 5948 8327
-rect 6460 8288 6512 8294
-rect 6460 8230 6512 8236
-rect 6472 7954 6500 8230
-rect 6564 7954 6592 8434
-rect 6460 7948 6512 7954
-rect 6460 7890 6512 7896
-rect 6552 7948 6604 7954
-rect 6552 7890 6604 7896
-rect 5908 7880 5960 7886
-rect 5908 7822 5960 7828
-rect 5356 7744 5408 7750
-rect 5356 7686 5408 7692
-rect 5264 7472 5316 7478
-rect 5264 7414 5316 7420
-rect 5920 7410 5948 7822
-rect 6736 7812 6788 7818
-rect 6736 7754 6788 7760
-rect 6748 7546 6776 7754
-rect 6736 7540 6788 7546
-rect 6736 7482 6788 7488
-rect 3884 7404 3936 7410
-rect 3884 7346 3936 7352
-rect 4436 7404 4488 7410
-rect 4436 7346 4488 7352
-rect 5908 7404 5960 7410
-rect 5908 7346 5960 7352
-rect 2964 7268 3016 7274
-rect 2964 7210 3016 7216
-rect 3148 7268 3200 7274
-rect 3148 7210 3200 7216
-rect 1676 6996 1728 7002
-rect 1676 6938 1728 6944
-rect 1492 6860 1544 6866
-rect 1492 6802 1544 6808
-rect 2872 6724 2924 6730
-rect 2872 6666 2924 6672
-rect 1400 6656 1452 6662
-rect 1400 6598 1452 6604
-rect 2780 6656 2832 6662
-rect 2780 6598 2832 6604
-rect 1412 6118 1440 6598
-rect 2792 6458 2820 6598
-rect 2780 6452 2832 6458
-rect 2780 6394 2832 6400
-rect 1400 6112 1452 6118
-rect 1398 6080 1400 6089
-rect 2596 6112 2648 6118
-rect 1452 6080 1454 6089
-rect 2596 6054 2648 6060
-rect 1398 6015 1454 6024
-rect 1412 5710 1440 6015
-rect 1768 5840 1820 5846
-rect 1768 5782 1820 5788
-rect 2044 5840 2096 5846
-rect 2044 5782 2096 5788
-rect 1400 5704 1452 5710
-rect 1400 5646 1452 5652
-rect 1492 5568 1544 5574
-rect 1492 5510 1544 5516
-rect 1504 5250 1532 5510
-rect 1504 5234 1624 5250
-rect 1400 5228 1452 5234
-rect 1504 5228 1636 5234
-rect 1504 5222 1584 5228
-rect 1400 5170 1452 5176
-rect 1584 5170 1636 5176
-rect 1412 4758 1440 5170
-rect 1596 5137 1624 5170
-rect 1582 5128 1638 5137
-rect 1582 5063 1638 5072
-rect 1400 4752 1452 4758
-rect 1400 4694 1452 4700
-rect 1596 4622 1624 5063
-rect 1584 4616 1636 4622
-rect 1584 4558 1636 4564
-rect 1596 4282 1624 4558
-rect 1676 4548 1728 4554
-rect 1676 4490 1728 4496
-rect 1584 4276 1636 4282
-rect 1584 4218 1636 4224
-rect 1582 4176 1638 4185
-rect 1688 4162 1716 4490
-rect 1638 4134 1716 4162
-rect 1780 4146 1808 5782
-rect 2056 5710 2084 5782
-rect 2608 5778 2636 6054
-rect 2596 5772 2648 5778
-rect 2596 5714 2648 5720
-rect 2884 5710 2912 6666
-rect 1952 5704 2004 5710
-rect 1952 5646 2004 5652
-rect 2044 5704 2096 5710
-rect 2044 5646 2096 5652
-rect 2872 5704 2924 5710
-rect 2872 5646 2924 5652
-rect 1964 5370 1992 5646
-rect 1952 5364 2004 5370
-rect 1952 5306 2004 5312
-rect 2056 5234 2084 5646
-rect 2780 5636 2832 5642
-rect 2780 5578 2832 5584
-rect 2686 5264 2742 5273
-rect 2044 5228 2096 5234
-rect 2792 5250 2820 5578
-rect 2884 5370 2912 5646
-rect 2872 5364 2924 5370
-rect 2872 5306 2924 5312
-rect 2792 5222 2912 5250
-rect 2686 5199 2688 5208
-rect 2044 5170 2096 5176
-rect 2740 5199 2742 5208
-rect 2688 5170 2740 5176
-rect 2780 5160 2832 5166
-rect 2780 5102 2832 5108
-rect 2412 5024 2464 5030
-rect 2412 4966 2464 4972
-rect 2320 4684 2372 4690
-rect 2320 4626 2372 4632
-rect 2136 4480 2188 4486
-rect 2136 4422 2188 4428
-rect 2148 4146 2176 4422
-rect 2332 4146 2360 4626
-rect 2424 4622 2452 4966
-rect 2792 4826 2820 5102
-rect 2884 5098 2912 5222
-rect 2976 5114 3004 7210
-rect 3160 6798 3188 7210
-rect 3896 7002 3924 7346
-rect 7116 7342 7144 8774
-rect 7392 8634 7420 9114
-rect 7576 8838 7604 9318
-rect 7564 8832 7616 8838
-rect 7564 8774 7616 8780
-rect 7576 8634 7604 8774
-rect 7380 8628 7432 8634
-rect 7380 8570 7432 8576
-rect 7564 8628 7616 8634
-rect 7564 8570 7616 8576
-rect 7380 8424 7432 8430
-rect 7668 8412 7696 10066
-rect 7760 9654 7788 10678
-rect 7852 10266 7880 10746
-rect 8116 10532 8168 10538
-rect 8116 10474 8168 10480
+rect 8214 10843 8522 10852
+rect 8392 10804 8444 10810
+rect 8588 10792 8616 11222
+rect 8668 11212 8720 11218
+rect 8668 11154 8720 11160
+rect 8392 10746 8444 10752
+rect 8496 10764 8616 10792
+rect 8404 10713 8432 10746
+rect 8390 10704 8446 10713
+rect 8208 10668 8260 10674
+rect 8390 10639 8446 10648
+rect 8208 10610 8260 10616
+rect 8220 10198 8248 10610
rect 8392 10532 8444 10538
-rect 8484 10532 8536 10538
-rect 8444 10492 8484 10520
rect 8392 10474 8444 10480
-rect 8484 10474 8536 10480
-rect 7840 10260 7892 10266
-rect 7840 10202 7892 10208
-rect 7748 9648 7800 9654
-rect 7748 9590 7800 9596
-rect 7852 9586 7880 10202
-rect 8128 10198 8156 10474
+rect 8208 10192 8260 10198
+rect 8208 10134 8260 10140
+rect 8404 9926 8432 10474
+rect 8496 10266 8524 10764
+rect 8576 10532 8628 10538
+rect 8576 10474 8628 10480
rect 8484 10260 8536 10266
rect 8484 10202 8536 10208
-rect 8116 10192 8168 10198
-rect 8116 10134 8168 10140
-rect 8024 9920 8076 9926
-rect 8024 9862 8076 9868
-rect 7840 9580 7892 9586
-rect 7840 9522 7892 9528
-rect 7852 8906 7880 9522
-rect 8036 9450 8064 9862
-rect 8024 9444 8076 9450
-rect 8024 9386 8076 9392
-rect 8128 9110 8156 10134
-rect 8496 10130 8524 10202
rect 8484 10124 8536 10130
rect 8484 10066 8536 10072
-rect 8214 9820 8522 9840
+rect 8496 10033 8524 10066
+rect 8588 10062 8616 10474
+rect 8576 10056 8628 10062
+rect 8482 10024 8538 10033
+rect 8576 9998 8628 10004
+rect 8482 9959 8538 9968
+rect 8392 9920 8444 9926
+rect 8392 9862 8444 9868
+rect 8214 9820 8522 9829
rect 8214 9818 8220 9820
rect 8276 9818 8300 9820
rect 8356 9818 8380 9820
@@ -13475,67 +14691,188 @@ rect 8276 9764 8300 9766
rect 8356 9764 8380 9766
rect 8436 9764 8460 9766
rect 8516 9764 8522 9766
-rect 8214 9744 8522 9764
-rect 8298 9616 8354 9625
-rect 8298 9551 8300 9560
-rect 8352 9551 8354 9560
-rect 8300 9522 8352 9528
-rect 8116 9104 8168 9110
-rect 8116 9046 8168 9052
-rect 7840 8900 7892 8906
-rect 7840 8842 7892 8848
-rect 8024 8900 8076 8906
-rect 8024 8842 8076 8848
-rect 7852 8537 7880 8842
-rect 8036 8634 8064 8842
-rect 8214 8732 8522 8752
-rect 8214 8730 8220 8732
-rect 8276 8730 8300 8732
-rect 8356 8730 8380 8732
-rect 8436 8730 8460 8732
-rect 8516 8730 8522 8732
-rect 8276 8678 8278 8730
-rect 8458 8678 8460 8730
-rect 8214 8676 8220 8678
-rect 8276 8676 8300 8678
-rect 8356 8676 8380 8678
-rect 8436 8676 8460 8678
-rect 8516 8676 8522 8678
-rect 8214 8656 8522 8676
-rect 8024 8628 8076 8634
-rect 8024 8570 8076 8576
-rect 7838 8528 7894 8537
-rect 7838 8463 7894 8472
-rect 7932 8492 7984 8498
-rect 7748 8424 7800 8430
-rect 7668 8384 7748 8412
-rect 7380 8366 7432 8372
-rect 7748 8366 7800 8372
-rect 7392 8294 7420 8366
-rect 7380 8288 7432 8294
-rect 7380 8230 7432 8236
-rect 7656 8084 7708 8090
-rect 7656 8026 7708 8032
-rect 7668 7954 7696 8026
-rect 7656 7948 7708 7954
-rect 7656 7890 7708 7896
-rect 7748 7880 7800 7886
-rect 7748 7822 7800 7828
-rect 7564 7744 7616 7750
-rect 7564 7686 7616 7692
-rect 7472 7540 7524 7546
-rect 7472 7482 7524 7488
-rect 7288 7404 7340 7410
-rect 7288 7346 7340 7352
-rect 5080 7336 5132 7342
-rect 5080 7278 5132 7284
-rect 7104 7336 7156 7342
-rect 7104 7278 7156 7284
-rect 7196 7336 7248 7342
-rect 7196 7278 7248 7284
+rect 8214 9755 8522 9764
+rect 8392 9716 8444 9722
+rect 8392 9658 8444 9664
+rect 8116 9580 8168 9586
+rect 8116 9522 8168 9528
+rect 8024 9444 8076 9450
+rect 8024 9386 8076 9392
+rect 7932 9376 7984 9382
+rect 7932 9318 7984 9324
+rect 7840 8968 7892 8974
+rect 7760 8928 7840 8956
+rect 7564 8900 7616 8906
+rect 7564 8842 7616 8848
+rect 7576 8634 7604 8842
+rect 6552 8570 6604 8576
+rect 6932 8588 7236 8616
+rect 7564 8628 7616 8634
+rect 6564 8498 6592 8570
+rect 6828 8560 6880 8566
+rect 6932 8548 6960 8588
+rect 7564 8570 7616 8576
+rect 6880 8520 6960 8548
+rect 6828 8502 6880 8508
+rect 6184 8492 6236 8498
+rect 6184 8434 6236 8440
+rect 6552 8492 6604 8498
+rect 6552 8434 6604 8440
+rect 7012 8492 7064 8498
+rect 7012 8434 7064 8440
+rect 6196 7970 6224 8434
+rect 6920 8424 6972 8430
+rect 6920 8366 6972 8372
+rect 6104 7954 6224 7970
+rect 6092 7948 6224 7954
+rect 6144 7942 6224 7948
+rect 6092 7890 6144 7896
+rect 6828 7880 6880 7886
+rect 6828 7822 6880 7828
+rect 6276 7744 6328 7750
+rect 6276 7686 6328 7692
+rect 6288 7410 6316 7686
+rect 6840 7546 6868 7822
+rect 6828 7540 6880 7546
+rect 6828 7482 6880 7488
+rect 6932 7478 6960 8366
+rect 6736 7472 6788 7478
+rect 6736 7414 6788 7420
+rect 6920 7472 6972 7478
+rect 6920 7414 6972 7420
+rect 5724 7404 5776 7410
+rect 5538 7375 5540 7384
+rect 5448 7346 5500 7352
+rect 5592 7375 5594 7384
+rect 5540 7346 5592 7352
+rect 5644 7364 5724 7392
+rect 4172 7256 4200 7346
+rect 4080 7228 4200 7256
+rect 3882 6967 3938 6976
+rect 3976 6996 4028 7002
+rect 3332 6792 3384 6798
+rect 3792 6792 3844 6798
+rect 3332 6734 3384 6740
+rect 3712 6752 3792 6780
+rect 3056 6452 3108 6458
+rect 3056 6394 3108 6400
+rect 3148 6180 3200 6186
+rect 3148 6122 3200 6128
+rect 3160 5778 3188 6122
+rect 3148 5772 3200 5778
+rect 3148 5714 3200 5720
+rect 3608 5636 3660 5642
+rect 3608 5578 3660 5584
+rect 3332 5568 3384 5574
+rect 3332 5510 3384 5516
+rect 3424 5568 3476 5574
+rect 3424 5510 3476 5516
+rect 3240 5228 3292 5234
+rect 3344 5216 3372 5510
+rect 3292 5188 3372 5216
+rect 3240 5170 3292 5176
+rect 3240 5092 3292 5098
+rect 3160 5052 3240 5080
+rect 3160 3942 3188 5052
+rect 3240 5034 3292 5040
+rect 3240 4820 3292 4826
+rect 3240 4762 3292 4768
+rect 3252 4486 3280 4762
+rect 3344 4622 3372 5188
+rect 3436 5030 3464 5510
+rect 3620 5302 3648 5578
+rect 3608 5296 3660 5302
+rect 3608 5238 3660 5244
+rect 3424 5024 3476 5030
+rect 3424 4966 3476 4972
+rect 3436 4690 3464 4966
+rect 3424 4684 3476 4690
+rect 3424 4626 3476 4632
+rect 3332 4616 3384 4622
+rect 3332 4558 3384 4564
+rect 3240 4480 3292 4486
+rect 3240 4422 3292 4428
+rect 3516 4480 3568 4486
+rect 3516 4422 3568 4428
+rect 3148 3936 3200 3942
+rect 3148 3878 3200 3884
+rect 3252 3398 3280 4422
+rect 3528 4185 3556 4422
+rect 3712 4214 3740 6752
+rect 3792 6734 3844 6740
+rect 3792 5024 3844 5030
+rect 3792 4966 3844 4972
+rect 3804 4622 3832 4966
+rect 3792 4616 3844 4622
+rect 3792 4558 3844 4564
+rect 3700 4208 3752 4214
+rect 3514 4176 3570 4185
+rect 3700 4150 3752 4156
+rect 3514 4111 3516 4120
+rect 3568 4111 3570 4120
+rect 3516 4082 3568 4088
+rect 3424 4004 3476 4010
+rect 3424 3946 3476 3952
+rect 3332 3528 3384 3534
+rect 3332 3470 3384 3476
+rect 3240 3392 3292 3398
+rect 3240 3334 3292 3340
+rect 3344 3126 3372 3470
+rect 3332 3120 3384 3126
+rect 3332 3062 3384 3068
+rect 3240 3052 3292 3058
+rect 3240 2994 3292 3000
+rect 3148 2304 3200 2310
+rect 3148 2246 3200 2252
+rect 3160 2038 3188 2246
+rect 3148 2032 3200 2038
+rect 3148 1974 3200 1980
+rect 2962 1320 3018 1329
+rect 3252 1290 3280 2994
+rect 3436 2582 3464 3946
+rect 3528 3602 3556 4082
+rect 3606 4040 3662 4049
+rect 3606 3975 3662 3984
+rect 3516 3596 3568 3602
+rect 3516 3538 3568 3544
+rect 3424 2576 3476 2582
+rect 3424 2518 3476 2524
+rect 3332 2304 3384 2310
+rect 3332 2246 3384 2252
+rect 3344 1290 3372 2246
+rect 3436 2106 3464 2518
+rect 3620 2514 3648 3975
+rect 3792 2576 3844 2582
+rect 3792 2518 3844 2524
+rect 3608 2508 3660 2514
+rect 3608 2450 3660 2456
+rect 3700 2372 3752 2378
+rect 3700 2314 3752 2320
+rect 3424 2100 3476 2106
+rect 3424 2042 3476 2048
+rect 3436 1494 3464 2042
+rect 3516 1964 3568 1970
+rect 3516 1906 3568 1912
+rect 3424 1488 3476 1494
+rect 3424 1430 3476 1436
+rect 3528 1358 3556 1906
+rect 3712 1426 3740 2314
+rect 3804 1970 3832 2518
+rect 3792 1964 3844 1970
+rect 3792 1906 3844 1912
+rect 3700 1420 3752 1426
+rect 3700 1362 3752 1368
+rect 3896 1358 3924 6967
+rect 3976 6938 4028 6944
+rect 3976 6860 4028 6866
+rect 3976 6802 4028 6808
+rect 3988 6390 4016 6802
+rect 4080 6662 4108 7228
rect 4620 7200 4672 7206
rect 4620 7142 4672 7148
-rect 4214 7100 4522 7120
+rect 5264 7200 5316 7206
+rect 5264 7142 5316 7148
+rect 4214 7100 4522 7109
rect 4214 7098 4220 7100
rect 4276 7098 4300 7100
rect 4356 7098 4380 7100
@@ -13548,201 +14885,46 @@ rect 4276 7044 4300 7046
rect 4356 7044 4380 7046
rect 4436 7044 4460 7046
rect 4516 7044 4522 7046
-rect 3974 7032 4030 7041
-rect 3884 6996 3936 7002
-rect 4214 7024 4522 7044
-rect 3974 6967 4030 6976
-rect 3884 6938 3936 6944
-rect 3988 6798 4016 6967
-rect 3148 6792 3200 6798
-rect 3148 6734 3200 6740
-rect 3700 6792 3752 6798
-rect 3700 6734 3752 6740
-rect 3976 6792 4028 6798
-rect 3976 6734 4028 6740
-rect 3608 6656 3660 6662
-rect 3608 6598 3660 6604
-rect 3424 6248 3476 6254
-rect 3424 6190 3476 6196
-rect 3148 5704 3200 5710
-rect 3148 5646 3200 5652
-rect 3160 5234 3188 5646
-rect 3148 5228 3200 5234
-rect 3148 5170 3200 5176
-rect 2872 5092 2924 5098
-rect 2976 5086 3096 5114
-rect 3436 5098 3464 6190
-rect 3516 5636 3568 5642
-rect 3516 5578 3568 5584
-rect 2872 5034 2924 5040
-rect 2780 4820 2832 4826
-rect 2780 4762 2832 4768
-rect 2412 4616 2464 4622
-rect 2464 4576 2544 4604
-rect 2412 4558 2464 4564
-rect 1768 4140 1820 4146
-rect 1582 4111 1584 4120
-rect 1636 4111 1638 4120
-rect 1584 4082 1636 4088
-rect 1768 4082 1820 4088
-rect 2136 4140 2188 4146
-rect 2136 4082 2188 4088
-rect 2320 4140 2372 4146
-rect 2320 4082 2372 4088
-rect 1596 3738 1624 4082
-rect 1584 3732 1636 3738
-rect 1584 3674 1636 3680
-rect 1582 3224 1638 3233
-rect 1582 3159 1638 3168
-rect 1596 3058 1624 3159
-rect 1584 3052 1636 3058
-rect 1780 3040 1808 4082
-rect 2044 4072 2096 4078
-rect 2332 4026 2360 4082
-rect 2044 4014 2096 4020
-rect 2056 3670 2084 4014
-rect 2240 3998 2360 4026
-rect 2044 3664 2096 3670
-rect 2044 3606 2096 3612
-rect 2136 3596 2188 3602
-rect 2136 3538 2188 3544
-rect 2044 3120 2096 3126
-rect 2148 3108 2176 3538
-rect 2240 3534 2268 3998
-rect 2228 3528 2280 3534
-rect 2228 3470 2280 3476
-rect 2412 3528 2464 3534
-rect 2412 3470 2464 3476
-rect 2096 3080 2176 3108
-rect 2044 3062 2096 3068
-rect 1860 3052 1912 3058
-rect 1780 3012 1860 3040
-rect 1584 2994 1636 3000
-rect 1860 2994 1912 3000
-rect 1596 2650 1624 2994
-rect 1676 2848 1728 2854
-rect 1676 2790 1728 2796
-rect 1584 2644 1636 2650
-rect 1584 2586 1636 2592
-rect 1596 2106 1624 2586
-rect 1688 2446 1716 2790
-rect 2056 2446 2084 3062
-rect 2424 3058 2452 3470
-rect 2516 3466 2544 4576
-rect 2964 4548 3016 4554
-rect 2964 4490 3016 4496
-rect 2596 4140 2648 4146
-rect 2596 4082 2648 4088
-rect 2504 3460 2556 3466
-rect 2504 3402 2556 3408
-rect 2608 3398 2636 4082
-rect 2976 3738 3004 4490
-rect 2964 3732 3016 3738
-rect 2964 3674 3016 3680
-rect 2688 3528 2740 3534
-rect 2688 3470 2740 3476
-rect 2596 3392 2648 3398
-rect 2596 3334 2648 3340
-rect 2608 3194 2636 3334
-rect 2700 3194 2728 3470
-rect 2596 3188 2648 3194
-rect 2596 3130 2648 3136
-rect 2688 3188 2740 3194
-rect 2688 3130 2740 3136
-rect 2700 3074 2728 3130
-rect 2412 3052 2464 3058
-rect 2412 2994 2464 3000
-rect 2608 3046 2728 3074
-rect 2780 3120 2832 3126
-rect 2780 3062 2832 3068
-rect 2228 2644 2280 2650
-rect 2228 2586 2280 2592
-rect 2240 2446 2268 2586
-rect 2424 2582 2452 2994
-rect 2608 2650 2636 3046
-rect 2688 2984 2740 2990
-rect 2688 2926 2740 2932
-rect 2596 2644 2648 2650
-rect 2596 2586 2648 2592
-rect 2412 2576 2464 2582
-rect 2412 2518 2464 2524
-rect 2700 2446 2728 2926
-rect 1676 2440 1728 2446
-rect 1676 2382 1728 2388
-rect 1768 2440 1820 2446
-rect 1768 2382 1820 2388
-rect 2044 2440 2096 2446
-rect 2044 2382 2096 2388
-rect 2228 2440 2280 2446
-rect 2228 2382 2280 2388
-rect 2688 2440 2740 2446
-rect 2688 2382 2740 2388
-rect 1780 2281 1808 2382
-rect 2056 2310 2084 2382
-rect 2044 2304 2096 2310
-rect 1766 2272 1822 2281
-rect 2792 2292 2820 3062
-rect 2872 2848 2924 2854
-rect 2872 2790 2924 2796
-rect 2884 2378 2912 2790
-rect 2976 2650 3004 3674
-rect 2964 2644 3016 2650
-rect 2964 2586 3016 2592
-rect 2872 2372 2924 2378
-rect 2872 2314 2924 2320
-rect 2044 2246 2096 2252
-rect 2700 2264 2820 2292
-rect 1766 2207 1822 2216
-rect 1584 2100 1636 2106
-rect 1584 2042 1636 2048
-rect 1584 1896 1636 1902
-rect 1584 1838 1636 1844
-rect 1596 1358 1624 1838
-rect 1780 1562 1808 2207
-rect 1768 1556 1820 1562
-rect 1768 1498 1820 1504
-rect 2700 1358 2728 2264
-rect 2872 2032 2924 2038
-rect 2872 1974 2924 1980
-rect 2884 1562 2912 1974
-rect 2872 1556 2924 1562
-rect 2872 1498 2924 1504
-rect 1584 1352 1636 1358
-rect 1584 1294 1636 1300
-rect 2688 1352 2740 1358
-rect 3068 1329 3096 5086
-rect 3424 5092 3476 5098
-rect 3424 5034 3476 5040
-rect 3528 4826 3556 5578
-rect 3620 5114 3648 6598
-rect 3712 6118 3740 6734
-rect 4632 6322 4660 7142
-rect 5092 6322 5120 7278
-rect 7012 7268 7064 7274
-rect 7012 7210 7064 7216
-rect 5172 7200 5224 7206
-rect 5172 7142 5224 7148
-rect 5184 7002 5212 7142
-rect 5172 6996 5224 7002
-rect 5172 6938 5224 6944
-rect 5540 6860 5592 6866
-rect 5540 6802 5592 6808
-rect 3884 6316 3936 6322
-rect 3884 6258 3936 6264
-rect 4620 6316 4672 6322
-rect 4620 6258 4672 6264
-rect 5080 6316 5132 6322
-rect 5080 6258 5132 6264
-rect 3700 6112 3752 6118
-rect 3700 6054 3752 6060
-rect 3792 6112 3844 6118
-rect 3792 6054 3844 6060
-rect 3712 5302 3740 6054
-rect 3804 5574 3832 6054
-rect 3792 5568 3844 5574
-rect 3792 5510 3844 5516
-rect 3896 5302 3924 6258
-rect 4214 6012 4522 6032
+rect 4214 7035 4522 7044
+rect 4160 6996 4212 7002
+rect 4160 6938 4212 6944
+rect 4172 6798 4200 6938
+rect 4160 6792 4212 6798
+rect 4160 6734 4212 6740
+rect 4068 6656 4120 6662
+rect 4068 6598 4120 6604
+rect 3976 6384 4028 6390
+rect 3976 6326 4028 6332
+rect 4066 6352 4122 6361
+rect 4066 6287 4122 6296
+rect 4080 6254 4108 6287
+rect 4068 6248 4120 6254
+rect 4068 6190 4120 6196
+rect 4080 5846 4108 6190
+rect 4632 6118 4660 7142
+rect 5276 6798 5304 7142
+rect 5644 7002 5672 7364
+rect 5724 7346 5776 7352
+rect 6276 7404 6328 7410
+rect 6276 7346 6328 7352
+rect 6092 7336 6144 7342
+rect 6092 7278 6144 7284
+rect 5724 7268 5776 7274
+rect 5724 7210 5776 7216
+rect 5632 6996 5684 7002
+rect 5632 6938 5684 6944
+rect 5632 6860 5684 6866
+rect 5632 6802 5684 6808
+rect 5264 6792 5316 6798
+rect 5264 6734 5316 6740
+rect 5540 6452 5592 6458
+rect 5540 6394 5592 6400
+rect 5356 6248 5408 6254
+rect 5356 6190 5408 6196
+rect 4620 6112 4672 6118
+rect 4672 6072 4752 6100
+rect 4620 6054 4672 6060
+rect 4214 6012 4522 6021
rect 4214 6010 4220 6012
rect 4276 6010 4300 6012
rect 4356 6010 4380 6012
@@ -13755,102 +14937,46 @@ rect 4276 5956 4300 5958
rect 4356 5956 4380 5958
rect 4436 5956 4460 5958
rect 4516 5956 4522 5958
-rect 4214 5936 4522 5956
-rect 4160 5636 4212 5642
-rect 4160 5578 4212 5584
-rect 4172 5370 4200 5578
-rect 4436 5568 4488 5574
-rect 4436 5510 4488 5516
-rect 3976 5364 4028 5370
-rect 3976 5306 4028 5312
-rect 4160 5364 4212 5370
-rect 4160 5306 4212 5312
-rect 3700 5296 3752 5302
-rect 3698 5264 3700 5273
-rect 3884 5296 3936 5302
-rect 3752 5264 3754 5273
-rect 3884 5238 3936 5244
-rect 3698 5199 3754 5208
-rect 3620 5086 3740 5114
-rect 3988 5098 4016 5306
-rect 4448 5234 4476 5510
-rect 4436 5228 4488 5234
-rect 4436 5170 4488 5176
-rect 3516 4820 3568 4826
-rect 3516 4762 3568 4768
-rect 3608 4752 3660 4758
-rect 3608 4694 3660 4700
-rect 3516 4684 3568 4690
-rect 3516 4626 3568 4632
-rect 3528 4214 3556 4626
-rect 3620 4554 3648 4694
-rect 3608 4548 3660 4554
-rect 3608 4490 3660 4496
-rect 3516 4208 3568 4214
-rect 3516 4150 3568 4156
-rect 3148 4140 3200 4146
-rect 3148 4082 3200 4088
-rect 3160 3534 3188 4082
-rect 3148 3528 3200 3534
-rect 3148 3470 3200 3476
-rect 3620 3466 3648 4490
-rect 3608 3460 3660 3466
-rect 3608 3402 3660 3408
-rect 3240 3052 3292 3058
-rect 3240 2994 3292 3000
-rect 3252 2854 3280 2994
-rect 3240 2848 3292 2854
-rect 3240 2790 3292 2796
-rect 3516 2372 3568 2378
-rect 3516 2314 3568 2320
-rect 3528 2106 3556 2314
-rect 3516 2100 3568 2106
-rect 3516 2042 3568 2048
-rect 3332 1896 3384 1902
-rect 3332 1838 3384 1844
-rect 3344 1562 3372 1838
-rect 3332 1556 3384 1562
-rect 3332 1498 3384 1504
-rect 3620 1426 3648 3402
-rect 3608 1420 3660 1426
-rect 3608 1362 3660 1368
-rect 2688 1294 2740 1300
-rect 3054 1320 3110 1329
-rect 3054 1255 3110 1264
-rect 1584 1216 1636 1222
-rect 1584 1158 1636 1164
-rect 1596 513 1624 1158
-rect 3712 800 3740 5086
-rect 3792 5092 3844 5098
-rect 3792 5034 3844 5040
-rect 3976 5092 4028 5098
-rect 3976 5034 4028 5040
-rect 3804 4758 3832 5034
-rect 3988 4978 4016 5034
-rect 3988 4950 4108 4978
-rect 3792 4752 3844 4758
-rect 3792 4694 3844 4700
-rect 3792 4616 3844 4622
-rect 3792 4558 3844 4564
-rect 3884 4616 3936 4622
-rect 3884 4558 3936 4564
-rect 3804 4146 3832 4558
-rect 3896 4282 3924 4558
-rect 3976 4480 4028 4486
-rect 3976 4422 4028 4428
-rect 3884 4276 3936 4282
-rect 3884 4218 3936 4224
-rect 3988 4214 4016 4422
-rect 3976 4208 4028 4214
-rect 3976 4150 4028 4156
-rect 3792 4140 3844 4146
-rect 3792 4082 3844 4088
-rect 3988 4078 4016 4150
-rect 3976 4072 4028 4078
-rect 3976 4014 4028 4020
-rect 3988 3738 4016 4014
-rect 4080 3738 4108 4950
-rect 4214 4924 4522 4944
+rect 4214 5947 4522 5956
+rect 4068 5840 4120 5846
+rect 4068 5782 4120 5788
+rect 4620 5772 4672 5778
+rect 4620 5714 4672 5720
+rect 3976 5636 4028 5642
+rect 3976 5578 4028 5584
+rect 4436 5636 4488 5642
+rect 4436 5578 4488 5584
+rect 3988 5250 4016 5578
+rect 4068 5568 4120 5574
+rect 4068 5510 4120 5516
+rect 4080 5370 4108 5510
+rect 4068 5364 4120 5370
+rect 4068 5306 4120 5312
+rect 4448 5302 4476 5578
+rect 4632 5302 4660 5714
+rect 4724 5710 4752 6072
+rect 5368 5914 5396 6190
+rect 5356 5908 5408 5914
+rect 5356 5850 5408 5856
+rect 4712 5704 4764 5710
+rect 4712 5646 4764 5652
+rect 5552 5574 5580 6394
+rect 5540 5568 5592 5574
+rect 5540 5510 5592 5516
+rect 4436 5296 4488 5302
+rect 3988 5234 4108 5250
+rect 4436 5238 4488 5244
+rect 4620 5296 4672 5302
+rect 4620 5238 4672 5244
+rect 5448 5296 5500 5302
+rect 5448 5238 5500 5244
+rect 3988 5228 4120 5234
+rect 3988 5222 4068 5228
+rect 4068 5170 4120 5176
+rect 5356 5228 5408 5234
+rect 5356 5170 5408 5176
+rect 4080 3602 4108 5170
+rect 4214 4924 4522 4933
rect 4214 4922 4220 4924
rect 4276 4922 4300 4924
rect 4356 4922 4380 4924
@@ -13863,53 +14989,35 @@ rect 4276 4868 4300 4870
rect 4356 4868 4380 4870
rect 4436 4868 4460 4870
rect 4516 4868 4522 4870
-rect 4214 4848 4522 4868
-rect 5092 4826 5120 6258
-rect 5552 6254 5580 6802
-rect 5816 6724 5868 6730
-rect 5816 6666 5868 6672
-rect 5828 6458 5856 6666
-rect 5816 6452 5868 6458
-rect 5816 6394 5868 6400
-rect 7024 6254 7052 7210
-rect 7208 7002 7236 7278
-rect 7300 7002 7328 7346
-rect 7196 6996 7248 7002
-rect 7196 6938 7248 6944
-rect 7288 6996 7340 7002
-rect 7288 6938 7340 6944
-rect 5540 6248 5592 6254
-rect 5540 6190 5592 6196
-rect 7012 6248 7064 6254
-rect 7012 6190 7064 6196
-rect 5172 6112 5224 6118
-rect 5172 6054 5224 6060
-rect 5184 5642 5212 6054
-rect 5552 5778 5580 6190
-rect 6644 6112 6696 6118
-rect 6644 6054 6696 6060
-rect 5540 5772 5592 5778
-rect 5540 5714 5592 5720
-rect 5172 5636 5224 5642
-rect 5172 5578 5224 5584
-rect 5632 5568 5684 5574
-rect 5632 5510 5684 5516
-rect 5172 5228 5224 5234
-rect 5172 5170 5224 5176
-rect 5540 5228 5592 5234
-rect 5540 5170 5592 5176
-rect 5080 4820 5132 4826
-rect 5080 4762 5132 4768
-rect 4804 4752 4856 4758
-rect 4804 4694 4856 4700
-rect 4620 4480 4672 4486
-rect 4620 4422 4672 4428
-rect 4632 4214 4660 4422
-rect 4620 4208 4672 4214
-rect 4620 4150 4672 4156
-rect 4620 3936 4672 3942
-rect 4620 3878 4672 3884
-rect 4214 3836 4522 3856
+rect 4214 4859 4522 4868
+rect 4252 4616 4304 4622
+rect 4252 4558 4304 4564
+rect 4264 4146 4292 4558
+rect 4528 4480 4580 4486
+rect 4528 4422 4580 4428
+rect 4540 4146 4568 4422
+rect 5368 4282 5396 5170
+rect 4712 4276 4764 4282
+rect 4712 4218 4764 4224
+rect 5356 4276 5408 4282
+rect 5356 4218 5408 4224
+rect 4252 4140 4304 4146
+rect 4252 4082 4304 4088
+rect 4528 4140 4580 4146
+rect 4724 4128 4752 4218
+rect 4580 4100 4752 4128
+rect 4816 4134 5028 4162
+rect 4528 4082 4580 4088
+rect 4816 4010 4844 4134
+rect 4896 4072 4948 4078
+rect 4894 4040 4896 4049
+rect 4948 4040 4950 4049
+rect 4804 4004 4856 4010
+rect 5000 4010 5028 4134
+rect 4894 3975 4950 3984
+rect 4988 4004 5040 4010
+rect 4804 3946 4856 3952
+rect 4214 3836 4522 3845
rect 4214 3834 4220 3836
rect 4276 3834 4300 3836
rect 4356 3834 4380 3836
@@ -13922,44 +15030,29 @@ rect 4276 3780 4300 3782
rect 4356 3780 4380 3782
rect 4436 3780 4460 3782
rect 4516 3780 4522 3782
-rect 4214 3760 4522 3780
-rect 3976 3732 4028 3738
-rect 3976 3674 4028 3680
-rect 4068 3732 4120 3738
-rect 4068 3674 4120 3680
-rect 4080 3534 4108 3674
-rect 3884 3528 3936 3534
-rect 3884 3470 3936 3476
-rect 4068 3528 4120 3534
-rect 4068 3470 4120 3476
-rect 3792 2440 3844 2446
-rect 3792 2382 3844 2388
-rect 3804 1970 3832 2382
-rect 3792 1964 3844 1970
-rect 3792 1906 3844 1912
-rect 3804 1222 3832 1906
-rect 3896 1358 3924 3470
-rect 3976 3052 4028 3058
-rect 3976 2994 4028 3000
-rect 3988 2961 4016 2994
-rect 3974 2952 4030 2961
-rect 4030 2910 4108 2938
-rect 4632 2922 4660 3878
-rect 4712 3392 4764 3398
-rect 4712 3334 4764 3340
-rect 4724 2922 4752 3334
-rect 3974 2887 4030 2896
-rect 3976 2848 4028 2854
-rect 3976 2790 4028 2796
-rect 3988 2650 4016 2790
-rect 3976 2644 4028 2650
-rect 3976 2586 4028 2592
-rect 4080 2514 4108 2910
-rect 4620 2916 4672 2922
-rect 4620 2858 4672 2864
-rect 4712 2916 4764 2922
-rect 4712 2858 4764 2864
-rect 4214 2748 4522 2768
+rect 4214 3771 4522 3780
+rect 4068 3596 4120 3602
+rect 4068 3538 4120 3544
+rect 4344 3528 4396 3534
+rect 4344 3470 4396 3476
+rect 4436 3528 4488 3534
+rect 4436 3470 4488 3476
+rect 4712 3528 4764 3534
+rect 4712 3470 4764 3476
+rect 4356 2990 4384 3470
+rect 4448 3058 4476 3470
+rect 4724 3194 4752 3470
+rect 4712 3188 4764 3194
+rect 4712 3130 4764 3136
+rect 4436 3052 4488 3058
+rect 4436 2994 4488 3000
+rect 4712 3052 4764 3058
+rect 4712 2994 4764 3000
+rect 4344 2984 4396 2990
+rect 4344 2926 4396 2932
+rect 4620 2984 4672 2990
+rect 4620 2926 4672 2932
+rect 4214 2748 4522 2757
rect 4214 2746 4220 2748
rect 4276 2746 4300 2748
rect 4356 2746 4380 2748
@@ -13972,90 +15065,32 @@ rect 4276 2692 4300 2694
rect 4356 2692 4380 2694
rect 4436 2692 4460 2694
rect 4516 2692 4522 2694
-rect 4214 2672 4522 2692
-rect 4816 2650 4844 4694
-rect 5092 3466 5120 4762
-rect 5184 4758 5212 5170
-rect 5356 5160 5408 5166
-rect 5356 5102 5408 5108
-rect 5172 4752 5224 4758
-rect 5172 4694 5224 4700
-rect 5184 4622 5212 4694
-rect 5172 4616 5224 4622
-rect 5368 4570 5396 5102
-rect 5172 4558 5224 4564
-rect 5276 4554 5396 4570
-rect 5552 4554 5580 5170
-rect 5644 4758 5672 5510
-rect 5908 4820 5960 4826
-rect 5908 4762 5960 4768
-rect 5632 4752 5684 4758
-rect 5632 4694 5684 4700
-rect 5724 4684 5776 4690
-rect 5724 4626 5776 4632
-rect 5264 4548 5396 4554
-rect 5316 4542 5396 4548
-rect 5540 4548 5592 4554
-rect 5264 4490 5316 4496
-rect 5540 4490 5592 4496
-rect 4896 3460 4948 3466
-rect 4896 3402 4948 3408
-rect 5080 3460 5132 3466
-rect 5080 3402 5132 3408
-rect 4908 3194 4936 3402
-rect 5276 3398 5304 4490
-rect 5552 3738 5580 4490
-rect 5356 3732 5408 3738
-rect 5356 3674 5408 3680
-rect 5540 3732 5592 3738
-rect 5540 3674 5592 3680
-rect 5368 3466 5396 3674
-rect 5356 3460 5408 3466
-rect 5356 3402 5408 3408
-rect 5264 3392 5316 3398
-rect 5264 3334 5316 3340
-rect 5368 3194 5396 3402
-rect 4896 3188 4948 3194
-rect 4896 3130 4948 3136
-rect 4988 3188 5040 3194
-rect 4988 3130 5040 3136
-rect 5356 3188 5408 3194
-rect 5408 3148 5580 3176
-rect 5356 3130 5408 3136
-rect 5000 2854 5028 3130
-rect 5264 3052 5316 3058
-rect 5092 3012 5264 3040
-rect 4988 2848 5040 2854
-rect 4988 2790 5040 2796
-rect 4804 2644 4856 2650
-rect 4804 2586 4856 2592
-rect 5092 2582 5120 3012
-rect 5264 2994 5316 3000
-rect 5356 3052 5408 3058
-rect 5356 2994 5408 3000
-rect 5080 2576 5132 2582
-rect 5080 2518 5132 2524
-rect 4068 2508 4120 2514
-rect 4068 2450 4120 2456
-rect 4620 2440 4672 2446
-rect 4620 2382 4672 2388
-rect 4252 2304 4304 2310
-rect 4252 2246 4304 2252
-rect 4264 1970 4292 2246
-rect 4252 1964 4304 1970
-rect 4252 1906 4304 1912
-rect 4264 1850 4292 1906
-rect 4080 1822 4292 1850
-rect 4080 1766 4108 1822
-rect 4632 1766 4660 2382
-rect 4896 2372 4948 2378
-rect 4896 2314 4948 2320
-rect 4068 1760 4120 1766
-rect 4068 1702 4120 1708
-rect 4620 1760 4672 1766
-rect 4620 1702 4672 1708
-rect 4080 1442 4108 1702
-rect 4214 1660 4522 1680
+rect 4214 2683 4522 2692
+rect 4632 2514 4660 2926
+rect 4724 2650 4752 2994
+rect 4712 2644 4764 2650
+rect 4712 2586 4764 2592
+rect 4620 2508 4672 2514
+rect 4620 2450 4672 2456
+rect 4620 2032 4672 2038
+rect 4620 1974 4672 1980
+rect 4068 1896 4120 1902
+rect 4068 1838 4120 1844
+rect 3516 1352 3568 1358
+rect 3516 1294 3568 1300
+rect 3884 1352 3936 1358
+rect 3884 1294 3936 1300
+rect 2962 1255 3018 1264
+rect 3240 1284 3292 1290
+rect 3240 1226 3292 1232
+rect 3332 1284 3384 1290
+rect 3332 1226 3384 1232
+rect 3700 1216 3752 1222
+rect 3700 1158 3752 1164
+rect 3712 800 3740 1158
+rect 3896 1018 3924 1294
+rect 4080 1170 4108 1838
+rect 4214 1660 4522 1669
rect 4214 1658 4220 1660
rect 4276 1658 4300 1660
rect 4356 1658 4380 1660
@@ -14068,298 +15103,435 @@ rect 4276 1604 4300 1606
rect 4356 1604 4380 1606
rect 4436 1604 4460 1606
rect 4516 1604 4522 1606
-rect 4214 1584 4522 1604
-rect 4632 1494 4660 1702
+rect 4214 1595 4522 1604
+rect 4436 1556 4488 1562
+rect 4436 1498 4488 1504
rect 4252 1488 4304 1494
-rect 4080 1414 4200 1442
rect 4252 1430 4304 1436
-rect 4620 1488 4672 1494
-rect 4620 1430 4672 1436
-rect 4172 1358 4200 1414
-rect 3884 1352 3936 1358
-rect 3884 1294 3936 1300
-rect 4160 1352 4212 1358
-rect 4160 1294 4212 1300
-rect 4264 1290 4292 1430
-rect 4908 1426 4936 2314
-rect 5264 2032 5316 2038
-rect 5264 1974 5316 1980
-rect 4896 1420 4948 1426
-rect 4896 1362 4948 1368
-rect 4252 1284 4304 1290
-rect 4252 1226 4304 1232
-rect 5276 1222 5304 1974
-rect 5368 1562 5396 2994
-rect 5448 2576 5500 2582
-rect 5448 2518 5500 2524
-rect 5356 1556 5408 1562
-rect 5356 1498 5408 1504
-rect 5460 1494 5488 2518
-rect 5552 2446 5580 3148
-rect 5736 3058 5764 4626
-rect 5920 4622 5948 4762
-rect 6000 4752 6052 4758
-rect 6000 4694 6052 4700
+rect 4264 1358 4292 1430
+rect 4448 1358 4476 1498
+rect 4632 1358 4660 1974
+rect 4908 1426 4936 3975
+rect 4988 3946 5040 3952
+rect 5368 3534 5396 4218
+rect 5460 4146 5488 5238
+rect 5644 4826 5672 6802
+rect 5736 6322 5764 7210
+rect 6104 6458 6132 7278
+rect 6460 6860 6512 6866
+rect 6460 6802 6512 6808
+rect 6092 6452 6144 6458
+rect 6092 6394 6144 6400
+rect 5724 6316 5776 6322
+rect 5724 6258 5776 6264
+rect 5724 5908 5776 5914
+rect 6104 5896 6132 6394
+rect 6472 6322 6500 6802
+rect 6552 6724 6604 6730
+rect 6552 6666 6604 6672
+rect 6564 6458 6592 6666
+rect 6552 6452 6604 6458
+rect 6552 6394 6604 6400
+rect 6460 6316 6512 6322
+rect 6460 6258 6512 6264
+rect 6748 6254 6776 7414
+rect 7024 7342 7052 8434
+rect 7196 7948 7248 7954
+rect 7196 7890 7248 7896
+rect 7208 7410 7236 7890
+rect 7380 7744 7432 7750
+rect 7380 7686 7432 7692
+rect 7196 7404 7248 7410
+rect 7196 7346 7248 7352
+rect 7012 7336 7064 7342
+rect 7012 7278 7064 7284
+rect 6920 6860 6972 6866
+rect 6920 6802 6972 6808
+rect 6932 6390 6960 6802
+rect 7208 6798 7236 7346
+rect 7392 7002 7420 7686
+rect 7472 7540 7524 7546
+rect 7472 7482 7524 7488
+rect 7380 6996 7432 7002
+rect 7380 6938 7432 6944
+rect 7196 6792 7248 6798
+rect 7196 6734 7248 6740
+rect 7484 6390 7512 7482
+rect 7576 7478 7604 8570
+rect 7656 8288 7708 8294
+rect 7656 8230 7708 8236
+rect 7668 7886 7696 8230
+rect 7760 7954 7788 8928
+rect 7840 8910 7892 8916
+rect 7840 8492 7892 8498
+rect 7840 8434 7892 8440
+rect 7852 8090 7880 8434
+rect 7840 8084 7892 8090
+rect 7840 8026 7892 8032
+rect 7748 7948 7800 7954
+rect 7748 7890 7800 7896
+rect 7656 7880 7708 7886
+rect 7656 7822 7708 7828
+rect 7564 7472 7616 7478
+rect 7564 7414 7616 7420
+rect 7760 6882 7788 7890
+rect 7852 6934 7880 8026
+rect 7668 6866 7788 6882
+rect 7840 6928 7892 6934
+rect 7840 6870 7892 6876
+rect 7656 6860 7788 6866
+rect 7708 6854 7788 6860
+rect 7656 6802 7708 6808
+rect 7748 6724 7800 6730
+rect 7748 6666 7800 6672
+rect 6920 6384 6972 6390
+rect 6920 6326 6972 6332
+rect 7472 6384 7524 6390
+rect 7472 6326 7524 6332
+rect 6736 6248 6788 6254
+rect 6736 6190 6788 6196
+rect 5724 5850 5776 5856
+rect 5920 5868 6132 5896
+rect 5632 4820 5684 4826
+rect 5632 4762 5684 4768
+rect 5644 4690 5672 4762
+rect 5632 4684 5684 4690
+rect 5632 4626 5684 4632
+rect 5736 4622 5764 5850
+rect 5920 5710 5948 5868
+rect 6000 5772 6052 5778
+rect 6000 5714 6052 5720
+rect 5908 5704 5960 5710
+rect 5908 5646 5960 5652
+rect 5920 5302 5948 5646
+rect 5908 5296 5960 5302
+rect 5908 5238 5960 5244
+rect 6012 5234 6040 5714
+rect 6184 5704 6236 5710
+rect 6184 5646 6236 5652
+rect 6092 5636 6144 5642
+rect 6092 5578 6144 5584
+rect 6000 5228 6052 5234
+rect 6000 5170 6052 5176
+rect 5908 5024 5960 5030
+rect 5908 4966 5960 4972
+rect 5920 4622 5948 4966
+rect 6104 4622 6132 5578
+rect 6196 4758 6224 5646
+rect 6644 4820 6696 4826
+rect 6644 4762 6696 4768
+rect 6184 4752 6236 4758
+rect 6184 4694 6236 4700
+rect 5724 4616 5776 4622
+rect 5724 4558 5776 4564
rect 5908 4616 5960 4622
rect 5908 4558 5960 4564
-rect 5908 4276 5960 4282
-rect 5908 4218 5960 4224
-rect 5816 3936 5868 3942
-rect 5816 3878 5868 3884
-rect 5724 3052 5776 3058
-rect 5644 3012 5724 3040
-rect 5644 2514 5672 3012
-rect 5724 2994 5776 3000
-rect 5724 2848 5776 2854
-rect 5724 2790 5776 2796
-rect 5632 2508 5684 2514
-rect 5632 2450 5684 2456
-rect 5540 2440 5592 2446
-rect 5540 2382 5592 2388
-rect 5644 1562 5672 2450
-rect 5736 2038 5764 2790
-rect 5828 2650 5856 3878
-rect 5920 3602 5948 4218
-rect 5908 3596 5960 3602
-rect 5908 3538 5960 3544
-rect 5816 2644 5868 2650
-rect 5816 2586 5868 2592
-rect 5920 2258 5948 3538
-rect 6012 3534 6040 4694
-rect 6460 4616 6512 4622
-rect 6512 4576 6592 4604
-rect 6460 4558 6512 4564
-rect 6092 4480 6144 4486
-rect 6092 4422 6144 4428
-rect 6460 4480 6512 4486
-rect 6460 4422 6512 4428
-rect 6104 4214 6132 4422
-rect 6092 4208 6144 4214
-rect 6092 4150 6144 4156
-rect 6472 4146 6500 4422
-rect 6564 4214 6592 4576
-rect 6656 4486 6684 6054
-rect 7196 5908 7248 5914
-rect 7196 5850 7248 5856
-rect 6828 5228 6880 5234
-rect 6828 5170 6880 5176
-rect 6840 4826 6868 5170
-rect 6828 4820 6880 4826
-rect 6828 4762 6880 4768
-rect 7208 4622 7236 5850
-rect 7484 5710 7512 7482
-rect 7576 6934 7604 7686
-rect 7656 7404 7708 7410
-rect 7656 7346 7708 7352
-rect 7564 6928 7616 6934
-rect 7564 6870 7616 6876
-rect 7668 6882 7696 7346
-rect 7760 7206 7788 7822
-rect 7748 7200 7800 7206
-rect 7748 7142 7800 7148
-rect 7576 6798 7604 6870
-rect 7668 6866 7788 6882
-rect 7668 6860 7800 6866
-rect 7668 6854 7748 6860
-rect 7564 6792 7616 6798
-rect 7564 6734 7616 6740
-rect 7668 6186 7696 6854
-rect 7748 6802 7800 6808
-rect 7656 6180 7708 6186
-rect 7656 6122 7708 6128
-rect 7668 5914 7696 6122
-rect 7656 5908 7708 5914
-rect 7656 5850 7708 5856
-rect 7852 5778 7880 8463
-rect 7932 8434 7984 8440
-rect 7944 7342 7972 8434
-rect 8036 7954 8064 8570
-rect 8208 8560 8260 8566
-rect 8206 8528 8208 8537
-rect 8260 8528 8262 8537
-rect 8588 8514 8616 11086
-rect 8680 10810 8708 13246
-rect 9404 13252 9456 13258
-rect 9404 13194 9456 13200
-rect 9416 12986 9444 13194
-rect 9404 12980 9456 12986
-rect 9404 12922 9456 12928
-rect 9692 12850 9720 13262
-rect 9680 12844 9732 12850
-rect 9680 12786 9732 12792
-rect 9692 12442 9720 12786
-rect 9680 12436 9732 12442
-rect 9680 12378 9732 12384
-rect 9036 12096 9088 12102
-rect 9036 12038 9088 12044
-rect 9588 12096 9640 12102
-rect 9588 12038 9640 12044
-rect 8944 11620 8996 11626
-rect 8944 11562 8996 11568
-rect 8956 11354 8984 11562
-rect 8944 11348 8996 11354
-rect 8944 11290 8996 11296
-rect 8852 11212 8904 11218
-rect 8852 11154 8904 11160
-rect 8668 10804 8720 10810
-rect 8668 10746 8720 10752
-rect 8680 9994 8708 10746
-rect 8864 10470 8892 11154
-rect 8852 10464 8904 10470
-rect 8852 10406 8904 10412
-rect 8864 10266 8892 10406
-rect 8852 10260 8904 10266
-rect 8852 10202 8904 10208
-rect 8668 9988 8720 9994
-rect 8668 9930 8720 9936
-rect 8760 9988 8812 9994
-rect 8760 9930 8812 9936
-rect 8772 9625 8800 9930
-rect 9048 9654 9076 12038
-rect 9600 11694 9628 12038
-rect 9784 11914 9812 14200
-rect 10322 13424 10378 13433
-rect 10888 13394 10916 14200
-rect 11520 13728 11572 13734
-rect 11520 13670 11572 13676
-rect 11532 13462 11560 13670
-rect 12084 13530 12112 14200
-rect 12214 13628 12522 13648
-rect 12214 13626 12220 13628
-rect 12276 13626 12300 13628
-rect 12356 13626 12380 13628
-rect 12436 13626 12460 13628
-rect 12516 13626 12522 13628
-rect 12276 13574 12278 13626
-rect 12458 13574 12460 13626
-rect 12214 13572 12220 13574
-rect 12276 13572 12300 13574
-rect 12356 13572 12380 13574
-rect 12436 13572 12460 13574
-rect 12516 13572 12522 13574
-rect 12214 13552 12522 13572
-rect 12072 13524 12124 13530
-rect 12072 13466 12124 13472
-rect 11520 13456 11572 13462
-rect 11520 13398 11572 13404
-rect 10322 13359 10378 13368
-rect 10876 13388 10928 13394
-rect 9864 13252 9916 13258
-rect 9864 13194 9916 13200
+rect 6092 4616 6144 4622
+rect 6092 4558 6144 4564
+rect 5540 4480 5592 4486
+rect 5540 4422 5592 4428
+rect 5552 4282 5580 4422
+rect 5736 4298 5764 4558
+rect 5540 4276 5592 4282
+rect 5540 4218 5592 4224
+rect 5644 4270 5764 4298
+rect 6104 4282 6132 4558
+rect 6092 4276 6144 4282
+rect 5448 4140 5500 4146
+rect 5448 4082 5500 4088
+rect 5356 3528 5408 3534
+rect 5356 3470 5408 3476
+rect 5460 3466 5488 4082
+rect 5644 4049 5672 4270
+rect 6092 4218 6144 4224
+rect 5724 4208 5776 4214
+rect 5724 4150 5776 4156
+rect 5630 4040 5686 4049
+rect 5630 3975 5686 3984
+rect 5736 3534 5764 4150
+rect 5724 3528 5776 3534
+rect 5724 3470 5776 3476
+rect 5448 3460 5500 3466
+rect 5448 3402 5500 3408
+rect 5460 3194 5488 3402
+rect 5448 3188 5500 3194
+rect 5448 3130 5500 3136
+rect 5356 3052 5408 3058
+rect 5356 2994 5408 3000
+rect 5448 3052 5500 3058
+rect 5448 2994 5500 3000
+rect 5368 2446 5396 2994
+rect 5460 2446 5488 2994
+rect 6196 2854 6224 4694
+rect 6656 4282 6684 4762
+rect 6748 4622 6776 6190
+rect 6828 4684 6880 4690
+rect 6932 4672 6960 6326
+rect 7760 6254 7788 6666
+rect 7944 6322 7972 9318
+rect 8036 9178 8064 9386
+rect 8404 9178 8432 9658
+rect 8484 9580 8536 9586
+rect 8484 9522 8536 9528
+rect 8496 9353 8524 9522
+rect 8482 9344 8538 9353
+rect 8482 9279 8538 9288
+rect 8024 9172 8076 9178
+rect 8024 9114 8076 9120
+rect 8392 9172 8444 9178
+rect 8392 9114 8444 9120
+rect 8588 8974 8616 9998
+rect 8680 9110 8708 11154
+rect 8772 11121 8800 11614
+rect 8864 11354 8892 11863
+rect 8852 11348 8904 11354
+rect 8852 11290 8904 11296
+rect 8758 11112 8814 11121
+rect 8758 11047 8814 11056
+rect 8772 10742 8800 11047
+rect 8850 10976 8906 10985
+rect 8850 10911 8906 10920
+rect 8760 10736 8812 10742
+rect 8760 10678 8812 10684
+rect 8864 9722 8892 10911
+rect 8956 10810 8984 12650
+rect 9048 12306 9076 12786
+rect 9218 12336 9274 12345
+rect 9036 12300 9088 12306
+rect 9218 12271 9274 12280
+rect 9036 12242 9088 12248
+rect 9232 12170 9260 12271
+rect 9324 12238 9352 12815
+rect 9496 12436 9548 12442
+rect 9496 12378 9548 12384
+rect 9312 12232 9364 12238
+rect 9312 12174 9364 12180
+rect 9128 12164 9180 12170
+rect 9128 12106 9180 12112
+rect 9220 12164 9272 12170
+rect 9220 12106 9272 12112
+rect 9140 11744 9168 12106
+rect 9140 11716 9260 11744
+rect 9232 11626 9260 11716
+rect 9220 11620 9272 11626
+rect 9220 11562 9272 11568
+rect 9036 11552 9088 11558
+rect 9036 11494 9088 11500
+rect 9048 11336 9076 11494
+rect 9232 11393 9260 11562
+rect 9218 11384 9274 11393
+rect 9128 11348 9180 11354
+rect 9048 11308 9128 11336
+rect 9324 11354 9352 12174
+rect 9508 11762 9536 12378
+rect 9586 12200 9642 12209
+rect 9586 12135 9642 12144
+rect 9600 11830 9628 12135
+rect 9588 11824 9640 11830
+rect 9588 11766 9640 11772
+rect 9496 11756 9548 11762
+rect 9496 11698 9548 11704
+rect 9680 11756 9732 11762
+rect 9680 11698 9732 11704
+rect 9404 11688 9456 11694
+rect 9404 11630 9456 11636
+rect 9494 11656 9550 11665
+rect 9218 11319 9274 11328
+rect 9312 11348 9364 11354
+rect 9128 11290 9180 11296
+rect 9312 11290 9364 11296
+rect 9128 11212 9180 11218
+rect 9312 11212 9364 11218
+rect 9180 11172 9312 11200
+rect 9128 11154 9180 11160
+rect 9416 11200 9444 11630
+rect 9494 11591 9550 11600
+rect 9508 11558 9536 11591
+rect 9496 11552 9548 11558
+rect 9496 11494 9548 11500
+rect 9586 11520 9642 11529
+rect 9586 11455 9642 11464
+rect 9364 11172 9444 11200
+rect 9312 11154 9364 11160
+rect 9600 11150 9628 11455
+rect 9692 11150 9720 11698
+rect 9784 11354 9812 14200
+rect 10600 13320 10652 13326
+rect 10600 13262 10652 13268
rect 10048 13252 10100 13258
rect 10048 13194 10100 13200
-rect 10140 13252 10192 13258
-rect 10140 13194 10192 13200
-rect 9692 11886 9812 11914
-rect 9692 11694 9720 11886
-rect 9876 11778 9904 13194
-rect 10060 12918 10088 13194
-rect 10048 12912 10100 12918
-rect 10048 12854 10100 12860
-rect 9956 12776 10008 12782
-rect 9956 12718 10008 12724
-rect 9968 12238 9996 12718
-rect 9956 12232 10008 12238
-rect 9956 12174 10008 12180
-rect 10060 11898 10088 12854
-rect 10152 12442 10180 13194
-rect 10336 12442 10364 13359
-rect 10876 13330 10928 13336
-rect 11060 13320 11112 13326
-rect 11060 13262 11112 13268
-rect 12348 13320 12400 13326
-rect 12348 13262 12400 13268
-rect 10600 13184 10652 13190
-rect 10600 13126 10652 13132
-rect 10140 12436 10192 12442
-rect 10140 12378 10192 12384
-rect 10324 12436 10376 12442
-rect 10324 12378 10376 12384
-rect 10324 12164 10376 12170
-rect 10324 12106 10376 12112
-rect 10048 11892 10100 11898
-rect 10048 11834 10100 11840
-rect 10336 11880 10364 12106
-rect 10416 11892 10468 11898
-rect 10336 11852 10416 11880
-rect 10140 11824 10192 11830
-rect 9876 11762 9996 11778
-rect 10140 11766 10192 11772
-rect 9876 11756 10008 11762
-rect 9876 11750 9956 11756
+rect 9864 13184 9916 13190
+rect 9864 13126 9916 13132
+rect 9956 13184 10008 13190
+rect 9956 13126 10008 13132
+rect 9876 12918 9904 13126
+rect 9864 12912 9916 12918
+rect 9864 12854 9916 12860
+rect 9864 12708 9916 12714
+rect 9864 12650 9916 12656
+rect 9876 12238 9904 12650
+rect 9968 12306 9996 13126
+rect 9956 12300 10008 12306
+rect 9956 12242 10008 12248
+rect 9864 12232 9916 12238
+rect 9864 12174 9916 12180
+rect 9862 11792 9918 11801
+rect 9862 11727 9864 11736
+rect 9916 11727 9918 11736
+rect 9956 11756 10008 11762
+rect 9864 11698 9916 11704
rect 9956 11698 10008 11704
-rect 9588 11688 9640 11694
-rect 9588 11630 9640 11636
-rect 9680 11688 9732 11694
-rect 9680 11630 9732 11636
-rect 9864 11688 9916 11694
-rect 9864 11630 9916 11636
-rect 9772 11552 9824 11558
-rect 9772 11494 9824 11500
-rect 9680 11348 9732 11354
-rect 9680 11290 9732 11296
-rect 9692 10674 9720 11290
-rect 9680 10668 9732 10674
-rect 9680 10610 9732 10616
-rect 9680 10464 9732 10470
-rect 9680 10406 9732 10412
-rect 9692 10062 9720 10406
-rect 9496 10056 9548 10062
-rect 9496 9998 9548 10004
-rect 9680 10056 9732 10062
-rect 9680 9998 9732 10004
-rect 9508 9722 9536 9998
-rect 9496 9716 9548 9722
-rect 9496 9658 9548 9664
-rect 9036 9648 9088 9654
-rect 8758 9616 8814 9625
-rect 9036 9590 9088 9596
-rect 8758 9551 8814 9560
-rect 8944 9580 8996 9586
-rect 8944 9522 8996 9528
-rect 9220 9580 9272 9586
-rect 9220 9522 9272 9528
-rect 8852 9444 8904 9450
-rect 8852 9386 8904 9392
-rect 8588 8486 8708 8514
-rect 8864 8498 8892 9386
-rect 8956 8566 8984 9522
+rect 9772 11348 9824 11354
+rect 9772 11290 9824 11296
+rect 9036 11144 9088 11150
+rect 9588 11144 9640 11150
+rect 9088 11092 9352 11098
+rect 9036 11086 9352 11092
+rect 9588 11086 9640 11092
+rect 9680 11144 9732 11150
+rect 9680 11086 9732 11092
+rect 9048 11070 9352 11086
+rect 9036 11008 9088 11014
+rect 9036 10950 9088 10956
+rect 8944 10804 8996 10810
+rect 8944 10746 8996 10752
+rect 8944 10600 8996 10606
+rect 8944 10542 8996 10548
+rect 8956 10130 8984 10542
+rect 8944 10124 8996 10130
+rect 8944 10066 8996 10072
+rect 8852 9716 8904 9722
+rect 8852 9658 8904 9664
+rect 8760 9580 8812 9586
+rect 8760 9522 8812 9528
+rect 8668 9104 8720 9110
+rect 8668 9046 8720 9052
+rect 8772 8974 8800 9522
+rect 8852 9376 8904 9382
+rect 8852 9318 8904 9324
+rect 8864 9110 8892 9318
+rect 8956 9178 8984 10066
+rect 9048 9217 9076 10950
+rect 9220 10736 9272 10742
+rect 9220 10678 9272 10684
+rect 9128 10668 9180 10674
+rect 9128 10610 9180 10616
+rect 9034 9208 9090 9217
+rect 8944 9172 8996 9178
+rect 9140 9178 9168 10610
+rect 9232 10577 9260 10678
+rect 9218 10568 9274 10577
+rect 9218 10503 9274 10512
+rect 9324 10452 9352 11070
+rect 9692 10742 9720 11086
+rect 9680 10736 9732 10742
+rect 9680 10678 9732 10684
+rect 9404 10668 9456 10674
+rect 9404 10610 9456 10616
+rect 9588 10668 9640 10674
+rect 9588 10610 9640 10616
+rect 9232 10424 9352 10452
+rect 9232 9722 9260 10424
+rect 9416 10305 9444 10610
+rect 9600 10418 9628 10610
+rect 9678 10432 9734 10441
+rect 9600 10390 9678 10418
+rect 9678 10367 9734 10376
+rect 9402 10296 9458 10305
+rect 9586 10296 9642 10305
+rect 9402 10231 9458 10240
+rect 9496 10260 9548 10266
+rect 9586 10231 9642 10240
+rect 9496 10202 9548 10208
+rect 9508 9926 9536 10202
+rect 9600 10062 9628 10231
+rect 9784 10130 9812 11290
+rect 9968 11082 9996 11698
+rect 10060 11626 10088 13194
+rect 10416 13184 10468 13190
+rect 10416 13126 10468 13132
+rect 10232 12912 10284 12918
+rect 10232 12854 10284 12860
+rect 10048 11620 10100 11626
+rect 10048 11562 10100 11568
+rect 10060 11286 10088 11562
+rect 10048 11280 10100 11286
+rect 10048 11222 10100 11228
+rect 10048 11144 10100 11150
+rect 10048 11086 10100 11092
+rect 9956 11076 10008 11082
+rect 9956 11018 10008 11024
+rect 9862 10704 9918 10713
+rect 9862 10639 9918 10648
+rect 9876 10606 9904 10639
+rect 9864 10600 9916 10606
+rect 9864 10542 9916 10548
+rect 9956 10464 10008 10470
+rect 9956 10406 10008 10412
+rect 9864 10192 9916 10198
+rect 9862 10160 9864 10169
+rect 9916 10160 9918 10169
+rect 9772 10124 9824 10130
+rect 9862 10095 9918 10104
+rect 9772 10066 9824 10072
+rect 9588 10056 9640 10062
+rect 9588 9998 9640 10004
+rect 9772 9988 9824 9994
+rect 9772 9930 9824 9936
+rect 9312 9920 9364 9926
+rect 9310 9888 9312 9897
+rect 9496 9920 9548 9926
+rect 9364 9888 9366 9897
+rect 9496 9862 9548 9868
+rect 9310 9823 9366 9832
+rect 9220 9716 9272 9722
+rect 9220 9658 9272 9664
+rect 9034 9143 9090 9152
rect 9128 9172 9180 9178
+rect 8944 9114 8996 9120
rect 9128 9114 9180 9120
-rect 9036 8900 9088 8906
-rect 9140 8888 9168 9114
-rect 9232 8974 9260 9522
-rect 9680 9172 9732 9178
-rect 9680 9114 9732 9120
-rect 9220 8968 9272 8974
-rect 9220 8910 9272 8916
-rect 9088 8860 9168 8888
-rect 9036 8842 9088 8848
-rect 9140 8634 9168 8860
-rect 9128 8628 9180 8634
-rect 9128 8570 9180 8576
-rect 8944 8560 8996 8566
-rect 8944 8502 8996 8508
-rect 8206 8463 8262 8472
-rect 8114 8392 8170 8401
-rect 8114 8327 8116 8336
-rect 8168 8327 8170 8336
-rect 8116 8298 8168 8304
-rect 8576 8084 8628 8090
-rect 8576 8026 8628 8032
+rect 8852 9104 8904 9110
+rect 8852 9046 8904 9052
+rect 9036 9036 9088 9042
+rect 9036 8978 9088 8984
+rect 8208 8968 8260 8974
+rect 8206 8936 8208 8945
+rect 8576 8968 8628 8974
+rect 8260 8936 8262 8945
+rect 8128 8894 8206 8922
+rect 8128 8566 8156 8894
+rect 8576 8910 8628 8916
+rect 8760 8968 8812 8974
+rect 8760 8910 8812 8916
+rect 8206 8871 8262 8880
+rect 8214 8732 8522 8741
+rect 8214 8730 8220 8732
+rect 8276 8730 8300 8732
+rect 8356 8730 8380 8732
+rect 8436 8730 8460 8732
+rect 8516 8730 8522 8732
+rect 8276 8678 8278 8730
+rect 8458 8678 8460 8730
+rect 8214 8676 8220 8678
+rect 8276 8676 8300 8678
+rect 8356 8676 8380 8678
+rect 8436 8676 8460 8678
+rect 8516 8676 8522 8678
+rect 8214 8667 8522 8676
+rect 8116 8560 8168 8566
+rect 8116 8502 8168 8508
+rect 8024 8424 8076 8430
+rect 8024 8366 8076 8372
+rect 8036 7954 8064 8366
+rect 8944 8288 8996 8294
+rect 8944 8230 8996 8236
rect 8024 7948 8076 7954
rect 8024 7890 8076 7896
-rect 7932 7336 7984 7342
-rect 7932 7278 7984 7284
-rect 8036 6780 8064 7890
-rect 8390 7848 8446 7857
-rect 8116 7812 8168 7818
-rect 8390 7783 8392 7792
-rect 8116 7754 8168 7760
-rect 8444 7783 8446 7792
-rect 8392 7754 8444 7760
-rect 8128 7546 8156 7754
-rect 8214 7644 8522 7664
+rect 8036 7206 8064 7890
+rect 8116 7880 8168 7886
+rect 8116 7822 8168 7828
+rect 8128 7546 8156 7822
+rect 8214 7644 8522 7653
rect 8214 7642 8220 7644
rect 8276 7642 8300 7644
rect 8356 7642 8380 7644
@@ -14372,66 +15544,43 @@ rect 8276 7588 8300 7590
rect 8356 7588 8380 7590
rect 8436 7588 8460 7590
rect 8516 7588 8522 7590
-rect 8214 7568 8522 7588
+rect 8214 7579 8522 7588
rect 8116 7540 8168 7546
rect 8116 7482 8168 7488
-rect 8588 7444 8616 8026
-rect 8680 7954 8708 8486
-rect 8852 8492 8904 8498
-rect 8852 8434 8904 8440
-rect 8668 7948 8720 7954
-rect 8668 7890 8720 7896
-rect 8668 7744 8720 7750
-rect 8668 7686 8720 7692
-rect 8576 7438 8628 7444
-rect 8576 7380 8628 7386
-rect 8680 7274 8708 7686
-rect 8864 7478 8892 8434
-rect 8956 7886 8984 8502
-rect 9036 8288 9088 8294
-rect 9036 8230 9088 8236
-rect 9048 8129 9076 8230
-rect 9034 8120 9090 8129
-rect 9140 8090 9168 8570
-rect 9034 8055 9090 8064
-rect 9128 8084 9180 8090
-rect 9128 8026 9180 8032
-rect 9036 7948 9088 7954
-rect 9088 7908 9168 7936
-rect 9036 7890 9088 7896
-rect 8944 7880 8996 7886
-rect 8944 7822 8996 7828
-rect 8852 7472 8904 7478
-rect 8852 7414 8904 7420
-rect 8760 7404 8812 7410
-rect 8760 7346 8812 7352
-rect 9036 7404 9088 7410
-rect 9036 7346 9088 7352
-rect 8668 7268 8720 7274
-rect 8668 7210 8720 7216
-rect 8484 7200 8536 7206
-rect 8484 7142 8536 7148
-rect 8496 6798 8524 7142
+rect 8024 7200 8076 7206
+rect 8024 7142 8076 7148
+rect 8036 6798 8064 7142
+rect 8024 6792 8076 6798
+rect 8024 6734 8076 6740
+rect 7932 6316 7984 6322
+rect 7932 6258 7984 6264
+rect 7748 6248 7800 6254
+rect 7748 6190 7800 6196
+rect 7760 5778 7788 6190
+rect 8128 5914 8156 7482
+rect 8956 7410 8984 8230
+rect 9048 7886 9076 8978
+rect 9128 8832 9180 8838
+rect 9128 8774 9180 8780
+rect 9036 7880 9088 7886
+rect 9036 7822 9088 7828
+rect 8944 7404 8996 7410
+rect 8944 7346 8996 7352
+rect 8392 7336 8444 7342
+rect 8392 7278 8444 7284
+rect 8852 7336 8904 7342
+rect 8852 7278 8904 7284
+rect 8300 7268 8352 7274
+rect 8300 7210 8352 7216
+rect 8312 6798 8340 7210
+rect 8404 6798 8432 7278
rect 8576 6860 8628 6866
rect 8576 6802 8628 6808
-rect 8116 6792 8168 6798
-rect 8036 6752 8116 6780
-rect 8484 6792 8536 6798
-rect 8116 6734 8168 6740
-rect 8206 6760 8262 6769
-rect 8024 6656 8076 6662
-rect 8024 6598 8076 6604
-rect 7840 5772 7892 5778
-rect 7840 5714 7892 5720
-rect 7472 5704 7524 5710
-rect 7472 5646 7524 5652
-rect 8036 5658 8064 6598
-rect 8128 6458 8156 6734
-rect 8484 6734 8536 6740
-rect 8206 6695 8208 6704
-rect 8260 6695 8262 6704
-rect 8208 6666 8260 6672
-rect 8214 6556 8522 6576
+rect 8300 6792 8352 6798
+rect 8300 6734 8352 6740
+rect 8392 6792 8444 6798
+rect 8392 6734 8444 6740
+rect 8214 6556 8522 6565
rect 8214 6554 8220 6556
rect 8276 6554 8300 6556
rect 8356 6554 8380 6556
@@ -14444,173 +15593,32 @@ rect 8276 6500 8300 6502
rect 8356 6500 8380 6502
rect 8436 6500 8460 6502
rect 8516 6500 8522 6502
-rect 8214 6480 8522 6500
-rect 8116 6452 8168 6458
-rect 8116 6394 8168 6400
-rect 8588 5778 8616 6802
-rect 8680 6780 8708 7210
-rect 8772 7002 8800 7346
-rect 8944 7336 8996 7342
-rect 8944 7278 8996 7284
-rect 8760 6996 8812 7002
-rect 8760 6938 8812 6944
-rect 8760 6792 8812 6798
-rect 8680 6752 8760 6780
-rect 8760 6734 8812 6740
+rect 8214 6491 8522 6500
+rect 8116 5908 8168 5914
+rect 8116 5850 8168 5856
+rect 7748 5772 7800 5778
+rect 7748 5714 7800 5720
+rect 7760 5166 7788 5714
+rect 8128 5234 8156 5850
+rect 8588 5710 8616 6802
+rect 8864 6798 8892 7278
rect 8852 6792 8904 6798
rect 8852 6734 8904 6740
-rect 8864 6322 8892 6734
+rect 8668 6724 8720 6730
+rect 8668 6666 8720 6672
+rect 8760 6724 8812 6730
+rect 8760 6666 8812 6672
+rect 8680 6322 8708 6666
rect 8668 6316 8720 6322
rect 8668 6258 8720 6264
-rect 8852 6316 8904 6322
-rect 8852 6258 8904 6264
-rect 8680 5914 8708 6258
-rect 8956 6186 8984 7278
-rect 9048 7206 9076 7346
-rect 9036 7200 9088 7206
-rect 9036 7142 9088 7148
-rect 9048 6798 9076 7142
-rect 9140 6934 9168 7908
-rect 9232 7750 9260 8910
-rect 9692 8498 9720 9114
-rect 9784 8838 9812 11494
-rect 9876 11218 9904 11630
-rect 10152 11354 10180 11766
-rect 10336 11694 10364 11852
-rect 10416 11834 10468 11840
-rect 10416 11756 10468 11762
-rect 10416 11698 10468 11704
-rect 10324 11688 10376 11694
-rect 10324 11630 10376 11636
-rect 10140 11348 10192 11354
-rect 10140 11290 10192 11296
-rect 10322 11248 10378 11257
-rect 9864 11212 9916 11218
-rect 9864 11154 9916 11160
-rect 10232 11212 10284 11218
-rect 10322 11183 10378 11192
-rect 10232 11154 10284 11160
-rect 10048 11144 10100 11150
-rect 10244 11121 10272 11154
-rect 10336 11150 10364 11183
-rect 10324 11144 10376 11150
-rect 10048 11086 10100 11092
-rect 10230 11112 10286 11121
-rect 9956 11076 10008 11082
-rect 9956 11018 10008 11024
-rect 9968 10742 9996 11018
-rect 10060 10810 10088 11086
-rect 10324 11086 10376 11092
-rect 10230 11047 10286 11056
-rect 10048 10804 10100 10810
-rect 10048 10746 10100 10752
-rect 10140 10804 10192 10810
-rect 10140 10746 10192 10752
-rect 9956 10736 10008 10742
-rect 9956 10678 10008 10684
-rect 10152 10674 10180 10746
-rect 10140 10668 10192 10674
-rect 10140 10610 10192 10616
-rect 9864 10532 9916 10538
-rect 9864 10474 9916 10480
-rect 9876 10441 9904 10474
-rect 9956 10464 10008 10470
-rect 9862 10432 9918 10441
-rect 9956 10406 10008 10412
-rect 10048 10464 10100 10470
-rect 10048 10406 10100 10412
-rect 9862 10367 9918 10376
-rect 9968 10198 9996 10406
-rect 9956 10192 10008 10198
-rect 9956 10134 10008 10140
-rect 9864 10124 9916 10130
-rect 9864 10066 9916 10072
-rect 9876 8906 9904 10066
-rect 9956 9036 10008 9042
-rect 9956 8978 10008 8984
-rect 9864 8900 9916 8906
-rect 9864 8842 9916 8848
-rect 9772 8832 9824 8838
-rect 9772 8774 9824 8780
-rect 9496 8492 9548 8498
-rect 9496 8434 9548 8440
-rect 9680 8492 9732 8498
-rect 9680 8434 9732 8440
-rect 9508 8378 9536 8434
-rect 9508 8350 9720 8378
-rect 9312 8288 9364 8294
-rect 9312 8230 9364 8236
-rect 9324 7886 9352 8230
-rect 9494 8120 9550 8129
-rect 9692 8090 9720 8350
-rect 9494 8055 9550 8064
-rect 9680 8084 9732 8090
-rect 9508 7954 9536 8055
-rect 9680 8026 9732 8032
-rect 9496 7948 9548 7954
-rect 9496 7890 9548 7896
-rect 9312 7880 9364 7886
-rect 9312 7822 9364 7828
-rect 9680 7812 9732 7818
-rect 9680 7754 9732 7760
-rect 9220 7744 9272 7750
-rect 9220 7686 9272 7692
-rect 9404 7404 9456 7410
-rect 9404 7346 9456 7352
-rect 9128 6928 9180 6934
-rect 9128 6870 9180 6876
-rect 9416 6866 9444 7346
-rect 9404 6860 9456 6866
-rect 9404 6802 9456 6808
-rect 9036 6792 9088 6798
-rect 9312 6792 9364 6798
-rect 9036 6734 9088 6740
-rect 9310 6760 9312 6769
-rect 9364 6760 9366 6769
-rect 9048 6322 9076 6734
-rect 9310 6695 9366 6704
-rect 9220 6656 9272 6662
-rect 9220 6598 9272 6604
-rect 9036 6316 9088 6322
-rect 9036 6258 9088 6264
-rect 8760 6180 8812 6186
-rect 8760 6122 8812 6128
-rect 8944 6180 8996 6186
-rect 8944 6122 8996 6128
-rect 8668 5908 8720 5914
-rect 8668 5850 8720 5856
-rect 8576 5772 8628 5778
-rect 8576 5714 8628 5720
-rect 8300 5704 8352 5710
-rect 8036 5652 8300 5658
-rect 8036 5646 8352 5652
-rect 8036 5630 8340 5646
-rect 7840 5296 7892 5302
-rect 7840 5238 7892 5244
-rect 7196 4616 7248 4622
-rect 7196 4558 7248 4564
-rect 7380 4548 7432 4554
-rect 7380 4490 7432 4496
-rect 6644 4480 6696 4486
-rect 6644 4422 6696 4428
-rect 6552 4208 6604 4214
-rect 6552 4150 6604 4156
-rect 6460 4140 6512 4146
-rect 6460 4082 6512 4088
-rect 6000 3528 6052 3534
-rect 6000 3470 6052 3476
-rect 6000 3052 6052 3058
-rect 6000 2994 6052 3000
-rect 6012 2582 6040 2994
-rect 6472 2854 6500 4082
-rect 6564 3942 6592 4150
-rect 6552 3936 6604 3942
-rect 6552 3878 6604 3884
-rect 6656 3602 6684 4422
-rect 7392 4282 7420 4490
-rect 7852 4486 7880 5238
-rect 8128 5216 8156 5630
-rect 8214 5468 8522 5488
+rect 8772 5846 8800 6666
+rect 8760 5840 8812 5846
+rect 8760 5782 8812 5788
+rect 8576 5704 8628 5710
+rect 8576 5646 8628 5652
+rect 8576 5568 8628 5574
+rect 8576 5510 8628 5516
+rect 8214 5468 8522 5477
rect 8214 5466 8220 5468
rect 8276 5466 8300 5468
rect 8356 5466 8380 5468
@@ -14623,72 +15631,114 @@ rect 8276 5412 8300 5414
rect 8356 5412 8380 5414
rect 8436 5412 8460 5414
rect 8516 5412 8522 5414
-rect 8214 5392 8522 5412
-rect 8300 5228 8352 5234
-rect 8128 5188 8300 5216
-rect 8300 5170 8352 5176
-rect 8312 4758 8340 5170
-rect 8392 5024 8444 5030
-rect 8588 5012 8616 5714
-rect 8772 5710 8800 6122
-rect 8852 6112 8904 6118
-rect 8852 6054 8904 6060
-rect 8864 5778 8892 6054
-rect 8852 5772 8904 5778
-rect 8852 5714 8904 5720
-rect 9232 5710 9260 6598
-rect 8668 5704 8720 5710
-rect 8668 5646 8720 5652
-rect 8760 5704 8812 5710
-rect 8760 5646 8812 5652
-rect 8944 5704 8996 5710
-rect 9220 5704 9272 5710
-rect 8996 5652 9076 5658
-rect 8944 5646 9076 5652
-rect 9588 5704 9640 5710
-rect 9220 5646 9272 5652
-rect 9508 5652 9588 5658
-rect 9508 5646 9640 5652
-rect 8680 5166 8708 5646
-rect 8956 5630 9076 5646
-rect 9048 5574 9076 5630
-rect 9508 5630 9628 5646
-rect 8944 5568 8996 5574
-rect 8944 5510 8996 5516
-rect 9036 5568 9088 5574
-rect 9036 5510 9088 5516
-rect 9312 5568 9364 5574
-rect 9312 5510 9364 5516
-rect 8956 5234 8984 5510
-rect 8944 5228 8996 5234
-rect 8944 5170 8996 5176
+rect 8214 5403 8522 5412
+rect 8588 5370 8616 5510
+rect 8576 5364 8628 5370
+rect 8576 5306 8628 5312
+rect 8116 5228 8168 5234
+rect 8116 5170 8168 5176
+rect 7748 5160 7800 5166
+rect 7748 5102 7800 5108
+rect 7104 5092 7156 5098
+rect 7104 5034 7156 5040
+rect 6880 4644 6960 4672
+rect 6828 4626 6880 4632
+rect 6736 4616 6788 4622
+rect 6736 4558 6788 4564
+rect 6644 4276 6696 4282
+rect 6644 4218 6696 4224
+rect 6644 4140 6696 4146
+rect 6748 4128 6776 4558
+rect 6696 4100 6776 4128
+rect 6644 4082 6696 4088
+rect 6748 3738 6776 4100
+rect 6840 4010 6868 4626
+rect 6828 4004 6880 4010
+rect 6828 3946 6880 3952
+rect 6736 3732 6788 3738
+rect 6736 3674 6788 3680
+rect 6460 3596 6512 3602
+rect 6460 3538 6512 3544
+rect 6276 2984 6328 2990
+rect 6276 2926 6328 2932
+rect 6184 2848 6236 2854
+rect 6184 2790 6236 2796
+rect 5356 2440 5408 2446
+rect 5356 2382 5408 2388
+rect 5448 2440 5500 2446
+rect 5448 2382 5500 2388
+rect 5368 2106 5396 2382
+rect 5356 2100 5408 2106
+rect 5356 2042 5408 2048
+rect 4896 1420 4948 1426
+rect 4896 1362 4948 1368
+rect 5368 1358 5396 2042
+rect 4252 1352 4304 1358
+rect 4252 1294 4304 1300
+rect 4436 1352 4488 1358
+rect 4436 1294 4488 1300
+rect 4620 1352 4672 1358
+rect 4620 1294 4672 1300
+rect 5356 1352 5408 1358
+rect 5356 1294 5408 1300
+rect 4528 1284 4580 1290
+rect 4528 1226 4580 1232
+rect 4540 1170 4568 1226
+rect 5460 1222 5488 2382
+rect 5908 2372 5960 2378
+rect 5908 2314 5960 2320
+rect 5632 2304 5684 2310
+rect 5632 2246 5684 2252
+rect 5644 1358 5672 2246
+rect 5920 1426 5948 2314
+rect 6288 2310 6316 2926
+rect 6368 2372 6420 2378
+rect 6368 2314 6420 2320
+rect 6276 2304 6328 2310
+rect 6276 2246 6328 2252
+rect 6380 2106 6408 2314
+rect 6472 2106 6500 3538
+rect 6552 3052 6604 3058
+rect 6552 2994 6604 3000
+rect 6564 2922 6592 2994
+rect 6552 2916 6604 2922
+rect 6552 2858 6604 2864
+rect 6368 2100 6420 2106
+rect 6368 2042 6420 2048
+rect 6460 2100 6512 2106
+rect 6460 2042 6512 2048
+rect 6564 1766 6592 2858
+rect 6748 1970 6776 3674
+rect 6840 3602 6868 3946
+rect 6828 3596 6880 3602
+rect 6828 3538 6880 3544
+rect 6920 3392 6972 3398
+rect 6920 3334 6972 3340
+rect 6932 3194 6960 3334
+rect 6920 3188 6972 3194
+rect 6920 3130 6972 3136
+rect 6932 2990 6960 3130
+rect 7116 3058 7144 5034
+rect 7656 5024 7708 5030
+rect 7656 4966 7708 4972
+rect 7668 4690 7696 4966
+rect 7760 4690 7788 5102
+rect 8588 4826 8616 5306
+rect 9048 5234 9076 7822
+rect 9036 5228 9088 5234
+rect 9036 5170 9088 5176
rect 8668 5160 8720 5166
rect 8668 5102 8720 5108
-rect 8444 4984 8616 5012
-rect 8392 4966 8444 4972
-rect 8300 4752 8352 4758
-rect 8300 4694 8352 4700
-rect 8404 4690 8432 4966
-rect 8956 4826 8984 5170
-rect 9220 5092 9272 5098
-rect 9220 5034 9272 5040
-rect 8944 4820 8996 4826
-rect 8944 4762 8996 4768
-rect 8392 4684 8444 4690
-rect 8392 4626 8444 4632
-rect 8116 4616 8168 4622
-rect 8116 4558 8168 4564
-rect 8576 4616 8628 4622
-rect 8576 4558 8628 4564
-rect 9128 4616 9180 4622
-rect 9128 4558 9180 4564
-rect 7840 4480 7892 4486
-rect 7840 4422 7892 4428
-rect 7380 4276 7432 4282
-rect 7380 4218 7432 4224
-rect 7852 4146 7880 4422
-rect 8128 4282 8156 4558
-rect 8214 4380 8522 4400
+rect 8576 4820 8628 4826
+rect 8576 4762 8628 4768
+rect 7656 4684 7708 4690
+rect 7656 4626 7708 4632
+rect 7748 4684 7800 4690
+rect 7748 4626 7800 4632
+rect 7196 4548 7248 4554
+rect 7196 4490 7248 4496
+rect 7208 4078 7236 4490
+rect 8214 4380 8522 4389
rect 8214 4378 8220 4380
rect 8276 4378 8300 4380
rect 8356 4378 8380 4380
@@ -14701,384 +15751,483 @@ rect 8276 4324 8300 4326
rect 8356 4324 8380 4326
rect 8436 4324 8460 4326
rect 8516 4324 8522 4326
-rect 8214 4304 8522 4324
-rect 8116 4276 8168 4282
-rect 8116 4218 8168 4224
-rect 8392 4208 8444 4214
-rect 8588 4196 8616 4558
-rect 8852 4480 8904 4486
-rect 8852 4422 8904 4428
-rect 8864 4214 8892 4422
-rect 8444 4168 8616 4196
-rect 8852 4208 8904 4214
-rect 8392 4150 8444 4156
-rect 8852 4150 8904 4156
-rect 6828 4140 6880 4146
-rect 6828 4082 6880 4088
-rect 7380 4140 7432 4146
-rect 7380 4082 7432 4088
-rect 7840 4140 7892 4146
-rect 7840 4082 7892 4088
-rect 6736 4004 6788 4010
-rect 6736 3946 6788 3952
-rect 6644 3596 6696 3602
-rect 6644 3538 6696 3544
-rect 6552 3392 6604 3398
-rect 6552 3334 6604 3340
-rect 6564 3126 6592 3334
-rect 6748 3194 6776 3946
-rect 6840 3466 6868 4082
-rect 6920 3936 6972 3942
-rect 6920 3878 6972 3884
-rect 6932 3602 6960 3878
-rect 7392 3602 7420 4082
-rect 8116 4072 8168 4078
-rect 8116 4014 8168 4020
-rect 7656 3936 7708 3942
-rect 7656 3878 7708 3884
-rect 6920 3596 6972 3602
-rect 6920 3538 6972 3544
-rect 7380 3596 7432 3602
-rect 7380 3538 7432 3544
-rect 7668 3466 7696 3878
-rect 8128 3738 8156 4014
-rect 8116 3732 8168 3738
-rect 8116 3674 8168 3680
-rect 6828 3460 6880 3466
-rect 6828 3402 6880 3408
-rect 7656 3460 7708 3466
-rect 7656 3402 7708 3408
-rect 6736 3188 6788 3194
-rect 6736 3130 6788 3136
-rect 6552 3120 6604 3126
-rect 6552 3062 6604 3068
-rect 6460 2848 6512 2854
-rect 6460 2790 6512 2796
-rect 6000 2576 6052 2582
-rect 6000 2518 6052 2524
-rect 6092 2440 6144 2446
-rect 6092 2382 6144 2388
-rect 5920 2230 6040 2258
-rect 5724 2032 5776 2038
-rect 5724 1974 5776 1980
-rect 6012 1902 6040 2230
-rect 6000 1896 6052 1902
-rect 6000 1838 6052 1844
-rect 6104 1562 6132 2382
-rect 6276 2372 6328 2378
-rect 6276 2314 6328 2320
-rect 5632 1556 5684 1562
-rect 5632 1498 5684 1504
-rect 6092 1556 6144 1562
-rect 6092 1498 6144 1504
-rect 5448 1488 5500 1494
-rect 5448 1430 5500 1436
-rect 6104 1290 6132 1498
-rect 6288 1358 6316 2314
-rect 6564 1358 6592 3062
-rect 6748 3058 6776 3130
-rect 6736 3052 6788 3058
-rect 6736 2994 6788 3000
-rect 6644 1896 6696 1902
-rect 6644 1838 6696 1844
-rect 6656 1562 6684 1838
-rect 6840 1766 6868 3402
-rect 8214 3292 8522 3312
-rect 8214 3290 8220 3292
-rect 8276 3290 8300 3292
-rect 8356 3290 8380 3292
-rect 8436 3290 8460 3292
-rect 8516 3290 8522 3292
-rect 8276 3238 8278 3290
-rect 8458 3238 8460 3290
-rect 8214 3236 8220 3238
-rect 8276 3236 8300 3238
-rect 8356 3236 8380 3238
-rect 8436 3236 8460 3238
-rect 8516 3236 8522 3238
-rect 8214 3216 8522 3236
-rect 7656 3120 7708 3126
-rect 7656 3062 7708 3068
-rect 8668 3120 8720 3126
-rect 8668 3062 8720 3068
-rect 7380 3052 7432 3058
-rect 7380 2994 7432 3000
-rect 7564 3052 7616 3058
-rect 7564 2994 7616 3000
+rect 8214 4315 8522 4324
+rect 8588 4282 8616 4762
+rect 8576 4276 8628 4282
+rect 8576 4218 8628 4224
+rect 8484 4140 8536 4146
+rect 8680 4128 8708 5102
+rect 8536 4100 8708 4128
+rect 8484 4082 8536 4088
+rect 7196 4072 7248 4078
+rect 7196 4014 7248 4020
+rect 8022 4040 8078 4049
+rect 8022 3975 8078 3984
+rect 7104 3052 7156 3058
+rect 7104 2994 7156 3000
rect 6920 2984 6972 2990
rect 6920 2926 6972 2932
-rect 7010 2952 7066 2961
-rect 6932 2514 6960 2926
-rect 7010 2887 7012 2896
-rect 7064 2887 7066 2896
-rect 7012 2858 7064 2864
-rect 7392 2514 7420 2994
-rect 7576 2650 7604 2994
-rect 7564 2644 7616 2650
-rect 7564 2586 7616 2592
-rect 6920 2508 6972 2514
-rect 6920 2450 6972 2456
-rect 7380 2508 7432 2514
-rect 7380 2450 7432 2456
-rect 7576 2446 7604 2586
-rect 7668 2446 7696 3062
-rect 7748 2916 7800 2922
-rect 7748 2858 7800 2864
-rect 7760 2582 7788 2858
-rect 8680 2650 8708 3062
-rect 9140 2774 9168 4558
-rect 9232 4486 9260 5034
-rect 9220 4480 9272 4486
-rect 9220 4422 9272 4428
-rect 9232 3534 9260 4422
-rect 9324 3534 9352 5510
-rect 9508 5234 9536 5630
-rect 9588 5568 9640 5574
-rect 9588 5510 9640 5516
-rect 9600 5302 9628 5510
-rect 9588 5296 9640 5302
-rect 9588 5238 9640 5244
-rect 9496 5228 9548 5234
-rect 9496 5170 9548 5176
-rect 9404 4820 9456 4826
-rect 9404 4762 9456 4768
-rect 9416 3602 9444 4762
-rect 9496 4616 9548 4622
-rect 9496 4558 9548 4564
-rect 9508 4282 9536 4558
-rect 9496 4276 9548 4282
-rect 9496 4218 9548 4224
-rect 9508 3738 9536 4218
-rect 9496 3732 9548 3738
-rect 9496 3674 9548 3680
-rect 9404 3596 9456 3602
-rect 9404 3538 9456 3544
-rect 9692 3534 9720 7754
-rect 9784 5234 9812 8774
-rect 9968 8673 9996 8978
-rect 10060 8906 10088 10406
-rect 10152 9926 10180 10610
-rect 10232 10600 10284 10606
-rect 10232 10542 10284 10548
-rect 10140 9920 10192 9926
-rect 10140 9862 10192 9868
-rect 10152 9450 10180 9862
-rect 10140 9444 10192 9450
-rect 10140 9386 10192 9392
-rect 10244 9110 10272 10542
-rect 10336 9994 10364 11086
-rect 10428 10674 10456 11698
-rect 10508 11688 10560 11694
-rect 10508 11630 10560 11636
-rect 10520 10674 10548 11630
-rect 10416 10668 10468 10674
-rect 10416 10610 10468 10616
-rect 10508 10668 10560 10674
-rect 10508 10610 10560 10616
+rect 7116 2394 7144 2994
+rect 7840 2916 7892 2922
+rect 7840 2858 7892 2864
+rect 7852 2446 7880 2858
+rect 8036 2854 8064 3975
+rect 8496 3602 8524 4082
+rect 9048 3942 9076 5170
+rect 9140 4622 9168 8774
+rect 9232 8362 9260 9658
+rect 9324 9518 9352 9823
+rect 9312 9512 9364 9518
+rect 9312 9454 9364 9460
+rect 9404 9376 9456 9382
+rect 9508 9364 9536 9862
+rect 9678 9752 9734 9761
+rect 9784 9722 9812 9930
+rect 9678 9687 9734 9696
+rect 9772 9716 9824 9722
+rect 9692 9602 9720 9687
+rect 9772 9658 9824 9664
+rect 9456 9336 9536 9364
+rect 9600 9574 9720 9602
+rect 9404 9318 9456 9324
+rect 9416 9110 9444 9318
+rect 9494 9208 9550 9217
+rect 9494 9143 9550 9152
+rect 9404 9104 9456 9110
+rect 9404 9046 9456 9052
+rect 9312 9036 9364 9042
+rect 9312 8978 9364 8984
+rect 9324 8634 9352 8978
+rect 9508 8634 9536 9143
+rect 9600 9042 9628 9574
+rect 9680 9512 9732 9518
+rect 9680 9454 9732 9460
+rect 9692 9178 9720 9454
+rect 9680 9172 9732 9178
+rect 9680 9114 9732 9120
+rect 9784 9110 9812 9658
+rect 9864 9648 9916 9654
+rect 9864 9590 9916 9596
+rect 9876 9450 9904 9590
+rect 9968 9518 9996 10406
+rect 9956 9512 10008 9518
+rect 9956 9454 10008 9460
+rect 9864 9444 9916 9450
+rect 9864 9386 9916 9392
+rect 9772 9104 9824 9110
+rect 9772 9046 9824 9052
+rect 9588 9036 9640 9042
+rect 9588 8978 9640 8984
+rect 9600 8634 9628 8978
+rect 9312 8628 9364 8634
+rect 9312 8570 9364 8576
+rect 9496 8628 9548 8634
+rect 9496 8570 9548 8576
+rect 9588 8628 9640 8634
+rect 9588 8570 9640 8576
+rect 9876 8498 9904 9386
+rect 9968 9178 9996 9454
+rect 10060 9450 10088 11086
+rect 10244 10985 10272 12854
+rect 10428 12850 10456 13126
+rect 10508 12980 10560 12986
+rect 10508 12922 10560 12928
+rect 10416 12844 10468 12850
+rect 10416 12786 10468 12792
+rect 10428 12238 10456 12786
+rect 10416 12232 10468 12238
+rect 10416 12174 10468 12180
+rect 10428 11665 10456 12174
+rect 10414 11656 10470 11665
+rect 10414 11591 10470 11600
+rect 10324 11144 10376 11150
+rect 10324 11086 10376 11092
+rect 10230 10976 10286 10985
+rect 10230 10911 10286 10920
+rect 10336 10810 10364 11086
+rect 10520 11082 10548 12922
+rect 10612 12782 10640 13262
+rect 10888 12918 10916 14200
+rect 11244 13864 11296 13870
+rect 11244 13806 11296 13812
+rect 10876 12912 10928 12918
+rect 10876 12854 10928 12860
+rect 10600 12776 10652 12782
+rect 10600 12718 10652 12724
+rect 11256 12646 11284 13806
+rect 12084 13410 12112 14200
+rect 12214 13628 12522 13637
+rect 12214 13626 12220 13628
+rect 12276 13626 12300 13628
+rect 12356 13626 12380 13628
+rect 12436 13626 12460 13628
+rect 12516 13626 12522 13628
+rect 12276 13574 12278 13626
+rect 12458 13574 12460 13626
+rect 12214 13572 12220 13574
+rect 12276 13572 12300 13574
+rect 12356 13572 12380 13574
+rect 12436 13572 12460 13574
+rect 12516 13572 12522 13574
+rect 12214 13563 12522 13572
+rect 11888 13388 11940 13394
+rect 11888 13330 11940 13336
+rect 11992 13382 12112 13410
+rect 11612 13252 11664 13258
+rect 11612 13194 11664 13200
+rect 11244 12640 11296 12646
+rect 11244 12582 11296 12588
+rect 10692 12436 10744 12442
+rect 10692 12378 10744 12384
+rect 10704 12306 10732 12378
+rect 10692 12300 10744 12306
+rect 10692 12242 10744 12248
+rect 11336 12300 11388 12306
+rect 11336 12242 11388 12248
+rect 10704 12186 10732 12242
+rect 10612 12158 10732 12186
+rect 10876 12232 10928 12238
+rect 10876 12174 10928 12180
+rect 11244 12232 11296 12238
+rect 11244 12174 11296 12180
+rect 10612 11830 10640 12158
+rect 10692 12096 10744 12102
+rect 10692 12038 10744 12044
+rect 10704 11830 10732 12038
+rect 10784 11892 10836 11898
+rect 10784 11834 10836 11840
+rect 10600 11824 10652 11830
+rect 10600 11766 10652 11772
+rect 10692 11824 10744 11830
+rect 10692 11766 10744 11772
+rect 10692 11552 10744 11558
+rect 10692 11494 10744 11500
+rect 10704 11286 10732 11494
+rect 10692 11280 10744 11286
+rect 10692 11222 10744 11228
+rect 10600 11144 10652 11150
+rect 10598 11112 10600 11121
+rect 10652 11112 10654 11121
+rect 10508 11076 10560 11082
+rect 10598 11047 10654 11056
+rect 10508 11018 10560 11024
+rect 10324 10804 10376 10810
+rect 10324 10746 10376 10752
+rect 10322 10432 10378 10441
+rect 10322 10367 10378 10376
+rect 10336 9994 10364 10367
+rect 10416 10124 10468 10130
+rect 10416 10066 10468 10072
+rect 10140 9988 10192 9994
+rect 10140 9930 10192 9936
rect 10324 9988 10376 9994
rect 10324 9930 10376 9936
-rect 10232 9104 10284 9110
-rect 10232 9046 10284 9052
-rect 10048 8900 10100 8906
-rect 10048 8842 10100 8848
-rect 9954 8664 10010 8673
-rect 10060 8634 10088 8842
-rect 9954 8599 10010 8608
-rect 10048 8628 10100 8634
-rect 10048 8570 10100 8576
-rect 9864 8560 9916 8566
-rect 9862 8528 9864 8537
-rect 9916 8528 9918 8537
-rect 9862 8463 9918 8472
-rect 10138 8528 10194 8537
-rect 10138 8463 10140 8472
-rect 10192 8463 10194 8472
-rect 10140 8434 10192 8440
-rect 9864 8424 9916 8430
-rect 9864 8366 9916 8372
-rect 9876 7886 9904 8366
-rect 10140 8288 10192 8294
-rect 10140 8230 10192 8236
-rect 9864 7880 9916 7886
-rect 9916 7828 9996 7834
-rect 9864 7822 9996 7828
-rect 9876 7806 9996 7822
-rect 10152 7818 10180 8230
-rect 10244 8090 10272 9046
-rect 10336 8378 10364 9930
-rect 10428 9722 10456 10610
-rect 10520 9874 10548 10610
-rect 10612 10266 10640 13126
-rect 11072 12306 11100 13262
-rect 11888 13184 11940 13190
-rect 11888 13126 11940 13132
-rect 11428 12844 11480 12850
-rect 11428 12786 11480 12792
-rect 11336 12776 11388 12782
-rect 11336 12718 11388 12724
-rect 11348 12442 11376 12718
-rect 11336 12436 11388 12442
-rect 11440 12434 11468 12786
-rect 11440 12406 11560 12434
-rect 11336 12378 11388 12384
-rect 11060 12300 11112 12306
-rect 11112 12260 11192 12288
-rect 11060 12242 11112 12248
-rect 11060 12096 11112 12102
-rect 11060 12038 11112 12044
-rect 11072 11626 11100 12038
-rect 11164 11898 11192 12260
-rect 11532 12238 11560 12406
-rect 11520 12232 11572 12238
-rect 11520 12174 11572 12180
-rect 11152 11892 11204 11898
-rect 11204 11852 11284 11880
-rect 11152 11834 11204 11840
-rect 11060 11620 11112 11626
-rect 11060 11562 11112 11568
+rect 10152 9722 10180 9930
+rect 10336 9761 10364 9930
+rect 10322 9752 10378 9761
+rect 10140 9716 10192 9722
+rect 10322 9687 10378 9696
+rect 10140 9658 10192 9664
+rect 10048 9444 10100 9450
+rect 10048 9386 10100 9392
+rect 9956 9172 10008 9178
+rect 9956 9114 10008 9120
+rect 9968 8566 9996 9114
+rect 10060 9042 10088 9386
+rect 10152 9382 10180 9658
+rect 10428 9586 10456 10066
+rect 10416 9580 10468 9586
+rect 10416 9522 10468 9528
+rect 10232 9512 10284 9518
+rect 10230 9480 10232 9489
+rect 10284 9480 10286 9489
+rect 10230 9415 10286 9424
+rect 10140 9376 10192 9382
+rect 10428 9353 10456 9522
+rect 10520 9466 10548 11018
+rect 10612 10588 10640 11047
+rect 10692 11008 10744 11014
+rect 10692 10950 10744 10956
+rect 10704 10742 10732 10950
+rect 10692 10736 10744 10742
+rect 10692 10678 10744 10684
+rect 10612 10560 10732 10588
+rect 10600 10464 10652 10470
+rect 10600 10406 10652 10412
+rect 10612 10062 10640 10406
+rect 10704 10282 10732 10560
+rect 10796 10452 10824 11834
+rect 10888 11558 10916 12174
+rect 10966 11928 11022 11937
+rect 10966 11863 11022 11872
rect 10876 11552 10928 11558
rect 10876 11494 10928 11500
-rect 10888 11218 10916 11494
-rect 10876 11212 10928 11218
-rect 10876 11154 10928 11160
-rect 11072 11150 11100 11562
-rect 11152 11348 11204 11354
-rect 11152 11290 11204 11296
-rect 11164 11150 11192 11290
-rect 11060 11144 11112 11150
-rect 10690 11112 10746 11121
-rect 11060 11086 11112 11092
-rect 11152 11144 11204 11150
-rect 11152 11086 11204 11092
-rect 10690 11047 10746 11056
-rect 10704 10538 10732 11047
-rect 10784 11008 10836 11014
-rect 10784 10950 10836 10956
-rect 11060 11008 11112 11014
-rect 11060 10950 11112 10956
-rect 10692 10532 10744 10538
-rect 10692 10474 10744 10480
-rect 10600 10260 10652 10266
-rect 10600 10202 10652 10208
+rect 10980 11082 11008 11863
+rect 11152 11756 11204 11762
+rect 11152 11698 11204 11704
+rect 11060 11552 11112 11558
+rect 11060 11494 11112 11500
+rect 11072 11218 11100 11494
+rect 11060 11212 11112 11218
+rect 11060 11154 11112 11160
+rect 10968 11076 11020 11082
+rect 10968 11018 11020 11024
+rect 11058 10568 11114 10577
+rect 11058 10503 11114 10512
+rect 10876 10464 10928 10470
+rect 10796 10424 10876 10452
+rect 10876 10406 10928 10412
+rect 10704 10254 10824 10282
+rect 10692 10124 10744 10130
+rect 10692 10066 10744 10072
rect 10600 10056 10652 10062
-rect 10598 10024 10600 10033
-rect 10652 10024 10654 10033
-rect 10598 9959 10654 9968
-rect 10520 9846 10640 9874
-rect 10416 9716 10468 9722
-rect 10416 9658 10468 9664
-rect 10428 8566 10456 9658
-rect 10508 9512 10560 9518
-rect 10508 9454 10560 9460
-rect 10520 8838 10548 9454
-rect 10612 9058 10640 9846
-rect 10704 9654 10732 10474
-rect 10796 10418 10824 10950
-rect 11072 10810 11100 10950
-rect 11256 10810 11284 11852
-rect 11428 11280 11480 11286
-rect 11428 11222 11480 11228
-rect 10876 10804 10928 10810
-rect 10876 10746 10928 10752
-rect 11060 10804 11112 10810
-rect 11060 10746 11112 10752
-rect 11244 10804 11296 10810
-rect 11244 10746 11296 10752
-rect 10888 10674 10916 10746
-rect 11072 10674 11100 10746
-rect 10876 10668 10928 10674
-rect 10876 10610 10928 10616
-rect 11060 10668 11112 10674
-rect 11060 10610 11112 10616
-rect 11256 10606 11284 10746
-rect 11152 10600 11204 10606
-rect 11152 10542 11204 10548
-rect 11244 10600 11296 10606
-rect 11244 10542 11296 10548
-rect 10796 10390 10916 10418
-rect 10784 10260 10836 10266
-rect 10784 10202 10836 10208
+rect 10600 9998 10652 10004
+rect 10612 9926 10640 9998
+rect 10600 9920 10652 9926
+rect 10600 9862 10652 9868
+rect 10704 9654 10732 10066
+rect 10796 10062 10824 10254
+rect 10784 10056 10836 10062
+rect 10784 9998 10836 10004
+rect 10796 9722 10824 9998
+rect 10784 9716 10836 9722
+rect 10784 9658 10836 9664
rect 10692 9648 10744 9654
rect 10692 9590 10744 9596
-rect 10704 9178 10732 9590
-rect 10692 9172 10744 9178
-rect 10692 9114 10744 9120
-rect 10796 9110 10824 10202
-rect 10888 10062 10916 10390
-rect 10968 10192 11020 10198
-rect 10968 10134 11020 10140
-rect 10876 10056 10928 10062
-rect 10876 9998 10928 10004
-rect 10888 9722 10916 9998
-rect 10876 9716 10928 9722
-rect 10876 9658 10928 9664
-rect 10784 9104 10836 9110
-rect 10612 9030 10732 9058
-rect 10784 9046 10836 9052
-rect 10612 8838 10640 9030
-rect 10508 8832 10560 8838
-rect 10508 8774 10560 8780
-rect 10600 8832 10652 8838
-rect 10600 8774 10652 8780
-rect 10416 8560 10468 8566
-rect 10416 8502 10468 8508
-rect 10336 8350 10456 8378
-rect 10232 8084 10284 8090
-rect 10232 8026 10284 8032
-rect 10244 7886 10272 8026
-rect 10232 7880 10284 7886
-rect 10232 7822 10284 7828
-rect 9864 7744 9916 7750
-rect 9864 7686 9916 7692
-rect 9876 7410 9904 7686
-rect 9968 7478 9996 7806
-rect 10140 7812 10192 7818
-rect 10140 7754 10192 7760
-rect 10428 7750 10456 8350
-rect 10416 7744 10468 7750
-rect 10416 7686 10468 7692
-rect 9956 7472 10008 7478
-rect 9956 7414 10008 7420
-rect 9864 7404 9916 7410
-rect 9864 7346 9916 7352
-rect 9956 7336 10008 7342
-rect 9956 7278 10008 7284
-rect 9864 7200 9916 7206
-rect 9864 7142 9916 7148
-rect 9772 5228 9824 5234
-rect 9772 5170 9824 5176
-rect 9876 5166 9904 7142
-rect 9968 6934 9996 7278
-rect 10140 7268 10192 7274
-rect 10140 7210 10192 7216
-rect 9956 6928 10008 6934
-rect 9956 6870 10008 6876
-rect 9968 6254 9996 6870
-rect 10152 6458 10180 7210
-rect 10428 7206 10456 7686
-rect 10520 7478 10548 8774
-rect 10704 8537 10732 9030
-rect 10980 8820 11008 10134
-rect 11164 9586 11192 10542
-rect 11440 10266 11468 11222
-rect 11532 10810 11560 12174
-rect 11704 11756 11756 11762
-rect 11704 11698 11756 11704
-rect 11716 11257 11744 11698
-rect 11900 11354 11928 13126
-rect 12360 12850 12388 13262
-rect 12808 13252 12860 13258
-rect 12808 13194 12860 13200
-rect 12900 13252 12952 13258
-rect 12900 13194 12952 13200
-rect 12348 12844 12400 12850
-rect 12348 12786 12400 12792
-rect 12716 12844 12768 12850
-rect 12716 12786 12768 12792
-rect 12072 12708 12124 12714
-rect 12072 12650 12124 12656
-rect 12084 12238 12112 12650
-rect 12214 12540 12522 12560
+rect 10520 9438 10732 9466
+rect 10140 9318 10192 9324
+rect 10414 9344 10470 9353
+rect 10414 9279 10470 9288
+rect 10048 9036 10100 9042
+rect 10048 8978 10100 8984
+rect 9956 8560 10008 8566
+rect 9956 8502 10008 8508
+rect 9864 8492 9916 8498
+rect 9864 8434 9916 8440
+rect 9496 8424 9548 8430
+rect 9496 8366 9548 8372
+rect 9220 8356 9272 8362
+rect 9220 8298 9272 8304
+rect 9508 7886 9536 8366
+rect 9680 8288 9732 8294
+rect 9680 8230 9732 8236
+rect 9692 7954 9720 8230
+rect 9680 7948 9732 7954
+rect 9680 7890 9732 7896
+rect 9968 7886 9996 8502
+rect 10060 8430 10088 8978
+rect 10140 8968 10192 8974
+rect 10140 8910 10192 8916
+rect 10230 8936 10286 8945
+rect 10048 8424 10100 8430
+rect 10048 8366 10100 8372
+rect 9496 7880 9548 7886
+rect 9496 7822 9548 7828
+rect 9956 7880 10008 7886
+rect 9956 7822 10008 7828
+rect 10152 7834 10180 8910
+rect 10230 8871 10232 8880
+rect 10284 8871 10286 8880
+rect 10232 8842 10284 8848
+rect 10428 8498 10456 9279
+rect 10704 8922 10732 9438
+rect 10888 9042 10916 10406
+rect 10968 10260 11020 10266
+rect 10968 10202 11020 10208
+rect 10980 10044 11008 10202
+rect 11072 10198 11100 10503
+rect 11164 10452 11192 11698
+rect 11256 11354 11284 12174
+rect 11348 11694 11376 12242
+rect 11624 12238 11652 13194
+rect 11900 12918 11928 13330
+rect 11888 12912 11940 12918
+rect 11888 12854 11940 12860
+rect 11796 12776 11848 12782
+rect 11796 12718 11848 12724
+rect 11612 12232 11664 12238
+rect 11612 12174 11664 12180
+rect 11428 12096 11480 12102
+rect 11428 12038 11480 12044
+rect 11336 11688 11388 11694
+rect 11336 11630 11388 11636
+rect 11244 11348 11296 11354
+rect 11244 11290 11296 11296
+rect 11348 11014 11376 11630
+rect 11336 11008 11388 11014
+rect 11336 10950 11388 10956
+rect 11334 10704 11390 10713
+rect 11334 10639 11336 10648
+rect 11388 10639 11390 10648
+rect 11336 10610 11388 10616
+rect 11244 10600 11296 10606
+rect 11334 10568 11390 10577
+rect 11296 10548 11334 10554
+rect 11244 10542 11334 10548
+rect 11256 10526 11334 10542
+rect 11334 10503 11390 10512
+rect 11164 10424 11284 10452
+rect 11060 10192 11112 10198
+rect 11060 10134 11112 10140
+rect 11060 10056 11112 10062
+rect 10980 10016 11060 10044
+rect 11060 9998 11112 10004
+rect 11152 10056 11204 10062
+rect 11152 9998 11204 10004
+rect 11164 9897 11192 9998
+rect 11256 9994 11284 10424
+rect 11244 9988 11296 9994
+rect 11244 9930 11296 9936
+rect 11150 9888 11206 9897
+rect 11150 9823 11206 9832
+rect 11058 9616 11114 9625
+rect 11164 9586 11192 9823
+rect 11244 9716 11296 9722
+rect 11244 9658 11296 9664
+rect 11058 9551 11114 9560
+rect 11152 9580 11204 9586
+rect 10876 9036 10928 9042
+rect 10876 8978 10928 8984
+rect 10704 8906 10916 8922
+rect 10704 8900 10928 8906
+rect 10704 8894 10876 8900
+rect 10876 8842 10928 8848
+rect 10692 8832 10744 8838
+rect 10692 8774 10744 8780
+rect 10416 8492 10468 8498
+rect 10416 8434 10468 8440
+rect 10232 8424 10284 8430
+rect 10232 8366 10284 8372
+rect 10244 8022 10272 8366
+rect 10232 8016 10284 8022
+rect 10232 7958 10284 7964
+rect 10152 7806 10272 7834
+rect 10140 7744 10192 7750
+rect 10140 7686 10192 7692
+rect 9680 6928 9732 6934
+rect 9680 6870 9732 6876
+rect 9312 6656 9364 6662
+rect 9312 6598 9364 6604
+rect 9324 5710 9352 6598
+rect 9692 6390 9720 6870
+rect 10048 6792 10100 6798
+rect 10048 6734 10100 6740
+rect 9680 6384 9732 6390
+rect 9680 6326 9732 6332
+rect 9692 5710 9720 6326
+rect 9772 6112 9824 6118
+rect 9772 6054 9824 6060
+rect 9312 5704 9364 5710
+rect 9312 5646 9364 5652
+rect 9680 5704 9732 5710
+rect 9680 5646 9732 5652
+rect 9404 5636 9456 5642
+rect 9404 5578 9456 5584
+rect 9416 4826 9444 5578
+rect 9680 5568 9732 5574
+rect 9680 5510 9732 5516
+rect 9404 4820 9456 4826
+rect 9404 4762 9456 4768
+rect 9128 4616 9180 4622
+rect 9128 4558 9180 4564
+rect 9416 4214 9444 4762
+rect 9692 4758 9720 5510
+rect 9784 5166 9812 6054
+rect 10060 5778 10088 6734
+rect 10048 5772 10100 5778
+rect 10048 5714 10100 5720
+rect 9864 5704 9916 5710
+rect 9864 5646 9916 5652
+rect 9772 5160 9824 5166
+rect 9772 5102 9824 5108
+rect 9876 5030 9904 5646
+rect 10060 5302 10088 5714
+rect 10152 5574 10180 7686
+rect 10244 7410 10272 7806
+rect 10232 7404 10284 7410
+rect 10232 7346 10284 7352
+rect 10244 5710 10272 7346
+rect 10428 6458 10456 8434
+rect 10600 8424 10652 8430
+rect 10600 8366 10652 8372
+rect 10508 7336 10560 7342
+rect 10508 7278 10560 7284
+rect 10416 6452 10468 6458
+rect 10416 6394 10468 6400
+rect 10324 5908 10376 5914
+rect 10324 5850 10376 5856
+rect 10232 5704 10284 5710
+rect 10232 5646 10284 5652
+rect 10140 5568 10192 5574
+rect 10140 5510 10192 5516
+rect 10048 5296 10100 5302
+rect 10048 5238 10100 5244
+rect 9864 5024 9916 5030
+rect 9864 4966 9916 4972
+rect 9680 4752 9732 4758
+rect 9680 4694 9732 4700
+rect 9680 4480 9732 4486
+rect 9680 4422 9732 4428
+rect 9692 4282 9720 4422
+rect 9876 4282 9904 4966
+rect 10060 4690 10088 5238
+rect 10244 4826 10272 5646
+rect 10232 4820 10284 4826
+rect 10232 4762 10284 4768
+rect 10048 4684 10100 4690
+rect 10048 4626 10100 4632
+rect 9680 4276 9732 4282
+rect 9680 4218 9732 4224
+rect 9864 4276 9916 4282
+rect 9864 4218 9916 4224
+rect 9404 4208 9456 4214
+rect 9404 4150 9456 4156
+rect 10336 4146 10364 5850
+rect 10428 5030 10456 6394
+rect 10520 6254 10548 7278
+rect 10508 6248 10560 6254
+rect 10508 6190 10560 6196
+rect 10612 5778 10640 8366
+rect 10704 7970 10732 8774
+rect 10704 7942 10824 7970
+rect 10692 7880 10744 7886
+rect 10692 7822 10744 7828
+rect 10704 7546 10732 7822
+rect 10692 7540 10744 7546
+rect 10692 7482 10744 7488
+rect 10796 6322 10824 7942
+rect 11072 7886 11100 9551
+rect 11152 9522 11204 9528
+rect 11256 9178 11284 9658
+rect 11348 9382 11376 10503
+rect 11440 9450 11468 12038
+rect 11808 11880 11836 12718
+rect 11900 12238 11928 12854
+rect 11992 12442 12020 13382
+rect 12072 13320 12124 13326
+rect 12072 13262 12124 13268
+rect 12164 13320 12216 13326
+rect 12164 13262 12216 13268
+rect 12992 13320 13044 13326
+rect 12992 13262 13044 13268
+rect 13176 13320 13228 13326
+rect 13176 13262 13228 13268
+rect 11980 12436 12032 12442
+rect 11980 12378 12032 12384
+rect 11888 12232 11940 12238
+rect 11888 12174 11940 12180
+rect 12084 12170 12112 13262
+rect 12176 12850 12204 13262
+rect 12624 13252 12676 13258
+rect 12624 13194 12676 13200
+rect 12636 12918 12664 13194
+rect 12900 13184 12952 13190
+rect 12900 13126 12952 13132
+rect 12624 12912 12676 12918
+rect 12624 12854 12676 12860
+rect 12164 12844 12216 12850
+rect 12164 12786 12216 12792
+rect 12214 12540 12522 12549
rect 12214 12538 12220 12540
rect 12276 12538 12300 12540
rect 12356 12538 12380 12540
@@ -15091,254 +16240,171 @@ rect 12276 12484 12300 12486
rect 12356 12484 12380 12486
rect 12436 12484 12460 12486
rect 12516 12484 12522 12486
-rect 12214 12464 12522 12484
-rect 12728 12238 12756 12786
-rect 12820 12714 12848 13194
-rect 12808 12708 12860 12714
-rect 12808 12650 12860 12656
-rect 12912 12442 12940 13194
-rect 13188 12866 13216 14200
-rect 13096 12838 13216 12866
-rect 12900 12436 12952 12442
-rect 12900 12378 12952 12384
-rect 12072 12232 12124 12238
-rect 12072 12174 12124 12180
-rect 12716 12232 12768 12238
-rect 12716 12174 12768 12180
-rect 12992 11756 13044 11762
-rect 12992 11698 13044 11704
-rect 12900 11552 12952 11558
-rect 12900 11494 12952 11500
-rect 12214 11452 12522 11472
-rect 12214 11450 12220 11452
-rect 12276 11450 12300 11452
-rect 12356 11450 12380 11452
-rect 12436 11450 12460 11452
-rect 12516 11450 12522 11452
-rect 12276 11398 12278 11450
-rect 12458 11398 12460 11450
-rect 12214 11396 12220 11398
-rect 12276 11396 12300 11398
-rect 12356 11396 12380 11398
-rect 12436 11396 12460 11398
-rect 12516 11396 12522 11398
-rect 12214 11376 12522 11396
-rect 11888 11348 11940 11354
-rect 11888 11290 11940 11296
-rect 12808 11280 12860 11286
-rect 11702 11248 11758 11257
-rect 11702 11183 11758 11192
-rect 11978 11248 12034 11257
-rect 12808 11222 12860 11228
-rect 11978 11183 12034 11192
-rect 11992 10810 12020 11183
-rect 12348 11144 12400 11150
-rect 12348 11086 12400 11092
-rect 11520 10804 11572 10810
-rect 11520 10746 11572 10752
-rect 11980 10804 12032 10810
-rect 11980 10746 12032 10752
-rect 11518 10432 11574 10441
-rect 11518 10367 11574 10376
-rect 11428 10260 11480 10266
-rect 11428 10202 11480 10208
-rect 11244 10124 11296 10130
-rect 11244 10066 11296 10072
-rect 11152 9580 11204 9586
-rect 11152 9522 11204 9528
-rect 11256 9466 11284 10066
-rect 11532 9586 11560 10367
-rect 11992 10266 12020 10746
-rect 12360 10674 12388 11086
-rect 12820 10674 12848 11222
-rect 12912 10742 12940 11494
-rect 12900 10736 12952 10742
-rect 12900 10678 12952 10684
-rect 13004 10674 13032 11698
-rect 13096 10810 13124 12838
-rect 13176 12708 13228 12714
-rect 13176 12650 13228 12656
-rect 13188 12238 13216 12650
-rect 14384 12306 14412 14200
-rect 14372 12300 14424 12306
-rect 14372 12242 14424 12248
-rect 13176 12232 13228 12238
-rect 13176 12174 13228 12180
-rect 13452 12096 13504 12102
-rect 13452 12038 13504 12044
-rect 13464 11830 13492 12038
-rect 13452 11824 13504 11830
-rect 13452 11766 13504 11772
-rect 13268 11756 13320 11762
-rect 13268 11698 13320 11704
-rect 13084 10804 13136 10810
-rect 13084 10746 13136 10752
-rect 13280 10674 13308 11698
-rect 13464 11150 13492 11766
-rect 13452 11144 13504 11150
-rect 13452 11086 13504 11092
-rect 13360 11008 13412 11014
-rect 13360 10950 13412 10956
-rect 13372 10742 13400 10950
-rect 13360 10736 13412 10742
-rect 13360 10678 13412 10684
-rect 12348 10668 12400 10674
-rect 12348 10610 12400 10616
-rect 12808 10668 12860 10674
-rect 12808 10610 12860 10616
-rect 12992 10668 13044 10674
-rect 12992 10610 13044 10616
-rect 13268 10668 13320 10674
-rect 13268 10610 13320 10616
-rect 12072 10600 12124 10606
-rect 12072 10542 12124 10548
-rect 12084 10266 12112 10542
-rect 12214 10364 12522 10384
-rect 12214 10362 12220 10364
-rect 12276 10362 12300 10364
-rect 12356 10362 12380 10364
-rect 12436 10362 12460 10364
-rect 12516 10362 12522 10364
-rect 12276 10310 12278 10362
-rect 12458 10310 12460 10362
-rect 12214 10308 12220 10310
-rect 12276 10308 12300 10310
-rect 12356 10308 12380 10310
-rect 12436 10308 12460 10310
-rect 12516 10308 12522 10310
-rect 12214 10288 12522 10308
-rect 11980 10260 12032 10266
-rect 11980 10202 12032 10208
-rect 12072 10260 12124 10266
-rect 12072 10202 12124 10208
-rect 11520 9580 11572 9586
-rect 11520 9522 11572 9528
-rect 11980 9580 12032 9586
-rect 11980 9522 12032 9528
-rect 11164 9438 11284 9466
-rect 11520 9444 11572 9450
-rect 11164 9382 11192 9438
-rect 11520 9386 11572 9392
-rect 11152 9376 11204 9382
-rect 11152 9318 11204 9324
-rect 11164 9178 11192 9318
-rect 11152 9172 11204 9178
-rect 11152 9114 11204 9120
-rect 11060 8832 11112 8838
-rect 10980 8792 11060 8820
-rect 10690 8528 10746 8537
-rect 10690 8463 10746 8472
-rect 10600 7948 10652 7954
-rect 10600 7890 10652 7896
-rect 10508 7472 10560 7478
-rect 10508 7414 10560 7420
-rect 10508 7336 10560 7342
-rect 10508 7278 10560 7284
-rect 10416 7200 10468 7206
-rect 10416 7142 10468 7148
-rect 10520 6934 10548 7278
-rect 10508 6928 10560 6934
-rect 10508 6870 10560 6876
-rect 10520 6798 10548 6870
-rect 10324 6792 10376 6798
-rect 10324 6734 10376 6740
-rect 10508 6792 10560 6798
-rect 10508 6734 10560 6740
-rect 10232 6656 10284 6662
-rect 10232 6598 10284 6604
-rect 10244 6458 10272 6598
-rect 10140 6452 10192 6458
-rect 10140 6394 10192 6400
-rect 10232 6452 10284 6458
-rect 10232 6394 10284 6400
-rect 10140 6316 10192 6322
-rect 10140 6258 10192 6264
-rect 9956 6248 10008 6254
-rect 9956 6190 10008 6196
-rect 10048 6112 10100 6118
-rect 10048 6054 10100 6060
-rect 10060 5778 10088 6054
-rect 10152 5914 10180 6258
-rect 10140 5908 10192 5914
-rect 10140 5850 10192 5856
-rect 10152 5778 10180 5850
-rect 10048 5772 10100 5778
-rect 10048 5714 10100 5720
-rect 10140 5772 10192 5778
-rect 10140 5714 10192 5720
-rect 9864 5160 9916 5166
-rect 9864 5102 9916 5108
-rect 9876 4622 9904 5102
-rect 10048 5024 10100 5030
-rect 10048 4966 10100 4972
-rect 10060 4758 10088 4966
-rect 10244 4758 10272 6394
-rect 10336 6322 10364 6734
-rect 10324 6316 10376 6322
-rect 10324 6258 10376 6264
-rect 10336 5930 10364 6258
-rect 10336 5914 10456 5930
-rect 10336 5908 10468 5914
-rect 10336 5902 10416 5908
-rect 10416 5850 10468 5856
-rect 10048 4752 10100 4758
-rect 10048 4694 10100 4700
-rect 10232 4752 10284 4758
-rect 10232 4694 10284 4700
-rect 9864 4616 9916 4622
-rect 9864 4558 9916 4564
-rect 10140 4616 10192 4622
-rect 10140 4558 10192 4564
-rect 10152 4282 10180 4558
-rect 10140 4276 10192 4282
-rect 10140 4218 10192 4224
-rect 10232 4140 10284 4146
-rect 10232 4082 10284 4088
-rect 10508 4140 10560 4146
-rect 10508 4082 10560 4088
-rect 10244 3738 10272 4082
-rect 10232 3732 10284 3738
-rect 10232 3674 10284 3680
+rect 12214 12475 12522 12484
+rect 12808 12232 12860 12238
+rect 12808 12174 12860 12180
+rect 12072 12164 12124 12170
+rect 12072 12106 12124 12112
+rect 11980 11892 12032 11898
+rect 11808 11852 11980 11880
+rect 11980 11834 12032 11840
+rect 11704 11756 11756 11762
+rect 11704 11698 11756 11704
+rect 11518 11248 11574 11257
+rect 11518 11183 11574 11192
+rect 11428 9444 11480 9450
+rect 11428 9386 11480 9392
+rect 11336 9376 11388 9382
+rect 11388 9324 11468 9330
+rect 11336 9318 11468 9324
+rect 11348 9302 11468 9318
+rect 11244 9172 11296 9178
+rect 11244 9114 11296 9120
+rect 11256 9058 11284 9114
+rect 11164 9030 11284 9058
+rect 11164 8634 11192 9030
+rect 11336 8968 11388 8974
+rect 11256 8928 11336 8956
+rect 11152 8628 11204 8634
+rect 11152 8570 11204 8576
+rect 10876 7880 10928 7886
+rect 10876 7822 10928 7828
+rect 11060 7880 11112 7886
+rect 11060 7822 11112 7828
+rect 10888 6458 10916 7822
+rect 11072 7410 11100 7822
+rect 11060 7404 11112 7410
+rect 11060 7346 11112 7352
+rect 10876 6452 10928 6458
+rect 10876 6394 10928 6400
+rect 10692 6316 10744 6322
+rect 10692 6258 10744 6264
+rect 10784 6316 10836 6322
+rect 10784 6258 10836 6264
+rect 10704 5914 10732 6258
+rect 11164 6254 11192 8570
+rect 11256 8430 11284 8928
+rect 11336 8910 11388 8916
+rect 11336 8832 11388 8838
+rect 11440 8786 11468 9302
+rect 11388 8780 11468 8786
+rect 11336 8774 11468 8780
+rect 11348 8758 11468 8774
+rect 11244 8424 11296 8430
+rect 11244 8366 11296 8372
+rect 11152 6248 11204 6254
+rect 11152 6190 11204 6196
+rect 10692 5908 10744 5914
+rect 10692 5850 10744 5856
+rect 10600 5772 10652 5778
+rect 10600 5714 10652 5720
+rect 11152 5296 11204 5302
+rect 11152 5238 11204 5244
+rect 10416 5024 10468 5030
+rect 10416 4966 10468 4972
+rect 11164 4282 11192 5238
+rect 11152 4276 11204 4282
+rect 11152 4218 11204 4224
+rect 9588 4140 9640 4146
+rect 9588 4082 9640 4088
+rect 10324 4140 10376 4146
+rect 10324 4082 10376 4088
+rect 10784 4140 10836 4146
+rect 10784 4082 10836 4088
+rect 9220 4004 9272 4010
+rect 9220 3946 9272 3952
+rect 9036 3936 9088 3942
+rect 9036 3878 9088 3884
+rect 8864 3602 9168 3618
+rect 8484 3596 8536 3602
+rect 8484 3538 8536 3544
+rect 8852 3596 9180 3602
+rect 8904 3590 9128 3596
+rect 8852 3538 8904 3544
+rect 9128 3538 9180 3544
+rect 8208 3460 8260 3466
+rect 8128 3420 8208 3448
+rect 8128 3194 8156 3420
+rect 8496 3448 8524 3538
+rect 9232 3534 9260 3946
+rect 9600 3738 9628 4082
+rect 9956 4004 10008 4010
+rect 9956 3946 10008 3952
+rect 9588 3732 9640 3738
+rect 9588 3674 9640 3680
+rect 9680 3732 9732 3738
+rect 9680 3674 9732 3680
rect 9220 3528 9272 3534
rect 9220 3470 9272 3476
-rect 9312 3528 9364 3534
-rect 9312 3470 9364 3476
-rect 9680 3528 9732 3534
-rect 9680 3470 9732 3476
-rect 10416 3460 10468 3466
-rect 10416 3402 10468 3408
-rect 9864 3052 9916 3058
-rect 9864 2994 9916 3000
-rect 10232 3052 10284 3058
-rect 10232 2994 10284 3000
-rect 9404 2984 9456 2990
-rect 9404 2926 9456 2932
-rect 9140 2746 9352 2774
-rect 8668 2644 8720 2650
-rect 8668 2586 8720 2592
-rect 7748 2576 7800 2582
-rect 7748 2518 7800 2524
+rect 9496 3528 9548 3534
+rect 9496 3470 9548 3476
+rect 8496 3420 8616 3448
+rect 8208 3402 8260 3408
+rect 8214 3292 8522 3301
+rect 8214 3290 8220 3292
+rect 8276 3290 8300 3292
+rect 8356 3290 8380 3292
+rect 8436 3290 8460 3292
+rect 8516 3290 8522 3292
+rect 8276 3238 8278 3290
+rect 8458 3238 8460 3290
+rect 8214 3236 8220 3238
+rect 8276 3236 8300 3238
+rect 8356 3236 8380 3238
+rect 8436 3236 8460 3238
+rect 8516 3236 8522 3238
+rect 8214 3227 8522 3236
+rect 8116 3188 8168 3194
+rect 8116 3130 8168 3136
+rect 8588 3126 8616 3420
+rect 8576 3120 8628 3126
+rect 8576 3062 8628 3068
+rect 8024 2848 8076 2854
+rect 8024 2790 8076 2796
+rect 8588 2514 8616 3062
+rect 8944 2984 8996 2990
+rect 8944 2926 8996 2932
+rect 8956 2650 8984 2926
+rect 8944 2644 8996 2650
+rect 8944 2586 8996 2592
+rect 8576 2508 8628 2514
+rect 8576 2450 8628 2456
rect 7564 2440 7616 2446
+rect 7116 2378 7236 2394
rect 7564 2382 7616 2388
-rect 7656 2440 7708 2446
-rect 7656 2382 7708 2388
-rect 7380 2032 7432 2038
-rect 7380 1974 7432 1980
-rect 6828 1760 6880 1766
-rect 6828 1702 6880 1708
-rect 7392 1562 7420 1974
-rect 7668 1902 7696 2382
-rect 7760 2310 7788 2518
-rect 8760 2440 8812 2446
-rect 8760 2382 8812 2388
-rect 9220 2440 9272 2446
-rect 9220 2382 9272 2388
-rect 8772 2310 8800 2382
-rect 7748 2304 7800 2310
-rect 7748 2246 7800 2252
-rect 8760 2304 8812 2310
-rect 8760 2246 8812 2252
-rect 8214 2204 8522 2224
+rect 7840 2440 7892 2446
+rect 7840 2382 7892 2388
+rect 7116 2372 7248 2378
+rect 7116 2366 7196 2372
+rect 6736 1964 6788 1970
+rect 6736 1906 6788 1912
+rect 6552 1760 6604 1766
+rect 6552 1702 6604 1708
+rect 6748 1562 6776 1906
+rect 7012 1760 7064 1766
+rect 7012 1702 7064 1708
+rect 6736 1556 6788 1562
+rect 6736 1498 6788 1504
+rect 6748 1426 6776 1498
+rect 5908 1420 5960 1426
+rect 5908 1362 5960 1368
+rect 6736 1420 6788 1426
+rect 6736 1362 6788 1368
+rect 7024 1358 7052 1702
+rect 5632 1352 5684 1358
+rect 5632 1294 5684 1300
+rect 7012 1352 7064 1358
+rect 7012 1294 7064 1300
+rect 7116 1290 7144 2366
+rect 7196 2314 7248 2320
+rect 7288 2304 7340 2310
+rect 7288 2246 7340 2252
+rect 7300 1426 7328 2246
+rect 7576 1426 7604 2382
+rect 8116 2304 8168 2310
+rect 8116 2246 8168 2252
+rect 8128 2038 8156 2246
+rect 8214 2204 8522 2213
rect 8214 2202 8220 2204
rect 8276 2202 8300 2204
rect 8356 2202 8380 2204
@@ -15351,619 +16417,128 @@ rect 8276 2148 8300 2150
rect 8356 2148 8380 2150
rect 8436 2148 8460 2150
rect 8516 2148 8522 2150
-rect 8214 2128 8522 2148
-rect 7656 1896 7708 1902
-rect 7656 1838 7708 1844
-rect 8668 1896 8720 1902
-rect 8668 1838 8720 1844
-rect 6644 1556 6696 1562
-rect 6644 1498 6696 1504
-rect 7380 1556 7432 1562
-rect 7380 1498 7432 1504
-rect 7668 1494 7696 1838
-rect 8680 1494 8708 1838
-rect 7656 1488 7708 1494
-rect 7656 1430 7708 1436
-rect 8668 1488 8720 1494
-rect 8668 1430 8720 1436
-rect 8772 1358 8800 2246
-rect 9232 1766 9260 2382
-rect 9324 2310 9352 2746
-rect 9416 2650 9444 2926
-rect 9772 2916 9824 2922
-rect 9772 2858 9824 2864
-rect 9404 2644 9456 2650
-rect 9404 2586 9456 2592
-rect 9588 2440 9640 2446
-rect 9588 2382 9640 2388
-rect 9312 2304 9364 2310
-rect 9312 2246 9364 2252
-rect 9404 2032 9456 2038
-rect 9404 1974 9456 1980
-rect 9220 1760 9272 1766
-rect 9220 1702 9272 1708
-rect 9416 1562 9444 1974
-rect 9404 1556 9456 1562
-rect 9404 1498 9456 1504
-rect 6276 1352 6328 1358
-rect 6276 1294 6328 1300
-rect 6552 1352 6604 1358
-rect 6552 1294 6604 1300
-rect 8760 1352 8812 1358
-rect 8760 1294 8812 1300
-rect 9600 1290 9628 2382
-rect 9784 2378 9812 2858
-rect 9876 2446 9904 2994
-rect 9956 2984 10008 2990
-rect 9956 2926 10008 2932
-rect 9864 2440 9916 2446
-rect 9968 2424 9996 2926
-rect 10244 2922 10272 2994
-rect 10428 2922 10456 3402
-rect 10520 3194 10548 4082
-rect 10508 3188 10560 3194
-rect 10508 3130 10560 3136
-rect 10232 2916 10284 2922
-rect 10232 2858 10284 2864
-rect 10416 2916 10468 2922
-rect 10416 2858 10468 2864
-rect 9864 2382 9916 2388
-rect 9956 2418 10008 2424
-rect 9772 2372 9824 2378
-rect 9772 2314 9824 2320
-rect 9876 1902 9904 2382
-rect 9956 2360 10008 2366
-rect 10232 2372 10284 2378
-rect 9968 2106 9996 2360
-rect 10232 2314 10284 2320
-rect 10244 2106 10272 2314
-rect 9956 2100 10008 2106
-rect 9956 2042 10008 2048
-rect 10232 2100 10284 2106
-rect 10232 2042 10284 2048
-rect 9864 1896 9916 1902
-rect 9864 1838 9916 1844
-rect 10140 1896 10192 1902
-rect 10140 1838 10192 1844
-rect 9876 1766 9904 1838
-rect 9864 1760 9916 1766
-rect 9864 1702 9916 1708
-rect 6092 1284 6144 1290
-rect 6092 1226 6144 1232
-rect 9588 1284 9640 1290
-rect 9588 1226 9640 1232
-rect 10152 1222 10180 1838
-rect 10612 1329 10640 7890
-rect 10704 7886 10732 8463
-rect 10784 8424 10836 8430
-rect 10784 8366 10836 8372
-rect 10796 8090 10824 8366
-rect 10784 8084 10836 8090
-rect 10784 8026 10836 8032
-rect 10692 7880 10744 7886
-rect 10692 7822 10744 7828
-rect 10980 7546 11008 8792
-rect 11060 8774 11112 8780
-rect 11060 8628 11112 8634
-rect 11060 8570 11112 8576
-rect 10968 7540 11020 7546
-rect 10968 7482 11020 7488
-rect 10980 7426 11008 7482
-rect 10888 7410 11008 7426
-rect 10876 7404 11008 7410
-rect 10928 7398 11008 7404
-rect 10876 7346 10928 7352
-rect 10784 7200 10836 7206
-rect 10784 7142 10836 7148
-rect 10796 6798 10824 7142
-rect 10888 6866 10916 7346
-rect 10968 7336 11020 7342
-rect 10968 7278 11020 7284
-rect 10980 7002 11008 7278
-rect 11072 7206 11100 8570
-rect 11164 8498 11192 9114
-rect 11532 8906 11560 9386
-rect 11992 8974 12020 9522
-rect 11980 8968 12032 8974
-rect 11980 8910 12032 8916
-rect 11520 8900 11572 8906
-rect 11520 8842 11572 8848
-rect 11334 8664 11390 8673
-rect 11334 8599 11390 8608
-rect 11348 8498 11376 8599
-rect 11152 8492 11204 8498
-rect 11152 8434 11204 8440
-rect 11336 8492 11388 8498
-rect 11336 8434 11388 8440
-rect 11152 7812 11204 7818
-rect 11152 7754 11204 7760
-rect 11164 7546 11192 7754
-rect 11152 7540 11204 7546
-rect 11152 7482 11204 7488
-rect 11060 7200 11112 7206
-rect 11060 7142 11112 7148
-rect 10968 6996 11020 7002
-rect 10968 6938 11020 6944
-rect 10876 6860 10928 6866
-rect 10876 6802 10928 6808
-rect 10784 6792 10836 6798
-rect 11072 6780 11100 7142
-rect 11532 6798 11560 8842
-rect 11796 8832 11848 8838
-rect 11796 8774 11848 8780
-rect 11808 8498 11836 8774
-rect 11796 8492 11848 8498
-rect 11796 8434 11848 8440
-rect 11808 7886 11836 8434
-rect 12084 8022 12112 10202
-rect 12820 9994 12848 10610
-rect 13004 10266 13032 10610
-rect 12992 10260 13044 10266
-rect 12992 10202 13044 10208
-rect 13082 10024 13138 10033
-rect 12808 9988 12860 9994
-rect 13082 9959 13084 9968
-rect 12808 9930 12860 9936
-rect 13136 9959 13138 9968
-rect 13084 9930 13136 9936
-rect 12532 9920 12584 9926
-rect 12532 9862 12584 9868
-rect 13544 9920 13596 9926
-rect 13544 9862 13596 9868
-rect 12544 9586 12572 9862
-rect 12532 9580 12584 9586
-rect 13360 9580 13412 9586
-rect 12584 9540 12756 9568
-rect 12532 9522 12584 9528
-rect 12214 9276 12522 9296
-rect 12214 9274 12220 9276
-rect 12276 9274 12300 9276
-rect 12356 9274 12380 9276
-rect 12436 9274 12460 9276
-rect 12516 9274 12522 9276
-rect 12276 9222 12278 9274
-rect 12458 9222 12460 9274
-rect 12214 9220 12220 9222
-rect 12276 9220 12300 9222
-rect 12356 9220 12380 9222
-rect 12436 9220 12460 9222
-rect 12516 9220 12522 9222
-rect 12214 9200 12522 9220
-rect 12624 8968 12676 8974
-rect 12624 8910 12676 8916
-rect 12214 8188 12522 8208
-rect 12214 8186 12220 8188
-rect 12276 8186 12300 8188
-rect 12356 8186 12380 8188
-rect 12436 8186 12460 8188
-rect 12516 8186 12522 8188
-rect 12276 8134 12278 8186
-rect 12458 8134 12460 8186
-rect 12214 8132 12220 8134
-rect 12276 8132 12300 8134
-rect 12356 8132 12380 8134
-rect 12436 8132 12460 8134
-rect 12516 8132 12522 8134
-rect 12214 8112 12522 8132
-rect 12072 8016 12124 8022
-rect 12072 7958 12124 7964
-rect 12440 8016 12492 8022
-rect 12440 7958 12492 7964
-rect 11796 7880 11848 7886
-rect 11796 7822 11848 7828
-rect 11808 7410 11836 7822
-rect 12256 7812 12308 7818
-rect 12256 7754 12308 7760
-rect 12268 7546 12296 7754
-rect 12256 7540 12308 7546
-rect 12256 7482 12308 7488
-rect 11796 7404 11848 7410
-rect 11796 7346 11848 7352
-rect 12452 7342 12480 7958
-rect 12636 7954 12664 8910
-rect 12728 8906 12756 9540
-rect 13360 9522 13412 9528
-rect 12808 9444 12860 9450
-rect 12808 9386 12860 9392
-rect 12820 9110 12848 9386
-rect 13268 9376 13320 9382
-rect 13268 9318 13320 9324
-rect 12808 9104 12860 9110
-rect 12808 9046 12860 9052
-rect 13280 9042 13308 9318
-rect 13268 9036 13320 9042
-rect 13268 8978 13320 8984
-rect 13372 8974 13400 9522
-rect 13556 9382 13584 9862
-rect 13544 9376 13596 9382
-rect 13544 9318 13596 9324
-rect 13360 8968 13412 8974
-rect 13360 8910 13412 8916
-rect 12716 8900 12768 8906
-rect 12716 8842 12768 8848
-rect 13176 8900 13228 8906
-rect 13176 8842 13228 8848
-rect 13188 8498 13216 8842
-rect 13372 8786 13400 8910
-rect 13556 8809 13584 9318
-rect 13280 8758 13400 8786
-rect 13542 8800 13598 8809
-rect 13176 8492 13228 8498
-rect 13176 8434 13228 8440
-rect 13280 8022 13308 8758
-rect 13542 8735 13598 8744
-rect 13360 8288 13412 8294
-rect 13360 8230 13412 8236
-rect 13268 8016 13320 8022
-rect 13268 7958 13320 7964
-rect 13372 7954 13400 8230
-rect 12624 7948 12676 7954
-rect 12624 7890 12676 7896
-rect 13360 7948 13412 7954
-rect 13360 7890 13412 7896
-rect 12440 7336 12492 7342
-rect 12440 7278 12492 7284
-rect 12214 7100 12522 7120
-rect 12214 7098 12220 7100
-rect 12276 7098 12300 7100
-rect 12356 7098 12380 7100
-rect 12436 7098 12460 7100
-rect 12516 7098 12522 7100
-rect 12276 7046 12278 7098
-rect 12458 7046 12460 7098
-rect 12214 7044 12220 7046
-rect 12276 7044 12300 7046
-rect 12356 7044 12380 7046
-rect 12436 7044 12460 7046
-rect 12516 7044 12522 7046
-rect 12214 7024 12522 7044
-rect 12636 7002 12664 7890
-rect 13360 7812 13412 7818
-rect 13360 7754 13412 7760
-rect 13268 7744 13320 7750
-rect 13268 7686 13320 7692
-rect 12900 7336 12952 7342
-rect 12900 7278 12952 7284
-rect 12624 6996 12676 7002
-rect 12624 6938 12676 6944
-rect 12912 6866 12940 7278
-rect 13176 7200 13228 7206
-rect 13176 7142 13228 7148
-rect 12900 6860 12952 6866
-rect 12900 6802 12952 6808
-rect 13188 6798 13216 7142
-rect 11152 6792 11204 6798
-rect 11072 6752 11152 6780
-rect 11072 6746 11100 6752
-rect 10784 6734 10836 6740
-rect 10888 6730 11100 6746
-rect 11152 6734 11204 6740
-rect 11520 6792 11572 6798
-rect 11520 6734 11572 6740
-rect 12348 6792 12400 6798
-rect 12348 6734 12400 6740
-rect 12624 6792 12676 6798
-rect 12624 6734 12676 6740
-rect 13176 6792 13228 6798
-rect 13176 6734 13228 6740
-rect 10876 6724 11100 6730
-rect 10928 6718 11100 6724
-rect 10876 6666 10928 6672
-rect 11060 6656 11112 6662
-rect 11060 6598 11112 6604
-rect 12256 6656 12308 6662
-rect 12256 6598 12308 6604
-rect 10784 6316 10836 6322
-rect 10784 6258 10836 6264
-rect 10796 5302 10824 6258
-rect 10784 5296 10836 5302
-rect 10784 5238 10836 5244
-rect 10876 5296 10928 5302
-rect 10928 5244 11008 5250
-rect 10876 5238 11008 5244
-rect 10888 5222 11008 5238
-rect 10692 5160 10744 5166
-rect 10692 5102 10744 5108
-rect 10704 4622 10732 5102
-rect 10876 5024 10928 5030
-rect 10876 4966 10928 4972
-rect 10784 4684 10836 4690
-rect 10784 4626 10836 4632
-rect 10692 4616 10744 4622
-rect 10692 4558 10744 4564
-rect 10704 4282 10732 4558
-rect 10692 4276 10744 4282
-rect 10692 4218 10744 4224
-rect 10796 4078 10824 4626
-rect 10784 4072 10836 4078
-rect 10784 4014 10836 4020
-rect 10888 4010 10916 4966
-rect 10980 4146 11008 5222
-rect 11072 5030 11100 6598
-rect 12268 6390 12296 6598
-rect 12360 6458 12388 6734
-rect 12348 6452 12400 6458
-rect 12348 6394 12400 6400
-rect 12256 6384 12308 6390
-rect 12256 6326 12308 6332
-rect 11520 6248 11572 6254
-rect 11520 6190 11572 6196
-rect 11152 6112 11204 6118
-rect 11152 6054 11204 6060
-rect 11164 5710 11192 6054
-rect 11244 5772 11296 5778
-rect 11244 5714 11296 5720
-rect 11152 5704 11204 5710
-rect 11152 5646 11204 5652
-rect 11060 5024 11112 5030
-rect 11060 4966 11112 4972
-rect 11256 4622 11284 5714
-rect 11532 5710 11560 6190
-rect 12636 6118 12664 6734
-rect 13280 6662 13308 7686
-rect 13372 7410 13400 7754
-rect 13360 7404 13412 7410
-rect 13360 7346 13412 7352
-rect 12716 6656 12768 6662
-rect 12716 6598 12768 6604
-rect 13268 6656 13320 6662
-rect 13268 6598 13320 6604
-rect 12728 6390 12756 6598
-rect 12716 6384 12768 6390
-rect 12716 6326 12768 6332
-rect 13280 6225 13308 6598
-rect 13266 6216 13322 6225
-rect 13266 6151 13322 6160
-rect 12624 6112 12676 6118
-rect 12624 6054 12676 6060
-rect 12214 6012 12522 6032
-rect 12214 6010 12220 6012
-rect 12276 6010 12300 6012
-rect 12356 6010 12380 6012
-rect 12436 6010 12460 6012
-rect 12516 6010 12522 6012
-rect 12276 5958 12278 6010
-rect 12458 5958 12460 6010
-rect 12214 5956 12220 5958
-rect 12276 5956 12300 5958
-rect 12356 5956 12380 5958
-rect 12436 5956 12460 5958
-rect 12516 5956 12522 5958
-rect 12214 5936 12522 5956
-rect 11520 5704 11572 5710
-rect 11520 5646 11572 5652
-rect 11532 5574 11560 5646
-rect 11980 5636 12032 5642
-rect 11980 5578 12032 5584
-rect 11520 5568 11572 5574
-rect 11520 5510 11572 5516
-rect 11244 4616 11296 4622
-rect 11244 4558 11296 4564
-rect 10968 4140 11020 4146
-rect 10968 4082 11020 4088
-rect 10980 4010 11008 4082
-rect 11532 4078 11560 5510
-rect 11992 5370 12020 5578
-rect 11980 5364 12032 5370
-rect 11980 5306 12032 5312
-rect 12636 5234 12664 6054
-rect 13372 5914 13400 7346
-rect 13544 7200 13596 7206
-rect 13544 7142 13596 7148
-rect 13360 5908 13412 5914
-rect 13360 5850 13412 5856
-rect 12716 5636 12768 5642
-rect 12716 5578 12768 5584
-rect 12728 5370 12756 5578
-rect 12716 5364 12768 5370
-rect 12716 5306 12768 5312
-rect 11612 5228 11664 5234
-rect 11612 5170 11664 5176
-rect 12624 5228 12676 5234
-rect 12624 5170 12676 5176
-rect 11624 4622 11652 5170
-rect 12214 4924 12522 4944
-rect 12214 4922 12220 4924
-rect 12276 4922 12300 4924
-rect 12356 4922 12380 4924
-rect 12436 4922 12460 4924
-rect 12516 4922 12522 4924
-rect 12276 4870 12278 4922
-rect 12458 4870 12460 4922
-rect 12214 4868 12220 4870
-rect 12276 4868 12300 4870
-rect 12356 4868 12380 4870
-rect 12436 4868 12460 4870
-rect 12516 4868 12522 4870
-rect 12214 4848 12522 4868
-rect 11796 4684 11848 4690
-rect 11796 4626 11848 4632
-rect 11612 4616 11664 4622
-rect 11612 4558 11664 4564
-rect 11520 4072 11572 4078
-rect 11520 4014 11572 4020
-rect 10876 4004 10928 4010
-rect 10876 3946 10928 3952
-rect 10968 4004 11020 4010
-rect 10968 3946 11020 3952
-rect 10980 3602 11008 3946
-rect 10968 3596 11020 3602
-rect 10968 3538 11020 3544
-rect 11532 3398 11560 4014
-rect 11624 3942 11652 4558
-rect 11808 4214 11836 4626
-rect 11796 4208 11848 4214
-rect 11796 4150 11848 4156
-rect 11612 3936 11664 3942
-rect 11612 3878 11664 3884
-rect 12214 3836 12522 3856
-rect 12214 3834 12220 3836
-rect 12276 3834 12300 3836
-rect 12356 3834 12380 3836
-rect 12436 3834 12460 3836
-rect 12516 3834 12522 3836
-rect 12276 3782 12278 3834
-rect 12458 3782 12460 3834
-rect 12214 3780 12220 3782
-rect 12276 3780 12300 3782
-rect 12356 3780 12380 3782
-rect 12436 3780 12460 3782
-rect 12516 3780 12522 3782
-rect 12214 3760 12522 3780
-rect 12636 3534 12664 5170
-rect 12808 4208 12860 4214
-rect 12808 4150 12860 4156
-rect 12820 3738 12848 4150
-rect 13556 3777 13584 7142
-rect 13542 3768 13598 3777
-rect 12808 3732 12860 3738
-rect 13542 3703 13598 3712
-rect 12808 3674 12860 3680
-rect 12624 3528 12676 3534
-rect 12624 3470 12676 3476
-rect 11888 3460 11940 3466
-rect 11888 3402 11940 3408
-rect 11520 3392 11572 3398
-rect 11520 3334 11572 3340
-rect 10704 3148 11008 3176
-rect 10704 3058 10732 3148
-rect 10980 3058 11008 3148
-rect 10692 3052 10744 3058
-rect 10692 2994 10744 3000
-rect 10784 3052 10836 3058
-rect 10784 2994 10836 3000
-rect 10968 3052 11020 3058
-rect 10968 2994 11020 3000
-rect 10796 1970 10824 2994
-rect 10980 1970 11008 2994
-rect 11532 2990 11560 3334
-rect 11152 2984 11204 2990
-rect 11152 2926 11204 2932
-rect 11520 2984 11572 2990
-rect 11520 2926 11572 2932
-rect 11164 2310 11192 2926
-rect 11060 2304 11112 2310
-rect 11060 2246 11112 2252
-rect 11152 2304 11204 2310
-rect 11152 2246 11204 2252
-rect 11072 2106 11100 2246
-rect 11060 2100 11112 2106
-rect 11060 2042 11112 2048
-rect 11164 2038 11192 2246
-rect 11152 2032 11204 2038
-rect 11152 1974 11204 1980
-rect 11336 2032 11388 2038
-rect 11336 1974 11388 1980
-rect 10784 1964 10836 1970
-rect 10784 1906 10836 1912
-rect 10968 1964 11020 1970
-rect 10968 1906 11020 1912
-rect 11244 1964 11296 1970
-rect 11244 1906 11296 1912
-rect 11256 1426 11284 1906
-rect 11348 1562 11376 1974
-rect 11532 1970 11560 2926
-rect 11900 2650 11928 3402
-rect 11980 3392 12032 3398
-rect 11980 3334 12032 3340
-rect 11992 3194 12020 3334
-rect 11980 3188 12032 3194
-rect 11980 3130 12032 3136
-rect 12214 2748 12522 2768
-rect 12214 2746 12220 2748
-rect 12276 2746 12300 2748
-rect 12356 2746 12380 2748
-rect 12436 2746 12460 2748
-rect 12516 2746 12522 2748
-rect 12276 2694 12278 2746
-rect 12458 2694 12460 2746
-rect 12214 2692 12220 2694
-rect 12276 2692 12300 2694
-rect 12356 2692 12380 2694
-rect 12436 2692 12460 2694
-rect 12516 2692 12522 2694
-rect 12214 2672 12522 2692
-rect 11888 2644 11940 2650
-rect 12636 2632 12664 3470
-rect 12992 3392 13044 3398
-rect 12992 3334 13044 3340
-rect 13004 3126 13032 3334
-rect 12992 3120 13044 3126
-rect 12992 3062 13044 3068
-rect 12716 2984 12768 2990
-rect 12716 2926 12768 2932
-rect 11888 2586 11940 2592
-rect 12360 2604 12664 2632
-rect 12360 2446 12388 2604
-rect 12728 2446 12756 2926
-rect 13452 2848 13504 2854
-rect 13452 2790 13504 2796
-rect 13464 2514 13492 2790
-rect 13452 2508 13504 2514
-rect 13452 2450 13504 2456
-rect 12072 2440 12124 2446
-rect 12072 2382 12124 2388
-rect 12348 2440 12400 2446
-rect 12348 2382 12400 2388
-rect 12716 2440 12768 2446
-rect 12716 2382 12768 2388
-rect 12084 2106 12112 2382
-rect 13452 2372 13504 2378
-rect 13452 2314 13504 2320
-rect 12624 2304 12676 2310
-rect 12624 2246 12676 2252
-rect 12072 2100 12124 2106
-rect 12072 2042 12124 2048
-rect 11520 1964 11572 1970
-rect 11520 1906 11572 1912
-rect 11336 1556 11388 1562
-rect 11336 1498 11388 1504
-rect 11532 1426 11560 1906
-rect 11796 1896 11848 1902
-rect 11796 1838 11848 1844
-rect 11244 1420 11296 1426
-rect 11244 1362 11296 1368
-rect 11520 1420 11572 1426
-rect 11520 1362 11572 1368
-rect 10598 1320 10654 1329
-rect 10598 1255 10654 1264
-rect 11808 1222 11836 1838
-rect 11980 1760 12032 1766
-rect 11980 1702 12032 1708
-rect 11992 1426 12020 1702
-rect 12214 1660 12522 1680
-rect 12214 1658 12220 1660
-rect 12276 1658 12300 1660
-rect 12356 1658 12380 1660
-rect 12436 1658 12460 1660
-rect 12516 1658 12522 1660
-rect 12276 1606 12278 1658
-rect 12458 1606 12460 1658
-rect 12214 1604 12220 1606
-rect 12276 1604 12300 1606
-rect 12356 1604 12380 1606
-rect 12436 1604 12460 1606
-rect 12516 1604 12522 1606
-rect 12214 1584 12522 1604
-rect 11980 1420 12032 1426
-rect 11980 1362 12032 1368
-rect 12636 1290 12664 2246
-rect 13464 1562 13492 2314
-rect 13452 1556 13504 1562
-rect 13452 1498 13504 1504
-rect 12624 1284 12676 1290
-rect 12624 1226 12676 1232
-rect 3792 1216 3844 1222
-rect 3792 1158 3844 1164
-rect 5264 1216 5316 1222
-rect 5264 1158 5316 1164
-rect 10140 1216 10192 1222
-rect 10140 1158 10192 1164
-rect 11152 1216 11204 1222
-rect 11152 1158 11204 1164
-rect 11796 1216 11848 1222
-rect 11796 1158 11848 1164
-rect 8214 1116 8522 1136
+rect 8214 2139 8522 2148
+rect 7932 2032 7984 2038
+rect 7932 1974 7984 1980
+rect 8116 2032 8168 2038
+rect 8116 1974 8168 1980
+rect 7944 1426 7972 1974
+rect 8588 1902 8616 2450
+rect 9128 2372 9180 2378
+rect 9128 2314 9180 2320
+rect 8668 2304 8720 2310
+rect 8668 2246 8720 2252
+rect 8680 2106 8708 2246
+rect 8668 2100 8720 2106
+rect 8668 2042 8720 2048
+rect 8576 1896 8628 1902
+rect 8576 1838 8628 1844
+rect 8588 1426 8616 1838
+rect 8680 1562 8708 2042
+rect 8944 1896 8996 1902
+rect 8944 1838 8996 1844
+rect 8668 1556 8720 1562
+rect 8668 1498 8720 1504
+rect 8956 1494 8984 1838
+rect 8944 1488 8996 1494
+rect 8944 1430 8996 1436
+rect 7288 1420 7340 1426
+rect 7288 1362 7340 1368
+rect 7564 1420 7616 1426
+rect 7564 1362 7616 1368
+rect 7932 1420 7984 1426
+rect 7932 1362 7984 1368
+rect 8576 1420 8628 1426
+rect 8576 1362 8628 1368
+rect 9140 1358 9168 2314
+rect 9508 2310 9536 3470
+rect 9588 3392 9640 3398
+rect 9588 3334 9640 3340
+rect 9600 2514 9628 3334
+rect 9588 2508 9640 2514
+rect 9588 2450 9640 2456
+rect 9496 2304 9548 2310
+rect 9496 2246 9548 2252
+rect 9508 1902 9536 2246
+rect 9496 1896 9548 1902
+rect 9496 1838 9548 1844
+rect 9128 1352 9180 1358
+rect 9600 1306 9628 2450
+rect 9692 2378 9720 3674
+rect 9968 3618 9996 3946
+rect 10324 3936 10376 3942
+rect 10324 3878 10376 3884
+rect 9968 3590 10088 3618
+rect 10336 3602 10364 3878
+rect 10796 3738 10824 4082
+rect 10784 3732 10836 3738
+rect 10784 3674 10836 3680
+rect 9864 3460 9916 3466
+rect 9916 3420 9996 3448
+rect 9864 3402 9916 3408
+rect 9864 3120 9916 3126
+rect 9864 3062 9916 3068
+rect 9772 2984 9824 2990
+rect 9772 2926 9824 2932
+rect 9784 2446 9812 2926
+rect 9876 2582 9904 3062
+rect 9864 2576 9916 2582
+rect 9864 2518 9916 2524
+rect 9968 2446 9996 3420
+rect 10060 2990 10088 3590
+rect 10324 3596 10376 3602
+rect 10324 3538 10376 3544
+rect 10600 3460 10652 3466
+rect 10600 3402 10652 3408
+rect 10612 3194 10640 3402
+rect 10600 3188 10652 3194
+rect 10600 3130 10652 3136
+rect 11060 3188 11112 3194
+rect 11060 3130 11112 3136
+rect 10048 2984 10100 2990
+rect 10048 2926 10100 2932
+rect 10600 2848 10652 2854
+rect 10600 2790 10652 2796
+rect 9772 2440 9824 2446
+rect 9772 2382 9824 2388
+rect 9956 2440 10008 2446
+rect 10008 2400 10180 2428
+rect 9956 2382 10008 2388
+rect 9680 2372 9732 2378
+rect 9680 2314 9732 2320
+rect 9692 2106 9720 2314
+rect 9680 2100 9732 2106
+rect 9680 2042 9732 2048
+rect 10152 1970 10180 2400
+rect 10612 2378 10640 2790
+rect 11072 2650 11100 3130
+rect 11060 2644 11112 2650
+rect 11060 2586 11112 2592
+rect 10600 2372 10652 2378
+rect 10600 2314 10652 2320
+rect 10140 1964 10192 1970
+rect 10140 1906 10192 1912
+rect 11060 1828 11112 1834
+rect 11060 1770 11112 1776
+rect 9128 1294 9180 1300
+rect 9508 1290 9628 1306
+rect 11072 1290 11100 1770
+rect 11152 1488 11204 1494
+rect 11152 1430 11204 1436
+rect 7104 1284 7156 1290
+rect 7104 1226 7156 1232
+rect 9496 1284 9628 1290
+rect 9548 1278 9628 1284
+rect 11060 1284 11112 1290
+rect 9496 1226 9548 1232
+rect 11060 1226 11112 1232
+rect 4080 1142 4568 1170
+rect 5448 1216 5500 1222
+rect 5448 1158 5500 1164
+rect 6368 1216 6420 1222
+rect 6368 1158 6420 1164
+rect 6380 1018 6408 1158
+rect 8214 1116 8522 1125
rect 8214 1114 8220 1116
rect 8276 1114 8300 1116
rect 8356 1114 8380 1116
@@ -15976,25 +16551,574 @@ rect 8276 1060 8300 1062
rect 8356 1060 8380 1062
rect 8436 1060 8460 1062
rect 8516 1060 8522 1062
-rect 8214 1040 8522 1060
-rect 11164 800 11192 1158
-rect 1582 504 1638 513
-rect 1582 439 1638 448
+rect 8214 1051 8522 1060
+rect 3884 1012 3936 1018
+rect 3884 954 3936 960
+rect 6368 1012 6420 1018
+rect 6368 954 6420 960
+rect 11164 800 11192 1430
+rect 11256 1329 11284 8366
+rect 11440 5574 11468 8758
+rect 11532 6746 11560 11183
+rect 11716 10577 11744 11698
+rect 11992 11354 12020 11834
+rect 12820 11694 12848 12174
+rect 12912 11830 12940 13126
+rect 13004 12714 13032 13262
+rect 13084 13184 13136 13190
+rect 13084 13126 13136 13132
+rect 12992 12708 13044 12714
+rect 12992 12650 13044 12656
+rect 13004 12238 13032 12650
+rect 12992 12232 13044 12238
+rect 12992 12174 13044 12180
+rect 12900 11824 12952 11830
+rect 12900 11766 12952 11772
+rect 12072 11688 12124 11694
+rect 12072 11630 12124 11636
+rect 12808 11688 12860 11694
+rect 12808 11630 12860 11636
+rect 11980 11348 12032 11354
+rect 11980 11290 12032 11296
+rect 11796 11280 11848 11286
+rect 11796 11222 11848 11228
+rect 11808 10674 11836 11222
+rect 11992 11121 12020 11290
+rect 12084 11150 12112 11630
+rect 12214 11452 12522 11461
+rect 12214 11450 12220 11452
+rect 12276 11450 12300 11452
+rect 12356 11450 12380 11452
+rect 12436 11450 12460 11452
+rect 12516 11450 12522 11452
+rect 12276 11398 12278 11450
+rect 12458 11398 12460 11450
+rect 12214 11396 12220 11398
+rect 12276 11396 12300 11398
+rect 12356 11396 12380 11398
+rect 12436 11396 12460 11398
+rect 12516 11396 12522 11398
+rect 12214 11387 12522 11396
+rect 13004 11150 13032 12174
+rect 13096 11762 13124 13126
+rect 13188 11898 13216 13262
+rect 13360 13252 13412 13258
+rect 13360 13194 13412 13200
+rect 13268 12164 13320 12170
+rect 13268 12106 13320 12112
+rect 13176 11892 13228 11898
+rect 13176 11834 13228 11840
+rect 13280 11762 13308 12106
+rect 13372 11830 13400 13194
+rect 13464 11898 13492 14334
+rect 14370 14200 14426 15000
+rect 14384 13530 14412 14200
+rect 14372 13524 14424 13530
+rect 14372 13466 14424 13472
+rect 13452 11892 13504 11898
+rect 13452 11834 13504 11840
+rect 13360 11824 13412 11830
+rect 13360 11766 13412 11772
+rect 13084 11756 13136 11762
+rect 13084 11698 13136 11704
+rect 13268 11756 13320 11762
+rect 13268 11698 13320 11704
+rect 12072 11144 12124 11150
+rect 11978 11112 12034 11121
+rect 12072 11086 12124 11092
+rect 12992 11144 13044 11150
+rect 12992 11086 13044 11092
+rect 13096 11082 13124 11698
+rect 13280 11150 13308 11698
+rect 13464 11286 13492 11834
+rect 13452 11280 13504 11286
+rect 13452 11222 13504 11228
+rect 13542 11248 13598 11257
+rect 13542 11183 13544 11192
+rect 13596 11183 13598 11192
+rect 13544 11154 13596 11160
+rect 13268 11144 13320 11150
+rect 13268 11086 13320 11092
+rect 11978 11047 11980 11056
+rect 12032 11047 12034 11056
+rect 12256 11076 12308 11082
+rect 11980 11018 12032 11024
+rect 12256 11018 12308 11024
+rect 13084 11076 13136 11082
+rect 13084 11018 13136 11024
+rect 11992 10987 12020 11018
+rect 12268 10742 12296 11018
+rect 12532 11008 12584 11014
+rect 12532 10950 12584 10956
+rect 12716 11008 12768 11014
+rect 12716 10950 12768 10956
+rect 12256 10736 12308 10742
+rect 11900 10674 12204 10690
+rect 12256 10678 12308 10684
+rect 11796 10668 11848 10674
+rect 11796 10610 11848 10616
+rect 11900 10668 12216 10674
+rect 11900 10662 12164 10668
+rect 11702 10568 11758 10577
+rect 11702 10503 11758 10512
+rect 11704 10464 11756 10470
+rect 11704 10406 11756 10412
+rect 11612 9512 11664 9518
+rect 11612 9454 11664 9460
+rect 11624 9110 11652 9454
+rect 11612 9104 11664 9110
+rect 11612 9046 11664 9052
+rect 11624 8566 11652 9046
+rect 11716 8634 11744 10406
+rect 11796 10124 11848 10130
+rect 11900 10112 11928 10662
+rect 12164 10610 12216 10616
+rect 12072 10600 12124 10606
+rect 12072 10542 12124 10548
+rect 12348 10600 12400 10606
+rect 12348 10542 12400 10548
+rect 11980 10464 12032 10470
+rect 11980 10406 12032 10412
+rect 11848 10084 11928 10112
+rect 11796 10066 11848 10072
+rect 11796 9988 11848 9994
+rect 11796 9930 11848 9936
+rect 11704 8628 11756 8634
+rect 11704 8570 11756 8576
+rect 11612 8560 11664 8566
+rect 11612 8502 11664 8508
+rect 11624 6866 11652 8502
+rect 11612 6860 11664 6866
+rect 11612 6802 11664 6808
+rect 11704 6792 11756 6798
+rect 11532 6740 11704 6746
+rect 11532 6734 11756 6740
+rect 11532 6718 11744 6734
+rect 11612 6180 11664 6186
+rect 11612 6122 11664 6128
+rect 11624 5642 11652 6122
+rect 11612 5636 11664 5642
+rect 11612 5578 11664 5584
+rect 11428 5568 11480 5574
+rect 11428 5510 11480 5516
+rect 11808 5370 11836 9930
+rect 11900 8974 11928 10084
+rect 11888 8968 11940 8974
+rect 11888 8910 11940 8916
+rect 11992 8634 12020 10406
+rect 12084 9586 12112 10542
+rect 12256 10464 12308 10470
+rect 12360 10452 12388 10542
+rect 12544 10520 12572 10950
+rect 12622 10704 12678 10713
+rect 12622 10639 12624 10648
+rect 12676 10639 12678 10648
+rect 12624 10610 12676 10616
+rect 12544 10492 12664 10520
+rect 12308 10424 12388 10452
+rect 12256 10406 12308 10412
+rect 12214 10364 12522 10373
+rect 12214 10362 12220 10364
+rect 12276 10362 12300 10364
+rect 12356 10362 12380 10364
+rect 12436 10362 12460 10364
+rect 12516 10362 12522 10364
+rect 12276 10310 12278 10362
+rect 12458 10310 12460 10362
+rect 12214 10308 12220 10310
+rect 12276 10308 12300 10310
+rect 12356 10308 12380 10310
+rect 12436 10308 12460 10310
+rect 12516 10308 12522 10310
+rect 12214 10299 12522 10308
+rect 12072 9580 12124 9586
+rect 12072 9522 12124 9528
+rect 12084 9178 12112 9522
+rect 12214 9276 12522 9285
+rect 12214 9274 12220 9276
+rect 12276 9274 12300 9276
+rect 12356 9274 12380 9276
+rect 12436 9274 12460 9276
+rect 12516 9274 12522 9276
+rect 12276 9222 12278 9274
+rect 12458 9222 12460 9274
+rect 12214 9220 12220 9222
+rect 12276 9220 12300 9222
+rect 12356 9220 12380 9222
+rect 12436 9220 12460 9222
+rect 12516 9220 12522 9222
+rect 12214 9211 12522 9220
+rect 12072 9172 12124 9178
+rect 12072 9114 12124 9120
+rect 12348 9104 12400 9110
+rect 12348 9046 12400 9052
+rect 11980 8628 12032 8634
+rect 11980 8570 12032 8576
+rect 12360 8498 12388 9046
+rect 12636 8809 12664 10492
+rect 12728 10062 12756 10950
+rect 12806 10704 12862 10713
+rect 12806 10639 12862 10648
+rect 12716 10056 12768 10062
+rect 12716 9998 12768 10004
+rect 12728 9586 12756 9998
+rect 12820 9654 12848 10639
+rect 12900 10600 12952 10606
+rect 12900 10542 12952 10548
+rect 12912 10198 12940 10542
+rect 13360 10532 13412 10538
+rect 13360 10474 13412 10480
+rect 13372 10198 13400 10474
+rect 12900 10192 12952 10198
+rect 12900 10134 12952 10140
+rect 13360 10192 13412 10198
+rect 13360 10134 13412 10140
+rect 13372 9654 13400 10134
+rect 12808 9648 12860 9654
+rect 12808 9590 12860 9596
+rect 13360 9648 13412 9654
+rect 13360 9590 13412 9596
+rect 12716 9580 12768 9586
+rect 12716 9522 12768 9528
+rect 12622 8800 12678 8809
+rect 12622 8735 12678 8744
+rect 12728 8566 12756 9522
+rect 13452 9444 13504 9450
+rect 13452 9386 13504 9392
+rect 13268 8968 13320 8974
+rect 13268 8910 13320 8916
+rect 12900 8900 12952 8906
+rect 12900 8842 12952 8848
+rect 13084 8900 13136 8906
+rect 13084 8842 13136 8848
+rect 12716 8560 12768 8566
+rect 12716 8502 12768 8508
+rect 12348 8492 12400 8498
+rect 12348 8434 12400 8440
+rect 12072 8356 12124 8362
+rect 12072 8298 12124 8304
+rect 11980 7812 12032 7818
+rect 11980 7754 12032 7760
+rect 11992 7324 12020 7754
+rect 12084 7478 12112 8298
+rect 12214 8188 12522 8197
+rect 12214 8186 12220 8188
+rect 12276 8186 12300 8188
+rect 12356 8186 12380 8188
+rect 12436 8186 12460 8188
+rect 12516 8186 12522 8188
+rect 12276 8134 12278 8186
+rect 12458 8134 12460 8186
+rect 12214 8132 12220 8134
+rect 12276 8132 12300 8134
+rect 12356 8132 12380 8134
+rect 12436 8132 12460 8134
+rect 12516 8132 12522 8134
+rect 12214 8123 12522 8132
+rect 12912 8090 12940 8842
+rect 13096 8566 13124 8842
+rect 13084 8560 13136 8566
+rect 13084 8502 13136 8508
+rect 13280 8498 13308 8910
+rect 13268 8492 13320 8498
+rect 13268 8434 13320 8440
+rect 12900 8084 12952 8090
+rect 12900 8026 12952 8032
+rect 13280 7886 13308 8434
+rect 13268 7880 13320 7886
+rect 13268 7822 13320 7828
+rect 12256 7744 12308 7750
+rect 12256 7686 12308 7692
+rect 12268 7546 12296 7686
+rect 12256 7540 12308 7546
+rect 12256 7482 12308 7488
+rect 12072 7472 12124 7478
+rect 12072 7414 12124 7420
+rect 12268 7410 12296 7482
+rect 12256 7404 12308 7410
+rect 12256 7346 12308 7352
+rect 12072 7336 12124 7342
+rect 11992 7296 12072 7324
+rect 12072 7278 12124 7284
+rect 11888 6724 11940 6730
+rect 11888 6666 11940 6672
+rect 11900 6390 11928 6666
+rect 12084 6458 12112 7278
+rect 12214 7100 12522 7109
+rect 12214 7098 12220 7100
+rect 12276 7098 12300 7100
+rect 12356 7098 12380 7100
+rect 12436 7098 12460 7100
+rect 12516 7098 12522 7100
+rect 12276 7046 12278 7098
+rect 12458 7046 12460 7098
+rect 12214 7044 12220 7046
+rect 12276 7044 12300 7046
+rect 12356 7044 12380 7046
+rect 12436 7044 12460 7046
+rect 12516 7044 12522 7046
+rect 12214 7035 12522 7044
+rect 13280 6934 13308 7822
+rect 13268 6928 13320 6934
+rect 13268 6870 13320 6876
+rect 12348 6792 12400 6798
+rect 12348 6734 12400 6740
+rect 12072 6452 12124 6458
+rect 12072 6394 12124 6400
+rect 11888 6384 11940 6390
+rect 11888 6326 11940 6332
+rect 12084 6322 12112 6394
+rect 12360 6322 12388 6734
+rect 13280 6390 13308 6870
+rect 13464 6798 13492 9386
+rect 13544 8084 13596 8090
+rect 13544 8026 13596 8032
+rect 13452 6792 13504 6798
+rect 13452 6734 13504 6740
+rect 13268 6384 13320 6390
+rect 13268 6326 13320 6332
+rect 12072 6316 12124 6322
+rect 11992 6276 12072 6304
+rect 11992 5710 12020 6276
+rect 12072 6258 12124 6264
+rect 12348 6316 12400 6322
+rect 12348 6258 12400 6264
+rect 13268 6248 13320 6254
+rect 13268 6190 13320 6196
+rect 12072 6112 12124 6118
+rect 12072 6054 12124 6060
+rect 11980 5704 12032 5710
+rect 11980 5646 12032 5652
+rect 12084 5658 12112 6054
+rect 12214 6012 12522 6021
+rect 12214 6010 12220 6012
+rect 12276 6010 12300 6012
+rect 12356 6010 12380 6012
+rect 12436 6010 12460 6012
+rect 12516 6010 12522 6012
+rect 12276 5958 12278 6010
+rect 12458 5958 12460 6010
+rect 12214 5956 12220 5958
+rect 12276 5956 12300 5958
+rect 12356 5956 12380 5958
+rect 12436 5956 12460 5958
+rect 12516 5956 12522 5958
+rect 12214 5947 12522 5956
+rect 13280 5914 13308 6190
+rect 13464 5930 13492 6734
+rect 13556 6225 13584 8026
+rect 13542 6216 13598 6225
+rect 13542 6151 13598 6160
+rect 13268 5908 13320 5914
+rect 13464 5902 13584 5930
+rect 13268 5850 13320 5856
+rect 11888 5636 11940 5642
+rect 11888 5578 11940 5584
+rect 11796 5364 11848 5370
+rect 11796 5306 11848 5312
+rect 11612 5228 11664 5234
+rect 11612 5170 11664 5176
+rect 11624 4282 11652 5170
+rect 11900 4554 11928 5578
+rect 11888 4548 11940 4554
+rect 11888 4490 11940 4496
+rect 11992 4298 12020 5646
+rect 12084 5630 12204 5658
+rect 12084 5302 12112 5630
+rect 12176 5574 12204 5630
+rect 12164 5568 12216 5574
+rect 12164 5510 12216 5516
+rect 13176 5568 13228 5574
+rect 13176 5510 13228 5516
+rect 13188 5302 13216 5510
+rect 12072 5296 12124 5302
+rect 12072 5238 12124 5244
+rect 13176 5296 13228 5302
+rect 13176 5238 13228 5244
+rect 12624 5228 12676 5234
+rect 12624 5170 12676 5176
+rect 12214 4924 12522 4933
+rect 12214 4922 12220 4924
+rect 12276 4922 12300 4924
+rect 12356 4922 12380 4924
+rect 12436 4922 12460 4924
+rect 12516 4922 12522 4924
+rect 12276 4870 12278 4922
+rect 12458 4870 12460 4922
+rect 12214 4868 12220 4870
+rect 12276 4868 12300 4870
+rect 12356 4868 12380 4870
+rect 12436 4868 12460 4870
+rect 12516 4868 12522 4870
+rect 12214 4859 12522 4868
+rect 12636 4706 12664 5170
+rect 12716 5024 12768 5030
+rect 12716 4966 12768 4972
+rect 12544 4678 12664 4706
+rect 12544 4622 12572 4678
+rect 12532 4616 12584 4622
+rect 12532 4558 12584 4564
+rect 11612 4276 11664 4282
+rect 11992 4270 12112 4298
+rect 11612 4218 11664 4224
+rect 11980 4208 12032 4214
+rect 11980 4150 12032 4156
+rect 11704 4072 11756 4078
+rect 11704 4014 11756 4020
+rect 11716 3466 11744 4014
+rect 11992 3602 12020 4150
+rect 12084 4146 12112 4270
+rect 12544 4214 12572 4558
+rect 12532 4208 12584 4214
+rect 12532 4150 12584 4156
+rect 12728 4146 12756 4966
+rect 13188 4758 13216 5238
+rect 13556 5234 13584 5902
+rect 13544 5228 13596 5234
+rect 13544 5170 13596 5176
+rect 13176 4752 13228 4758
+rect 13176 4694 13228 4700
+rect 13556 4622 13584 5170
+rect 13544 4616 13596 4622
+rect 13544 4558 13596 4564
+rect 12072 4140 12124 4146
+rect 12072 4082 12124 4088
+rect 12716 4140 12768 4146
+rect 12716 4082 12768 4088
+rect 13360 4140 13412 4146
+rect 13360 4082 13412 4088
+rect 11980 3596 12032 3602
+rect 11980 3538 12032 3544
+rect 11704 3460 11756 3466
+rect 11704 3402 11756 3408
+rect 11888 3460 11940 3466
+rect 11888 3402 11940 3408
+rect 11336 3188 11388 3194
+rect 11336 3130 11388 3136
+rect 11348 1970 11376 3130
+rect 11900 2378 11928 3402
+rect 11992 3194 12020 3538
+rect 12084 3534 12112 4082
+rect 13372 3942 13400 4082
+rect 13360 3936 13412 3942
+rect 13360 3878 13412 3884
+rect 12214 3836 12522 3845
+rect 12214 3834 12220 3836
+rect 12276 3834 12300 3836
+rect 12356 3834 12380 3836
+rect 12436 3834 12460 3836
+rect 12516 3834 12522 3836
+rect 12276 3782 12278 3834
+rect 12458 3782 12460 3834
+rect 12214 3780 12220 3782
+rect 12276 3780 12300 3782
+rect 12356 3780 12380 3782
+rect 12436 3780 12460 3782
+rect 12516 3780 12522 3782
+rect 12214 3771 12522 3780
+rect 13372 3777 13400 3878
+rect 13358 3768 13414 3777
+rect 13358 3703 13414 3712
+rect 12072 3528 12124 3534
+rect 12072 3470 12124 3476
+rect 11980 3188 12032 3194
+rect 11980 3130 12032 3136
+rect 12084 3126 12112 3470
+rect 12808 3460 12860 3466
+rect 12808 3402 12860 3408
+rect 12072 3120 12124 3126
+rect 12072 3062 12124 3068
+rect 11888 2372 11940 2378
+rect 11888 2314 11940 2320
+rect 11520 2304 11572 2310
+rect 11520 2246 11572 2252
+rect 11336 1964 11388 1970
+rect 11336 1906 11388 1912
+rect 11532 1902 11560 2246
+rect 12084 2038 12112 3062
+rect 12624 2916 12676 2922
+rect 12624 2858 12676 2864
+rect 12214 2748 12522 2757
+rect 12214 2746 12220 2748
+rect 12276 2746 12300 2748
+rect 12356 2746 12380 2748
+rect 12436 2746 12460 2748
+rect 12516 2746 12522 2748
+rect 12276 2694 12278 2746
+rect 12458 2694 12460 2746
+rect 12214 2692 12220 2694
+rect 12276 2692 12300 2694
+rect 12356 2692 12380 2694
+rect 12436 2692 12460 2694
+rect 12516 2692 12522 2694
+rect 12214 2683 12522 2692
+rect 12636 2394 12664 2858
+rect 12544 2366 12664 2394
+rect 12544 2038 12572 2366
+rect 12072 2032 12124 2038
+rect 12072 1974 12124 1980
+rect 12532 2032 12584 2038
+rect 12532 1974 12584 1980
+rect 11520 1896 11572 1902
+rect 11520 1838 11572 1844
+rect 11532 1426 11560 1838
+rect 12214 1660 12522 1669
+rect 12214 1658 12220 1660
+rect 12276 1658 12300 1660
+rect 12356 1658 12380 1660
+rect 12436 1658 12460 1660
+rect 12516 1658 12522 1660
+rect 12276 1606 12278 1658
+rect 12458 1606 12460 1658
+rect 12214 1604 12220 1606
+rect 12276 1604 12300 1606
+rect 12356 1604 12380 1606
+rect 12436 1604 12460 1606
+rect 12516 1604 12522 1606
+rect 12214 1595 12522 1604
+rect 11520 1420 11572 1426
+rect 11520 1362 11572 1368
+rect 11242 1320 11298 1329
+rect 12820 1290 12848 3402
+rect 13176 3052 13228 3058
+rect 13176 2994 13228 3000
+rect 13188 2446 13216 2994
+rect 13268 2984 13320 2990
+rect 13268 2926 13320 2932
+rect 13280 2446 13308 2926
+rect 13176 2440 13228 2446
+rect 13176 2382 13228 2388
+rect 13268 2440 13320 2446
+rect 13268 2382 13320 2388
+rect 13188 2106 13216 2382
+rect 13176 2100 13228 2106
+rect 13176 2042 13228 2048
+rect 13280 1902 13308 2382
+rect 13268 1896 13320 1902
+rect 13268 1838 13320 1844
+rect 13280 1562 13308 1838
+rect 13268 1556 13320 1562
+rect 13268 1498 13320 1504
+rect 11242 1255 11298 1264
+rect 12808 1284 12860 1290
+rect 12808 1226 12860 1232
+rect 1398 504 1454 513
+rect 1398 439 1454 448
rect 3698 0 3754 800
rect 11150 0 11206 800
<< via2 >>
-rect 4066 14456 4122 14512
-rect 3422 13504 3478 13560
-rect 1398 11636 1400 11656
-rect 1400 11636 1452 11656
-rect 1452 11636 1454 11656
-rect 1398 11600 1454 11636
-rect 3514 12552 3570 12608
-rect 1766 11772 1768 11792
-rect 1768 11772 1820 11792
-rect 1820 11772 1822 11792
-rect 1766 11736 1822 11772
-rect 1674 10668 1730 10704
+rect 1398 14456 1454 14512
+rect 2778 13504 2834 13560
+rect 1490 12552 1546 12608
+rect 2686 12280 2742 12336
+rect 1766 11600 1822 11656
+rect 1582 10668 1638 10704
+rect 1582 10648 1584 10668
+rect 1584 10648 1636 10668
+rect 1636 10648 1638 10668
+rect 2502 11600 2558 11656
+rect 2410 10104 2466 10160
+rect 2134 9696 2190 9752
rect 4220 13626 4276 13628
rect 4300 13626 4356 13628
rect 4380 13626 4436 13628
@@ -16031,13 +17155,6 @@ rect 4220 12484 4276 12486
rect 4300 12484 4356 12486
rect 4380 12484 4436 12486
rect 4460 12484 4516 12486
-rect 1674 10648 1676 10668
-rect 1676 10648 1728 10668
-rect 1728 10648 1730 10668
-rect 4986 11620 5042 11656
-rect 4986 11600 4988 11620
-rect 4988 11600 5040 11620
-rect 5040 11600 5042 11620
rect 4220 11450 4276 11452
rect 4300 11450 4356 11452
rect 4380 11450 4436 11452
@@ -16056,6 +17173,21 @@ rect 4220 11396 4276 11398
rect 4300 11396 4356 11398
rect 4380 11396 4436 11398
rect 4460 11396 4516 11398
+rect 2134 8780 2136 8800
+rect 2136 8780 2188 8800
+rect 2188 8780 2190 8800
+rect 2134 8744 2190 8780
+rect 1398 6060 1400 6080
+rect 1400 6060 1452 6080
+rect 1452 6060 1454 6080
+rect 1398 6024 1454 6060
+rect 2594 6296 2650 6352
+rect 1398 5072 1454 5128
+rect 2134 3168 2190 3224
+rect 1582 2216 1638 2272
+rect 3054 7928 3110 7984
+rect 5446 12144 5502 12200
+rect 4986 10512 5042 10568
rect 4220 10362 4276 10364
rect 4300 10362 4356 10364
rect 4380 10362 4436 10364
@@ -16074,9 +17206,6 @@ rect 4220 10308 4276 10310
rect 4300 10308 4356 10310
rect 4380 10308 4436 10310
rect 4460 10308 4516 10310
-rect 1766 9696 1822 9752
-rect 1674 8744 1730 8800
-rect 1490 7948 1546 7984
rect 4220 9274 4276 9276
rect 4300 9274 4356 9276
rect 4380 9274 4436 9276
@@ -16095,13 +17224,6 @@ rect 4220 9220 4276 9222
rect 4300 9220 4356 9222
rect 4380 9220 4436 9222
rect 4460 9220 4516 9222
-rect 1490 7928 1492 7948
-rect 1492 7928 1544 7948
-rect 1544 7928 1546 7948
-rect 1766 7812 1822 7848
-rect 1766 7792 1768 7812
-rect 1768 7792 1820 7812
-rect 1820 7792 1822 7812
rect 4220 8186 4276 8188
rect 4300 8186 4356 8188
rect 4380 8186 4436 8188
@@ -16120,11 +17242,28 @@ rect 4220 8132 4276 8134
rect 4300 8132 4356 8134
rect 4380 8132 4436 8134
rect 4460 8132 4516 8134
-rect 6550 11756 6606 11792
-rect 6550 11736 6552 11756
-rect 6552 11736 6604 11756
-rect 6604 11736 6606 11756
-rect 7102 11600 7158 11656
+rect 6090 11228 6092 11248
+rect 6092 11228 6144 11248
+rect 6144 11228 6146 11248
+rect 6090 11192 6146 11228
+rect 6550 11092 6552 11112
+rect 6552 11092 6604 11112
+rect 6604 11092 6606 11112
+rect 6550 11056 6606 11092
+rect 5814 9424 5870 9480
+rect 3790 7384 3846 7440
+rect 3882 6976 3938 7032
+rect 5538 7404 5594 7440
+rect 6642 9696 6698 9752
+rect 6826 9444 6882 9480
+rect 6826 9424 6828 9444
+rect 6828 9424 6880 9444
+rect 6880 9424 6882 9444
+rect 7194 10376 7250 10432
+rect 7654 12436 7710 12472
+rect 7654 12416 7656 12436
+rect 7656 12416 7708 12436
+rect 7708 12416 7710 12436
rect 8220 13082 8276 13084
rect 8300 13082 8356 13084
rect 8380 13082 8436 13084
@@ -16143,6 +17282,10 @@ rect 8220 13028 8276 13030
rect 8300 13028 8356 13030
rect 8380 13028 8436 13030
rect 8460 13028 8516 13030
+rect 8482 12860 8484 12880
+rect 8484 12860 8536 12880
+rect 8536 12860 8538 12880
+rect 8482 12824 8538 12860
rect 8220 11994 8276 11996
rect 8300 11994 8356 11996
rect 8380 11994 8436 11996
@@ -16161,6 +17304,27 @@ rect 8220 11940 8276 11942
rect 8300 11940 8356 11942
rect 8380 11940 8436 11942
rect 8460 11940 8516 11942
+rect 8390 11736 8446 11792
+rect 7654 11464 7710 11520
+rect 7654 11328 7710 11384
+rect 7562 11076 7618 11112
+rect 7562 11056 7564 11076
+rect 7564 11056 7616 11076
+rect 7616 11056 7618 11076
+rect 8114 11464 8170 11520
+rect 7838 11056 7894 11112
+rect 7470 9716 7526 9752
+rect 7470 9696 7472 9716
+rect 7472 9696 7524 9716
+rect 7524 9696 7526 9716
+rect 7194 9560 7250 9616
+rect 8850 13368 8906 13424
+rect 9310 12824 9366 12880
+rect 8666 12436 8722 12472
+rect 8666 12416 8668 12436
+rect 8668 12416 8720 12436
+rect 8720 12416 8722 12436
+rect 8850 11872 8906 11928
rect 8220 10906 8276 10908
rect 8300 10906 8356 10908
rect 8380 10906 8436 10908
@@ -16179,20 +17343,8 @@ rect 8220 10852 8276 10854
rect 8300 10852 8356 10854
rect 8380 10852 8436 10854
rect 8460 10852 8516 10854
-rect 5906 8336 5962 8392
-rect 1398 6060 1400 6080
-rect 1400 6060 1452 6080
-rect 1452 6060 1454 6080
-rect 1398 6024 1454 6060
-rect 1582 5072 1638 5128
-rect 1582 4140 1638 4176
-rect 1582 4120 1584 4140
-rect 1584 4120 1636 4140
-rect 1636 4120 1638 4140
-rect 2686 5228 2742 5264
-rect 2686 5208 2688 5228
-rect 2688 5208 2740 5228
-rect 2740 5208 2742 5228
+rect 8390 10648 8446 10704
+rect 8482 9968 8538 10024
rect 8220 9818 8276 9820
rect 8300 9818 8356 9820
rect 8380 9818 8436 9820
@@ -16211,29 +17363,15 @@ rect 8220 9764 8276 9766
rect 8300 9764 8356 9766
rect 8380 9764 8436 9766
rect 8460 9764 8516 9766
-rect 8298 9580 8354 9616
-rect 8298 9560 8300 9580
-rect 8300 9560 8352 9580
-rect 8352 9560 8354 9580
-rect 8220 8730 8276 8732
-rect 8300 8730 8356 8732
-rect 8380 8730 8436 8732
-rect 8460 8730 8516 8732
-rect 8220 8678 8266 8730
-rect 8266 8678 8276 8730
-rect 8300 8678 8330 8730
-rect 8330 8678 8342 8730
-rect 8342 8678 8356 8730
-rect 8380 8678 8394 8730
-rect 8394 8678 8406 8730
-rect 8406 8678 8436 8730
-rect 8460 8678 8470 8730
-rect 8470 8678 8516 8730
-rect 8220 8676 8276 8678
-rect 8300 8676 8356 8678
-rect 8380 8676 8436 8678
-rect 8460 8676 8516 8678
-rect 7838 8472 7894 8528
+rect 5538 7384 5540 7404
+rect 5540 7384 5592 7404
+rect 5592 7384 5594 7404
+rect 3514 4140 3570 4176
+rect 3514 4120 3516 4140
+rect 3516 4120 3568 4140
+rect 3568 4120 3570 4140
+rect 2962 1264 3018 1320
+rect 3606 3984 3662 4040
rect 4220 7098 4276 7100
rect 4300 7098 4356 7100
rect 4380 7098 4436 7100
@@ -16252,9 +17390,7 @@ rect 4220 7044 4276 7046
rect 4300 7044 4356 7046
rect 4380 7044 4436 7046
rect 4460 7044 4516 7046
-rect 3974 6976 4030 7032
-rect 1582 3168 1638 3224
-rect 1766 2216 1822 2272
+rect 4066 6296 4122 6352
rect 4220 6010 4276 6012
rect 4300 6010 4356 6012
rect 4380 6010 4436 6012
@@ -16273,11 +17409,6 @@ rect 4220 5956 4276 5958
rect 4300 5956 4356 5958
rect 4380 5956 4436 5958
rect 4460 5956 4516 5958
-rect 3698 5244 3700 5264
-rect 3700 5244 3752 5264
-rect 3752 5244 3754 5264
-rect 3698 5208 3754 5244
-rect 3054 1264 3110 1320
rect 4220 4922 4276 4924
rect 4300 4922 4356 4924
rect 4380 4922 4436 4924
@@ -16296,6 +17427,10 @@ rect 4220 4868 4276 4870
rect 4300 4868 4356 4870
rect 4380 4868 4436 4870
rect 4460 4868 4516 4870
+rect 4894 4020 4896 4040
+rect 4896 4020 4948 4040
+rect 4948 4020 4950 4040
+rect 4894 3984 4950 4020
rect 4220 3834 4276 3836
rect 4300 3834 4356 3836
rect 4380 3834 4436 3836
@@ -16314,7 +17449,6 @@ rect 4220 3780 4276 3782
rect 4300 3780 4356 3782
rect 4380 3780 4436 3782
rect 4460 3780 4516 3782
-rect 3974 2896 4030 2952
rect 4220 2746 4276 2748
rect 4300 2746 4356 2748
rect 4380 2746 4436 2748
@@ -16351,38 +17485,55 @@ rect 4220 1604 4276 1606
rect 4300 1604 4356 1606
rect 4380 1604 4436 1606
rect 4460 1604 4516 1606
-rect 8206 8508 8208 8528
-rect 8208 8508 8260 8528
-rect 8260 8508 8262 8528
-rect 8206 8472 8262 8508
-rect 10322 13368 10378 13424
-rect 12220 13626 12276 13628
-rect 12300 13626 12356 13628
-rect 12380 13626 12436 13628
-rect 12460 13626 12516 13628
-rect 12220 13574 12266 13626
-rect 12266 13574 12276 13626
-rect 12300 13574 12330 13626
-rect 12330 13574 12342 13626
-rect 12342 13574 12356 13626
-rect 12380 13574 12394 13626
-rect 12394 13574 12406 13626
-rect 12406 13574 12436 13626
-rect 12460 13574 12470 13626
-rect 12470 13574 12516 13626
-rect 12220 13572 12276 13574
-rect 12300 13572 12356 13574
-rect 12380 13572 12436 13574
-rect 12460 13572 12516 13574
-rect 8758 9560 8814 9616
-rect 8114 8356 8170 8392
-rect 8114 8336 8116 8356
-rect 8116 8336 8168 8356
-rect 8168 8336 8170 8356
-rect 8390 7812 8446 7848
-rect 8390 7792 8392 7812
-rect 8392 7792 8444 7812
-rect 8444 7792 8446 7812
+rect 5630 3984 5686 4040
+rect 8482 9288 8538 9344
+rect 8758 11056 8814 11112
+rect 8850 10920 8906 10976
+rect 9218 12280 9274 12336
+rect 9218 11328 9274 11384
+rect 9586 12144 9642 12200
+rect 9494 11600 9550 11656
+rect 9586 11464 9642 11520
+rect 9862 11756 9918 11792
+rect 9862 11736 9864 11756
+rect 9864 11736 9916 11756
+rect 9916 11736 9918 11756
+rect 9034 9152 9090 9208
+rect 9218 10512 9274 10568
+rect 9678 10376 9734 10432
+rect 9402 10240 9458 10296
+rect 9586 10240 9642 10296
+rect 9862 10648 9918 10704
+rect 9862 10140 9864 10160
+rect 9864 10140 9916 10160
+rect 9916 10140 9918 10160
+rect 9862 10104 9918 10140
+rect 9310 9868 9312 9888
+rect 9312 9868 9364 9888
+rect 9364 9868 9366 9888
+rect 9310 9832 9366 9868
+rect 8206 8916 8208 8936
+rect 8208 8916 8260 8936
+rect 8260 8916 8262 8936
+rect 8206 8880 8262 8916
+rect 8220 8730 8276 8732
+rect 8300 8730 8356 8732
+rect 8380 8730 8436 8732
+rect 8460 8730 8516 8732
+rect 8220 8678 8266 8730
+rect 8266 8678 8276 8730
+rect 8300 8678 8330 8730
+rect 8330 8678 8342 8730
+rect 8342 8678 8356 8730
+rect 8380 8678 8394 8730
+rect 8394 8678 8406 8730
+rect 8406 8678 8436 8730
+rect 8460 8678 8470 8730
+rect 8470 8678 8516 8730
+rect 8220 8676 8276 8678
+rect 8300 8676 8356 8678
+rect 8380 8676 8436 8678
+rect 8460 8676 8516 8678
rect 8220 7642 8276 7644
rect 8300 7642 8356 7644
rect 8380 7642 8436 7644
@@ -16401,11 +17552,6 @@ rect 8220 7588 8276 7590
rect 8300 7588 8356 7590
rect 8380 7588 8436 7590
rect 8460 7588 8516 7590
-rect 9034 8064 9090 8120
-rect 8206 6724 8262 6760
-rect 8206 6704 8208 6724
-rect 8208 6704 8260 6724
-rect 8260 6704 8262 6724
rect 8220 6554 8276 6556
rect 8300 6554 8356 6556
rect 8380 6554 8436 6556
@@ -16424,14 +17570,6 @@ rect 8220 6500 8276 6502
rect 8300 6500 8356 6502
rect 8380 6500 8436 6502
rect 8460 6500 8516 6502
-rect 10322 11192 10378 11248
-rect 10230 11056 10286 11112
-rect 9862 10376 9918 10432
-rect 9494 8064 9550 8120
-rect 9310 6740 9312 6760
-rect 9312 6740 9364 6760
-rect 9364 6740 9366 6760
-rect 9310 6704 9366 6740
rect 8220 5466 8276 5468
rect 8300 5466 8356 5468
rect 8380 5466 8436 5468
@@ -16468,42 +17606,53 @@ rect 8220 4324 8276 4326
rect 8300 4324 8356 4326
rect 8380 4324 8436 4326
rect 8460 4324 8516 4326
-rect 8220 3290 8276 3292
-rect 8300 3290 8356 3292
-rect 8380 3290 8436 3292
-rect 8460 3290 8516 3292
-rect 8220 3238 8266 3290
-rect 8266 3238 8276 3290
-rect 8300 3238 8330 3290
-rect 8330 3238 8342 3290
-rect 8342 3238 8356 3290
-rect 8380 3238 8394 3290
-rect 8394 3238 8406 3290
-rect 8406 3238 8436 3290
-rect 8460 3238 8470 3290
-rect 8470 3238 8516 3290
-rect 8220 3236 8276 3238
-rect 8300 3236 8356 3238
-rect 8380 3236 8436 3238
-rect 8460 3236 8516 3238
-rect 7010 2916 7066 2952
-rect 7010 2896 7012 2916
-rect 7012 2896 7064 2916
-rect 7064 2896 7066 2916
-rect 9954 8608 10010 8664
-rect 9862 8508 9864 8528
-rect 9864 8508 9916 8528
-rect 9916 8508 9918 8528
-rect 9862 8472 9918 8508
-rect 10138 8492 10194 8528
-rect 10138 8472 10140 8492
-rect 10140 8472 10192 8492
-rect 10192 8472 10194 8492
-rect 10690 11056 10746 11112
-rect 10598 10004 10600 10024
-rect 10600 10004 10652 10024
-rect 10652 10004 10654 10024
-rect 10598 9968 10654 10004
+rect 8022 3984 8078 4040
+rect 9678 9696 9734 9752
+rect 9494 9152 9550 9208
+rect 10414 11600 10470 11656
+rect 10230 10920 10286 10976
+rect 12220 13626 12276 13628
+rect 12300 13626 12356 13628
+rect 12380 13626 12436 13628
+rect 12460 13626 12516 13628
+rect 12220 13574 12266 13626
+rect 12266 13574 12276 13626
+rect 12300 13574 12330 13626
+rect 12330 13574 12342 13626
+rect 12342 13574 12356 13626
+rect 12380 13574 12394 13626
+rect 12394 13574 12406 13626
+rect 12406 13574 12436 13626
+rect 12460 13574 12470 13626
+rect 12470 13574 12516 13626
+rect 12220 13572 12276 13574
+rect 12300 13572 12356 13574
+rect 12380 13572 12436 13574
+rect 12460 13572 12516 13574
+rect 10598 11092 10600 11112
+rect 10600 11092 10652 11112
+rect 10652 11092 10654 11112
+rect 10598 11056 10654 11092
+rect 10322 10376 10378 10432
+rect 10322 9696 10378 9752
+rect 10230 9460 10232 9480
+rect 10232 9460 10284 9480
+rect 10284 9460 10286 9480
+rect 10230 9424 10286 9460
+rect 10966 11872 11022 11928
+rect 11058 10512 11114 10568
+rect 10414 9288 10470 9344
+rect 10230 8900 10286 8936
+rect 10230 8880 10232 8900
+rect 10232 8880 10284 8900
+rect 10284 8880 10286 8900
+rect 11334 10668 11390 10704
+rect 11334 10648 11336 10668
+rect 11336 10648 11388 10668
+rect 11388 10648 11390 10668
+rect 11334 10512 11390 10568
+rect 11150 9832 11206 9888
+rect 11058 9560 11114 9616
rect 12220 12538 12276 12540
rect 12300 12538 12356 12540
rect 12380 12538 12436 12540
@@ -16522,46 +17671,25 @@ rect 12220 12484 12276 12486
rect 12300 12484 12356 12486
rect 12380 12484 12436 12486
rect 12460 12484 12516 12486
-rect 12220 11450 12276 11452
-rect 12300 11450 12356 11452
-rect 12380 11450 12436 11452
-rect 12460 11450 12516 11452
-rect 12220 11398 12266 11450
-rect 12266 11398 12276 11450
-rect 12300 11398 12330 11450
-rect 12330 11398 12342 11450
-rect 12342 11398 12356 11450
-rect 12380 11398 12394 11450
-rect 12394 11398 12406 11450
-rect 12406 11398 12436 11450
-rect 12460 11398 12470 11450
-rect 12470 11398 12516 11450
-rect 12220 11396 12276 11398
-rect 12300 11396 12356 11398
-rect 12380 11396 12436 11398
-rect 12460 11396 12516 11398
-rect 11702 11192 11758 11248
-rect 11978 11192 12034 11248
-rect 11518 10376 11574 10432
-rect 12220 10362 12276 10364
-rect 12300 10362 12356 10364
-rect 12380 10362 12436 10364
-rect 12460 10362 12516 10364
-rect 12220 10310 12266 10362
-rect 12266 10310 12276 10362
-rect 12300 10310 12330 10362
-rect 12330 10310 12342 10362
-rect 12342 10310 12356 10362
-rect 12380 10310 12394 10362
-rect 12394 10310 12406 10362
-rect 12406 10310 12436 10362
-rect 12460 10310 12470 10362
-rect 12470 10310 12516 10362
-rect 12220 10308 12276 10310
-rect 12300 10308 12356 10310
-rect 12380 10308 12436 10310
-rect 12460 10308 12516 10310
-rect 10690 8472 10746 8528
+rect 11518 11192 11574 11248
+rect 8220 3290 8276 3292
+rect 8300 3290 8356 3292
+rect 8380 3290 8436 3292
+rect 8460 3290 8516 3292
+rect 8220 3238 8266 3290
+rect 8266 3238 8276 3290
+rect 8300 3238 8330 3290
+rect 8330 3238 8342 3290
+rect 8342 3238 8356 3290
+rect 8380 3238 8394 3290
+rect 8394 3238 8406 3290
+rect 8406 3238 8436 3290
+rect 8460 3238 8470 3290
+rect 8470 3238 8516 3290
+rect 8220 3236 8276 3238
+rect 8300 3236 8356 3238
+rect 8380 3236 8436 3238
+rect 8460 3236 8516 3238
rect 8220 2202 8276 2204
rect 8300 2202 8356 2204
rect 8380 2202 8436 2204
@@ -16580,11 +17708,73 @@ rect 8220 2148 8276 2150
rect 8300 2148 8356 2150
rect 8380 2148 8436 2150
rect 8460 2148 8516 2150
-rect 11334 8608 11390 8664
-rect 13082 9988 13138 10024
-rect 13082 9968 13084 9988
-rect 13084 9968 13136 9988
-rect 13136 9968 13138 9988
+rect 8220 1114 8276 1116
+rect 8300 1114 8356 1116
+rect 8380 1114 8436 1116
+rect 8460 1114 8516 1116
+rect 8220 1062 8266 1114
+rect 8266 1062 8276 1114
+rect 8300 1062 8330 1114
+rect 8330 1062 8342 1114
+rect 8342 1062 8356 1114
+rect 8380 1062 8394 1114
+rect 8394 1062 8406 1114
+rect 8406 1062 8436 1114
+rect 8460 1062 8470 1114
+rect 8470 1062 8516 1114
+rect 8220 1060 8276 1062
+rect 8300 1060 8356 1062
+rect 8380 1060 8436 1062
+rect 8460 1060 8516 1062
+rect 12220 11450 12276 11452
+rect 12300 11450 12356 11452
+rect 12380 11450 12436 11452
+rect 12460 11450 12516 11452
+rect 12220 11398 12266 11450
+rect 12266 11398 12276 11450
+rect 12300 11398 12330 11450
+rect 12330 11398 12342 11450
+rect 12342 11398 12356 11450
+rect 12380 11398 12394 11450
+rect 12394 11398 12406 11450
+rect 12406 11398 12436 11450
+rect 12460 11398 12470 11450
+rect 12470 11398 12516 11450
+rect 12220 11396 12276 11398
+rect 12300 11396 12356 11398
+rect 12380 11396 12436 11398
+rect 12460 11396 12516 11398
+rect 11978 11076 12034 11112
+rect 13542 11212 13598 11248
+rect 13542 11192 13544 11212
+rect 13544 11192 13596 11212
+rect 13596 11192 13598 11212
+rect 11978 11056 11980 11076
+rect 11980 11056 12032 11076
+rect 12032 11056 12034 11076
+rect 11702 10512 11758 10568
+rect 12622 10668 12678 10704
+rect 12622 10648 12624 10668
+rect 12624 10648 12676 10668
+rect 12676 10648 12678 10668
+rect 12220 10362 12276 10364
+rect 12300 10362 12356 10364
+rect 12380 10362 12436 10364
+rect 12460 10362 12516 10364
+rect 12220 10310 12266 10362
+rect 12266 10310 12276 10362
+rect 12300 10310 12330 10362
+rect 12330 10310 12342 10362
+rect 12342 10310 12356 10362
+rect 12380 10310 12394 10362
+rect 12394 10310 12406 10362
+rect 12406 10310 12436 10362
+rect 12460 10310 12470 10362
+rect 12470 10310 12516 10362
+rect 12220 10308 12276 10310
+rect 12300 10308 12356 10310
+rect 12380 10308 12436 10310
+rect 12460 10308 12516 10310
rect 12220 9274 12276 9276
rect 12300 9274 12356 9276
rect 12380 9274 12436 9276
@@ -16603,6 +17793,8 @@ rect 12220 9220 12276 9222
rect 12300 9220 12356 9222
rect 12380 9220 12436 9222
rect 12460 9220 12516 9222
+rect 12806 10648 12862 10704
+rect 12622 8744 12678 8800
rect 12220 8186 12276 8188
rect 12300 8186 12356 8188
rect 12380 8186 12436 8188
@@ -16621,7 +17813,6 @@ rect 12220 8132 12276 8134
rect 12300 8132 12356 8134
rect 12380 8132 12436 8134
rect 12460 8132 12516 8134
-rect 13542 8744 13598 8800
rect 12220 7098 12276 7100
rect 12300 7098 12356 7100
rect 12380 7098 12436 7100
@@ -16640,7 +17831,6 @@ rect 12220 7044 12276 7046
rect 12300 7044 12356 7046
rect 12380 7044 12436 7046
rect 12460 7044 12516 7046
-rect 13266 6160 13322 6216
rect 12220 6010 12276 6012
rect 12300 6010 12356 6012
rect 12380 6010 12436 6012
@@ -16659,6 +17849,7 @@ rect 12220 5956 12276 5958
rect 12300 5956 12356 5958
rect 12380 5956 12436 5958
rect 12460 5956 12516 5958
+rect 13542 6160 13598 6216
rect 12220 4922 12276 4924
rect 12300 4922 12356 4924
rect 12380 4922 12436 4924
@@ -16695,7 +17886,7 @@ rect 12220 3780 12276 3782
rect 12300 3780 12356 3782
rect 12380 3780 12436 3782
rect 12460 3780 12516 3782
-rect 13542 3712 13598 3768
+rect 13358 3712 13414 3768
rect 12220 2746 12276 2748
rect 12300 2746 12356 2748
rect 12380 2746 12436 2748
@@ -16714,7 +17905,6 @@ rect 12220 2692 12276 2694
rect 12300 2692 12356 2694
rect 12380 2692 12436 2694
rect 12460 2692 12516 2694
-rect 10598 1264 10654 1320
rect 12220 1658 12276 1660
rect 12300 1658 12356 1660
rect 12380 1658 12436 1660
@@ -16733,404 +17923,580 @@ rect 12220 1604 12276 1606
rect 12300 1604 12356 1606
rect 12380 1604 12436 1606
rect 12460 1604 12516 1606
-rect 8220 1114 8276 1116
-rect 8300 1114 8356 1116
-rect 8380 1114 8436 1116
-rect 8460 1114 8516 1116
-rect 8220 1062 8266 1114
-rect 8266 1062 8276 1114
-rect 8300 1062 8330 1114
-rect 8330 1062 8342 1114
-rect 8342 1062 8356 1114
-rect 8380 1062 8394 1114
-rect 8394 1062 8406 1114
-rect 8406 1062 8436 1114
-rect 8460 1062 8470 1114
-rect 8470 1062 8516 1114
-rect 8220 1060 8276 1062
-rect 8300 1060 8356 1062
-rect 8380 1060 8436 1062
-rect 8460 1060 8516 1062
-rect 1582 448 1638 504
+rect 11242 1264 11298 1320
+rect 1398 448 1454 504
<< metal3 >>
rect 0 14514 800 14544
-rect 4061 14514 4127 14517
-rect 0 14512 4127 14514
-rect 0 14456 4066 14512
-rect 4122 14456 4127 14512
-rect 0 14454 4127 14456
+rect 1393 14514 1459 14517
+rect 0 14512 1459 14514
+rect 0 14456 1398 14512
+rect 1454 14456 1459 14512
+rect 0 14454 1459 14456
rect 0 14424 800 14454
-rect 4061 14451 4127 14454
+rect 1393 14451 1459 14454
rect 14200 13698 15000 13728
rect 12758 13638 15000 13698
-rect 4208 13632 4528 13633
+rect 4210 13632 4526 13633
rect 0 13562 800 13592
-rect 4208 13568 4216 13632
+rect 4210 13568 4216 13632
rect 4280 13568 4296 13632
rect 4360 13568 4376 13632
rect 4440 13568 4456 13632
-rect 4520 13568 4528 13632
-rect 4208 13567 4528 13568
-rect 12208 13632 12528 13633
-rect 12208 13568 12216 13632
+rect 4520 13568 4526 13632
+rect 4210 13567 4526 13568
+rect 12210 13632 12526 13633
+rect 12210 13568 12216 13632
rect 12280 13568 12296 13632
rect 12360 13568 12376 13632
rect 12440 13568 12456 13632
-rect 12520 13568 12528 13632
-rect 12208 13567 12528 13568
-rect 3417 13562 3483 13565
-rect 0 13560 3483 13562
-rect 0 13504 3422 13560
-rect 3478 13504 3483 13560
-rect 0 13502 3483 13504
+rect 12520 13568 12526 13632
+rect 12210 13567 12526 13568
+rect 2773 13562 2839 13565
+rect 0 13560 2839 13562
+rect 0 13504 2778 13560
+rect 2834 13504 2839 13560
+rect 0 13502 2839 13504
rect 0 13472 800 13502
-rect 3417 13499 3483 13502
-rect 10317 13426 10383 13429
+rect 2773 13499 2839 13502
+rect 8845 13426 8911 13429
rect 12758 13426 12818 13638
rect 14200 13608 15000 13638
-rect 10317 13424 12818 13426
-rect 10317 13368 10322 13424
-rect 10378 13368 12818 13424
-rect 10317 13366 12818 13368
-rect 10317 13363 10383 13366
-rect 8208 13088 8528 13089
-rect 8208 13024 8216 13088
+rect 8845 13424 12818 13426
+rect 8845 13368 8850 13424
+rect 8906 13368 12818 13424
+rect 8845 13366 12818 13368
+rect 8845 13363 8911 13366
+rect 8210 13088 8526 13089
+rect 8210 13024 8216 13088
rect 8280 13024 8296 13088
rect 8360 13024 8376 13088
rect 8440 13024 8456 13088
-rect 8520 13024 8528 13088
-rect 8208 13023 8528 13024
+rect 8520 13024 8526 13088
+rect 8210 13023 8526 13024
+rect 8477 12882 8543 12885
+rect 9305 12882 9371 12885
+rect 8477 12880 9371 12882
+rect 8477 12824 8482 12880
+rect 8538 12824 9310 12880
+rect 9366 12824 9371 12880
+rect 8477 12822 9371 12824
+rect 8477 12819 8543 12822
+rect 9305 12819 9371 12822
rect 0 12610 800 12640
-rect 3509 12610 3575 12613
-rect 0 12608 3575 12610
-rect 0 12552 3514 12608
-rect 3570 12552 3575 12608
-rect 0 12550 3575 12552
+rect 1485 12610 1551 12613
+rect 0 12608 1551 12610
+rect 0 12552 1490 12608
+rect 1546 12552 1551 12608
+rect 0 12550 1551 12552
rect 0 12520 800 12550
-rect 3509 12547 3575 12550
-rect 4208 12544 4528 12545
-rect 4208 12480 4216 12544
+rect 1485 12547 1551 12550
+rect 4210 12544 4526 12545
+rect 4210 12480 4216 12544
rect 4280 12480 4296 12544
rect 4360 12480 4376 12544
rect 4440 12480 4456 12544
-rect 4520 12480 4528 12544
-rect 4208 12479 4528 12480
-rect 12208 12544 12528 12545
-rect 12208 12480 12216 12544
+rect 4520 12480 4526 12544
+rect 4210 12479 4526 12480
+rect 12210 12544 12526 12545
+rect 12210 12480 12216 12544
rect 12280 12480 12296 12544
rect 12360 12480 12376 12544
rect 12440 12480 12456 12544
-rect 12520 12480 12528 12544
-rect 12208 12479 12528 12480
-rect 8208 12000 8528 12001
-rect 8208 11936 8216 12000
+rect 12520 12480 12526 12544
+rect 12210 12479 12526 12480
+rect 7649 12474 7715 12477
+rect 8661 12474 8727 12477
+rect 7649 12472 8727 12474
+rect 7649 12416 7654 12472
+rect 7710 12416 8666 12472
+rect 8722 12416 8727 12472
+rect 7649 12414 8727 12416
+rect 7649 12411 7715 12414
+rect 8661 12411 8727 12414
+rect 2681 12338 2747 12341
+rect 9213 12338 9279 12341
+rect 2681 12336 9279 12338
+rect 2681 12280 2686 12336
+rect 2742 12280 9218 12336
+rect 9274 12280 9279 12336
+rect 2681 12278 9279 12280
+rect 2681 12275 2747 12278
+rect 9213 12275 9279 12278
+rect 5441 12202 5507 12205
+rect 9581 12202 9647 12205
+rect 5441 12200 9647 12202
+rect 5441 12144 5446 12200
+rect 5502 12144 9586 12200
+rect 9642 12144 9647 12200
+rect 5441 12142 9647 12144
+rect 5441 12139 5507 12142
+rect 9581 12139 9647 12142
+rect 8210 12000 8526 12001
+rect 8210 11936 8216 12000
rect 8280 11936 8296 12000
rect 8360 11936 8376 12000
rect 8440 11936 8456 12000
-rect 8520 11936 8528 12000
-rect 8208 11935 8528 11936
-rect 1761 11794 1827 11797
-rect 6545 11794 6611 11797
-rect 1761 11792 6611 11794
-rect 1761 11736 1766 11792
-rect 1822 11736 6550 11792
-rect 6606 11736 6611 11792
-rect 1761 11734 6611 11736
-rect 1761 11731 1827 11734
-rect 6545 11731 6611 11734
+rect 8520 11936 8526 12000
+rect 8210 11935 8526 11936
+rect 8845 11930 8911 11933
+rect 10961 11930 11027 11933
+rect 8845 11928 11027 11930
+rect 8845 11872 8850 11928
+rect 8906 11872 10966 11928
+rect 11022 11872 11027 11928
+rect 8845 11870 11027 11872
+rect 8845 11867 8911 11870
+rect 10961 11867 11027 11870
+rect 8385 11794 8451 11797
+rect 9857 11794 9923 11797
+rect 8385 11792 9923 11794
+rect 8385 11736 8390 11792
+rect 8446 11736 9862 11792
+rect 9918 11736 9923 11792
+rect 8385 11734 9923 11736
+rect 8385 11731 8451 11734
+rect 9857 11731 9923 11734
rect 0 11658 800 11688
-rect 1393 11658 1459 11661
-rect 0 11656 1459 11658
-rect 0 11600 1398 11656
-rect 1454 11600 1459 11656
-rect 0 11598 1459 11600
+rect 1761 11658 1827 11661
+rect 2497 11658 2563 11661
+rect 0 11656 2563 11658
+rect 0 11600 1766 11656
+rect 1822 11600 2502 11656
+rect 2558 11600 2563 11656
+rect 0 11598 2563 11600
rect 0 11568 800 11598
-rect 1393 11595 1459 11598
-rect 4981 11658 5047 11661
-rect 7097 11658 7163 11661
-rect 4981 11656 7163 11658
-rect 4981 11600 4986 11656
-rect 5042 11600 7102 11656
-rect 7158 11600 7163 11656
-rect 4981 11598 7163 11600
-rect 4981 11595 5047 11598
-rect 7097 11595 7163 11598
-rect 4208 11456 4528 11457
-rect 4208 11392 4216 11456
+rect 1761 11595 1827 11598
+rect 2497 11595 2563 11598
+rect 9489 11658 9555 11661
+rect 10409 11658 10475 11661
+rect 9489 11656 10475 11658
+rect 9489 11600 9494 11656
+rect 9550 11600 10414 11656
+rect 10470 11600 10475 11656
+rect 9489 11598 10475 11600
+rect 9489 11595 9555 11598
+rect 10409 11595 10475 11598
+rect 7649 11522 7715 11525
+rect 8109 11522 8175 11525
+rect 9581 11522 9647 11525
+rect 7649 11520 9647 11522
+rect 7649 11464 7654 11520
+rect 7710 11464 8114 11520
+rect 8170 11464 9586 11520
+rect 9642 11464 9647 11520
+rect 7649 11462 9647 11464
+rect 7649 11459 7715 11462
+rect 8109 11459 8175 11462
+rect 9581 11459 9647 11462
+rect 4210 11456 4526 11457
+rect 4210 11392 4216 11456
rect 4280 11392 4296 11456
rect 4360 11392 4376 11456
rect 4440 11392 4456 11456
-rect 4520 11392 4528 11456
-rect 4208 11391 4528 11392
-rect 12208 11456 12528 11457
-rect 12208 11392 12216 11456
+rect 4520 11392 4526 11456
+rect 4210 11391 4526 11392
+rect 12210 11456 12526 11457
+rect 12210 11392 12216 11456
rect 12280 11392 12296 11456
rect 12360 11392 12376 11456
rect 12440 11392 12456 11456
-rect 12520 11392 12528 11456
-rect 12208 11391 12528 11392
-rect 10317 11250 10383 11253
-rect 11697 11250 11763 11253
-rect 10317 11248 11763 11250
-rect 10317 11192 10322 11248
-rect 10378 11192 11702 11248
-rect 11758 11192 11763 11248
-rect 10317 11190 11763 11192
-rect 10317 11187 10383 11190
-rect 11697 11187 11763 11190
-rect 11973 11250 12039 11253
+rect 12520 11392 12526 11456
+rect 12210 11391 12526 11392
+rect 7649 11386 7715 11389
+rect 9213 11386 9279 11389
+rect 7649 11384 9279 11386
+rect 7649 11328 7654 11384
+rect 7710 11328 9218 11384
+rect 9274 11328 9279 11384
+rect 7649 11326 9279 11328
+rect 7649 11323 7715 11326
+rect 9213 11323 9279 11326
+rect 6085 11250 6151 11253
+rect 11513 11250 11579 11253
+rect 6085 11248 11579 11250
+rect 6085 11192 6090 11248
+rect 6146 11192 11518 11248
+rect 11574 11192 11579 11248
+rect 6085 11190 11579 11192
+rect 6085 11187 6151 11190
+rect 11513 11187 11579 11190
+rect 13537 11250 13603 11253
rect 14200 11250 15000 11280
-rect 11973 11248 15000 11250
-rect 11973 11192 11978 11248
-rect 12034 11192 15000 11248
-rect 11973 11190 15000 11192
-rect 11973 11187 12039 11190
+rect 13537 11248 15000 11250
+rect 13537 11192 13542 11248
+rect 13598 11192 15000 11248
+rect 13537 11190 15000 11192
+rect 13537 11187 13603 11190
rect 14200 11160 15000 11190
-rect 10225 11114 10291 11117
-rect 10685 11114 10751 11117
-rect 10225 11112 10751 11114
-rect 10225 11056 10230 11112
-rect 10286 11056 10690 11112
-rect 10746 11056 10751 11112
-rect 10225 11054 10751 11056
-rect 10225 11051 10291 11054
-rect 10685 11051 10751 11054
-rect 8208 10912 8528 10913
-rect 8208 10848 8216 10912
+rect 6545 11114 6611 11117
+rect 7557 11114 7623 11117
+rect 6545 11112 7623 11114
+rect 6545 11056 6550 11112
+rect 6606 11056 7562 11112
+rect 7618 11056 7623 11112
+rect 6545 11054 7623 11056
+rect 6545 11051 6611 11054
+rect 7557 11051 7623 11054
+rect 7833 11114 7899 11117
+rect 8753 11114 8819 11117
+rect 7833 11112 8819 11114
+rect 7833 11056 7838 11112
+rect 7894 11056 8758 11112
+rect 8814 11056 8819 11112
+rect 7833 11054 8819 11056
+rect 7833 11051 7899 11054
+rect 8753 11051 8819 11054
+rect 10593 11114 10659 11117
+rect 11973 11114 12039 11117
+rect 10593 11112 12039 11114
+rect 10593 11056 10598 11112
+rect 10654 11056 11978 11112
+rect 12034 11056 12039 11112
+rect 10593 11054 12039 11056
+rect 10593 11051 10659 11054
+rect 11973 11051 12039 11054
+rect 8845 10978 8911 10981
+rect 10225 10978 10291 10981
+rect 8845 10976 10291 10978
+rect 8845 10920 8850 10976
+rect 8906 10920 10230 10976
+rect 10286 10920 10291 10976
+rect 8845 10918 10291 10920
+rect 8845 10915 8911 10918
+rect 10225 10915 10291 10918
+rect 8210 10912 8526 10913
+rect 8210 10848 8216 10912
rect 8280 10848 8296 10912
rect 8360 10848 8376 10912
rect 8440 10848 8456 10912
-rect 8520 10848 8528 10912
-rect 8208 10847 8528 10848
+rect 8520 10848 8526 10912
+rect 8210 10847 8526 10848
rect 0 10706 800 10736
-rect 1669 10706 1735 10709
-rect 0 10704 1735 10706
-rect 0 10648 1674 10704
-rect 1730 10648 1735 10704
-rect 0 10646 1735 10648
+rect 1577 10706 1643 10709
+rect 0 10704 1643 10706
+rect 0 10648 1582 10704
+rect 1638 10648 1643 10704
+rect 0 10646 1643 10648
rect 0 10616 800 10646
-rect 1669 10643 1735 10646
-rect 9857 10434 9923 10437
-rect 11513 10434 11579 10437
-rect 9857 10432 11579 10434
-rect 9857 10376 9862 10432
-rect 9918 10376 11518 10432
-rect 11574 10376 11579 10432
-rect 9857 10374 11579 10376
-rect 9857 10371 9923 10374
-rect 11513 10371 11579 10374
-rect 4208 10368 4528 10369
-rect 4208 10304 4216 10368
+rect 1577 10643 1643 10646
+rect 8385 10706 8451 10709
+rect 9857 10706 9923 10709
+rect 8385 10704 9923 10706
+rect 8385 10648 8390 10704
+rect 8446 10648 9862 10704
+rect 9918 10648 9923 10704
+rect 8385 10646 9923 10648
+rect 8385 10643 8451 10646
+rect 9857 10643 9923 10646
+rect 11329 10706 11395 10709
+rect 12617 10706 12683 10709
+rect 12801 10706 12867 10709
+rect 11329 10704 12867 10706
+rect 11329 10648 11334 10704
+rect 11390 10648 12622 10704
+rect 12678 10648 12806 10704
+rect 12862 10648 12867 10704
+rect 11329 10646 12867 10648
+rect 11329 10643 11395 10646
+rect 12617 10643 12683 10646
+rect 12801 10643 12867 10646
+rect 4981 10570 5047 10573
+rect 9213 10570 9279 10573
+rect 11053 10570 11119 10573
+rect 4981 10568 9279 10570
+rect 4981 10512 4986 10568
+rect 5042 10512 9218 10568
+rect 9274 10512 9279 10568
+rect 4981 10510 9279 10512
+rect 4981 10507 5047 10510
+rect 9213 10507 9279 10510
+rect 9492 10568 11119 10570
+rect 9492 10512 11058 10568
+rect 11114 10512 11119 10568
+rect 9492 10510 11119 10512
+rect 7189 10434 7255 10437
+rect 9492 10434 9552 10510
+rect 11053 10507 11119 10510
+rect 11329 10570 11395 10573
+rect 11697 10570 11763 10573
+rect 11329 10568 11763 10570
+rect 11329 10512 11334 10568
+rect 11390 10512 11702 10568
+rect 11758 10512 11763 10568
+rect 11329 10510 11763 10512
+rect 11329 10507 11395 10510
+rect 11697 10507 11763 10510
+rect 7189 10432 9552 10434
+rect 7189 10376 7194 10432
+rect 7250 10376 9552 10432
+rect 7189 10374 9552 10376
+rect 9673 10434 9739 10437
+rect 10317 10434 10383 10437
+rect 9673 10432 10383 10434
+rect 9673 10376 9678 10432
+rect 9734 10376 10322 10432
+rect 10378 10376 10383 10432
+rect 9673 10374 10383 10376
+rect 7189 10371 7255 10374
+rect 9673 10371 9739 10374
+rect 10317 10371 10383 10374
+rect 4210 10368 4526 10369
+rect 4210 10304 4216 10368
rect 4280 10304 4296 10368
rect 4360 10304 4376 10368
rect 4440 10304 4456 10368
-rect 4520 10304 4528 10368
-rect 4208 10303 4528 10304
-rect 12208 10368 12528 10369
-rect 12208 10304 12216 10368
+rect 4520 10304 4526 10368
+rect 4210 10303 4526 10304
+rect 12210 10368 12526 10369
+rect 12210 10304 12216 10368
rect 12280 10304 12296 10368
rect 12360 10304 12376 10368
rect 12440 10304 12456 10368
-rect 12520 10304 12528 10368
-rect 12208 10303 12528 10304
-rect 10593 10026 10659 10029
-rect 13077 10026 13143 10029
-rect 10593 10024 13143 10026
-rect 10593 9968 10598 10024
-rect 10654 9968 13082 10024
-rect 13138 9968 13143 10024
-rect 10593 9966 13143 9968
-rect 10593 9963 10659 9966
-rect 13077 9963 13143 9966
-rect 8208 9824 8528 9825
+rect 12520 10304 12526 10368
+rect 12210 10303 12526 10304
+rect 9397 10298 9463 10301
+rect 9581 10298 9647 10301
+rect 9397 10296 9647 10298
+rect 9397 10240 9402 10296
+rect 9458 10240 9586 10296
+rect 9642 10240 9647 10296
+rect 9397 10238 9647 10240
+rect 9397 10235 9463 10238
+rect 9581 10235 9647 10238
+rect 2405 10162 2471 10165
+rect 9857 10162 9923 10165
+rect 2405 10160 9923 10162
+rect 2405 10104 2410 10160
+rect 2466 10104 9862 10160
+rect 9918 10104 9923 10160
+rect 2405 10102 9923 10104
+rect 2405 10099 2471 10102
+rect 9857 10099 9923 10102
+rect 8477 10026 8543 10029
+rect 8702 10026 8708 10028
+rect 8477 10024 8708 10026
+rect 8477 9968 8482 10024
+rect 8538 9968 8708 10024
+rect 8477 9966 8708 9968
+rect 8477 9963 8543 9966
+rect 8702 9964 8708 9966
+rect 8772 9964 8778 10028
+rect 9305 9890 9371 9893
+rect 11145 9890 11211 9893
+rect 9305 9888 11211 9890
+rect 9305 9832 9310 9888
+rect 9366 9832 11150 9888
+rect 11206 9832 11211 9888
+rect 9305 9830 11211 9832
+rect 9305 9827 9371 9830
+rect 11145 9827 11211 9830
+rect 8210 9824 8526 9825
rect 0 9754 800 9784
-rect 8208 9760 8216 9824
+rect 8210 9760 8216 9824
rect 8280 9760 8296 9824
rect 8360 9760 8376 9824
rect 8440 9760 8456 9824
-rect 8520 9760 8528 9824
-rect 8208 9759 8528 9760
-rect 1761 9754 1827 9757
-rect 0 9752 1827 9754
-rect 0 9696 1766 9752
-rect 1822 9696 1827 9752
-rect 0 9694 1827 9696
+rect 8520 9760 8526 9824
+rect 8210 9759 8526 9760
+rect 2129 9754 2195 9757
+rect 0 9752 2195 9754
+rect 0 9696 2134 9752
+rect 2190 9696 2195 9752
+rect 0 9694 2195 9696
rect 0 9664 800 9694
-rect 1761 9691 1827 9694
-rect 8293 9618 8359 9621
-rect 8753 9618 8819 9621
-rect 8293 9616 8819 9618
-rect 8293 9560 8298 9616
-rect 8354 9560 8758 9616
-rect 8814 9560 8819 9616
-rect 8293 9558 8819 9560
-rect 8293 9555 8359 9558
-rect 8753 9555 8819 9558
-rect 4208 9280 4528 9281
-rect 4208 9216 4216 9280
+rect 2129 9691 2195 9694
+rect 6637 9754 6703 9757
+rect 7465 9754 7531 9757
+rect 6637 9752 7531 9754
+rect 6637 9696 6642 9752
+rect 6698 9696 7470 9752
+rect 7526 9696 7531 9752
+rect 6637 9694 7531 9696
+rect 6637 9691 6703 9694
+rect 7465 9691 7531 9694
+rect 9673 9754 9739 9757
+rect 10317 9754 10383 9757
+rect 9673 9752 10383 9754
+rect 9673 9696 9678 9752
+rect 9734 9696 10322 9752
+rect 10378 9696 10383 9752
+rect 9673 9694 10383 9696
+rect 9673 9691 9739 9694
+rect 10317 9691 10383 9694
+rect 7189 9618 7255 9621
+rect 11053 9618 11119 9621
+rect 7189 9616 11119 9618
+rect 7189 9560 7194 9616
+rect 7250 9560 11058 9616
+rect 11114 9560 11119 9616
+rect 7189 9558 11119 9560
+rect 7189 9555 7255 9558
+rect 11053 9555 11119 9558
+rect 5809 9482 5875 9485
+rect 6821 9482 6887 9485
+rect 5809 9480 6887 9482
+rect 5809 9424 5814 9480
+rect 5870 9424 6826 9480
+rect 6882 9424 6887 9480
+rect 5809 9422 6887 9424
+rect 5809 9419 5875 9422
+rect 6821 9419 6887 9422
+rect 8702 9420 8708 9484
+rect 8772 9482 8778 9484
+rect 10225 9482 10291 9485
+rect 8772 9480 10291 9482
+rect 8772 9424 10230 9480
+rect 10286 9424 10291 9480
+rect 8772 9422 10291 9424
+rect 8772 9420 8778 9422
+rect 10225 9419 10291 9422
+rect 8477 9346 8543 9349
+rect 10409 9346 10475 9349
+rect 8477 9344 10475 9346
+rect 8477 9288 8482 9344
+rect 8538 9288 10414 9344
+rect 10470 9288 10475 9344
+rect 8477 9286 10475 9288
+rect 8477 9283 8543 9286
+rect 10409 9283 10475 9286
+rect 4210 9280 4526 9281
+rect 4210 9216 4216 9280
rect 4280 9216 4296 9280
rect 4360 9216 4376 9280
rect 4440 9216 4456 9280
-rect 4520 9216 4528 9280
-rect 4208 9215 4528 9216
-rect 12208 9280 12528 9281
-rect 12208 9216 12216 9280
+rect 4520 9216 4526 9280
+rect 4210 9215 4526 9216
+rect 12210 9280 12526 9281
+rect 12210 9216 12216 9280
rect 12280 9216 12296 9280
rect 12360 9216 12376 9280
rect 12440 9216 12456 9280
-rect 12520 9216 12528 9280
-rect 12208 9215 12528 9216
+rect 12520 9216 12526 9280
+rect 12210 9215 12526 9216
+rect 9029 9210 9095 9213
+rect 9489 9210 9555 9213
+rect 9029 9208 9555 9210
+rect 9029 9152 9034 9208
+rect 9090 9152 9494 9208
+rect 9550 9152 9555 9208
+rect 9029 9150 9555 9152
+rect 9029 9147 9095 9150
+rect 9489 9147 9555 9150
+rect 8201 8938 8267 8941
+rect 10225 8938 10291 8941
+rect 8201 8936 10291 8938
+rect 8201 8880 8206 8936
+rect 8262 8880 10230 8936
+rect 10286 8880 10291 8936
+rect 8201 8878 10291 8880
+rect 8201 8875 8267 8878
+rect 10225 8875 10291 8878
rect 0 8802 800 8832
-rect 1669 8802 1735 8805
-rect 0 8800 1735 8802
-rect 0 8744 1674 8800
-rect 1730 8744 1735 8800
-rect 0 8742 1735 8744
+rect 2129 8802 2195 8805
+rect 0 8800 2195 8802
+rect 0 8744 2134 8800
+rect 2190 8744 2195 8800
+rect 0 8742 2195 8744
rect 0 8712 800 8742
-rect 1669 8739 1735 8742
-rect 13537 8802 13603 8805
+rect 2129 8739 2195 8742
+rect 12617 8802 12683 8805
rect 14200 8802 15000 8832
-rect 13537 8800 15000 8802
-rect 13537 8744 13542 8800
-rect 13598 8744 15000 8800
-rect 13537 8742 15000 8744
-rect 13537 8739 13603 8742
-rect 8208 8736 8528 8737
-rect 8208 8672 8216 8736
+rect 12617 8800 15000 8802
+rect 12617 8744 12622 8800
+rect 12678 8744 15000 8800
+rect 12617 8742 15000 8744
+rect 12617 8739 12683 8742
+rect 8210 8736 8526 8737
+rect 8210 8672 8216 8736
rect 8280 8672 8296 8736
rect 8360 8672 8376 8736
rect 8440 8672 8456 8736
-rect 8520 8672 8528 8736
+rect 8520 8672 8526 8736
rect 14200 8712 15000 8742
-rect 8208 8671 8528 8672
-rect 9949 8666 10015 8669
-rect 11329 8666 11395 8669
-rect 9949 8664 11395 8666
-rect 9949 8608 9954 8664
-rect 10010 8608 11334 8664
-rect 11390 8608 11395 8664
-rect 9949 8606 11395 8608
-rect 9949 8603 10015 8606
-rect 11329 8603 11395 8606
-rect 7833 8530 7899 8533
-rect 8201 8530 8267 8533
-rect 9857 8530 9923 8533
-rect 10133 8530 10199 8533
-rect 10685 8530 10751 8533
-rect 7833 8528 10751 8530
-rect 7833 8472 7838 8528
-rect 7894 8472 8206 8528
-rect 8262 8472 9862 8528
-rect 9918 8472 10138 8528
-rect 10194 8472 10690 8528
-rect 10746 8472 10751 8528
-rect 7833 8470 10751 8472
-rect 7833 8467 7899 8470
-rect 8201 8467 8267 8470
-rect 9857 8467 9923 8470
-rect 10133 8467 10199 8470
-rect 10685 8467 10751 8470
-rect 5901 8394 5967 8397
-rect 8109 8394 8175 8397
-rect 5901 8392 8175 8394
-rect 5901 8336 5906 8392
-rect 5962 8336 8114 8392
-rect 8170 8336 8175 8392
-rect 5901 8334 8175 8336
-rect 5901 8331 5967 8334
-rect 8109 8331 8175 8334
-rect 4208 8192 4528 8193
-rect 4208 8128 4216 8192
+rect 8210 8671 8526 8672
+rect 4210 8192 4526 8193
+rect 4210 8128 4216 8192
rect 4280 8128 4296 8192
rect 4360 8128 4376 8192
rect 4440 8128 4456 8192
-rect 4520 8128 4528 8192
-rect 4208 8127 4528 8128
-rect 12208 8192 12528 8193
-rect 12208 8128 12216 8192
+rect 4520 8128 4526 8192
+rect 4210 8127 4526 8128
+rect 12210 8192 12526 8193
+rect 12210 8128 12216 8192
rect 12280 8128 12296 8192
rect 12360 8128 12376 8192
rect 12440 8128 12456 8192
-rect 12520 8128 12528 8192
-rect 12208 8127 12528 8128
-rect 9029 8122 9095 8125
-rect 9489 8122 9555 8125
-rect 9029 8120 9555 8122
-rect 9029 8064 9034 8120
-rect 9090 8064 9494 8120
-rect 9550 8064 9555 8120
-rect 9029 8062 9555 8064
-rect 9029 8059 9095 8062
-rect 9489 8059 9555 8062
+rect 12520 8128 12526 8192
+rect 12210 8127 12526 8128
rect 0 7986 800 8016
-rect 1485 7986 1551 7989
-rect 0 7984 1551 7986
-rect 0 7928 1490 7984
-rect 1546 7928 1551 7984
-rect 0 7926 1551 7928
+rect 3049 7986 3115 7989
+rect 0 7984 3115 7986
+rect 0 7928 3054 7984
+rect 3110 7928 3115 7984
+rect 0 7926 3115 7928
rect 0 7896 800 7926
-rect 1485 7923 1551 7926
-rect 1761 7850 1827 7853
-rect 8385 7850 8451 7853
-rect 1761 7848 8451 7850
-rect 1761 7792 1766 7848
-rect 1822 7792 8390 7848
-rect 8446 7792 8451 7848
-rect 1761 7790 8451 7792
-rect 1761 7787 1827 7790
-rect 8385 7787 8451 7790
-rect 8208 7648 8528 7649
-rect 8208 7584 8216 7648
+rect 3049 7923 3115 7926
+rect 8210 7648 8526 7649
+rect 8210 7584 8216 7648
rect 8280 7584 8296 7648
rect 8360 7584 8376 7648
rect 8440 7584 8456 7648
-rect 8520 7584 8528 7648
-rect 8208 7583 8528 7584
-rect 4208 7104 4528 7105
+rect 8520 7584 8526 7648
+rect 8210 7583 8526 7584
+rect 3785 7442 3851 7445
+rect 5533 7442 5599 7445
+rect 3785 7440 5599 7442
+rect 3785 7384 3790 7440
+rect 3846 7384 5538 7440
+rect 5594 7384 5599 7440
+rect 3785 7382 5599 7384
+rect 3785 7379 3851 7382
+rect 5533 7379 5599 7382
+rect 4210 7104 4526 7105
rect 0 7034 800 7064
-rect 4208 7040 4216 7104
+rect 4210 7040 4216 7104
rect 4280 7040 4296 7104
rect 4360 7040 4376 7104
rect 4440 7040 4456 7104
-rect 4520 7040 4528 7104
-rect 4208 7039 4528 7040
-rect 12208 7104 12528 7105
-rect 12208 7040 12216 7104
+rect 4520 7040 4526 7104
+rect 4210 7039 4526 7040
+rect 12210 7104 12526 7105
+rect 12210 7040 12216 7104
rect 12280 7040 12296 7104
rect 12360 7040 12376 7104
rect 12440 7040 12456 7104
-rect 12520 7040 12528 7104
-rect 12208 7039 12528 7040
-rect 3969 7034 4035 7037
-rect 0 7032 4035 7034
-rect 0 6976 3974 7032
-rect 4030 6976 4035 7032
-rect 0 6974 4035 6976
+rect 12520 7040 12526 7104
+rect 12210 7039 12526 7040
+rect 3877 7034 3943 7037
+rect 0 7032 3943 7034
+rect 0 6976 3882 7032
+rect 3938 6976 3943 7032
+rect 0 6974 3943 6976
rect 0 6944 800 6974
-rect 3969 6971 4035 6974
-rect 8201 6762 8267 6765
-rect 9305 6762 9371 6765
-rect 8201 6760 9371 6762
-rect 8201 6704 8206 6760
-rect 8262 6704 9310 6760
-rect 9366 6704 9371 6760
-rect 8201 6702 9371 6704
-rect 8201 6699 8267 6702
-rect 9305 6699 9371 6702
-rect 8208 6560 8528 6561
-rect 8208 6496 8216 6560
+rect 3877 6971 3943 6974
+rect 8210 6560 8526 6561
+rect 8210 6496 8216 6560
rect 8280 6496 8296 6560
rect 8360 6496 8376 6560
rect 8440 6496 8456 6560
-rect 8520 6496 8528 6560
-rect 8208 6495 8528 6496
-rect 13261 6218 13327 6221
+rect 8520 6496 8526 6560
+rect 8210 6495 8526 6496
+rect 2589 6354 2655 6357
+rect 4061 6354 4127 6357
+rect 2589 6352 4127 6354
+rect 2589 6296 2594 6352
+rect 2650 6296 4066 6352
+rect 4122 6296 4127 6352
+rect 2589 6294 4127 6296
+rect 2589 6291 2655 6294
+rect 4061 6291 4127 6294
+rect 13537 6218 13603 6221
rect 14200 6218 15000 6248
-rect 13261 6216 15000 6218
-rect 13261 6160 13266 6216
-rect 13322 6160 15000 6216
-rect 13261 6158 15000 6160
-rect 13261 6155 13327 6158
+rect 13537 6216 15000 6218
+rect 13537 6160 13542 6216
+rect 13598 6160 15000 6216
+rect 13537 6158 15000 6160
+rect 13537 6155 13603 6158
rect 14200 6128 15000 6158
rect 0 6082 800 6112
rect 1393 6082 1459 6085
@@ -17140,193 +18506,190 @@ rect 1454 6024 1459 6080
rect 0 6022 1459 6024
rect 0 5992 800 6022
rect 1393 6019 1459 6022
-rect 4208 6016 4528 6017
-rect 4208 5952 4216 6016
+rect 4210 6016 4526 6017
+rect 4210 5952 4216 6016
rect 4280 5952 4296 6016
rect 4360 5952 4376 6016
rect 4440 5952 4456 6016
-rect 4520 5952 4528 6016
-rect 4208 5951 4528 5952
-rect 12208 6016 12528 6017
-rect 12208 5952 12216 6016
+rect 4520 5952 4526 6016
+rect 4210 5951 4526 5952
+rect 12210 6016 12526 6017
+rect 12210 5952 12216 6016
rect 12280 5952 12296 6016
rect 12360 5952 12376 6016
rect 12440 5952 12456 6016
-rect 12520 5952 12528 6016
-rect 12208 5951 12528 5952
-rect 8208 5472 8528 5473
-rect 8208 5408 8216 5472
+rect 12520 5952 12526 6016
+rect 12210 5951 12526 5952
+rect 8210 5472 8526 5473
+rect 8210 5408 8216 5472
rect 8280 5408 8296 5472
rect 8360 5408 8376 5472
rect 8440 5408 8456 5472
-rect 8520 5408 8528 5472
-rect 8208 5407 8528 5408
-rect 2681 5266 2747 5269
-rect 3693 5266 3759 5269
-rect 2681 5264 3759 5266
-rect 2681 5208 2686 5264
-rect 2742 5208 3698 5264
-rect 3754 5208 3759 5264
-rect 2681 5206 3759 5208
-rect 2681 5203 2747 5206
-rect 3693 5203 3759 5206
+rect 8520 5408 8526 5472
+rect 8210 5407 8526 5408
rect 0 5130 800 5160
-rect 1577 5130 1643 5133
-rect 0 5128 1643 5130
-rect 0 5072 1582 5128
-rect 1638 5072 1643 5128
-rect 0 5070 1643 5072
+rect 1393 5130 1459 5133
+rect 0 5128 1459 5130
+rect 0 5072 1398 5128
+rect 1454 5072 1459 5128
+rect 0 5070 1459 5072
rect 0 5040 800 5070
-rect 1577 5067 1643 5070
-rect 4208 4928 4528 4929
-rect 4208 4864 4216 4928
+rect 1393 5067 1459 5070
+rect 4210 4928 4526 4929
+rect 4210 4864 4216 4928
rect 4280 4864 4296 4928
rect 4360 4864 4376 4928
rect 4440 4864 4456 4928
-rect 4520 4864 4528 4928
-rect 4208 4863 4528 4864
-rect 12208 4928 12528 4929
-rect 12208 4864 12216 4928
+rect 4520 4864 4526 4928
+rect 4210 4863 4526 4864
+rect 12210 4928 12526 4929
+rect 12210 4864 12216 4928
rect 12280 4864 12296 4928
rect 12360 4864 12376 4928
rect 12440 4864 12456 4928
-rect 12520 4864 12528 4928
-rect 12208 4863 12528 4864
-rect 8208 4384 8528 4385
-rect 8208 4320 8216 4384
+rect 12520 4864 12526 4928
+rect 12210 4863 12526 4864
+rect 8210 4384 8526 4385
+rect 8210 4320 8216 4384
rect 8280 4320 8296 4384
rect 8360 4320 8376 4384
rect 8440 4320 8456 4384
-rect 8520 4320 8528 4384
-rect 8208 4319 8528 4320
+rect 8520 4320 8526 4384
+rect 8210 4319 8526 4320
rect 0 4178 800 4208
-rect 1577 4178 1643 4181
-rect 0 4176 1643 4178
-rect 0 4120 1582 4176
-rect 1638 4120 1643 4176
-rect 0 4118 1643 4120
+rect 3509 4178 3575 4181
+rect 0 4176 3575 4178
+rect 0 4120 3514 4176
+rect 3570 4120 3575 4176
+rect 0 4118 3575 4120
rect 0 4088 800 4118
-rect 1577 4115 1643 4118
-rect 4208 3840 4528 3841
-rect 4208 3776 4216 3840
+rect 3509 4115 3575 4118
+rect 3601 4042 3667 4045
+rect 4889 4042 4955 4045
+rect 5625 4042 5691 4045
+rect 8017 4042 8083 4045
+rect 3601 4040 8083 4042
+rect 3601 3984 3606 4040
+rect 3662 3984 4894 4040
+rect 4950 3984 5630 4040
+rect 5686 3984 8022 4040
+rect 8078 3984 8083 4040
+rect 3601 3982 8083 3984
+rect 3601 3979 3667 3982
+rect 4889 3979 4955 3982
+rect 5625 3979 5691 3982
+rect 8017 3979 8083 3982
+rect 4210 3840 4526 3841
+rect 4210 3776 4216 3840
rect 4280 3776 4296 3840
rect 4360 3776 4376 3840
rect 4440 3776 4456 3840
-rect 4520 3776 4528 3840
-rect 4208 3775 4528 3776
-rect 12208 3840 12528 3841
-rect 12208 3776 12216 3840
+rect 4520 3776 4526 3840
+rect 4210 3775 4526 3776
+rect 12210 3840 12526 3841
+rect 12210 3776 12216 3840
rect 12280 3776 12296 3840
rect 12360 3776 12376 3840
rect 12440 3776 12456 3840
-rect 12520 3776 12528 3840
-rect 12208 3775 12528 3776
-rect 13537 3770 13603 3773
+rect 12520 3776 12526 3840
+rect 12210 3775 12526 3776
+rect 13353 3770 13419 3773
rect 14200 3770 15000 3800
-rect 13537 3768 15000 3770
-rect 13537 3712 13542 3768
-rect 13598 3712 15000 3768
-rect 13537 3710 15000 3712
-rect 13537 3707 13603 3710
+rect 13353 3768 15000 3770
+rect 13353 3712 13358 3768
+rect 13414 3712 15000 3768
+rect 13353 3710 15000 3712
+rect 13353 3707 13419 3710
rect 14200 3680 15000 3710
-rect 8208 3296 8528 3297
+rect 8210 3296 8526 3297
rect 0 3226 800 3256
-rect 8208 3232 8216 3296
+rect 8210 3232 8216 3296
rect 8280 3232 8296 3296
rect 8360 3232 8376 3296
rect 8440 3232 8456 3296
-rect 8520 3232 8528 3296
-rect 8208 3231 8528 3232
-rect 1577 3226 1643 3229
-rect 0 3224 1643 3226
-rect 0 3168 1582 3224
-rect 1638 3168 1643 3224
-rect 0 3166 1643 3168
+rect 8520 3232 8526 3296
+rect 8210 3231 8526 3232
+rect 2129 3226 2195 3229
+rect 0 3224 2195 3226
+rect 0 3168 2134 3224
+rect 2190 3168 2195 3224
+rect 0 3166 2195 3168
rect 0 3136 800 3166
-rect 1577 3163 1643 3166
-rect 3969 2954 4035 2957
-rect 7005 2954 7071 2957
-rect 3969 2952 7071 2954
-rect 3969 2896 3974 2952
-rect 4030 2896 7010 2952
-rect 7066 2896 7071 2952
-rect 3969 2894 7071 2896
-rect 3969 2891 4035 2894
-rect 7005 2891 7071 2894
-rect 4208 2752 4528 2753
-rect 4208 2688 4216 2752
+rect 2129 3163 2195 3166
+rect 4210 2752 4526 2753
+rect 4210 2688 4216 2752
rect 4280 2688 4296 2752
rect 4360 2688 4376 2752
rect 4440 2688 4456 2752
-rect 4520 2688 4528 2752
-rect 4208 2687 4528 2688
-rect 12208 2752 12528 2753
-rect 12208 2688 12216 2752
+rect 4520 2688 4526 2752
+rect 4210 2687 4526 2688
+rect 12210 2752 12526 2753
+rect 12210 2688 12216 2752
rect 12280 2688 12296 2752
rect 12360 2688 12376 2752
rect 12440 2688 12456 2752
-rect 12520 2688 12528 2752
-rect 12208 2687 12528 2688
+rect 12520 2688 12526 2752
+rect 12210 2687 12526 2688
rect 0 2274 800 2304
-rect 1761 2274 1827 2277
-rect 0 2272 1827 2274
-rect 0 2216 1766 2272
-rect 1822 2216 1827 2272
-rect 0 2214 1827 2216
+rect 1577 2274 1643 2277
+rect 0 2272 1643 2274
+rect 0 2216 1582 2272
+rect 1638 2216 1643 2272
+rect 0 2214 1643 2216
rect 0 2184 800 2214
-rect 1761 2211 1827 2214
-rect 8208 2208 8528 2209
-rect 8208 2144 8216 2208
+rect 1577 2211 1643 2214
+rect 8210 2208 8526 2209
+rect 8210 2144 8216 2208
rect 8280 2144 8296 2208
rect 8360 2144 8376 2208
rect 8440 2144 8456 2208
-rect 8520 2144 8528 2208
-rect 8208 2143 8528 2144
-rect 4208 1664 4528 1665
-rect 4208 1600 4216 1664
+rect 8520 2144 8526 2208
+rect 8210 2143 8526 2144
+rect 4210 1664 4526 1665
+rect 4210 1600 4216 1664
rect 4280 1600 4296 1664
rect 4360 1600 4376 1664
rect 4440 1600 4456 1664
-rect 4520 1600 4528 1664
-rect 4208 1599 4528 1600
-rect 12208 1664 12528 1665
-rect 12208 1600 12216 1664
+rect 4520 1600 4526 1664
+rect 4210 1599 4526 1600
+rect 12210 1664 12526 1665
+rect 12210 1600 12216 1664
rect 12280 1600 12296 1664
rect 12360 1600 12376 1664
rect 12440 1600 12456 1664
-rect 12520 1600 12528 1664
-rect 12208 1599 12528 1600
+rect 12520 1600 12526 1664
+rect 12210 1599 12526 1600
rect 0 1322 800 1352
-rect 3049 1322 3115 1325
-rect 0 1320 3115 1322
-rect 0 1264 3054 1320
-rect 3110 1264 3115 1320
-rect 0 1262 3115 1264
+rect 2957 1322 3023 1325
+rect 0 1320 3023 1322
+rect 0 1264 2962 1320
+rect 3018 1264 3023 1320
+rect 0 1262 3023 1264
rect 0 1232 800 1262
-rect 3049 1259 3115 1262
-rect 10593 1322 10659 1325
+rect 2957 1259 3023 1262
+rect 11237 1322 11303 1325
rect 14200 1322 15000 1352
-rect 10593 1320 15000 1322
-rect 10593 1264 10598 1320
-rect 10654 1264 15000 1320
-rect 10593 1262 15000 1264
-rect 10593 1259 10659 1262
+rect 11237 1320 15000 1322
+rect 11237 1264 11242 1320
+rect 11298 1264 15000 1320
+rect 11237 1262 15000 1264
+rect 11237 1259 11303 1262
rect 14200 1232 15000 1262
-rect 8208 1120 8528 1121
-rect 8208 1056 8216 1120
+rect 8210 1120 8526 1121
+rect 8210 1056 8216 1120
rect 8280 1056 8296 1120
rect 8360 1056 8376 1120
rect 8440 1056 8456 1120
-rect 8520 1056 8528 1120
-rect 8208 1055 8528 1056
+rect 8520 1056 8526 1120
+rect 8210 1055 8526 1056
rect 0 506 800 536
-rect 1577 506 1643 509
-rect 0 504 1643 506
-rect 0 448 1582 504
-rect 1638 448 1643 504
-rect 0 446 1643 448
+rect 1393 506 1459 509
+rect 0 504 1459 506
+rect 0 448 1398 504
+rect 1454 448 1459 504
+rect 0 446 1459 448
rect 0 416 800 446
-rect 1577 443 1643 446
+rect 1393 443 1459 446
<< via3 >>
rect 4216 13628 4280 13632
rect 4216 13572 4220 13628
@@ -17548,6 +18911,7 @@ rect 12456 10308 12460 10364
rect 12460 10308 12516 10364
rect 12516 10308 12520 10364
rect 12456 10304 12520 10308
+rect 8708 9964 8772 10028
rect 8216 9820 8280 9824
rect 8216 9764 8220 9820
rect 8220 9764 8276 9820
@@ -17568,6 +18932,7 @@ rect 8456 9764 8460 9820
rect 8460 9764 8516 9820
rect 8516 9764 8520 9820
rect 8456 9760 8520 9764
+rect 8708 9420 8772 9484
rect 4216 9276 4280 9280
rect 4216 9220 4220 9276
rect 4220 9220 4276 9276
@@ -18146,12 +19511,47 @@ rect 8360 10848 8376 10912
rect 8440 10848 8456 10912
rect 8520 10848 8528 10912
rect 8208 9824 8528 10848
+rect 12208 13632 12528 13648
+rect 12208 13568 12216 13632
+rect 12280 13568 12296 13632
+rect 12360 13568 12376 13632
+rect 12440 13568 12456 13632
+rect 12520 13568 12528 13632
+rect 12208 12544 12528 13568
+rect 12208 12480 12216 12544
+rect 12280 12488 12296 12544
+rect 12360 12488 12376 12544
+rect 12440 12488 12456 12544
+rect 12520 12480 12528 12544
+rect 12208 12252 12250 12480
+rect 12486 12252 12528 12480
+rect 12208 11456 12528 12252
+rect 12208 11392 12216 11456
+rect 12280 11392 12296 11456
+rect 12360 11392 12376 11456
+rect 12440 11392 12456 11456
+rect 12520 11392 12528 11456
+rect 12208 10368 12528 11392
+rect 12208 10304 12216 10368
+rect 12280 10304 12296 10368
+rect 12360 10304 12376 10368
+rect 12440 10304 12456 10368
+rect 12520 10304 12528 10368
+rect 8707 10028 8773 10029
+rect 8707 9964 8708 10028
+rect 8772 9964 8773 10028
+rect 8707 9963 8773 9964
rect 8208 9760 8216 9824
rect 8280 9760 8296 9824
rect 8360 9760 8376 9824
rect 8440 9760 8456 9824
rect 8520 9760 8528 9824
rect 8208 8736 8528 9760
+rect 8710 9485 8770 9963
+rect 8707 9484 8773 9485
+rect 8707 9420 8708 9484
+rect 8772 9420 8773 9484
+rect 8707 9419 8773 9420
rect 8208 8672 8216 8736
rect 8280 8672 8296 8736
rect 8360 8672 8376 8736
@@ -18203,32 +19603,6 @@ rect 8360 1056 8376 1120
rect 8440 1056 8456 1120
rect 8520 1056 8528 1120
rect 8208 1040 8528 1056
-rect 12208 13632 12528 13648
-rect 12208 13568 12216 13632
-rect 12280 13568 12296 13632
-rect 12360 13568 12376 13632
-rect 12440 13568 12456 13632
-rect 12520 13568 12528 13632
-rect 12208 12544 12528 13568
-rect 12208 12480 12216 12544
-rect 12280 12488 12296 12544
-rect 12360 12488 12376 12544
-rect 12440 12488 12456 12544
-rect 12520 12480 12528 12544
-rect 12208 12252 12250 12480
-rect 12486 12252 12528 12480
-rect 12208 11456 12528 12252
-rect 12208 11392 12216 11456
-rect 12280 11392 12296 11456
-rect 12360 11392 12376 11456
-rect 12440 11392 12456 11456
-rect 12520 11392 12528 11456
-rect 12208 10368 12528 11392
-rect 12208 10304 12216 10368
-rect 12280 10304 12296 10368
-rect 12360 10304 12376 10368
-rect 12440 10304 12456 10368
-rect 12520 10304 12528 10368
rect 12208 9280 12528 10304
rect 12208 9216 12216 9280
rect 12280 9216 12296 9280
@@ -18291,7 +19665,6 @@ rect 4440 12480 4456 12488
rect 4456 12480 4486 12488
rect 4250 12252 4486 12480
rect 4250 4252 4486 4488
-rect 8250 8252 8486 8488
rect 12250 12480 12280 12488
rect 12280 12480 12296 12488
rect 12296 12480 12360 12488
@@ -18300,2632 +19673,2577 @@ rect 12376 12480 12440 12488
rect 12440 12480 12456 12488
rect 12456 12480 12486 12488
rect 12250 12252 12486 12480
+rect 8250 8252 8486 8488
rect 12250 4252 12486 4488
<< metal5 >>
-rect 1104 12488 13892 12530
-rect 1104 12252 4250 12488
+rect 1056 12488 13940 12530
+rect 1056 12252 4250 12488
rect 4486 12252 12250 12488
-rect 12486 12252 13892 12488
-rect 1104 12210 13892 12252
-rect 1104 8488 13892 8530
-rect 1104 8252 8250 8488
-rect 8486 8252 13892 8488
-rect 1104 8210 13892 8252
-rect 1104 4488 13892 4530
-rect 1104 4252 4250 4488
+rect 12486 12252 13940 12488
+rect 1056 12210 13940 12252
+rect 1056 8488 13940 8530
+rect 1056 8252 8250 8488
+rect 8486 8252 13940 8488
+rect 1056 8210 13940 8252
+rect 1056 4488 13940 4530
+rect 1056 4252 4250 4488
rect 4486 4252 12250 4488
-rect 12486 4252 13892 4488
-rect 1104 4210 13892 4252
-use sky130_fd_sc_hd__buf_2 _328_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 1840 0 1 1088
-box -38 -48 406 592
-use sky130_fd_sc_hd__decap_3 PHY_2 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 1104 0 -1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 PHY_0
-timestamp 1636915332
-transform 1 0 1104 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_0_3 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 1380 0 1 1088
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_6 FILLER_0_10 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 2024 0 1 1088
-box -38 -48 590 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__210__B1 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 2024 0 1 1088
+rect 12486 4252 13940 4488
+rect 1056 4210 13940 4252
+use sky130_fd_sc_hd__diode_2 ANTENNA__207__A1 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 1564 0 -1 6528
box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__210__A1
-timestamp 1636915332
-transform -1 0 1564 0 -1 2176
+use sky130_fd_sc_hd__diode_2 ANTENNA__213__A1
+timestamp 1665323087
+transform -1 0 4968 0 1 3264
box -38 -48 222 592
-use sky130_fd_sc_hd__buf_1 _305_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 2576 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_4 FILLER_0_19 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 2852 0 1 1088
-box -38 -48 406 592
-use sky130_fd_sc_hd__dfrtp_2 _376_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 1564 0 -1 2176
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_6 FILLER_0_37
-timestamp 1636915332
-transform 1 0 4508 0 1 1088
-box -38 -48 590 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 3680 0 1 1088
-box -38 -48 130 592
-use sky130_fd_sc_hd__a22o_2 _184_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 4508 0 1 1088
-box -38 -48 774 592
-use sky130_fd_sc_hd__nor2_2 _192_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 3680 0 1 1088
-box -38 -48 498 592
-use sky130_fd_sc_hd__a21oi_2 _199_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 4140 0 -1 2176
-box -38 -48 682 592
-use sky130_fd_sc_hd__or3_2 _267_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 5612 0 1 1088
-box -38 -48 590 592
-use sky130_fd_sc_hd__dfrtp_2 _361_
-timestamp 1636915332
-transform -1 0 6072 0 -1 2176
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_1 FILLER_0_52
-timestamp 1636915332
-transform 1 0 5888 0 1 1088
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_4 FILLER_0_62
-timestamp 1636915332
-transform 1 0 6808 0 1 1088
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_2 FILLER_1_54 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 6072 0 -1 2176
+use sky130_fd_sc_hd__diode_2 ANTENNA__213__B1
+timestamp 1665323087
+transform -1 0 3956 0 1 3264
box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47
-timestamp 1636915332
-transform 1 0 6256 0 1 1088
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_50
-timestamp 1636915332
-transform 1 0 6256 0 -1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _167_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 6256 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__or2_2 _276_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 6348 0 1 1088
-box -38 -48 498 592
-use sky130_fd_sc_hd__buf_1 _320_
-timestamp 1636915332
-transform -1 0 5888 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__dfrtp_2 _360_
-timestamp 1636915332
-transform 1 0 6348 0 -1 2176
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_1 FILLER_0_66
-timestamp 1636915332
-transform 1 0 7176 0 1 1088
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_12 FILLER_0_70 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 7544 0 1 1088
-box -38 -48 1142 592
-use sky130_fd_sc_hd__fill_2 FILLER_0_82
-timestamp 1636915332
-transform 1 0 8648 0 1 1088
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_1_78
-timestamp 1636915332
-transform 1 0 8280 0 -1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_48
-timestamp 1636915332
-transform 1 0 8832 0 1 1088
-box -38 -48 130 592
-use sky130_fd_sc_hd__or2_2 _189_
-timestamp 1636915332
-transform -1 0 9384 0 1 1088
-box -38 -48 498 592
-use sky130_fd_sc_hd__buf_1 _321_
-timestamp 1636915332
-transform 1 0 7268 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__dfrtp_2 _371_
-timestamp 1636915332
-transform 1 0 8372 0 -1 2176
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_4 FILLER_0_105
-timestamp 1636915332
-transform 1 0 10764 0 1 1088
-box -38 -48 406 592
-use sky130_fd_sc_hd__decap_12 FILLER_0_93
-timestamp 1636915332
-transform 1 0 9660 0 1 1088
-box -38 -48 1142 592
-use sky130_fd_sc_hd__a22o_2 _188_
-timestamp 1636915332
-transform -1 0 11040 0 -1 2176
-box -38 -48 774 592
-use sky130_fd_sc_hd__buf_1 _309_
-timestamp 1636915332
-transform -1 0 11316 0 -1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _310_
-timestamp 1636915332
-transform 1 0 9384 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _325_
-timestamp 1636915332
-transform 1 0 11132 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__356__D
-timestamp 1636915332
-transform 1 0 11500 0 1 1088
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_1_111
-timestamp 1636915332
-transform 1 0 11316 0 -1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_49
-timestamp 1636915332
-transform 1 0 11408 0 1 1088
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_51
-timestamp 1636915332
-transform 1 0 11408 0 -1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__dfrtp_2 _356_
-timestamp 1636915332
-transform 1 0 11500 0 -1 2176
-box -38 -48 1970 592
-use sky130_fd_sc_hd__dfrtp_2 _357_
-timestamp 1636915332
-transform 1 0 11684 0 1 1088
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_2 FILLER_1_134
-timestamp 1636915332
-transform 1 0 13432 0 -1 2176
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_3 PHY_1
-timestamp 1636915332
-transform -1 0 13892 0 1 1088
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 PHY_3
-timestamp 1636915332
-transform -1 0 13892 0 -1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__207__A
-timestamp 1636915332
-transform 1 0 1380 0 1 2176
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_2_18
-timestamp 1636915332
-transform 1 0 2760 0 1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_2_5
-timestamp 1636915332
-transform 1 0 1564 0 1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 PHY_4
-timestamp 1636915332
-transform 1 0 1104 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__a2bb2o_2 _200_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 2852 0 1 2176
-box -38 -48 866 592
-use sky130_fd_sc_hd__inv_2 _209_
-timestamp 1636915332
-transform -1 0 2760 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__o221a_2 _210_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 1656 0 1 2176
-box -38 -48 866 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_52
-timestamp 1636915332
-transform 1 0 3680 0 1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _166_
-timestamp 1636915332
-transform 1 0 4600 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__o2bb2a_2 _197_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 4600 0 1 2176
-box -38 -48 866 592
-use sky130_fd_sc_hd__o21ai_2 _273_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 5520 0 1 2176
-box -38 -48 682 592
-use sky130_fd_sc_hd__o2bb2ai_2 _206_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 7452 0 1 2176
-box -38 -48 1142 592
-use sky130_fd_sc_hd__o221a_2 _275_
-timestamp 1636915332
-transform 1 0 5520 0 1 2176
-box -38 -48 866 592
-use sky130_fd_sc_hd__decap_3 FILLER_2_78
-timestamp 1636915332
-transform 1 0 8280 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_53
-timestamp 1636915332
-transform 1 0 8832 0 1 2176
-box -38 -48 130 592
-use sky130_fd_sc_hd__a22o_2 _185_
-timestamp 1636915332
-transform -1 0 9660 0 1 2176
-box -38 -48 774 592
-use sky130_fd_sc_hd__o2bb2a_2 _194_
-timestamp 1636915332
-transform 1 0 7452 0 1 2176
-box -38 -48 866 592
-use sky130_fd_sc_hd__buf_1 _306_
-timestamp 1636915332
-transform -1 0 8832 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__inv_2 _179_
-timestamp 1636915332
-transform 1 0 9660 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__dfrtp_2 _372_
-timestamp 1636915332
-transform 1 0 9936 0 1 2176
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_2 FILLER_2_120
-timestamp 1636915332
-transform 1 0 12144 0 1 2176
-box -38 -48 222 592
-use sky130_fd_sc_hd__a2bb2o_2 _178_
-timestamp 1636915332
-transform 1 0 12604 0 1 2176
-box -38 -48 866 592
-use sky130_fd_sc_hd__buf_1 _308_
-timestamp 1636915332
-transform -1 0 12144 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _324_
-timestamp 1636915332
-transform 1 0 12328 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_2 FILLER_2_134
-timestamp 1636915332
-transform 1 0 13432 0 1 2176
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_3 PHY_5
-timestamp 1636915332
-transform -1 0 13892 0 1 2176
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_3_3
-timestamp 1636915332
-transform 1 0 1380 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 PHY_6
-timestamp 1636915332
-transform 1 0 1104 0 -1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__inv_2 _177_
-timestamp 1636915332
-transform -1 0 3312 0 -1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__nand2_2 _207_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 1932 0 -1 3264
-box -38 -48 498 592
-use sky130_fd_sc_hd__o2111ai_2 _225_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 1932 0 -1 3264
-box -38 -48 1142 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__177__A
-timestamp 1636915332
-transform -1 0 3496 0 -1 3264
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__211__A1
-timestamp 1636915332
-transform -1 0 3680 0 -1 3264
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_2 FILLER_3_28
-timestamp 1636915332
-transform 1 0 3680 0 -1 3264
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_4 FILLER_3_33
-timestamp 1636915332
-transform 1 0 4140 0 -1 3264
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_1 FILLER_3_37
-timestamp 1636915332
-transform 1 0 4508 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _196_
-timestamp 1636915332
-transform 1 0 3864 0 -1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__inv_2 _268_
-timestamp 1636915332
-transform -1 0 4876 0 -1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__a31o_2 _274_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 4876 0 -1 3264
-box -38 -48 682 592
-use sky130_fd_sc_hd__fill_1 FILLER_3_48
-timestamp 1636915332
-transform 1 0 5520 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_3_57
-timestamp 1636915332
-transform 1 0 6348 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_54
-timestamp 1636915332
-transform 1 0 6256 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__nor2_2 _193_
-timestamp 1636915332
-transform 1 0 6440 0 -1 3264
-box -38 -48 498 592
-use sky130_fd_sc_hd__a22o_2 _195_
-timestamp 1636915332
-transform -1 0 7636 0 -1 3264
-box -38 -48 774 592
-use sky130_fd_sc_hd__a21oi_2 _208_
-timestamp 1636915332
-transform 1 0 5612 0 -1 3264
-box -38 -48 682 592
-use sky130_fd_sc_hd__fill_2 FILLER_3_71
-timestamp 1636915332
-transform 1 0 7636 0 -1 3264
-box -38 -48 222 592
-use sky130_fd_sc_hd__dfrtp_2 _375_
-timestamp 1636915332
-transform -1 0 9752 0 -1 3264
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_1 FILLER_3_94
-timestamp 1636915332
-transform 1 0 9752 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__a22o_2 _187_
-timestamp 1636915332
-transform 1 0 9844 0 -1 3264
-box -38 -48 774 592
-use sky130_fd_sc_hd__and4_2 _226_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 11316 0 -1 3264
-box -38 -48 774 592
-use sky130_fd_sc_hd__fill_1 FILLER_3_111
-timestamp 1636915332
-transform 1 0 11316 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_2 FILLER_3_113
-timestamp 1636915332
-transform 1 0 11500 0 -1 3264
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_55
-timestamp 1636915332
-transform 1 0 11408 0 -1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__dfrtp_2 _358_
-timestamp 1636915332
-transform 1 0 11684 0 -1 3264
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_3 PHY_7
-timestamp 1636915332
-transform -1 0 13892 0 -1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__204__A1
-timestamp 1636915332
-transform 1 0 1380 0 1 3264
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_3 PHY_8
-timestamp 1636915332
-transform 1 0 1104 0 1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__a22o_2 _183_
-timestamp 1636915332
-transform 1 0 2944 0 1 3264
-box -38 -48 774 592
-use sky130_fd_sc_hd__o211a_2 _204_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 2300 0 1 3264
-box -38 -48 774 592
-use sky130_fd_sc_hd__a21oi_2 _211_
-timestamp 1636915332
-transform -1 0 2944 0 1 3264
-box -38 -48 682 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56
-timestamp 1636915332
-transform 1 0 3680 0 1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__dfrtp_2 _377_
-timestamp 1636915332
-transform -1 0 5704 0 1 3264
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_2 FILLER_4_58
-timestamp 1636915332
-transform 1 0 6440 0 1 3264
-box -38 -48 222 592
-use sky130_fd_sc_hd__and4_2 _272_
-timestamp 1636915332
-transform 1 0 5704 0 1 3264
-box -38 -48 774 592
-use sky130_fd_sc_hd__dfrtp_2 _374_
-timestamp 1636915332
-transform 1 0 6624 0 1 3264
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_3 FILLER_4_81
-timestamp 1636915332
-transform 1 0 8556 0 1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57
-timestamp 1636915332
-transform 1 0 8832 0 1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__o22a_2 _266_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 8924 0 1 3264
-box -38 -48 774 592
-use sky130_fd_sc_hd__or3_2 _233_
-timestamp 1636915332
-transform 1 0 9660 0 1 3264
-box -38 -48 590 592
-use sky130_fd_sc_hd__dfrtp_2 _373_
-timestamp 1636915332
-transform 1 0 10212 0 1 3264
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_3 FILLER_4_120
-timestamp 1636915332
-transform 1 0 12144 0 1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_6 FILLER_4_129
-timestamp 1636915332
-transform 1 0 12972 0 1 3264
-box -38 -48 590 592
-use sky130_fd_sc_hd__buf_1 _316_
-timestamp 1636915332
-transform 1 0 12420 0 1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _323_
-timestamp 1636915332
-transform 1 0 12696 0 1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_4_135
-timestamp 1636915332
-transform 1 0 13524 0 1 3264
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 PHY_9
-timestamp 1636915332
-transform -1 0 13892 0 1 3264
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__201__A1
-timestamp 1636915332
-transform -1 0 1564 0 -1 4352
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__201__B1
-timestamp 1636915332
-transform 1 0 1564 0 -1 4352
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_5_19
-timestamp 1636915332
-transform 1 0 2852 0 -1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 PHY_10
-timestamp 1636915332
-transform 1 0 1104 0 -1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__nor2_2 _190_
-timestamp 1636915332
-transform 1 0 2944 0 -1 4352
-box -38 -48 498 592
-use sky130_fd_sc_hd__inv_2 _205_
-timestamp 1636915332
-transform 1 0 2576 0 -1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__o221a_2 _217_
-timestamp 1636915332
-transform 1 0 1748 0 -1 4352
-box -38 -48 866 592
-use sky130_fd_sc_hd__decap_3 FILLER_5_32
-timestamp 1636915332
-transform 1 0 4048 0 -1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__a21o_2 _191_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 3404 0 -1 4352
-box -38 -48 682 592
-use sky130_fd_sc_hd__dfrtp_2 _359_
-timestamp 1636915332
-transform 1 0 4324 0 -1 4352
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_1 FILLER_5_57
-timestamp 1636915332
-transform 1 0 6348 0 -1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58
-timestamp 1636915332
-transform 1 0 6256 0 -1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__a22o_2 _186_
-timestamp 1636915332
-transform 1 0 6440 0 -1 4352
-box -38 -48 774 592
-use sky130_fd_sc_hd__fill_1 FILLER_5_69
-timestamp 1636915332
-transform 1 0 7452 0 -1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _169_
-timestamp 1636915332
-transform -1 0 7452 0 -1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _307_
-timestamp 1636915332
-transform 1 0 7544 0 -1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__dfrtp_2 _364_
-timestamp 1636915332
-transform 1 0 7820 0 -1 4352
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_1 FILLER_5_103
-timestamp 1636915332
-transform 1 0 10580 0 -1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__o221a_2 _234_
-timestamp 1636915332
-transform -1 0 10580 0 -1 4352
-box -38 -48 866 592
-use sky130_fd_sc_hd__a22o_2 _237_
-timestamp 1636915332
-transform 1 0 10672 0 -1 4352
-box -38 -48 774 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59
-timestamp 1636915332
-transform 1 0 11408 0 -1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__dfrtp_2 _365_
-timestamp 1636915332
-transform 1 0 11500 0 -1 4352
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_2 FILLER_5_134
-timestamp 1636915332
-transform 1 0 13432 0 -1 4352
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_3 PHY_11
-timestamp 1636915332
-transform -1 0 13892 0 -1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__a21bo_2 _215_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 1840 0 -1 5440
-box -38 -48 774 592
-use sky130_fd_sc_hd__or2_2 _202_
-timestamp 1636915332
-transform 1 0 1380 0 -1 5440
-box -38 -48 498 592
-use sky130_fd_sc_hd__a22oi_2 _201_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 2392 0 1 4352
-box -38 -48 958 592
-use sky130_fd_sc_hd__decap_3 PHY_14
-timestamp 1636915332
-transform 1 0 1104 0 -1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 PHY_12
-timestamp 1636915332
-transform 1 0 1104 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_6_3
-timestamp 1636915332
+use sky130_fd_sc_hd__diode_2 ANTENNA__214__B1
+timestamp 1665323087
transform 1 0 1380 0 1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__a22o_2 _212_
-timestamp 1636915332
-transform -1 0 3312 0 -1 5440
-box -38 -48 774 592
-use sky130_fd_sc_hd__inv_2 _203_
-timestamp 1636915332
-transform 1 0 2392 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__a2bb2o_2 _198_
-timestamp 1636915332
-transform 1 0 2760 0 1 4352
-box -38 -48 866 592
-use sky130_fd_sc_hd__fill_1 FILLER_6_17
-timestamp 1636915332
-transform 1 0 2668 0 1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_6_27
-timestamp 1636915332
-transform 1 0 3588 0 1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 FILLER_6_38
-timestamp 1636915332
-transform 1 0 4600 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 FILLER_7_39
-timestamp 1636915332
-transform 1 0 4692 0 -1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60
-timestamp 1636915332
-transform 1 0 3680 0 1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__a22o_2 _180_
-timestamp 1636915332
-transform -1 0 4048 0 -1 5440
-box -38 -48 774 592
-use sky130_fd_sc_hd__o2bb2a_2 _213_
-timestamp 1636915332
-transform -1 0 4600 0 1 4352
-box -38 -48 866 592
-use sky130_fd_sc_hd__a21oi_2 _270_
-timestamp 1636915332
-transform -1 0 4692 0 -1 5440
-box -38 -48 682 592
-use sky130_fd_sc_hd__o221a_2 _271_
-timestamp 1636915332
-transform 1 0 4968 0 -1 5440
-box -38 -48 866 592
-use sky130_fd_sc_hd__a311o_2 _277_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 4876 0 1 4352
-box -38 -48 866 592
-use sky130_fd_sc_hd__decap_3 FILLER_6_53
-timestamp 1636915332
-transform 1 0 5980 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_4 FILLER_6_62
-timestamp 1636915332
-transform 1 0 6808 0 1 4352
-box -38 -48 406 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62
-timestamp 1636915332
-transform 1 0 6256 0 -1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _168_
-timestamp 1636915332
-transform -1 0 6532 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__nand2_2 _269_
-timestamp 1636915332
-transform 1 0 5796 0 -1 5440
-box -38 -48 498 592
-use sky130_fd_sc_hd__buf_1 _319_
-timestamp 1636915332
-transform 1 0 6532 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _322_
-timestamp 1636915332
-transform -1 0 5980 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__dfrtp_2 _362_
-timestamp 1636915332
-transform -1 0 8280 0 -1 5440
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_12 FILLER_6_71
-timestamp 1636915332
-transform 1 0 7636 0 1 4352
-box -38 -48 1142 592
-use sky130_fd_sc_hd__fill_1 FILLER_6_83
-timestamp 1636915332
-transform 1 0 8740 0 1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_2 FILLER_7_81
-timestamp 1636915332
-transform 1 0 8556 0 -1 5440
box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61
-timestamp 1636915332
-transform 1 0 8832 0 1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__or2_2 _219_
-timestamp 1636915332
-transform 1 0 7176 0 1 4352
-box -38 -48 498 592
-use sky130_fd_sc_hd__inv_2 _220_
-timestamp 1636915332
-transform 1 0 8280 0 -1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__o21ai_2 _250_
-timestamp 1636915332
-transform 1 0 8740 0 -1 5440
-box -38 -48 682 592
-use sky130_fd_sc_hd__buf_1 _317_
-timestamp 1636915332
-transform -1 0 9200 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__o31a_2 _235_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 9568 0 1 4352
-box -38 -48 774 592
-use sky130_fd_sc_hd__or3_2 _224_
-timestamp 1636915332
-transform -1 0 10580 0 -1 5440
-box -38 -48 590 592
-use sky130_fd_sc_hd__inv_2 _176_
-timestamp 1636915332
-transform -1 0 9568 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_7_96
-timestamp 1636915332
-transform 1 0 9936 0 -1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_6 FILLER_7_90
-timestamp 1636915332
-transform 1 0 9384 0 -1 5440
-box -38 -48 590 592
-use sky130_fd_sc_hd__fill_1 FILLER_6_88
-timestamp 1636915332
-transform 1 0 9200 0 1 4352
-box -38 -48 130 592
-use sky130_fd_sc_hd__o22ai_2 _265_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 11500 0 1 4352
-box -38 -48 958 592
-use sky130_fd_sc_hd__o22a_2 _238_
-timestamp 1636915332
-transform 1 0 10672 0 -1 5440
-box -38 -48 774 592
-use sky130_fd_sc_hd__fill_1 FILLER_7_103
-timestamp 1636915332
-transform 1 0 10580 0 -1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 FILLER_6_100
-timestamp 1636915332
-transform 1 0 10304 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_12 FILLER_6_122
-timestamp 1636915332
-transform 1 0 12328 0 1 4352
-box -38 -48 1142 592
-use sky130_fd_sc_hd__decap_8 FILLER_7_116 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 11776 0 -1 5440
-box -38 -48 774 592
-use sky130_fd_sc_hd__decap_8 FILLER_7_127
-timestamp 1636915332
-transform 1 0 12788 0 -1 5440
-box -38 -48 774 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63
-timestamp 1636915332
-transform 1 0 11408 0 -1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _175_
-timestamp 1636915332
-transform -1 0 11776 0 -1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__a2bb2o_2 _264_
-timestamp 1636915332
-transform -1 0 12328 0 1 4352
-box -38 -48 866 592
-use sky130_fd_sc_hd__buf_1 _311_
-timestamp 1636915332
-transform 1 0 12512 0 -1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_2 FILLER_6_134
-timestamp 1636915332
-transform 1 0 13432 0 1 4352
+use sky130_fd_sc_hd__diode_2 ANTENNA__215__B1
+timestamp 1665323087
+transform -1 0 2668 0 1 5440
box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_7_135
-timestamp 1636915332
-transform 1 0 13524 0 -1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 PHY_13
-timestamp 1636915332
-transform -1 0 13892 0 1 4352
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 PHY_15
-timestamp 1636915332
-transform -1 0 13892 0 -1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__202__A
-timestamp 1636915332
-transform -1 0 1564 0 1 5440
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_3 PHY_16
-timestamp 1636915332
-transform 1 0 1104 0 1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__nand2_2 _216_
-timestamp 1636915332
-transform -1 0 2024 0 1 5440
-box -38 -48 498 592
-use sky130_fd_sc_hd__o221ai_2 _218_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 2024 0 1 5440
-box -38 -48 1142 592
-use sky130_fd_sc_hd__fill_1 FILLER_8_27
-timestamp 1636915332
-transform 1 0 3588 0 1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_8_29
-timestamp 1636915332
-transform 1 0 3772 0 1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64
-timestamp 1636915332
-transform 1 0 3680 0 1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__or2_2 _214_
-timestamp 1636915332
-transform -1 0 3588 0 1 5440
-box -38 -48 498 592
-use sky130_fd_sc_hd__dfrtp_2 _363_
-timestamp 1636915332
-transform 1 0 3864 0 1 5440
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_12 FILLER_8_51
-timestamp 1636915332
-transform 1 0 5796 0 1 5440
-box -38 -48 1142 592
-use sky130_fd_sc_hd__decap_6 FILLER_8_63
-timestamp 1636915332
-transform 1 0 6900 0 1 5440
-box -38 -48 590 592
-use sky130_fd_sc_hd__fill_1 FILLER_8_69
-timestamp 1636915332
-transform 1 0 7452 0 1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65
-timestamp 1636915332
-transform 1 0 8832 0 1 5440
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _236_
-timestamp 1636915332
-transform 1 0 7544 0 1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__inv_2 _246_
-timestamp 1636915332
-transform -1 0 8096 0 1 5440
-box -38 -48 314 592
-use sky130_fd_sc_hd__o22a_2 _247_
-timestamp 1636915332
-transform -1 0 8832 0 1 5440
-box -38 -48 774 592
-use sky130_fd_sc_hd__a221o_2 _249_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 8924 0 1 5440
-box -38 -48 866 592
-use sky130_fd_sc_hd__dfrtp_2 _366_
-timestamp 1636915332
-transform 1 0 9752 0 1 5440
-box -38 -48 1970 592
-use sky130_fd_sc_hd__dfrtp_2 _370_
-timestamp 1636915332
-transform 1 0 11684 0 1 5440
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_3 PHY_17
-timestamp 1636915332
-transform -1 0 13892 0 1 5440
-box -38 -48 314 592
use sky130_fd_sc_hd__diode_2 ANTENNA__216__A
-timestamp 1636915332
+timestamp 1665323087
+transform -1 0 3680 0 1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__219__A
+timestamp 1665323087
+transform -1 0 6624 0 1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__222__A1
+timestamp 1665323087
+transform -1 0 6808 0 1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__222__B2
+timestamp 1665323087
+transform -1 0 5152 0 1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__225__B1
+timestamp 1665323087
transform 1 0 1380 0 -1 6528
box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_9_5
-timestamp 1636915332
-transform 1 0 1564 0 -1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 PHY_18
-timestamp 1636915332
-transform 1 0 1104 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__dfrtp_2 _378_
-timestamp 1636915332
-transform 1 0 1656 0 -1 6528
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_1 FILLER_9_27
-timestamp 1636915332
-transform 1 0 3588 0 -1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _164_
-timestamp 1636915332
-transform -1 0 3956 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__clkinv_8 ringosc.ibufp01 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 3956 0 -1 6528
-box -38 -48 1234 592
-use sky130_fd_sc_hd__fill_2 FILLER_9_47
-timestamp 1636915332
-transform 1 0 5428 0 -1 6528
+use sky130_fd_sc_hd__diode_2 ANTENNA__235__A
+timestamp 1665323087
+transform -1 0 1656 0 -1 2176
box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_9_52
-timestamp 1636915332
-transform 1 0 5888 0 -1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_66
-timestamp 1636915332
-transform 1 0 6256 0 -1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__buf_1 _312_
-timestamp 1636915332
-transform 1 0 5980 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _313_
-timestamp 1636915332
-transform 1 0 5612 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _318_
-timestamp 1636915332
-transform -1 0 5428 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__dfrtp_2 _369_
-timestamp 1636915332
-transform 1 0 6348 0 -1 6528
-box -38 -48 1970 592
-use sky130_fd_sc_hd__fill_1 FILLER_9_78
-timestamp 1636915332
-transform 1 0 8280 0 -1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 FILLER_9_87
-timestamp 1636915332
-transform 1 0 9108 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__inv_2 _248_
-timestamp 1636915332
-transform 1 0 8372 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__nand2_2 _256_
-timestamp 1636915332
-transform -1 0 9108 0 -1 6528
-box -38 -48 498 592
-use sky130_fd_sc_hd__or2_2 _258_
-timestamp 1636915332
-transform 1 0 10672 0 -1 6528
-box -38 -48 498 592
-use sky130_fd_sc_hd__nand2_2 _262_
-timestamp 1636915332
-transform -1 0 9844 0 -1 6528
-box -38 -48 498 592
-use sky130_fd_sc_hd__a32o_2 _263_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 9844 0 -1 6528
-box -38 -48 866 592
-use sky130_fd_sc_hd__buf_1 _315_
-timestamp 1636915332
-transform -1 0 11408 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_2 FILLER_9_113
-timestamp 1636915332
-transform 1 0 11500 0 -1 6528
+use sky130_fd_sc_hd__diode_2 ANTENNA__288__A
+timestamp 1665323087
+transform 1 0 7268 0 1 8704
box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_67
-timestamp 1636915332
-transform 1 0 11408 0 -1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__dfrtp_2 _367_
-timestamp 1636915332
-transform 1 0 11684 0 -1 6528
-box -38 -48 1970 592
-use sky130_fd_sc_hd__decap_3 PHY_19
-timestamp 1636915332
-transform -1 0 13892 0 -1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__218__B1
-timestamp 1636915332
-transform -1 0 2024 0 1 6528
+use sky130_fd_sc_hd__diode_2 ANTENNA__289__A1
+timestamp 1665323087
+transform -1 0 2300 0 1 8704
box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__352__A1
-timestamp 1636915332
-transform -1 0 1564 0 1 6528
+use sky130_fd_sc_hd__diode_2 ANTENNA__289__A2
+timestamp 1665323087
+transform 1 0 3404 0 -1 8704
box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__352__S
-timestamp 1636915332
-transform -1 0 1748 0 1 6528
+use sky130_fd_sc_hd__diode_2 ANTENNA__293__A_N
+timestamp 1665323087
+transform 1 0 6532 0 -1 11968
box -38 -48 222 592
-use sky130_fd_sc_hd__decap_6 FILLER_10_10
-timestamp 1636915332
-transform 1 0 2024 0 1 6528
-box -38 -48 590 592
-use sky130_fd_sc_hd__decap_3 FILLER_10_19
-timestamp 1636915332
-transform 1 0 2852 0 1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_10_7
-timestamp 1636915332
-transform 1 0 1748 0 1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_3 PHY_20
-timestamp 1636915332
-transform 1 0 1104 0 1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _327_
-timestamp 1636915332
-transform 1 0 2576 0 1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__181__A
-timestamp 1636915332
-transform -1 0 4416 0 1 6528
+use sky130_fd_sc_hd__diode_2 ANTENNA__295__A1
+timestamp 1665323087
+transform 1 0 2668 0 -1 10880
box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__181__B
-timestamp 1636915332
+use sky130_fd_sc_hd__diode_2 ANTENNA__295__A2
+timestamp 1665323087
+transform -1 0 2300 0 -1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__296__B
+timestamp 1665323087
+transform 1 0 10764 0 -1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__299__A1
+timestamp 1665323087
+transform 1 0 1380 0 1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__299__A2
+timestamp 1665323087
+transform -1 0 1564 0 1 8704
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__300__A1
+timestamp 1665323087
+transform 1 0 1380 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__300__A2
+timestamp 1665323087
+transform -1 0 1564 0 -1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__302__A1
+timestamp 1665323087
+transform 1 0 3956 0 1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__302__A2
+timestamp 1665323087
transform -1 0 3588 0 1 6528
box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__305__A1
+timestamp 1665323087
+transform 1 0 3128 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__305__A2
+timestamp 1665323087
+transform -1 0 1748 0 1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__306__A1
+timestamp 1665323087
+transform 1 0 1380 0 -1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__306__A2
+timestamp 1665323087
+transform 1 0 1380 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__309__A1
+timestamp 1665323087
+transform 1 0 4416 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__309__A2
+timestamp 1665323087
+transform 1 0 2208 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__310__A1
+timestamp 1665323087
+transform 1 0 5704 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__310__A2
+timestamp 1665323087
+transform 1 0 4600 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__312__A1
+timestamp 1665323087
+transform 1 0 6532 0 1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__312__A2
+timestamp 1665323087
+transform 1 0 6348 0 -1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__313__A_N
+timestamp 1665323087
+transform -1 0 3496 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__313__B
+timestamp 1665323087
+transform 1 0 3496 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__314__A1
+timestamp 1665323087
+transform 1 0 6348 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__316__B1
+timestamp 1665323087
+transform -1 0 3772 0 -1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__316__B2
+timestamp 1665323087
+transform 1 0 4048 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__317__A1
+timestamp 1665323087
+transform 1 0 4876 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__317__A2
+timestamp 1665323087
+transform -1 0 4876 0 1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__319__A1
+timestamp 1665323087
+transform 1 0 5704 0 1 8704
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__319__A2
+timestamp 1665323087
+transform -1 0 7268 0 -1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__326__A1
+timestamp 1665323087
+transform 1 0 7360 0 1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__326__A2
+timestamp 1665323087
+transform -1 0 9568 0 -1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__334__B1
+timestamp 1665323087
+transform 1 0 10120 0 1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__334__B2
+timestamp 1665323087
+transform 1 0 9568 0 -1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__337__A1
+timestamp 1665323087
+transform 1 0 13432 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__337__A2
+timestamp 1665323087
+transform -1 0 9016 0 -1 9792
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__341__B1
+timestamp 1665323087
+transform -1 0 9292 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__341__B2
+timestamp 1665323087
+transform 1 0 9292 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__342__A1
+timestamp 1665323087
+transform 1 0 11960 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__342__A2
+timestamp 1665323087
+transform -1 0 13616 0 -1 11968
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__343__A1
+timestamp 1665323087
+transform 1 0 10304 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__343__A2
+timestamp 1665323087
+transform 1 0 10120 0 1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__346__B1
+timestamp 1665323087
+transform 1 0 8832 0 -1 13056
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__346__B2
+timestamp 1665323087
+transform 1 0 7820 0 1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__348__A1
+timestamp 1665323087
+transform 1 0 11868 0 1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__348__A2
+timestamp 1665323087
+transform 1 0 13432 0 1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__350__B1
+timestamp 1665323087
+transform -1 0 9108 0 1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__350__B2
+timestamp 1665323087
+transform 1 0 9108 0 1 10880
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__351__A1
+timestamp 1665323087
+transform 1 0 11224 0 -1 8704
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__351__A2
+timestamp 1665323087
+transform 1 0 13432 0 1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__352__A_N
+timestamp 1665323087
+transform 1 0 11592 0 -1 5440
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__353__A1
+timestamp 1665323087
+transform 1 0 13064 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__353__A2
+timestamp 1665323087
+transform 1 0 13248 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__354__A1
+timestamp 1665323087
+transform 1 0 11040 0 1 8704
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__354__A2
+timestamp 1665323087
+transform -1 0 11224 0 -1 8704
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__355__A
+timestamp 1665323087
+transform -1 0 6532 0 1 1088
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__355__B
+timestamp 1665323087
+transform -1 0 6716 0 1 1088
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__356__A
+timestamp 1665323087
+transform 1 0 8648 0 1 1088
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__357__A
+timestamp 1665323087
+transform 1 0 8648 0 1 2176
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__358__A
+timestamp 1665323087
+transform 1 0 12880 0 1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__359__A
+timestamp 1665323087
+transform 1 0 6348 0 -1 2176
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__360__A
+timestamp 1665323087
+transform 1 0 8372 0 1 1088
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__361__A
+timestamp 1665323087
+transform 1 0 8464 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__362__A
+timestamp 1665323087
+transform 1 0 5612 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__363__A
+timestamp 1665323087
+transform 1 0 5980 0 -1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__364__A
+timestamp 1665323087
+transform 1 0 11040 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__365__A
+timestamp 1665323087
+transform 1 0 6256 0 1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__366__A
+timestamp 1665323087
+transform 1 0 12696 0 1 5440
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__367__A
+timestamp 1665323087
+transform 1 0 12420 0 -1 6528
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__368__A
+timestamp 1665323087
+transform 1 0 13340 0 -1 6528
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__369__A
+timestamp 1665323087
+transform 1 0 11224 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__370__A
+timestamp 1665323087
+transform 1 0 6900 0 -1 6528
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__371__A
+timestamp 1665323087
+transform 1 0 13432 0 -1 2176
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__372__A
+timestamp 1665323087
+transform 1 0 13064 0 1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__373__A
+timestamp 1665323087
+transform 1 0 11224 0 -1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__374__A
+timestamp 1665323087
+transform 1 0 8188 0 1 2176
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__375__A
+timestamp 1665323087
+transform 1 0 3588 0 -1 2176
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__376__A
+timestamp 1665323087
+transform 1 0 3680 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__377__A
+timestamp 1665323087
+transform 1 0 4232 0 1 6528
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__378__A
+timestamp 1665323087
+transform 1 0 3772 0 1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__diode_2 ANTENNA__394__D
+timestamp 1665323087
+transform -1 0 13616 0 1 1088
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1 FILLER_0_81 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 8556 0 1 1088
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_0_90
+timestamp 1665323087
+transform 1 0 9384 0 1 1088
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_1_3
+timestamp 1665323087
+transform 1 0 1380 0 -1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_1_50
+timestamp 1665323087
+transform 1 0 5704 0 -1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_1_59
+timestamp 1665323087
+transform 1 0 6532 0 -1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_2_3
+timestamp 1665323087
+transform 1 0 1380 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_2_35
+timestamp 1665323087
+transform 1 0 4324 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3 FILLER_2_79 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 8372 0 1 2176
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1 FILLER_2_99
+timestamp 1665323087
+transform 1 0 10212 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2 FILLER_2_134 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 13432 0 1 2176
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1 FILLER_3_3
+timestamp 1665323087
+transform 1 0 1380 0 -1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_3_49
+timestamp 1665323087
+transform 1 0 5612 0 -1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2 FILLER_3_76
+timestamp 1665323087
+transform 1 0 8096 0 -1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1 FILLER_3_99
+timestamp 1665323087
+transform 1 0 10212 0 -1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_3_109
+timestamp 1665323087
+transform 1 0 11132 0 -1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_3_118
+timestamp 1665323087
+transform 1 0 11960 0 -1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4 FILLER_3_132 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 13248 0 -1 3264
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1 FILLER_4_31
+timestamp 1665323087
+transform 1 0 3956 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_4_55
+timestamp 1665323087
+transform 1 0 6164 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_4_62
+timestamp 1665323087
+transform 1 0 6808 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_4_90
+timestamp 1665323087
+transform 1 0 9384 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4 FILLER_4_132
+timestamp 1665323087
+transform 1 0 13248 0 1 3264
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_2 FILLER_5_78
+timestamp 1665323087
+transform 1 0 8280 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1 FILLER_5_87
+timestamp 1665323087
+transform 1 0 9108 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2 FILLER_5_134
+timestamp 1665323087
+transform 1 0 13432 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_4 FILLER_6_95
+timestamp 1665323087
+transform 1 0 9844 0 1 4352
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_6 FILLER_6_120 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 12144 0 1 4352
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1 FILLER_7_41
+timestamp 1665323087
+transform 1 0 4876 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_7_47
+timestamp 1665323087
+transform 1 0 5428 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_7_55
+timestamp 1665323087
+transform 1 0 6164 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2 FILLER_7_89
+timestamp 1665323087
+transform 1 0 9292 0 -1 5440
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1 FILLER_7_113
+timestamp 1665323087
+transform 1 0 11500 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_7_124
+timestamp 1665323087
+transform 1 0 12512 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_8_24
+timestamp 1665323087
+transform 1 0 3312 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3 FILLER_8_42
+timestamp 1665323087
+transform 1 0 4968 0 1 5440
+box -38 -48 314 592
+use sky130_ef_sc_hd__decap_12 FILLER_8_58 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 6440 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4 FILLER_8_70
+timestamp 1665323087
+transform 1 0 7544 0 1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1 FILLER_8_90
+timestamp 1665323087
+transform 1 0 9384 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3 FILLER_8_128
+timestamp 1665323087
+transform 1 0 12880 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2 FILLER_8_134
+timestamp 1665323087
+transform 1 0 13432 0 1 5440
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1 FILLER_9_57
+timestamp 1665323087
+transform 1 0 6348 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3 FILLER_9_78
+timestamp 1665323087
+transform 1 0 8280 0 -1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1 FILLER_9_111
+timestamp 1665323087
+transform 1 0 11316 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_9_125
+timestamp 1665323087
+transform 1 0 12604 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_9_135
+timestamp 1665323087
+transform 1 0 13524 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_10_3
+timestamp 1665323087
+transform 1 0 1380 0 1 6528
+box -38 -48 130 592
use sky130_fd_sc_hd__fill_1 FILLER_10_27
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 3588 0 1 6528
box -38 -48 130 592
-use sky130_fd_sc_hd__decap_4 FILLER_10_36
-timestamp 1636915332
-transform 1 0 4416 0 1 6528
-box -38 -48 406 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_68
-timestamp 1636915332
-transform 1 0 3680 0 1 6528
+use sky130_fd_sc_hd__fill_1 FILLER_10_70
+timestamp 1665323087
+transform 1 0 7544 0 1 6528
box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _165_
-timestamp 1636915332
-transform -1 0 3404 0 1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__nand2_2 _181_
-timestamp 1636915332
-transform 1 0 3772 0 1 6528
-box -38 -48 498 592
-use sky130_fd_sc_hd__dfrtp_2 _368_
-timestamp 1636915332
-transform 1 0 4784 0 1 6528
-box -38 -48 1970 592
-use sky130_fd_sc_hd__inv_2 _244_
-timestamp 1636915332
-transform -1 0 7268 0 1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__inv_2 _253_
-timestamp 1636915332
-transform -1 0 6992 0 1 6528
-box -38 -48 314 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_69
-timestamp 1636915332
-transform 1 0 8832 0 1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__o22a_2 _243_
-timestamp 1636915332
-transform 1 0 8096 0 1 6528
-box -38 -48 774 592
-use sky130_fd_sc_hd__o32a_2 _245_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
+use sky130_fd_sc_hd__decap_3 FILLER_10_85
+timestamp 1665323087
transform 1 0 8924 0 1 6528
-box -38 -48 866 592
-use sky130_fd_sc_hd__a221o_2 _254_
-timestamp 1636915332
-transform -1 0 8096 0 1 6528
-box -38 -48 866 592
-use sky130_fd_sc_hd__fill_1 FILLER_10_104
-timestamp 1636915332
-transform 1 0 10672 0 1 6528
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_2 FILLER_10_94
-timestamp 1636915332
-transform 1 0 9752 0 1 6528
-box -38 -48 222 592
-use sky130_fd_sc_hd__a22o_2 _240_
-timestamp 1636915332
-transform -1 0 10672 0 1 6528
-box -38 -48 774 592
-use sky130_fd_sc_hd__o211a_2 _260_
-timestamp 1636915332
-transform 1 0 10764 0 1 6528
-box -38 -48 774 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__333__S
-timestamp 1636915332
-transform -1 0 11684 0 1 6528
-box -38 -48 222 592
-use sky130_fd_sc_hd__o2bb2a_2 _261_
-timestamp 1636915332
-transform -1 0 12512 0 1 6528
-box -38 -48 866 592
-use sky130_fd_sc_hd__buf_1 _314_
-timestamp 1636915332
-transform 1 0 12512 0 1 6528
box -38 -48 314 592
-use sky130_fd_sc_hd__mux2_1 _333_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 12788 0 1 6528
-box -38 -48 866 592
+use sky130_fd_sc_hd__fill_1 FILLER_11_55
+timestamp 1665323087
+transform 1 0 6164 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3 FILLER_11_85
+timestamp 1665323087
+transform 1 0 8924 0 -1 7616
+box -38 -48 314 592
+use sky130_ef_sc_hd__decap_12 FILLER_11_100
+timestamp 1665323087
+transform 1 0 10304 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3 FILLER_11_113
+timestamp 1665323087
+transform 1 0 11500 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_4 FILLER_11_131
+timestamp 1665323087
+transform 1 0 13156 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1 FILLER_11_135
+timestamp 1665323087
+transform 1 0 13524 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_12_16
+timestamp 1665323087
+transform 1 0 2576 0 1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_12_27
+timestamp 1665323087
+transform 1 0 3588 0 1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_12_75
+timestamp 1665323087
+transform 1 0 8004 0 1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_12_85
+timestamp 1665323087
+transform 1 0 8924 0 1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4 FILLER_12_107
+timestamp 1665323087
+transform 1 0 10948 0 1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1 FILLER_13_3
+timestamp 1665323087
+transform 1 0 1380 0 -1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_13_24
+timestamp 1665323087
+transform 1 0 3312 0 -1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3 FILLER_13_69
+timestamp 1665323087
+transform 1 0 7452 0 -1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1 FILLER_13_94
+timestamp 1665323087
+transform 1 0 9752 0 -1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_13_118
+timestamp 1665323087
+transform 1 0 11960 0 -1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_14_5
+timestamp 1665323087
+transform 1 0 1564 0 1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_14_10
+timestamp 1665323087
+transform 1 0 2024 0 1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_14_27
+timestamp 1665323087
+transform 1 0 3588 0 1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2 FILLER_14_69
+timestamp 1665323087
+transform 1 0 7452 0 1 8704
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_4 FILLER_14_79
+timestamp 1665323087
+transform 1 0 8372 0 1 8704
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1 FILLER_14_83
+timestamp 1665323087
+transform 1 0 8740 0 1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_14_135
+timestamp 1665323087
+transform 1 0 13524 0 1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_15_23
+timestamp 1665323087
+transform 1 0 3220 0 -1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_15_67
+timestamp 1665323087
+transform 1 0 7268 0 -1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_15_75
+timestamp 1665323087
+transform 1 0 8004 0 -1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_15_99
+timestamp 1665323087
+transform 1 0 10212 0 -1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_15_135
+timestamp 1665323087
+transform 1 0 13524 0 -1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_16_45
+timestamp 1665323087
+transform 1 0 5244 0 1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_16_67
+timestamp 1665323087
+transform 1 0 7268 0 1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_16_78
+timestamp 1665323087
+transform 1 0 8280 0 1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_16_116
+timestamp 1665323087
+transform 1 0 11776 0 1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_16_135
+timestamp 1665323087
+transform 1 0 13524 0 1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_17_26
+timestamp 1665323087
+transform 1 0 3496 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_17_49
+timestamp 1665323087
+transform 1 0 5612 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_17_69
+timestamp 1665323087
+transform 1 0 7452 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_17_84
+timestamp 1665323087
+transform 1 0 8832 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_17_108
+timestamp 1665323087
+transform 1 0 11040 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_17_135
+timestamp 1665323087
+transform 1 0 13524 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_18_72
+timestamp 1665323087
+transform 1 0 7728 0 1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_18_75
+timestamp 1665323087
+transform 1 0 8004 0 1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_18_97
+timestamp 1665323087
+transform 1 0 10028 0 1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_19_47
+timestamp 1665323087
+transform 1 0 5428 0 -1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_19_111
+timestamp 1665323087
+transform 1 0 11316 0 -1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_20_33
+timestamp 1665323087
+transform 1 0 4140 0 1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_20_97
+timestamp 1665323087
+transform 1 0 10028 0 1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_21_72
+timestamp 1665323087
+transform 1 0 7728 0 -1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_21_111
+timestamp 1665323087
+transform 1 0 11316 0 -1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_21_135
+timestamp 1665323087
+transform 1 0 13524 0 -1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_22_35
+timestamp 1665323087
+transform 1 0 4324 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_22_83
+timestamp 1665323087
+transform 1 0 8740 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_22_88
+timestamp 1665323087
+transform 1 0 9200 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1 FILLER_22_127
+timestamp 1665323087
+transform 1 0 12788 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3 PHY_0
+timestamp 1665323087
+transform 1 0 1104 0 1 1088
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_1
+timestamp 1665323087
+transform -1 0 13892 0 1 1088
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_2
+timestamp 1665323087
+transform 1 0 1104 0 -1 2176
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_3
+timestamp 1665323087
+transform -1 0 13892 0 -1 2176
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_4
+timestamp 1665323087
+transform 1 0 1104 0 1 2176
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_5
+timestamp 1665323087
+transform -1 0 13892 0 1 2176
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_6
+timestamp 1665323087
+transform 1 0 1104 0 -1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_7
+timestamp 1665323087
+transform -1 0 13892 0 -1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_8
+timestamp 1665323087
+transform 1 0 1104 0 1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_9
+timestamp 1665323087
+transform -1 0 13892 0 1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_10
+timestamp 1665323087
+transform 1 0 1104 0 -1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_11
+timestamp 1665323087
+transform -1 0 13892 0 -1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_12
+timestamp 1665323087
+transform 1 0 1104 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_13
+timestamp 1665323087
+transform -1 0 13892 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_14
+timestamp 1665323087
+transform 1 0 1104 0 -1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_15
+timestamp 1665323087
+transform -1 0 13892 0 -1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_16
+timestamp 1665323087
+transform 1 0 1104 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_17
+timestamp 1665323087
+transform -1 0 13892 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_18
+timestamp 1665323087
+transform 1 0 1104 0 -1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_19
+timestamp 1665323087
+transform -1 0 13892 0 -1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_20
+timestamp 1665323087
+transform 1 0 1104 0 1 6528
+box -38 -48 314 592
use sky130_fd_sc_hd__decap_3 PHY_21
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 1 6528
box -38 -48 314 592
use sky130_fd_sc_hd__decap_3 PHY_22
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 -1 7616
box -38 -48 314 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[0\].id.delayint0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 3220 0 -1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__clkinv_2 ringosc.ibufp10 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 2944 0 -1 7616
-box -38 -48 406 592
-use sky130_fd_sc_hd__clkinv_8 ringosc.ibufp11
-timestamp 1636915332
-transform -1 0 2576 0 -1 7616
-box -38 -48 1234 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__182__A
-timestamp 1636915332
-transform 1 0 3404 0 -1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_2 FILLER_11_23
-timestamp 1636915332
-transform 1 0 3220 0 -1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_2 FILLER_11_32
-timestamp 1636915332
-transform 1 0 4048 0 -1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_12 FILLER_11_38
-timestamp 1636915332
-transform 1 0 4600 0 -1 7616
-box -38 -48 1142 592
-use sky130_fd_sc_hd__nor2_2 _182_
-timestamp 1636915332
-transform 1 0 3588 0 -1 7616
-box -38 -48 498 592
-use sky130_fd_sc_hd__clkinv_2 ringosc.ibufp00
-timestamp 1636915332
-transform 1 0 4232 0 -1 7616
-box -38 -48 406 592
-use sky130_fd_sc_hd__decap_6 FILLER_11_50
-timestamp 1636915332
-transform 1 0 5704 0 -1 7616
-box -38 -48 590 592
-use sky130_fd_sc_hd__fill_1 FILLER_11_57
-timestamp 1636915332
-transform 1 0 6348 0 -1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_70
-timestamp 1636915332
-transform 1 0 6256 0 -1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__o21ai_2 _255_
-timestamp 1636915332
-transform 1 0 6716 0 -1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.iss.delaybuf0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 6716 0 -1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__fill_2 FILLER_11_77
-timestamp 1636915332
-transform 1 0 8188 0 -1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__a22o_2 _242_
-timestamp 1636915332
-transform 1 0 8372 0 -1 7616
-box -38 -48 774 592
-use sky130_fd_sc_hd__or2_2 _251_
-timestamp 1636915332
-transform 1 0 9108 0 -1 7616
-box -38 -48 498 592
-use sky130_fd_sc_hd__a32o_2 _257_
-timestamp 1636915332
-transform 1 0 7360 0 -1 7616
-box -38 -48 866 592
-use sky130_fd_sc_hd__fill_1 FILLER_11_101
-timestamp 1636915332
-transform 1 0 10396 0 -1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__o32a_2 _241_
-timestamp 1636915332
-transform 1 0 9568 0 -1 7616
-box -38 -48 866 592
-use sky130_fd_sc_hd__mux2_1 _259_
-timestamp 1636915332
-transform 1 0 10488 0 -1 7616
-box -38 -48 866 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__331__S
-timestamp 1636915332
-transform 1 0 11776 0 -1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_11_111
-timestamp 1636915332
-transform 1 0 11316 0 -1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_11_130
-timestamp 1636915332
-transform 1 0 13064 0 -1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_71
-timestamp 1636915332
-transform 1 0 11408 0 -1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _174_
-timestamp 1636915332
-transform 1 0 11500 0 -1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__buf_1 _326_
-timestamp 1636915332
-transform -1 0 13432 0 -1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__mux2_1 _331_
-timestamp 1636915332
-transform 1 0 12236 0 -1 7616
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[11\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 11960 0 -1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__331__A1
-timestamp 1636915332
-transform 1 0 13432 0 -1 7616
-box -38 -48 222 592
use sky130_fd_sc_hd__decap_3 PHY_23
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 -1 7616
box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_12_19
-timestamp 1636915332
-transform 1 0 2852 0 1 7616
-box -38 -48 130 592
use sky130_fd_sc_hd__decap_3 PHY_24
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 1 7616
box -38 -48 314 592
-use sky130_fd_sc_hd__mux2_1 _352_
-timestamp 1636915332
-transform -1 0 2208 0 1 7616
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[0\].id.delayen0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 2208 0 1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[0\].id.delayen1
-timestamp 1636915332
-transform -1 0 3588 0 1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__fill_1 FILLER_12_27
-timestamp 1636915332
-transform 1 0 3588 0 1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_2 FILLER_12_39
-timestamp 1636915332
-transform 1 0 4692 0 1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_72
-timestamp 1636915332
-transform 1 0 3680 0 1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__or2_2 ringosc.iss.ctrlen0
-timestamp 1636915332
-transform -1 0 4692 0 1 7616
-box -38 -48 498 592
-use sky130_fd_sc_hd__einvp_2 ringosc.iss.delayen0
-timestamp 1636915332
-transform 1 0 4876 0 1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvp_1 ringosc.iss.reseten0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 3772 0 1 7616
-box -38 -48 498 592
-use sky130_fd_sc_hd__fill_2 FILLER_12_48
-timestamp 1636915332
-transform 1 0 5520 0 1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__einvp_2 ringosc.iss.delayen1
-timestamp 1636915332
-transform -1 0 7360 0 1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvn_4 ringosc.iss.delayenb1 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 6716 0 1 7616
-box -38 -48 1050 592
-use sky130_fd_sc_hd__fill_2 FILLER_12_68
-timestamp 1636915332
-transform 1 0 7360 0 1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_12_80
-timestamp 1636915332
-transform 1 0 8464 0 1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73
-timestamp 1636915332
-transform 1 0 8832 0 1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _171_
-timestamp 1636915332
-transform 1 0 8188 0 1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__inv_2 _230_
-timestamp 1636915332
-transform -1 0 8832 0 1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__o21ai_2 _252_
-timestamp 1636915332
-transform 1 0 7544 0 1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__mux2_1 _355_
-timestamp 1636915332
-transform 1 0 8924 0 1 7616
-box -38 -48 866 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__355__A1
-timestamp 1636915332
-transform -1 0 10396 0 1 7616
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_12_101
-timestamp 1636915332
-transform 1 0 10396 0 1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_12_105
-timestamp 1636915332
-transform 1 0 10764 0 1 7616
-box -38 -48 130 592
-use sky130_fd_sc_hd__inv_2 _170_
-timestamp 1636915332
-transform 1 0 10488 0 1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__nor2_2 _239_
-timestamp 1636915332
-transform -1 0 10212 0 1 7616
-box -38 -48 498 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[11\].id.delayen1
-timestamp 1636915332
-transform -1 0 11776 0 1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[11\].id.delayint0
-timestamp 1636915332
-transform -1 0 11132 0 1 7616
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[10\].id.delayen1
-timestamp 1636915332
-transform 1 0 12788 0 1 7616
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[11\].id.delayenb1
-timestamp 1636915332
-transform -1 0 12788 0 1 7616
-box -38 -48 1050 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__333__A1
-timestamp 1636915332
-transform -1 0 13616 0 1 7616
-box -38 -48 222 592
use sky130_fd_sc_hd__decap_3 PHY_25
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 1 7616
box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__350__A1
-timestamp 1636915332
-transform -1 0 1564 0 -1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_14_13
-timestamp 1636915332
-transform 1 0 2300 0 1 8704
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_14_3
-timestamp 1636915332
-transform 1 0 1380 0 1 8704
-box -38 -48 130 592
use sky130_fd_sc_hd__decap_3 PHY_26
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 -1 8704
box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 PHY_28
-timestamp 1636915332
-transform 1 0 1104 0 1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__mux2_1 _350_
-timestamp 1636915332
-transform -1 0 2300 0 1 8704
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[0\].id.delayenb0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 1564 0 -1 8704
-box -38 -48 1694 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[1\].id.delaybuf0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 3036 0 1 8704
-box -38 -48 406 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[1\].id.delayen0
-timestamp 1636915332
-transform 1 0 2392 0 1 8704
-box -38 -48 682 592
-use sky130_fd_sc_hd__fill_2 FILLER_13_34
-timestamp 1636915332
-transform 1 0 4232 0 -1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_12 FILLER_14_43
-timestamp 1636915332
-transform 1 0 5060 0 1 8704
-box -38 -48 1142 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_76
-timestamp 1636915332
-transform 1 0 3680 0 1 8704
-box -38 -48 130 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[0\].id.delaybuf0
-timestamp 1636915332
-transform -1 0 4784 0 1 8704
-box -38 -48 406 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[0\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 3404 0 1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[0\].id.delayenb1
-timestamp 1636915332
-transform -1 0 4232 0 -1 8704
-box -38 -48 1050 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[1\].id.delayen1
-timestamp 1636915332
-transform -1 0 4416 0 1 8704
-box -38 -48 682 592
-use sky130_fd_sc_hd__conb_1 ringosc.iss.const1 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 4784 0 1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_8 ringosc.iss.delayenb0
-timestamp 1636915332
-transform 1 0 4416 0 -1 8704
-box -38 -48 1694 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__353__S
-timestamp 1636915332
-transform 1 0 6624 0 -1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_2 FILLER_13_54
-timestamp 1636915332
-transform 1 0 6072 0 -1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_4 FILLER_14_63
-timestamp 1636915332
-transform 1 0 6900 0 1 8704
-box -38 -48 406 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_74
-timestamp 1636915332
-transform 1 0 6256 0 -1 8704
-box -38 -48 130 592
-use sky130_fd_sc_hd__o31a_2 _289_
-timestamp 1636915332
-transform 1 0 6164 0 1 8704
-box -38 -48 774 592
-use sky130_fd_sc_hd__mux2_1 _353_
-timestamp 1636915332
-transform 1 0 6808 0 -1 8704
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.iss.delayint0
-timestamp 1636915332
-transform -1 0 6624 0 -1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__a31o_2 _291_
-timestamp 1636915332
-transform 1 0 7636 0 -1 8704
-box -38 -48 682 592
-use sky130_fd_sc_hd__a31o_2 _290_
-timestamp 1636915332
-transform 1 0 7268 0 1 8704
-box -38 -48 682 592
-use sky130_fd_sc_hd__or2_2 _280_
-timestamp 1636915332
-transform -1 0 8372 0 1 8704
-box -38 -48 498 592
-use sky130_fd_sc_hd__or2_2 _229_
-timestamp 1636915332
-transform 1 0 8280 0 -1 8704
-box -38 -48 498 592
-use sky130_fd_sc_hd__decap_3 FILLER_14_81
-timestamp 1636915332
-transform 1 0 8556 0 1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__353__A1
-timestamp 1636915332
-transform -1 0 8556 0 1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__or3_2 _223_
-timestamp 1636915332
-transform 1 0 9108 0 1 8704
-box -38 -48 590 592
-use sky130_fd_sc_hd__inv_2 _172_
-timestamp 1636915332
-transform 1 0 8740 0 -1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_77
-timestamp 1636915332
-transform 1 0 8832 0 1 8704
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_2 FILLER_14_85
-timestamp 1636915332
-transform 1 0 8924 0 1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__355__S
-timestamp 1636915332
-transform 1 0 9016 0 -1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__or2_2 _221_
-timestamp 1636915332
-transform -1 0 10120 0 1 8704
-box -38 -48 498 592
-use sky130_fd_sc_hd__inv_2 _222_
-timestamp 1636915332
-transform 1 0 10120 0 1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__or2_2 _227_
-timestamp 1636915332
-transform 1 0 10948 0 1 8704
-box -38 -48 498 592
-use sky130_fd_sc_hd__inv_2 _228_
-timestamp 1636915332
-transform -1 0 10764 0 -1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__or2_2 _232_
-timestamp 1636915332
-transform -1 0 10488 0 -1 8704
-box -38 -48 498 592
-use sky130_fd_sc_hd__and3_2 _302_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 10948 0 1 8704
-box -38 -48 590 592
-use sky130_fd_sc_hd__o311a_2 _304_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 9200 0 -1 8704
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[11\].id.delayen0
-timestamp 1636915332
-transform -1 0 11408 0 -1 8704
-box -38 -48 682 592
-use sky130_fd_sc_hd__fill_2 FILLER_14_112
-timestamp 1636915332
-transform 1 0 11408 0 1 8704
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_75
-timestamp 1636915332
-transform 1 0 11408 0 -1 8704
-box -38 -48 130 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[10\].id.delaybuf1
-timestamp 1636915332
-transform -1 0 13432 0 -1 8704
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[10\].id.delayen0
-timestamp 1636915332
-transform 1 0 11960 0 1 8704
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[10\].id.delayenb1
-timestamp 1636915332
-transform 1 0 12604 0 1 8704
-box -38 -48 1050 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[11\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 11592 0 1 8704
-box -38 -48 406 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[11\].id.delayenb0
-timestamp 1636915332
-transform 1 0 11500 0 -1 8704
-box -38 -48 1694 592
-use sky130_fd_sc_hd__fill_2 FILLER_13_134
-timestamp 1636915332
-transform 1 0 13432 0 -1 8704
-box -38 -48 222 592
use sky130_fd_sc_hd__decap_3 PHY_27
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 -1 8704
box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_28
+timestamp 1665323087
+transform 1 0 1104 0 1 8704
+box -38 -48 314 592
use sky130_fd_sc_hd__decap_3 PHY_29
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 1 8704
box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__350__S
-timestamp 1636915332
-transform 1 0 1380 0 -1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_15_5
-timestamp 1636915332
-transform 1 0 1564 0 -1 9792
-box -38 -48 130 592
use sky130_fd_sc_hd__decap_3 PHY_30
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 -1 9792
box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[1\].id.delayenb0
-timestamp 1636915332
-transform 1 0 1656 0 -1 9792
-box -38 -48 1694 592
-use sky130_fd_sc_hd__fill_1 FILLER_15_24
-timestamp 1636915332
-transform 1 0 3312 0 -1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_15_39
-timestamp 1636915332
-transform 1 0 4692 0 -1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _330_
-timestamp 1636915332
-transform -1 0 5612 0 -1 9792
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[1\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 4416 0 -1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[1\].id.delayenb1
-timestamp 1636915332
-transform -1 0 4416 0 -1 9792
-box -38 -48 1050 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__330__A1
-timestamp 1636915332
-transform -1 0 5796 0 -1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__354__A1
-timestamp 1636915332
-transform -1 0 5980 0 -1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_3 FILLER_15_53
-timestamp 1636915332
-transform 1 0 5980 0 -1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_78
-timestamp 1636915332
-transform 1 0 6256 0 -1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__o41a_2 _287_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 6348 0 -1 9792
-box -38 -48 958 592
-use sky130_fd_sc_hd__decap_4 FILLER_15_67
-timestamp 1636915332
-transform 1 0 7268 0 -1 9792
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_2 FILLER_15_76
-timestamp 1636915332
-transform 1 0 8096 0 -1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__or2_2 _278_
-timestamp 1636915332
-transform -1 0 8096 0 -1 9792
-box -38 -48 498 592
-use sky130_fd_sc_hd__or4_2 _297_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 8280 0 -1 9792
-box -38 -48 682 592
-use sky130_fd_sc_hd__o31a_2 _303_
-timestamp 1636915332
-transform 1 0 8924 0 -1 9792
-box -38 -48 774 592
-use sky130_fd_sc_hd__decap_3 FILLER_15_109
-timestamp 1636915332
-transform 1 0 11132 0 -1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_8 FILLER_15_93
-timestamp 1636915332
-transform 1 0 9660 0 -1 9792
-box -38 -48 774 592
-use sky130_fd_sc_hd__inv_2 _173_
-timestamp 1636915332
-transform 1 0 10856 0 -1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__or2_2 _231_
-timestamp 1636915332
-transform 1 0 10396 0 -1 9792
-box -38 -48 498 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_79
-timestamp 1636915332
-transform 1 0 11408 0 -1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[10\].id.delayenb0
-timestamp 1636915332
-transform 1 0 11500 0 -1 9792
-box -38 -48 1694 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[10\].id.delayint0
-timestamp 1636915332
-transform -1 0 13432 0 -1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__335__A1
-timestamp 1636915332
-transform 1 0 13432 0 -1 9792
-box -38 -48 222 592
use sky130_fd_sc_hd__decap_3 PHY_31
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 -1 9792
box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__348__A1
-timestamp 1636915332
-transform -1 0 1564 0 1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__348__S
-timestamp 1636915332
-transform 1 0 1564 0 1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_12 FILLER_16_7
-timestamp 1636915332
-transform 1 0 1748 0 1 9792
-box -38 -48 1142 592
use sky130_fd_sc_hd__decap_3 PHY_32
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 1 9792
box -38 -48 314 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[2\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 2852 0 1 9792
-box -38 -48 406 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__330__S
-timestamp 1636915332
-transform 1 0 4600 0 1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__354__S
-timestamp 1636915332
-transform 1 0 4416 0 1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_4 FILLER_16_23
-timestamp 1636915332
-transform 1 0 3220 0 1 9792
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_1 FILLER_16_27
-timestamp 1636915332
-transform 1 0 3588 0 1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__decap_4 FILLER_16_32
-timestamp 1636915332
-transform 1 0 4048 0 1 9792
-box -38 -48 406 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_80
-timestamp 1636915332
-transform 1 0 3680 0 1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _354_
-timestamp 1636915332
-transform 1 0 4784 0 1 9792
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[1\].id.delayint0
-timestamp 1636915332
-transform 1 0 3772 0 1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 FILLER_16_59
-timestamp 1636915332
-transform 1 0 6532 0 1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__o41a_2 _285_
-timestamp 1636915332
-transform 1 0 6808 0 1 9792
-box -38 -48 958 592
-use sky130_fd_sc_hd__o41a_2 _286_
-timestamp 1636915332
-transform 1 0 5612 0 1 9792
-box -38 -48 958 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__351__S
-timestamp 1636915332
-transform 1 0 7820 0 1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_16_72
-timestamp 1636915332
-transform 1 0 7728 0 1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_81
-timestamp 1636915332
-transform 1 0 8832 0 1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__o311a_2 _298_
-timestamp 1636915332
-transform 1 0 8924 0 1 9792
-box -38 -48 866 592
-use sky130_fd_sc_hd__mux2_1 _351_
-timestamp 1636915332
-transform 1 0 8004 0 1 9792
-box -38 -48 866 592
-use sky130_fd_sc_hd__decap_4 FILLER_16_94
-timestamp 1636915332
-transform 1 0 9752 0 1 9792
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_1 FILLER_16_98
-timestamp 1636915332
-transform 1 0 10120 0 1 9792
-box -38 -48 130 592
-use sky130_fd_sc_hd__or3_2 _294_
-timestamp 1636915332
-transform 1 0 11132 0 1 9792
-box -38 -48 590 592
-use sky130_fd_sc_hd__o41a_2 _300_
-timestamp 1636915332
-transform 1 0 10212 0 1 9792
-box -38 -48 958 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__335__S
-timestamp 1636915332
-transform 1 0 12144 0 1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__337__A1
-timestamp 1636915332
-transform -1 0 12144 0 1 9792
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_3 FILLER_16_115
-timestamp 1636915332
-transform 1 0 11684 0 1 9792
-box -38 -48 314 592
-use sky130_fd_sc_hd__mux2_1 _335_
-timestamp 1636915332
-transform 1 0 12696 0 1 9792
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[10\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 12328 0 1 9792
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_1 FILLER_16_135
-timestamp 1636915332
-transform 1 0 13524 0 1 9792
-box -38 -48 130 592
use sky130_fd_sc_hd__decap_3 PHY_33
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 1 9792
box -38 -48 314 592
-use sky130_fd_sc_hd__decap_4 FILLER_17_12
-timestamp 1636915332
-transform 1 0 2208 0 -1 10880
-box -38 -48 406 592
use sky130_fd_sc_hd__decap_3 PHY_34
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 -1 10880
box -38 -48 314 592
-use sky130_fd_sc_hd__mux2_1 _348_
-timestamp 1636915332
-transform -1 0 2208 0 -1 10880
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[2\].id.delayenb1
-timestamp 1636915332
-transform 1 0 2576 0 -1 10880
-box -38 -48 1050 592
-use sky130_fd_sc_hd__decap_4 FILLER_17_30
-timestamp 1636915332
-transform 1 0 3864 0 -1 10880
-box -38 -48 406 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[2\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 3588 0 -1 10880
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[5\].id.delayenb1
-timestamp 1636915332
-transform -1 0 5520 0 -1 10880
-box -38 -48 1050 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[5\].id.delayint0
-timestamp 1636915332
-transform -1 0 4508 0 -1 10880
-box -38 -48 314 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_82
-timestamp 1636915332
-transform 1 0 6256 0 -1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__or2_2 _279_
-timestamp 1636915332
-transform -1 0 6808 0 -1 10880
-box -38 -48 498 592
-use sky130_fd_sc_hd__o31a_2 _281_
-timestamp 1636915332
-transform 1 0 6808 0 -1 10880
-box -38 -48 774 592
-use sky130_fd_sc_hd__o31a_2 _282_
-timestamp 1636915332
-transform 1 0 5520 0 -1 10880
-box -38 -48 774 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__343__S
-timestamp 1636915332
-transform 1 0 8832 0 -1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__349__S
-timestamp 1636915332
-transform 1 0 9016 0 -1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__or2_2 _288_
-timestamp 1636915332
-transform 1 0 8372 0 -1 10880
-box -38 -48 498 592
-use sky130_fd_sc_hd__mux2_1 _332_
-timestamp 1636915332
-transform -1 0 8372 0 -1 10880
-box -38 -48 866 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__351__A1
-timestamp 1636915332
-transform -1 0 9384 0 -1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_17_100
-timestamp 1636915332
-transform 1 0 10304 0 -1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__o311a_2 _293_
-timestamp 1636915332
-transform -1 0 11224 0 -1 10880
-box -38 -48 866 592
-use sky130_fd_sc_hd__o41a_2 _296_
-timestamp 1636915332
-transform 1 0 9384 0 -1 10880
-box -38 -48 958 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__337__S
-timestamp 1636915332
-transform 1 0 11224 0 -1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_83
-timestamp 1636915332
-transform 1 0 11408 0 -1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _337_
-timestamp 1636915332
-transform 1 0 11500 0 -1 10880
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[9\].id.delayen0
-timestamp 1636915332
-transform 1 0 12328 0 -1 10880
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[9\].id.delayen1
-timestamp 1636915332
-transform 1 0 12972 0 -1 10880
-box -38 -48 682 592
use sky130_fd_sc_hd__decap_3 PHY_35
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 -1 10880
box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__346__A1
-timestamp 1636915332
-transform -1 0 1564 0 1 10880
-box -38 -48 222 592
use sky130_fd_sc_hd__decap_3 PHY_36
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 1 10880
box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[2\].id.delayenb0
-timestamp 1636915332
-transform 1 0 1564 0 1 10880
-box -38 -48 1694 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__342__S
-timestamp 1636915332
-transform 1 0 3772 0 1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_2 FILLER_18_26
-timestamp 1636915332
-transform 1 0 3496 0 1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_84
-timestamp 1636915332
-transform 1 0 3680 0 1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[2\].id.delayint0
-timestamp 1636915332
-transform 1 0 3220 0 1 10880
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[5\].id.delayenb0
-timestamp 1636915332
-transform 1 0 3956 0 1 10880
-box -38 -48 1694 592
-use sky130_fd_sc_hd__fill_1 FILLER_18_49
-timestamp 1636915332
-transform 1 0 5612 0 1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__o31a_2 _283_
-timestamp 1636915332
-transform 1 0 5704 0 1 10880
-box -38 -48 774 592
-use sky130_fd_sc_hd__mux2_1 _334_
-timestamp 1636915332
-transform -1 0 7268 0 1 10880
-box -38 -48 866 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__332__A1
-timestamp 1636915332
-transform 1 0 7268 0 1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__332__S
-timestamp 1636915332
-transform 1 0 7452 0 1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__334__A1
-timestamp 1636915332
-transform -1 0 7820 0 1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__334__S
-timestamp 1636915332
-transform 1 0 7820 0 1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_18_75
-timestamp 1636915332
-transform 1 0 8004 0 1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_85
-timestamp 1636915332
-transform 1 0 8832 0 1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__nor2_2 _301_
-timestamp 1636915332
-transform 1 0 8372 0 1 10880
-box -38 -48 498 592
-use sky130_fd_sc_hd__mux2_1 _343_
-timestamp 1636915332
-transform 1 0 8924 0 1 10880
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[6\].id.delaybuf1
-timestamp 1636915332
-transform -1 0 8372 0 1 10880
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__343__A1
-timestamp 1636915332
-transform 1 0 9752 0 1 10880
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_18_96
-timestamp 1636915332
-transform 1 0 9936 0 1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__o311a_2 _295_
-timestamp 1636915332
-transform 1 0 10028 0 1 10880
-box -38 -48 866 592
-use sky130_fd_sc_hd__and2_2 _299_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform 1 0 10856 0 1 10880
-box -38 -48 590 592
-use sky130_fd_sc_hd__fill_1 FILLER_18_112
-timestamp 1636915332
-transform 1 0 11408 0 1 10880
-box -38 -48 130 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[9\].id.delaybuf1
-timestamp 1636915332
-transform -1 0 13432 0 1 10880
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[9\].id.delayenb0
-timestamp 1636915332
-transform 1 0 11500 0 1 10880
-box -38 -48 1694 592
-use sky130_fd_sc_hd__fill_2 FILLER_18_134
-timestamp 1636915332
-transform 1 0 13432 0 1 10880
-box -38 -48 222 592
use sky130_fd_sc_hd__decap_3 PHY_37
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 1 10880
box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__346__S
-timestamp 1636915332
-transform 1 0 1380 0 1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_6 FILLER_20_5
-timestamp 1636915332
-transform 1 0 1564 0 1 11968
-box -38 -48 590 592
use sky130_fd_sc_hd__decap_3 PHY_38
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 -1 11968
box -38 -48 314 592
-use sky130_fd_sc_hd__decap_3 PHY_40
-timestamp 1636915332
-transform 1 0 1104 0 1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__mux2_1 _346_
-timestamp 1636915332
-transform 1 0 1380 0 -1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[2\].id.delayen0
-timestamp 1636915332
-transform 1 0 2208 0 -1 11968
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[2\].id.delayen1
-timestamp 1636915332
-transform 1 0 2852 0 -1 11968
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[3\].id.delayenb1
-timestamp 1636915332
-transform 1 0 2116 0 1 11968
-box -38 -48 1050 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[3\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 3128 0 1 11968
-box -38 -48 406 592
-use sky130_fd_sc_hd__mux2_1 _344_
-timestamp 1636915332
-transform 1 0 3772 0 1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__mux2_1 _342_
-timestamp 1636915332
-transform -1 0 4600 0 -1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_88
-timestamp 1636915332
-transform 1 0 3680 0 1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_19_28
-timestamp 1636915332
-transform 1 0 3680 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__344__A1
-timestamp 1636915332
-transform 1 0 3496 0 1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__342__A1
-timestamp 1636915332
-transform -1 0 3680 0 -1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[5\].id.delayen0
-timestamp 1636915332
-transform 1 0 4600 0 -1 11968
-box -38 -48 682 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[5\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 4876 0 1 11968
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_1 FILLER_20_38
-timestamp 1636915332
-transform 1 0 4600 0 1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__340__S
-timestamp 1636915332
-transform 1 0 4692 0 1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__340__A1
-timestamp 1636915332
-transform 1 0 5244 0 1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_19_55
-timestamp 1636915332
-transform 1 0 6164 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_86
-timestamp 1636915332
-transform 1 0 6256 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__o21a_2 _284_ $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
-timestamp 1636915332
-transform -1 0 6992 0 -1 11968
-box -38 -48 682 592
-use sky130_fd_sc_hd__mux2_1 _340_
-timestamp 1636915332
-transform -1 0 6256 0 1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[5\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 5888 0 -1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[5\].id.delayen1
-timestamp 1636915332
-transform -1 0 5888 0 -1 11968
-box -38 -48 682 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[6\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 6992 0 -1 11968
-box -38 -48 406 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[6\].id.delayenb0
-timestamp 1636915332
-transform 1 0 6256 0 1 11968
-box -38 -48 1694 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__339__S
-timestamp 1636915332
-transform 1 0 8924 0 1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_19_68
-timestamp 1636915332
-transform 1 0 7360 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_19_87
-timestamp 1636915332
-transform 1 0 9108 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_89
-timestamp 1636915332
-transform 1 0 8832 0 1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _339_
-timestamp 1636915332
-transform -1 0 9936 0 1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[6\].id.delayen0
-timestamp 1636915332
-transform 1 0 7912 0 1 11968
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[6\].id.delayen1
-timestamp 1636915332
-transform -1 0 9108 0 -1 11968
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[6\].id.delayenb1
-timestamp 1636915332
-transform -1 0 8464 0 -1 11968
-box -38 -48 1050 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[6\].id.delayint0
-timestamp 1636915332
-transform 1 0 8556 0 1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__339__A1
-timestamp 1636915332
-transform 1 0 10212 0 1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__fill_1 FILLER_19_100
-timestamp 1636915332
-transform 1 0 10304 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _329_
-timestamp 1636915332
-transform -1 0 11224 0 -1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__mux2_1 _341_
-timestamp 1636915332
-transform 1 0 10396 0 1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__mux2_1 _349_
-timestamp 1636915332
-transform 1 0 9200 0 -1 11968
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[7\].id.delaybuf1
-timestamp 1636915332
-transform -1 0 10212 0 1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[7\].id.delayint0
-timestamp 1636915332
-transform -1 0 10304 0 -1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__nand2_2 _292_
-timestamp 1636915332
-transform 1 0 11500 0 -1 11968
-box -38 -48 498 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_87
-timestamp 1636915332
-transform 1 0 11408 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_20_112
-timestamp 1636915332
-transform 1 0 11408 0 1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_1 FILLER_19_120
-timestamp 1636915332
-transform 1 0 12144 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__349__A1
-timestamp 1636915332
-transform -1 0 12144 0 -1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__341__S
-timestamp 1636915332
-transform 1 0 11224 0 -1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__341__A1
-timestamp 1636915332
-transform 1 0 11224 0 1 11968
-box -38 -48 222 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[9\].id.delayint0
-timestamp 1636915332
-transform -1 0 12512 0 -1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[9\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 13064 0 1 11968
-box -38 -48 406 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[8\].id.delayint0
-timestamp 1636915332
-transform 1 0 12788 0 1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[8\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 12512 0 1 11968
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[9\].id.delayenb1
-timestamp 1636915332
-transform 1 0 12512 0 -1 11968
-box -38 -48 1050 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[8\].id.delayenb1
-timestamp 1636915332
-transform 1 0 11500 0 1 11968
-box -38 -48 1050 592
-use sky130_fd_sc_hd__fill_1 FILLER_19_135
-timestamp 1636915332
-transform 1 0 13524 0 -1 11968
-box -38 -48 130 592
-use sky130_fd_sc_hd__fill_2 FILLER_20_134
-timestamp 1636915332
-transform 1 0 13432 0 1 11968
-box -38 -48 222 592
use sky130_fd_sc_hd__decap_3 PHY_39
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 -1 11968
box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3 PHY_40
+timestamp 1665323087
+transform 1 0 1104 0 1 11968
+box -38 -48 314 592
use sky130_fd_sc_hd__decap_3 PHY_41
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 1 11968
box -38 -48 314 592
-use sky130_fd_sc_hd__fill_1 FILLER_21_21
-timestamp 1636915332
-transform 1 0 3036 0 -1 13056
-box -38 -48 130 592
use sky130_fd_sc_hd__decap_3 PHY_42
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 -1 13056
box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[3\].id.delayenb0
-timestamp 1636915332
-transform 1 0 1380 0 -1 13056
-box -38 -48 1694 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[4\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 3128 0 -1 13056
-box -38 -48 406 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[4\].id.delayenb0
-timestamp 1636915332
-transform 1 0 3496 0 -1 13056
-box -38 -48 1694 592
-use sky130_fd_sc_hd__fill_1 FILLER_21_55
-timestamp 1636915332
-transform 1 0 6164 0 -1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_90
-timestamp 1636915332
-transform 1 0 6256 0 -1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _336_
-timestamp 1636915332
-transform -1 0 7452 0 -1 13056
-box -38 -48 866 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[4\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 6348 0 -1 13056
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[4\].id.delayenb1
-timestamp 1636915332
-transform 1 0 5152 0 -1 13056
-box -38 -48 1050 592
-use sky130_fd_sc_hd__fill_2 FILLER_21_69
-timestamp 1636915332
-transform 1 0 7452 0 -1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[7\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 7636 0 -1 13056
-box -38 -48 406 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[7\].id.delayenb0
-timestamp 1636915332
-transform 1 0 8004 0 -1 13056
-box -38 -48 1694 592
-use sky130_fd_sc_hd__fill_1 FILLER_21_104
-timestamp 1636915332
-transform 1 0 10672 0 -1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[7\].id.delayenb1
-timestamp 1636915332
-transform 1 0 9660 0 -1 13056
-box -38 -48 1050 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[8\].id.delayen1
-timestamp 1636915332
-transform 1 0 10764 0 -1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_91
-timestamp 1636915332
-transform 1 0 11408 0 -1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[8\].id.delaybuf0
-timestamp 1636915332
-transform 1 0 11500 0 -1 13056
-box -38 -48 406 592
-use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[8\].id.delayenb0
-timestamp 1636915332
-transform 1 0 11868 0 -1 13056
-box -38 -48 1694 592
-use sky130_fd_sc_hd__fill_1 FILLER_21_135
-timestamp 1636915332
-transform 1 0 13524 0 -1 13056
-box -38 -48 130 592
use sky130_fd_sc_hd__decap_3 PHY_43
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 -1 13056
box -38 -48 314 592
-use sky130_fd_sc_hd__decap_4 FILLER_22_3
-timestamp 1636915332
-transform 1 0 1380 0 1 13056
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_1 FILLER_22_7
-timestamp 1636915332
-transform 1 0 1748 0 1 13056
-box -38 -48 130 592
use sky130_fd_sc_hd__decap_3 PHY_44
-timestamp 1636915332
+timestamp 1665323087
transform 1 0 1104 0 1 13056
box -38 -48 314 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[3\].id.delayen0
-timestamp 1636915332
-transform 1 0 1840 0 1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[3\].id.delayen1
-timestamp 1636915332
-transform 1 0 2484 0 1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__344__S
-timestamp 1636915332
-transform 1 0 3772 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__decap_4 FILLER_22_31
-timestamp 1636915332
-transform 1 0 3956 0 1 13056
-box -38 -48 406 592
-use sky130_fd_sc_hd__fill_2 FILLER_22_42
-timestamp 1636915332
-transform 1 0 4968 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_92
-timestamp 1636915332
-transform 1 0 3680 0 1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[3\].id.delaybuf1
-timestamp 1636915332
-transform 1 0 3128 0 1 13056
-box -38 -48 314 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[3\].id.delayint0
-timestamp 1636915332
-transform 1 0 3404 0 1 13056
-box -38 -48 314 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[4\].id.delayen0
-timestamp 1636915332
-transform 1 0 4324 0 1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__336__A1
-timestamp 1636915332
-transform 1 0 6348 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__336__S
-timestamp 1636915332
-transform 1 0 6532 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__338__A1
-timestamp 1636915332
-transform 1 0 6716 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__338__S
-timestamp 1636915332
-transform 1 0 6072 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_93
-timestamp 1636915332
-transform 1 0 6256 0 1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _338_
-timestamp 1636915332
-transform -1 0 7728 0 1 13056
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[4\].id.delayen1
-timestamp 1636915332
-transform 1 0 5152 0 1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[4\].id.delayint0
-timestamp 1636915332
-transform 1 0 5796 0 1 13056
-box -38 -48 314 592
-use sky130_fd_sc_hd__decap_12 FILLER_22_72
-timestamp 1636915332
-transform 1 0 7728 0 1 13056
-box -38 -48 1142 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_94
-timestamp 1636915332
-transform 1 0 8832 0 1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[7\].id.delayen0
-timestamp 1636915332
-transform 1 0 8924 0 1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__345__A1
-timestamp 1636915332
-transform 1 0 11040 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__mux2_1 _345_
-timestamp 1636915332
-transform 1 0 10212 0 1 13056
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[7\].id.delayen1
-timestamp 1636915332
-transform 1 0 9568 0 1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__345__S
-timestamp 1636915332
-transform 1 0 11224 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__347__A1
-timestamp 1636915332
-transform -1 0 13156 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__diode_2 ANTENNA__347__S
-timestamp 1636915332
-transform -1 0 13340 0 1 13056
-box -38 -48 222 592
-use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95
-timestamp 1636915332
-transform 1 0 11408 0 1 13056
-box -38 -48 130 592
-use sky130_fd_sc_hd__mux2_1 _347_
-timestamp 1636915332
-transform 1 0 11500 0 1 13056
-box -38 -48 866 592
-use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[8\].id.delayen0
-timestamp 1636915332
-transform 1 0 12328 0 1 13056
-box -38 -48 682 592
-use sky130_fd_sc_hd__decap_3 FILLER_22_133
-timestamp 1636915332
-transform 1 0 13340 0 1 13056
-box -38 -48 314 592
use sky130_fd_sc_hd__decap_3 PHY_45
-timestamp 1636915332
+timestamp 1665323087
transform -1 0 13892 0 1 13056
box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 3680 0 1 1088
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47
+timestamp 1665323087
+transform 1 0 6256 0 1 1088
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_48
+timestamp 1665323087
+transform 1 0 8832 0 1 1088
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_49
+timestamp 1665323087
+transform 1 0 11408 0 1 1088
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_50
+timestamp 1665323087
+transform 1 0 6256 0 -1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_51
+timestamp 1665323087
+transform 1 0 11408 0 -1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_52
+timestamp 1665323087
+transform 1 0 3680 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_53
+timestamp 1665323087
+transform 1 0 8832 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_54
+timestamp 1665323087
+transform 1 0 6256 0 -1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_55
+timestamp 1665323087
+transform 1 0 11408 0 -1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56
+timestamp 1665323087
+transform 1 0 3680 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57
+timestamp 1665323087
+transform 1 0 8832 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58
+timestamp 1665323087
+transform 1 0 6256 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59
+timestamp 1665323087
+transform 1 0 11408 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60
+timestamp 1665323087
+transform 1 0 3680 0 1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61
+timestamp 1665323087
+transform 1 0 8832 0 1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62
+timestamp 1665323087
+transform 1 0 6256 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63
+timestamp 1665323087
+transform 1 0 11408 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64
+timestamp 1665323087
+transform 1 0 3680 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65
+timestamp 1665323087
+transform 1 0 8832 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_66
+timestamp 1665323087
+transform 1 0 6256 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_67
+timestamp 1665323087
+transform 1 0 11408 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_68
+timestamp 1665323087
+transform 1 0 3680 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_69
+timestamp 1665323087
+transform 1 0 8832 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_70
+timestamp 1665323087
+transform 1 0 6256 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_71
+timestamp 1665323087
+transform 1 0 11408 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_72
+timestamp 1665323087
+transform 1 0 3680 0 1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73
+timestamp 1665323087
+transform 1 0 8832 0 1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_74
+timestamp 1665323087
+transform 1 0 6256 0 -1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_75
+timestamp 1665323087
+transform 1 0 11408 0 -1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_76
+timestamp 1665323087
+transform 1 0 3680 0 1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_77
+timestamp 1665323087
+transform 1 0 8832 0 1 8704
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_78
+timestamp 1665323087
+transform 1 0 6256 0 -1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_79
+timestamp 1665323087
+transform 1 0 11408 0 -1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_80
+timestamp 1665323087
+transform 1 0 3680 0 1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_81
+timestamp 1665323087
+transform 1 0 8832 0 1 9792
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_82
+timestamp 1665323087
+transform 1 0 6256 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_83
+timestamp 1665323087
+transform 1 0 11408 0 -1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_84
+timestamp 1665323087
+transform 1 0 3680 0 1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_85
+timestamp 1665323087
+transform 1 0 8832 0 1 10880
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_86
+timestamp 1665323087
+transform 1 0 6256 0 -1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_87
+timestamp 1665323087
+transform 1 0 11408 0 -1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_88
+timestamp 1665323087
+transform 1 0 3680 0 1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_89
+timestamp 1665323087
+transform 1 0 8832 0 1 11968
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_90
+timestamp 1665323087
+transform 1 0 6256 0 -1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_91
+timestamp 1665323087
+transform 1 0 11408 0 -1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_92
+timestamp 1665323087
+transform 1 0 3680 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_93
+timestamp 1665323087
+transform 1 0 6256 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_94
+timestamp 1665323087
+transform 1 0 8832 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95
+timestamp 1665323087
+transform 1 0 11408 0 1 13056
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_2 _176_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 11776 0 1 9792
+box -38 -48 314 592
+use sky130_fd_sc_hd__inv_2 _177_
+timestamp 1665323087
+transform 1 0 9476 0 1 9792
+box -38 -48 314 592
+use sky130_fd_sc_hd__inv_2 _178_
+timestamp 1665323087
+transform -1 0 9844 0 1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__inv_2 _179_
+timestamp 1665323087
+transform 1 0 8096 0 1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__inv_2 _180_
+timestamp 1665323087
+transform -1 0 11040 0 1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__xnor2_2 _181_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 13248 0 -1 3264
+box -38 -48 1234 592
+use sky130_fd_sc_hd__xor2_2 _182_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 13432 0 1 2176
+box -38 -48 1234 592
+use sky130_fd_sc_hd__mux2_1 _183_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 1932 0 -1 7616
+box -38 -48 866 592
+use sky130_fd_sc_hd__mux2_1 _184_
+timestamp 1665323087
+transform 1 0 3496 0 -1 6528
+box -38 -48 866 592
+use sky130_fd_sc_hd__mux2_1 _185_
+timestamp 1665323087
+transform -1 0 5612 0 -1 4352
+box -38 -48 866 592
+use sky130_fd_sc_hd__mux2_1 _186_
+timestamp 1665323087
+transform 1 0 4692 0 1 1088
+box -38 -48 866 592
+use sky130_fd_sc_hd__mux2_1 _187_
+timestamp 1665323087
+transform 1 0 2852 0 1 2176
+box -38 -48 866 592
+use sky130_fd_sc_hd__nand2_2 _188_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 4692 0 -1 7616
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _189_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 3588 0 1 7616
+box -38 -48 498 592
+use sky130_fd_sc_hd__and2_2 _190_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 1932 0 -1 7616
+box -38 -48 590 592
+use sky130_fd_sc_hd__nor2_2 _191_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 3496 0 -1 6528
+box -38 -48 498 592
+use sky130_fd_sc_hd__inv_2 _192_
+timestamp 1665323087
+transform 1 0 3404 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__and2_2 _193_
+timestamp 1665323087
+transform -1 0 4968 0 1 5440
+box -38 -48 590 592
+use sky130_fd_sc_hd__nor2_2 _194_
+timestamp 1665323087
+transform -1 0 4784 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _195_
+timestamp 1665323087
+transform -1 0 5428 0 -1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__and2_2 _196_
+timestamp 1665323087
+transform 1 0 5152 0 1 3264
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2 _197_
+timestamp 1665323087
+transform -1 0 5612 0 -1 3264
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2 _198_
+timestamp 1665323087
+transform 1 0 3772 0 1 2176
+box -38 -48 590 592
+use sky130_fd_sc_hd__nand2_2 _199_
+timestamp 1665323087
+transform -1 0 2852 0 1 2176
+box -38 -48 498 592
+use sky130_fd_sc_hd__xor2_2 _200_
+timestamp 1665323087
+transform -1 0 5612 0 1 2176
+box -38 -48 1234 592
+use sky130_fd_sc_hd__a21o_2 _201_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 3220 0 -1 3264
+box -38 -48 682 592
+use sky130_fd_sc_hd__a211o_2 _202_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 4048 0 1 3264
+box -38 -48 774 592
+use sky130_fd_sc_hd__a31o_2 _203_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 3772 0 1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__nor2_2 _204_
+timestamp 1665323087
+transform 1 0 1380 0 1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__and2_2 _205_
+timestamp 1665323087
+transform 1 0 2484 0 -1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__nand2_2 _206_
+timestamp 1665323087
+transform -1 0 1840 0 -1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__o211a_2 _207_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 1748 0 -1 6528
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2 _208_
+timestamp 1665323087
+transform 1 0 5704 0 1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__xnor2_2 _209_
+timestamp 1665323087
+transform -1 0 3680 0 1 3264
+box -38 -48 1234 592
+use sky130_fd_sc_hd__or2_2 _210_
+timestamp 1665323087
+transform 1 0 4416 0 -1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand3_2 _211_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 3680 0 -1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__a21o_2 _212_
+timestamp 1665323087
+transform 1 0 3036 0 -1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__a32o_2 _213_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 2668 0 1 4352
+box -38 -48 866 592
+use sky130_fd_sc_hd__a21oi_2 _214_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 3036 0 -1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__a21o_2 _215_
+timestamp 1665323087
+transform 1 0 2668 0 1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__nor2_2 _216_
+timestamp 1665323087
+transform -1 0 3680 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__or3_2 _217_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 2392 0 -1 5440
+box -38 -48 590 592
+use sky130_fd_sc_hd__xnor2_2 _218_
+timestamp 1665323087
+transform -1 0 5060 0 -1 3264
+box -38 -48 1234 592
+use sky130_fd_sc_hd__nand2_2 _219_
+timestamp 1665323087
+transform -1 0 2392 0 1 2176
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _220_
+timestamp 1665323087
+transform -1 0 3680 0 1 1088
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2 _221_
+timestamp 1665323087
+transform -1 0 3220 0 -1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__o22a_2 _222_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 1472 0 -1 3264
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2 _223_
+timestamp 1665323087
+transform 1 0 1380 0 1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__a2111oi_2 _224_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 2668 0 1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__o21a_2 _225_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 1840 0 1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__and2_2 _226_
+timestamp 1665323087
+transform 1 0 2668 0 -1 4352
+box -38 -48 590 592
+use sky130_fd_sc_hd__o31a_2 _227_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 2668 0 -1 4352
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2 _228_
+timestamp 1665323087
+transform -1 0 10764 0 1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _229_
+timestamp 1665323087
+transform 1 0 11500 0 -1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _230_
+timestamp 1665323087
+transform 1 0 7636 0 1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _231_
+timestamp 1665323087
+transform -1 0 6348 0 1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _232_
+timestamp 1665323087
+transform -1 0 8372 0 1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__or4bb_2 _233_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 8924 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__and3_2 _234_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 10028 0 1 3264
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2 _235_
+timestamp 1665323087
+transform -1 0 2760 0 -1 3264
+box -38 -48 590 592
+use sky130_fd_sc_hd__or3b_2 _236_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 1840 0 1 3264
+box -38 -48 682 592
+use sky130_fd_sc_hd__or3_2 _237_
+timestamp 1665323087
+transform -1 0 1932 0 -1 4352
+box -38 -48 590 592
+use sky130_fd_sc_hd__and4_2 _238_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 9936 0 -1 4352
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2 _239_
+timestamp 1665323087
+transform -1 0 9108 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _240_
+timestamp 1665323087
+transform 1 0 9752 0 -1 9792
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2 _241_
+timestamp 1665323087
+transform -1 0 10304 0 1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__or4_2 _242_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 8924 0 1 8704
+box -38 -48 682 592
+use sky130_fd_sc_hd__o31a_2 _243_
+timestamp 1665323087
+transform -1 0 11316 0 -1 6528
+box -38 -48 774 592
+use sky130_fd_sc_hd__xnor2_2 _244_
+timestamp 1665323087
+transform -1 0 8280 0 -1 6528
+box -38 -48 1234 592
+use sky130_fd_sc_hd__nor2_2 _245_
+timestamp 1665323087
+transform -1 0 9384 0 1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__and2_2 _246_
+timestamp 1665323087
+transform -1 0 8188 0 1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__nor2_2 _247_
+timestamp 1665323087
+transform -1 0 8832 0 1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2 _248_
+timestamp 1665323087
+transform -1 0 6808 0 1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _249_
+timestamp 1665323087
+transform -1 0 10304 0 -1 7616
+box -38 -48 498 592
+use sky130_fd_sc_hd__xnor2_2 _250_
+timestamp 1665323087
+transform 1 0 7728 0 -1 8704
+box -38 -48 1234 592
+use sky130_fd_sc_hd__a21bo_2 _251_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 8832 0 1 7616
+box -38 -48 774 592
+use sky130_fd_sc_hd__a32o_2 _252_
+timestamp 1665323087
+transform 1 0 8924 0 -1 8704
+box -38 -48 866 592
+use sky130_fd_sc_hd__a32o_2 _253_
+timestamp 1665323087
+transform 1 0 6900 0 -1 7616
+box -38 -48 866 592
+use sky130_fd_sc_hd__xnor2_2 _254_
+timestamp 1665323087
+transform -1 0 7268 0 1 7616
+box -38 -48 1234 592
+use sky130_fd_sc_hd__and2_2 _255_
+timestamp 1665323087
+transform -1 0 6900 0 -1 7616
+box -38 -48 590 592
+use sky130_fd_sc_hd__o21ai_2 _256_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 6808 0 -1 8704
+box -38 -48 682 592
+use sky130_fd_sc_hd__o22a_2 _257_
+timestamp 1665323087
+transform 1 0 7268 0 1 7616
+box -38 -48 774 592
+use sky130_fd_sc_hd__a21oi_2 _258_
+timestamp 1665323087
+transform 1 0 8188 0 1 6528
+box -38 -48 682 592
+use sky130_fd_sc_hd__xnor2_2 _259_
+timestamp 1665323087
+transform 1 0 8556 0 -1 6528
+box -38 -48 1234 592
+use sky130_fd_sc_hd__mux2_1 _260_
+timestamp 1665323087
+transform 1 0 9752 0 -1 6528
+box -38 -48 866 592
+use sky130_fd_sc_hd__xor2_2 _261_
+timestamp 1665323087
+transform 1 0 7728 0 -1 7616
+box -38 -48 1234 592
+use sky130_fd_sc_hd__mux2_1 _262_
+timestamp 1665323087
+transform -1 0 10028 0 1 6528
+box -38 -48 866 592
+use sky130_fd_sc_hd__a21oi_2 _263_
+timestamp 1665323087
+transform 1 0 9200 0 -1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__o211a_2 _264_
+timestamp 1665323087
+transform -1 0 10948 0 1 7616
+box -38 -48 774 592
+use sky130_fd_sc_hd__xnor2_2 _265_
+timestamp 1665323087
+transform 1 0 9844 0 -1 8704
+box -38 -48 1234 592
+use sky130_fd_sc_hd__xnor2_2 _266_
+timestamp 1665323087
+transform 1 0 9016 0 1 7616
+box -38 -48 1234 592
+use sky130_fd_sc_hd__o2bb2a_2 _267_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 9476 0 1 5440
+box -38 -48 866 592
+use sky130_fd_sc_hd__nand2_2 _268_
+timestamp 1665323087
+transform -1 0 9292 0 -1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__xnor2_2 _269_
+timestamp 1665323087
+transform 1 0 6808 0 -1 5440
+box -38 -48 1234 592
+use sky130_fd_sc_hd__mux2_1 _270_
+timestamp 1665323087
+transform 1 0 8004 0 -1 5440
+box -38 -48 866 592
+use sky130_fd_sc_hd__nand2_2 _271_
+timestamp 1665323087
+transform -1 0 11040 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__o21a_2 _272_
+timestamp 1665323087
+transform -1 0 10580 0 -1 4352
+box -38 -48 682 592
+use sky130_fd_sc_hd__and3_2 _273_
+timestamp 1665323087
+transform 1 0 5704 0 -1 3264
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2 _274_
+timestamp 1665323087
+transform -1 0 6440 0 1 5440
+box -38 -48 590 592
+use sky130_fd_sc_hd__o21a_2 _275_
+timestamp 1665323087
+transform 1 0 5244 0 1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__nand2b_2 _276_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 5520 0 -1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__o211a_2 _277_
+timestamp 1665323087
+transform 1 0 5704 0 1 4352
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2 _278_
+timestamp 1665323087
+transform 1 0 6348 0 -1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__a21oi_2 _279_
+timestamp 1665323087
+transform 1 0 6348 0 -1 3264
+box -38 -48 682 592
+use sky130_fd_sc_hd__or2_2 _280_
+timestamp 1665323087
+transform 1 0 7636 0 -1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__a21oi_2 _281_
+timestamp 1665323087
+transform 1 0 6992 0 -1 3264
+box -38 -48 682 592
+use sky130_fd_sc_hd__xnor2_2 _282_
+timestamp 1665323087
+transform 1 0 6716 0 1 1088
+box -38 -48 1234 592
+use sky130_fd_sc_hd__a21oi_2 _283_
+timestamp 1665323087
+transform 1 0 7544 0 1 2176
+box -38 -48 682 592
+use sky130_fd_sc_hd__nand3_2 _284_
+timestamp 1665323087
+transform 1 0 5520 0 1 1088
+box -38 -48 774 592
+use sky130_fd_sc_hd__mux2_1 _285_
+timestamp 1665323087
+transform 1 0 10304 0 -1 3264
+box -38 -48 866 592
+use sky130_fd_sc_hd__mux2_1 _286_
+timestamp 1665323087
+transform 1 0 8924 0 1 2176
+box -38 -48 866 592
+use sky130_fd_sc_hd__or2_2 _287_
+timestamp 1665323087
+transform -1 0 9384 0 1 1088
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _288_
+timestamp 1665323087
+transform -1 0 7268 0 1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__a21o_2 _289_
+timestamp 1665323087
+transform -1 0 4416 0 1 8704
+box -38 -48 682 592
+use sky130_fd_sc_hd__or3_2 _290_
+timestamp 1665323087
+transform -1 0 9476 0 1 9792
+box -38 -48 590 592
+use sky130_fd_sc_hd__nor2_2 _291_
+timestamp 1665323087
+transform -1 0 9384 0 -1 10880
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2 _292_
+timestamp 1665323087
+transform -1 0 8832 0 1 9792
+box -38 -48 498 592
+use sky130_fd_sc_hd__and2b_2 _293_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 6992 0 -1 10880
+box -38 -48 682 592
+use sky130_fd_sc_hd__and3_2 _294_
+timestamp 1665323087
+transform -1 0 6256 0 -1 10880
+box -38 -48 590 592
+use sky130_fd_sc_hd__a21o_2 _295_
+timestamp 1665323087
+transform -1 0 3680 0 1 9792
+box -38 -48 682 592
+use sky130_fd_sc_hd__nor2_2 _296_
+timestamp 1665323087
+transform 1 0 10580 0 1 9792
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _297_
+timestamp 1665323087
+transform 1 0 5336 0 1 9792
+box -38 -48 498 592
+use sky130_fd_sc_hd__or3_2 _298_
+timestamp 1665323087
+transform 1 0 8280 0 -1 10880
+box -38 -48 590 592
+use sky130_fd_sc_hd__a22o_2 _299_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 2116 0 -1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__a21o_2 _300_
+timestamp 1665323087
+transform -1 0 3128 0 -1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__o211a_2 _301_
+timestamp 1665323087
+transform -1 0 6256 0 -1 11968
+box -38 -48 774 592
+use sky130_fd_sc_hd__a21o_2 _302_
+timestamp 1665323087
+transform -1 0 2208 0 -1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__or2_2 _303_
+timestamp 1665323087
+transform -1 0 7912 0 -1 11968
+box -38 -48 498 592
+use sky130_fd_sc_hd__o211a_2 _304_
+timestamp 1665323087
+transform -1 0 6992 0 1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__a21o_2 _305_
+timestamp 1665323087
+transform -1 0 3496 0 -1 10880
+box -38 -48 682 592
+use sky130_fd_sc_hd__a22o_2 _306_
+timestamp 1665323087
+transform -1 0 4600 0 -1 13056
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_2 _307_
+timestamp 1665323087
+transform -1 0 9568 0 -1 9792
+box -38 -48 590 592
+use sky130_fd_sc_hd__or2_2 _308_
+timestamp 1665323087
+transform -1 0 7728 0 -1 13056
+box -38 -48 498 592
+use sky130_fd_sc_hd__a22o_2 _309_
+timestamp 1665323087
+transform -1 0 7360 0 1 13056
+box -38 -48 774 592
+use sky130_fd_sc_hd__a22o_2 _310_
+timestamp 1665323087
+transform 1 0 6532 0 -1 13056
+box -38 -48 774 592
+use sky130_fd_sc_hd__o22a_2 _311_
+timestamp 1665323087
+transform 1 0 6716 0 -1 11968
+box -38 -48 774 592
+use sky130_fd_sc_hd__a22o_2 _312_
+timestamp 1665323087
+transform 1 0 6716 0 1 11968
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2b_2 _313_
+timestamp 1665323087
+transform 1 0 4232 0 1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__o31a_2 _314_
+timestamp 1665323087
+transform -1 0 7268 0 1 9792
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2 _315_
+timestamp 1665323087
+transform -1 0 7452 0 -1 10880
+box -38 -48 498 592
+use sky130_fd_sc_hd__a32o_2 _316_
+timestamp 1665323087
+transform 1 0 5428 0 1 10880
+box -38 -48 866 592
+use sky130_fd_sc_hd__a22o_2 _317_
+timestamp 1665323087
+transform 1 0 5796 0 1 9792
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2 _318_
+timestamp 1665323087
+transform -1 0 6808 0 -1 8704
+box -38 -48 498 592
+use sky130_fd_sc_hd__a22o_2 _319_
+timestamp 1665323087
+transform -1 0 7084 0 -1 9792
+box -38 -48 774 592
+use sky130_fd_sc_hd__a211o_2 _320_
+timestamp 1665323087
+transform 1 0 8096 0 -1 9792
+box -38 -48 774 592
+use sky130_fd_sc_hd__o21ai_2 _321_
+timestamp 1665323087
+transform 1 0 7360 0 -1 9792
+box -38 -48 682 592
+use sky130_fd_sc_hd__o211a_2 _322_
+timestamp 1665323087
+transform 1 0 7544 0 1 9792
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2 _323_
+timestamp 1665323087
+transform 1 0 11040 0 1 9792
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _324_
+timestamp 1665323087
+transform 1 0 9568 0 -1 10880
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _325_
+timestamp 1665323087
+transform -1 0 11316 0 -1 11968
+box -38 -48 498 592
+use sky130_fd_sc_hd__a22o_2 _326_
+timestamp 1665323087
+transform -1 0 8280 0 -1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2 _327_
+timestamp 1665323087
+transform 1 0 10304 0 -1 9792
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2 _328_
+timestamp 1665323087
+transform -1 0 9384 0 1 11968
+box -38 -48 498 592
+use sky130_fd_sc_hd__a21o_2 _329_
+timestamp 1665323087
+transform 1 0 7912 0 -1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__o211a_2 _330_
+timestamp 1665323087
+transform 1 0 6992 0 1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__o22a_2 _331_
+timestamp 1665323087
+transform -1 0 8832 0 1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_2 _332_
+timestamp 1665323087
+transform 1 0 7452 0 1 11968
+box -38 -48 590 592
+use sky130_fd_sc_hd__and3_2 _333_
+timestamp 1665323087
+transform 1 0 8556 0 -1 11968
+box -38 -48 590 592
+use sky130_fd_sc_hd__a32o_2 _334_
+timestamp 1665323087
+transform 1 0 9752 0 1 9792
+box -38 -48 866 592
+use sky130_fd_sc_hd__o22a_2 _335_
+timestamp 1665323087
+transform -1 0 10028 0 1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_2 _336_
+timestamp 1665323087
+transform 1 0 9292 0 1 13056
+box -38 -48 590 592
+use sky130_fd_sc_hd__a21o_2 _337_
+timestamp 1665323087
+transform -1 0 11316 0 -1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__o221a_2 _338_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 9476 0 -1 11968
+box -38 -48 866 592
+use sky130_fd_sc_hd__or2_2 _339_
+timestamp 1665323087
+transform 1 0 10580 0 -1 10880
+box -38 -48 498 592
+use sky130_fd_sc_hd__and3_2 _340_
+timestamp 1665323087
+transform 1 0 10304 0 -1 11968
+box -38 -48 590 592
+use sky130_fd_sc_hd__a32o_2 _341_
+timestamp 1665323087
+transform 1 0 10120 0 1 11968
+box -38 -48 866 592
+use sky130_fd_sc_hd__a22o_2 _342_
+timestamp 1665323087
+transform -1 0 12236 0 -1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__a22o_2 _343_
+timestamp 1665323087
+transform -1 0 8740 0 1 13056
+box -38 -48 774 592
+use sky130_fd_sc_hd__o21ai_2 _344_
+timestamp 1665323087
+transform -1 0 12144 0 -1 9792
+box -38 -48 682 592
+use sky130_fd_sc_hd__nand2_2 _345_
+timestamp 1665323087
+transform -1 0 11408 0 -1 9792
+box -38 -48 498 592
+use sky130_fd_sc_hd__a32o_2 _346_
+timestamp 1665323087
+transform 1 0 8004 0 1 11968
+box -38 -48 866 592
+use sky130_fd_sc_hd__or3_2 _347_
+timestamp 1665323087
+transform 1 0 10028 0 -1 10880
+box -38 -48 590 592
+use sky130_fd_sc_hd__a22o_2 _348_
+timestamp 1665323087
+transform 1 0 10304 0 1 10880
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2 _349_
+timestamp 1665323087
+transform 1 0 11500 0 -1 11968
+box -38 -48 498 592
+use sky130_fd_sc_hd__a32o_2 _350_
+timestamp 1665323087
+transform -1 0 11868 0 1 10880
+box -38 -48 866 592
+use sky130_fd_sc_hd__a21o_2 _351_
+timestamp 1665323087
+transform 1 0 11960 0 1 8704
+box -38 -48 682 592
+use sky130_fd_sc_hd__and3b_2 _352_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 11776 0 -1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__a21o_2 _353_
+timestamp 1665323087
+transform 1 0 12420 0 -1 4352
+box -38 -48 682 592
+use sky130_fd_sc_hd__a22o_2 _354_
+timestamp 1665323087
+transform 1 0 11224 0 1 8704
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2 _355_
+timestamp 1665323087
+transform -1 0 4232 0 1 1088
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _356_
+timestamp 1665323087
+transform -1 0 10948 0 -1 2176
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _357_
+timestamp 1665323087
+transform -1 0 10212 0 1 2176
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _358_
+timestamp 1665323087
+transform -1 0 12420 0 1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _359_
+timestamp 1665323087
+transform 1 0 5796 0 -1 2176
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _360_
+timestamp 1665323087
+transform -1 0 8372 0 1 1088
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _361_
+timestamp 1665323087
+transform -1 0 9384 0 1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _362_
+timestamp 1665323087
+transform 1 0 5796 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _363_
+timestamp 1665323087
+transform -1 0 5980 0 -1 7616
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _364_
+timestamp 1665323087
+transform -1 0 12420 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _365_
+timestamp 1665323087
+transform 1 0 6440 0 1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _366_
+timestamp 1665323087
+transform -1 0 12696 0 1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _367_
+timestamp 1665323087
+transform -1 0 11960 0 -1 6528
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _368_
+timestamp 1665323087
+transform -1 0 12420 0 -1 6528
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _369_
+timestamp 1665323087
+transform -1 0 11960 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _370_
+timestamp 1665323087
+transform 1 0 6440 0 -1 6528
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _371_
+timestamp 1665323087
+transform -1 0 11408 0 -1 2176
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _372_
+timestamp 1665323087
+transform 1 0 12420 0 1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _373_
+timestamp 1665323087
+transform 1 0 11500 0 -1 3264
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _374_
+timestamp 1665323087
+transform 1 0 1472 0 1 2176
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _375_
+timestamp 1665323087
+transform 1 0 4232 0 1 1088
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _376_
+timestamp 1665323087
+transform 1 0 3864 0 -1 4352
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _377_
+timestamp 1665323087
+transform -1 0 4232 0 1 6528
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2 _378_
+timestamp 1665323087
+transform -1 0 3128 0 1 7616
+box -38 -48 498 592
+use sky130_fd_sc_hd__dfrtp_2 _379_ $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 8556 0 -1 2176
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _380_
+timestamp 1665323087
+transform 1 0 8280 0 -1 3264
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _381_
+timestamp 1665323087
+transform 1 0 10304 0 1 2176
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _382_
+timestamp 1665323087
+transform 1 0 5612 0 1 2176
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _383_
+timestamp 1665323087
+transform -1 0 8556 0 -1 2176
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _384_
+timestamp 1665323087
+transform -1 0 8832 0 1 3264
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _385_
+timestamp 1665323087
+transform -1 0 8280 0 -1 4352
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _386_
+timestamp 1665323087
+transform 1 0 4324 0 -1 6528
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _387_
+timestamp 1665323087
+transform 1 0 10028 0 1 3264
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _388_
+timestamp 1665323087
+transform 1 0 6900 0 1 4352
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _389_
+timestamp 1665323087
+transform 1 0 10212 0 1 4352
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _390_
+timestamp 1665323087
+transform 1 0 10304 0 1 5440
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _391_
+timestamp 1665323087
+transform 1 0 10028 0 1 6528
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _392_
+timestamp 1665323087
+transform 1 0 9476 0 -1 5440
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _393_
+timestamp 1665323087
+transform 1 0 5612 0 1 6528
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _394_
+timestamp 1665323087
+transform 1 0 9476 0 1 1088
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _395_
+timestamp 1665323087
+transform 1 0 11500 0 1 1088
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _396_
+timestamp 1665323087
+transform 1 0 11500 0 -1 2176
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _397_
+timestamp 1665323087
+transform -1 0 3588 0 -1 2176
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _398_
+timestamp 1665323087
+transform 1 0 3772 0 -1 2176
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _399_
+timestamp 1665323087
+transform -1 0 5704 0 1 4352
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _400_
+timestamp 1665323087
+transform 1 0 2760 0 -1 7616
+box -38 -48 1970 592
+use sky130_fd_sc_hd__dfrtp_2 _401_
+timestamp 1665323087
+transform 1 0 1472 0 1 6528
+box -38 -48 1970 592
+use sky130_fd_sc_hd__clkbuf_16 clockp_buffer_0 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 3220 0 1 1088
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_16 clockp_buffer_1
+timestamp 1665323087
+transform 1 0 1472 0 -1 8704
+box -38 -48 1878 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[0\].id.delaybuf0 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 5704 0 1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[0\].id.delaybuf1 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 5060 0 1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[0\].id.delayen0 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 4140 0 1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[0\].id.delayen1
+timestamp 1665323087
+transform -1 0 5704 0 1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[0\].id.delayenb0 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 3588 0 -1 8704
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[0\].id.delayenb1 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 6256 0 -1 8704
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[0\].id.delayint0 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 4692 0 1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[1\].id.delaybuf0
+timestamp 1665323087
+transform 1 0 4876 0 1 9792
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[1\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 5980 0 -1 9792
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[1\].id.delayen0
+timestamp 1665323087
+transform 1 0 4048 0 1 9792
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[1\].id.delayen1
+timestamp 1665323087
+transform -1 0 5980 0 -1 9792
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[1\].id.delayenb0
+timestamp 1665323087
+transform 1 0 3680 0 -1 9792
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[1\].id.delayenb1
+timestamp 1665323087
+transform -1 0 5704 0 1 8704
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[1\].id.delayint0
+timestamp 1665323087
+transform -1 0 5336 0 -1 10880
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[2\].id.delaybuf0
+timestamp 1665323087
+transform -1 0 3680 0 -1 9792
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[2\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 3312 0 1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[2\].id.delayen0
+timestamp 1665323087
+transform 1 0 1748 0 1 9792
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[2\].id.delayen1
+timestamp 1665323087
+transform 1 0 2392 0 1 9792
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[2\].id.delayenb0
+timestamp 1665323087
+transform 1 0 1564 0 -1 9792
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[2\].id.delayenb1
+timestamp 1665323087
+transform 1 0 2300 0 1 8704
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[2\].id.delayint0
+timestamp 1665323087
+transform 1 0 3772 0 1 9792
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[3\].id.delaybuf0
+timestamp 1665323087
+transform -1 0 2668 0 -1 10880
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[3\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 2392 0 1 11968
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[3\].id.delayen0
+timestamp 1665323087
+transform -1 0 2484 0 -1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[3\].id.delayen1
+timestamp 1665323087
+transform 1 0 1564 0 1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[3\].id.delayenb0
+timestamp 1665323087
+transform 1 0 1380 0 1 10880
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[3\].id.delayenb1
+timestamp 1665323087
+transform 1 0 1380 0 1 11968
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[3\].id.delayint0
+timestamp 1665323087
+transform -1 0 1840 0 -1 11968
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[4\].id.delaybuf0
+timestamp 1665323087
+transform 1 0 3772 0 1 11968
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[4\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 3772 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[4\].id.delayen0
+timestamp 1665323087
+transform 1 0 2392 0 1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[4\].id.delayen1
+timestamp 1665323087
+transform 1 0 3036 0 1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[4\].id.delayenb0
+timestamp 1665323087
+transform 1 0 2208 0 -1 13056
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[4\].id.delayenb1
+timestamp 1665323087
+transform 1 0 2668 0 1 11968
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[4\].id.delayint0
+timestamp 1665323087
+transform 1 0 4048 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[5\].id.delaybuf0
+timestamp 1665323087
+transform 1 0 3680 0 -1 11968
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[5\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 5336 0 -1 10880
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[5\].id.delayen0
+timestamp 1665323087
+transform 1 0 3036 0 1 10880
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[5\].id.delayen1
+timestamp 1665323087
+transform -1 0 4876 0 -1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[5\].id.delayenb0
+timestamp 1665323087
+transform 1 0 3772 0 1 10880
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[5\].id.delayenb1
+timestamp 1665323087
+transform -1 0 5060 0 -1 10880
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[5\].id.delayint0
+timestamp 1665323087
+transform -1 0 4048 0 -1 10880
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[6\].id.delaybuf0
+timestamp 1665323087
+transform 1 0 5060 0 -1 11968
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[6\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 6348 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[6\].id.delayen0
+timestamp 1665323087
+transform -1 0 6532 0 1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[6\].id.delayen1
+timestamp 1665323087
+transform 1 0 5060 0 1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[6\].id.delayenb0
+timestamp 1665323087
+transform 1 0 4600 0 -1 13056
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[6\].id.delayenb1
+timestamp 1665323087
+transform 1 0 4876 0 1 11968
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[6\].id.delayint0
+timestamp 1665323087
+transform -1 0 5060 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[7\].id.delaybuf0
+timestamp 1665323087
+transform 1 0 5888 0 1 13056
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[7\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 8924 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[7\].id.delayen0
+timestamp 1665323087
+transform 1 0 9384 0 1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[7\].id.delayen1
+timestamp 1665323087
+transform 1 0 7360 0 1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[7\].id.delayenb0
+timestamp 1665323087
+transform 1 0 9016 0 -1 13056
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[7\].id.delayenb1
+timestamp 1665323087
+transform 1 0 7820 0 -1 13056
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[7\].id.delayint0
+timestamp 1665323087
+transform 1 0 9844 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[8\].id.delaybuf0
+timestamp 1665323087
+transform 1 0 10764 0 1 13056
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[8\].id.delaybuf1
+timestamp 1665323087
+transform -1 0 11408 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[8\].id.delayen0
+timestamp 1665323087
+transform 1 0 12144 0 1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[8\].id.delayen1
+timestamp 1665323087
+transform -1 0 12144 0 1 13056
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[8\].id.delayenb0
+timestamp 1665323087
+transform 1 0 11500 0 -1 13056
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[8\].id.delayenb1
+timestamp 1665323087
+transform 1 0 10948 0 1 11968
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[8\].id.delayint0
+timestamp 1665323087
+transform -1 0 10764 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[9\].id.delaybuf0
+timestamp 1665323087
+transform -1 0 13524 0 -1 13056
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[9\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 12880 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[9\].id.delayen0
+timestamp 1665323087
+transform 1 0 12788 0 -1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[9\].id.delayen1
+timestamp 1665323087
+transform 1 0 12144 0 -1 11968
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[9\].id.delayenb0
+timestamp 1665323087
+transform 1 0 11960 0 1 11968
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[9\].id.delayenb1
+timestamp 1665323087
+transform 1 0 12052 0 1 10880
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[9\].id.delayint0
+timestamp 1665323087
+transform 1 0 13156 0 1 13056
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[10\].id.delaybuf0
+timestamp 1665323087
+transform -1 0 13432 0 1 10880
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[10\].id.delaybuf1
+timestamp 1665323087
+transform -1 0 12328 0 -1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[10\].id.delayen0
+timestamp 1665323087
+transform 1 0 12880 0 -1 10880
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[10\].id.delayen1
+timestamp 1665323087
+transform 1 0 12236 0 -1 10880
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[10\].id.delayenb0
+timestamp 1665323087
+transform 1 0 11868 0 1 9792
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[10\].id.delayenb1
+timestamp 1665323087
+transform 1 0 12144 0 -1 9792
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[10\].id.delayint0
+timestamp 1665323087
+transform -1 0 11408 0 -1 10880
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2 ringosc.dstage\[11\].id.delaybuf0
+timestamp 1665323087
+transform 1 0 13156 0 -1 9792
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.dstage\[11\].id.delaybuf1
+timestamp 1665323087
+transform 1 0 13340 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[11\].id.delayen0
+timestamp 1665323087
+transform 1 0 12696 0 -1 6528
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.dstage\[11\].id.delayen1
+timestamp 1665323087
+transform 1 0 12696 0 1 4352
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.dstage\[11\].id.delayenb0
+timestamp 1665323087
+transform 1 0 11960 0 1 6528
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.dstage\[11\].id.delayenb1
+timestamp 1665323087
+transform 1 0 12604 0 -1 5440
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.dstage\[11\].id.delayint0
+timestamp 1665323087
+transform 1 0 13156 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkinv_2 ringosc.ibufp00 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 5520 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkinv_8 ringosc.ibufp01 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform -1 0 5612 0 1 6528
+box -38 -48 1234 592
+use sky130_fd_sc_hd__clkinv_2 ringosc.ibufp10
+timestamp 1665323087
+transform -1 0 2024 0 1 8704
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkinv_8 ringosc.ibufp11
+timestamp 1665323087
+transform 1 0 1380 0 1 7616
+box -38 -48 1234 592
+use sky130_fd_sc_hd__conb_1 ringosc.iss.const1 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 12880 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__or2_2 ringosc.iss.ctrlen0
+timestamp 1665323087
+transform 1 0 11316 0 1 7616
+box -38 -48 498 592
+use sky130_fd_sc_hd__clkbuf_1 ringosc.iss.delaybuf0
+timestamp 1665323087
+transform 1 0 13248 0 1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_2 ringosc.iss.delayen0
+timestamp 1665323087
+transform 1 0 11776 0 -1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvp_2 ringosc.iss.delayen1
+timestamp 1665323087
+transform 1 0 12604 0 1 8704
+box -38 -48 682 592
+use sky130_fd_sc_hd__einvn_8 ringosc.iss.delayenb0
+timestamp 1665323087
+transform 1 0 11776 0 1 7616
+box -38 -48 1694 592
+use sky130_fd_sc_hd__einvn_4 ringosc.iss.delayenb1
+timestamp 1665323087
+transform 1 0 12328 0 -1 8704
+box -38 -48 1050 592
+use sky130_fd_sc_hd__clkinv_1 ringosc.iss.delayint0
+timestamp 1665323087
+transform 1 0 13340 0 -1 8704
+box -38 -48 314 592
+use sky130_fd_sc_hd__einvp_1 ringosc.iss.reseten0 $PDKPATH/sky130A/libs.ref/sky130_fd_sc_hd/mag
+timestamp 1665323087
+transform 1 0 12420 0 -1 7616
+box -38 -48 498 592
<< labels >>
-rlabel metal5 s 1104 8210 13892 8530 6 VGND
-port 0 nsew ground input
-rlabel metal4 s 8208 1040 8528 13648 6 VGND
-port 0 nsew ground input
-rlabel metal5 s 1104 4210 13892 4530 6 VPWR
-port 1 nsew power input
-rlabel metal5 s 1104 12210 13892 12530 6 VPWR
-port 1 nsew power input
-rlabel metal4 s 4208 1040 4528 13648 6 VPWR
-port 1 nsew power input
-rlabel metal4 s 12208 1040 12528 13648 6 VPWR
-port 1 nsew power input
-rlabel metal3 s 0 416 800 536 6 clockp[0]
+flabel metal4 s 8208 1040 8528 13648 0 FreeSans 1920 90 0 0 VGND
+port 0 nsew ground bidirectional
+flabel metal5 s 1056 8210 13940 8530 0 FreeSans 2560 0 0 0 VGND
+port 0 nsew ground bidirectional
+flabel metal4 s 4208 1040 4528 13648 0 FreeSans 1920 90 0 0 VPWR
+port 1 nsew power bidirectional
+flabel metal4 s 12208 1040 12528 13648 0 FreeSans 1920 90 0 0 VPWR
+port 1 nsew power bidirectional
+flabel metal5 s 1056 4210 13940 4530 0 FreeSans 2560 0 0 0 VPWR
+port 1 nsew power bidirectional
+flabel metal5 s 1056 12210 13940 12530 0 FreeSans 2560 0 0 0 VPWR
+port 1 nsew power bidirectional
+flabel metal3 s 0 416 800 536 0 FreeSans 480 0 0 0 clockp[0]
port 2 nsew signal tristate
-rlabel metal3 s 0 1232 800 1352 6 clockp[1]
+flabel metal3 s 0 1232 800 1352 0 FreeSans 480 0 0 0 clockp[1]
port 3 nsew signal tristate
-rlabel metal3 s 0 7896 800 8016 6 dco
+flabel metal3 s 0 7896 800 8016 0 FreeSans 480 0 0 0 dco
port 4 nsew signal input
-rlabel metal3 s 0 2184 800 2304 6 div[0]
+flabel metal3 s 0 2184 800 2304 0 FreeSans 480 0 0 0 div[0]
port 5 nsew signal input
-rlabel metal3 s 0 3136 800 3256 6 div[1]
+flabel metal3 s 0 3136 800 3256 0 FreeSans 480 0 0 0 div[1]
port 6 nsew signal input
-rlabel metal3 s 0 4088 800 4208 6 div[2]
+flabel metal3 s 0 4088 800 4208 0 FreeSans 480 0 0 0 div[2]
port 7 nsew signal input
-rlabel metal3 s 0 5040 800 5160 6 div[3]
+flabel metal3 s 0 5040 800 5160 0 FreeSans 480 0 0 0 div[3]
port 8 nsew signal input
-rlabel metal3 s 0 5992 800 6112 6 div[4]
+flabel metal3 s 0 5992 800 6112 0 FreeSans 480 0 0 0 div[4]
port 9 nsew signal input
-rlabel metal3 s 0 6944 800 7064 6 enable
+flabel metal3 s 0 6944 800 7064 0 FreeSans 480 0 0 0 enable
port 10 nsew signal input
-rlabel metal3 s 0 8712 800 8832 6 ext_trim[0]
+flabel metal3 s 0 8712 800 8832 0 FreeSans 480 0 0 0 ext_trim[0]
port 11 nsew signal input
-rlabel metal2 s 3974 14200 4030 15000 6 ext_trim[10]
+flabel metal2 s 3974 14200 4030 15000 0 FreeSans 224 90 0 0 ext_trim[10]
port 12 nsew signal input
-rlabel metal2 s 5170 14200 5226 15000 6 ext_trim[11]
+flabel metal2 s 5170 14200 5226 15000 0 FreeSans 224 90 0 0 ext_trim[11]
port 13 nsew signal input
-rlabel metal2 s 6274 14200 6330 15000 6 ext_trim[12]
+flabel metal2 s 6274 14200 6330 15000 0 FreeSans 224 90 0 0 ext_trim[12]
port 14 nsew signal input
-rlabel metal2 s 7470 14200 7526 15000 6 ext_trim[13]
+flabel metal2 s 7470 14200 7526 15000 0 FreeSans 224 90 0 0 ext_trim[13]
port 15 nsew signal input
-rlabel metal2 s 8574 14200 8630 15000 6 ext_trim[14]
+flabel metal2 s 8574 14200 8630 15000 0 FreeSans 224 90 0 0 ext_trim[14]
port 16 nsew signal input
-rlabel metal2 s 9770 14200 9826 15000 6 ext_trim[15]
+flabel metal2 s 9770 14200 9826 15000 0 FreeSans 224 90 0 0 ext_trim[15]
port 17 nsew signal input
-rlabel metal2 s 10874 14200 10930 15000 6 ext_trim[16]
+flabel metal2 s 10874 14200 10930 15000 0 FreeSans 224 90 0 0 ext_trim[16]
port 18 nsew signal input
-rlabel metal2 s 12070 14200 12126 15000 6 ext_trim[17]
+flabel metal2 s 12070 14200 12126 15000 0 FreeSans 224 90 0 0 ext_trim[17]
port 19 nsew signal input
-rlabel metal2 s 13174 14200 13230 15000 6 ext_trim[18]
+flabel metal2 s 13174 14200 13230 15000 0 FreeSans 224 90 0 0 ext_trim[18]
port 20 nsew signal input
-rlabel metal2 s 14370 14200 14426 15000 6 ext_trim[19]
+flabel metal2 s 14370 14200 14426 15000 0 FreeSans 224 90 0 0 ext_trim[19]
port 21 nsew signal input
-rlabel metal3 s 0 9664 800 9784 6 ext_trim[1]
+flabel metal3 s 0 9664 800 9784 0 FreeSans 480 0 0 0 ext_trim[1]
port 22 nsew signal input
-rlabel metal3 s 14200 13608 15000 13728 6 ext_trim[20]
+flabel metal3 s 14200 13608 15000 13728 0 FreeSans 480 0 0 0 ext_trim[20]
port 23 nsew signal input
-rlabel metal3 s 14200 11160 15000 11280 6 ext_trim[21]
+flabel metal3 s 14200 11160 15000 11280 0 FreeSans 480 0 0 0 ext_trim[21]
port 24 nsew signal input
-rlabel metal3 s 14200 8712 15000 8832 6 ext_trim[22]
+flabel metal3 s 14200 8712 15000 8832 0 FreeSans 480 0 0 0 ext_trim[22]
port 25 nsew signal input
-rlabel metal3 s 14200 6128 15000 6248 6 ext_trim[23]
+flabel metal3 s 14200 6128 15000 6248 0 FreeSans 480 0 0 0 ext_trim[23]
port 26 nsew signal input
-rlabel metal3 s 14200 3680 15000 3800 6 ext_trim[24]
+flabel metal3 s 14200 3680 15000 3800 0 FreeSans 480 0 0 0 ext_trim[24]
port 27 nsew signal input
-rlabel metal3 s 14200 1232 15000 1352 6 ext_trim[25]
+flabel metal3 s 14200 1232 15000 1352 0 FreeSans 480 0 0 0 ext_trim[25]
port 28 nsew signal input
-rlabel metal3 s 0 10616 800 10736 6 ext_trim[2]
+flabel metal3 s 0 10616 800 10736 0 FreeSans 480 0 0 0 ext_trim[2]
port 29 nsew signal input
-rlabel metal3 s 0 11568 800 11688 6 ext_trim[3]
+flabel metal3 s 0 11568 800 11688 0 FreeSans 480 0 0 0 ext_trim[3]
port 30 nsew signal input
-rlabel metal3 s 0 12520 800 12640 6 ext_trim[4]
+flabel metal3 s 0 12520 800 12640 0 FreeSans 480 0 0 0 ext_trim[4]
port 31 nsew signal input
-rlabel metal3 s 0 13472 800 13592 6 ext_trim[5]
+flabel metal3 s 0 13472 800 13592 0 FreeSans 480 0 0 0 ext_trim[5]
port 32 nsew signal input
-rlabel metal3 s 0 14424 800 14544 6 ext_trim[6]
+flabel metal3 s 0 14424 800 14544 0 FreeSans 480 0 0 0 ext_trim[6]
port 33 nsew signal input
-rlabel metal2 s 570 14200 626 15000 6 ext_trim[7]
+flabel metal2 s 570 14200 626 15000 0 FreeSans 224 90 0 0 ext_trim[7]
port 34 nsew signal input
-rlabel metal2 s 1674 14200 1730 15000 6 ext_trim[8]
+flabel metal2 s 1674 14200 1730 15000 0 FreeSans 224 90 0 0 ext_trim[8]
port 35 nsew signal input
-rlabel metal2 s 2870 14200 2926 15000 6 ext_trim[9]
+flabel metal2 s 2870 14200 2926 15000 0 FreeSans 224 90 0 0 ext_trim[9]
port 36 nsew signal input
-rlabel metal2 s 11150 0 11206 800 6 osc
+flabel metal2 s 11150 0 11206 800 0 FreeSans 224 90 0 0 osc
port 37 nsew signal input
-rlabel metal2 s 3698 0 3754 800 6 resetb
+flabel metal2 s 3698 0 3754 800 0 FreeSans 224 90 0 0 resetb
port 38 nsew signal input
<< properties >>
string FIXED_BBOX 0 0 15000 15000
diff --git a/maglef/digital_pll.mag b/maglef/digital_pll.mag
index dac83b0a..3cd7d1ff 100644
--- a/maglef/digital_pll.mag
+++ b/maglef/digital_pll.mag
@@ -1,11 +1,11 @@
magic
tech sky130A
magscale 1 2
-timestamp 1638875309
+timestamp 1665668948
<< obsli1 >>
rect 1104 1071 13892 13617
<< obsm1 >>
-rect 566 1040 14430 13796
+rect 842 960 14430 13932
<< metal2 >>
rect 570 14200 626 15000
rect 1674 14200 1730 15000
@@ -35,8 +35,8 @@ rect 9882 14144 10818 14521
rect 10986 14144 12014 14521
rect 12182 14144 13118 14521
rect 13286 14144 14314 14521
-rect 572 856 14424 14144
-rect 572 439 3642 856
+rect 626 856 14424 14144
+rect 626 439 3642 856
rect 3810 439 11094 856
rect 11262 439 14424 856
<< metal3 >>
@@ -106,23 +106,25 @@ rect 880 443 14200 616
rect 4208 1040 4528 13648
rect 8208 1040 8528 13648
rect 12208 1040 12528 13648
+<< obsm4 >>
+rect 8707 9419 8773 10029
<< metal5 >>
-rect 1104 12210 13892 12530
-rect 1104 8210 13892 8530
-rect 1104 4210 13892 4530
+rect 1056 12210 13940 12530
+rect 1056 8210 13940 8530
+rect 1056 4210 13940 4530
<< labels >>
-rlabel metal5 s 1104 8210 13892 8530 6 VGND
-port 1 nsew ground input
rlabel metal4 s 8208 1040 8528 13648 6 VGND
-port 1 nsew ground input
-rlabel metal5 s 1104 4210 13892 4530 6 VPWR
-port 2 nsew power input
-rlabel metal5 s 1104 12210 13892 12530 6 VPWR
-port 2 nsew power input
+port 1 nsew ground bidirectional
+rlabel metal5 s 1056 8210 13940 8530 6 VGND
+port 1 nsew ground bidirectional
rlabel metal4 s 4208 1040 4528 13648 6 VPWR
-port 2 nsew power input
+port 2 nsew power bidirectional
rlabel metal4 s 12208 1040 12528 13648 6 VPWR
-port 2 nsew power input
+port 2 nsew power bidirectional
+rlabel metal5 s 1056 4210 13940 4530 6 VPWR
+port 2 nsew power bidirectional
+rlabel metal5 s 1056 12210 13940 12530 6 VPWR
+port 2 nsew power bidirectional
rlabel metal3 s 0 416 800 536 6 clockp[0]
port 3 nsew signal output
rlabel metal3 s 0 1232 800 1352 6 clockp[1]
@@ -198,11 +200,11 @@ port 38 nsew signal input
rlabel metal2 s 3698 0 3754 800 6 resetb
port 39 nsew signal input
<< properties >>
-string LEFclass BLOCK
string FIXED_BBOX 0 0 15000 15000
+string LEFclass BLOCK
string LEFview TRUE
-string GDS_FILE ../gds/digital_pll.gds
-string GDS_END 1091926
-string GDS_START 342938
+string GDS_END 1134054
+string GDS_FILE /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.magic.gds
+string GDS_START 324288
<< end >>
diff --git a/manifest b/manifest
index f8b88d6e..9472b2c5 100644
--- a/manifest
+++ b/manifest
@@ -16,7 +16,7 @@ bc1e961e41d1d3a383a018279a08bf4108911f53 verilog/rtl/chip_io.v
126aff02aa229dc346301c552d785dec76a4d68e verilog/rtl/clock_div.v
941bd7636e7558b045faa3d8c6ba2d91b4c4b798 verilog/rtl/constant_block.v
653b230c7cbf092a6210ba7820bc942f312e53f3 verilog/rtl/debug_regs.v
-36af0303a0e84ce4a40a854ef1481f8a56bc9989 verilog/rtl/digital_pll.v
+3d64219536ccda4c35a787682f13f45bc0ee8e94 verilog/rtl/digital_pll.v
ce49f9af199b5f16d2c39c417d58e5890bc7bab2 verilog/rtl/digital_pll_controller.v
00d2c61e4f424dfce3635f96a1c1bfdeaf7d0cf8 verilog/rtl/gpio_control_block.v
9c92ddf1391fa75ee906e452e168ca2cdd23bd18 verilog/rtl/gpio_defaults_block.v
diff --git a/openlane/digital_pll/base.sdc b/openlane/digital_pll/base.sdc
index 1dcbe825..7573f8f8 100644
--- a/openlane/digital_pll/base.sdc
+++ b/openlane/digital_pll/base.sdc
@@ -21,8 +21,8 @@ puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 1
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
-puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
-set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {pll_control_clock}]
+puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)"
+set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINTY) [get_clocks {pll_control_clock}]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
-set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {pll_control_clock}]
\ No newline at end of file
+set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {pll_control_clock}]
diff --git a/openlane/digital_pll/config.tcl b/openlane/digital_pll/config.tcl
index 8b52e8ad..46877a62 100644
--- a/openlane/digital_pll/config.tcl
+++ b/openlane/digital_pll/config.tcl
@@ -12,13 +12,10 @@
# See the License for the specific language governing permissions and
# limitations under the License.
# SPDX-License-Identifier: Apache-2.0
-
-set script_dir [file dirname [file normalize [info script]]]
-
set ::env(DESIGN_NAME) digital_pll
set ::env(DESIGN_IS_CORE) 1
-set ::env(VERILOG_FILES) $script_dir/../../verilog/rtl/digital_pll.v
+set ::env(VERILOG_FILES) $::env(DESIGN_DIR)/../../verilog/rtl/digital_pll.v
set ::env(CLOCK_PORT) ""
set ::env(CLOCK_TREE_SYNTH) 0
@@ -29,10 +26,10 @@ set ::env(SYNTH_MAX_FANOUT) 6
set ::env(SYNTH_BUFFERING) 0
set ::env(SYNTH_SIZING) 0
-set ::env(BASE_SDC_FILE) $script_dir/base.sdc
+set ::env(BASE_SDC_FILE) $::env(DESIGN_DIR)/base.sdc
## Floorplan
-set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+set ::env(FP_DEF_TEMPLATE) $::env(DESIGN_DIR)/template/digital_pll.def
set ::env(FP_SIZING) absolute
set ::env(DIE_AREA) "0 0 75 75"
@@ -40,23 +37,29 @@ set ::env(DIE_AREA) "0 0 75 75"
set ::env(TOP_MARGIN_MULT) 2
set ::env(BOTTOM_MARGIN_MULT) 2
-set ::env(CELL_PAD) 0
+set ::env(DIODE_PADDING) 0
+set ::env(DPL_CELL_PADDING) 0
+set ::env(DRT_CELL_PADDING) 0
## PDN
set ::env(FP_PDN_VPITCH) 40
set ::env(FP_PDN_HPITCH) 40
+set ::env(FP_PDN_HOFFSET) 16.41
+set ::env(FP_PDN_HSPACING) 18.4
+set ::env(FP_PDN_VSPACING) 18.4
## Placement
set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
-set ::env(PL_TARGET_DENSITY) 0.82
+set ::env(PL_TARGET_DENSITY) 0.9
## Routing
set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 0
-set ::env(GLB_RT_ADJUSTMENT) 0
-
-set ::env(GLB_RT_MINLAYER) 2
-set ::env(GLB_RT_MAXLAYER) 6
+set ::env(GRT_ADJUSTMENT) 0
## Diode Insertion
set ::env(DIODE_INSERTION_STRATEGY) "4"
+
+set ::env(STA_WRITE_LIB) 0
+set ::env(FP_PDN_SKIPTRIM) 1
+
diff --git a/openlane/digital_pll/template/digital_pll.def b/openlane/digital_pll/template/digital_pll.def
new file mode 100644
index 00000000..49cbd52d
--- /dev/null
+++ b/openlane/digital_pll/template/digital_pll.def
@@ -0,0 +1,6055 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN digital_pll ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 75000 75000 ) ;
+ROW ROW_0 unithd 5520 5440 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 8160 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 10880 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 13600 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 16320 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 19040 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 21760 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 24480 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 27200 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 29920 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 32640 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 35360 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 38080 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 40800 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 43520 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 46240 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 48960 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 51680 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 54400 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 57120 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_20 unithd 5520 59840 N DO 139 BY 1 STEP 460 0 ;
+ROW ROW_21 unithd 5520 62560 FS DO 139 BY 1 STEP 460 0 ;
+ROW ROW_22 unithd 5520 65280 N DO 139 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 163 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 221 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 221 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 221 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 163 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 163 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 110 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 110 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 82 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 82 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 22 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 22 STEP 3400 LAYER met5 ;
+GCELLGRID X 0 DO 10 STEP 6900 ;
+GCELLGRID Y 0 DO 11 STEP 6900 ;
+VIAS 4 ;
+ - via4_1600x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ;
+ - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
+ - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
+ - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
+END VIAS
+COMPONENTS 631 ;
+ - ANTENNA__177__A sky130_fd_sc_hd__diode_2 + PLACED ( 16560 13600 ) S ;
+ - ANTENNA__181__A sky130_fd_sc_hd__diode_2 + PLACED ( 21160 32640 ) FN ;
+ - ANTENNA__181__B sky130_fd_sc_hd__diode_2 + PLACED ( 17020 32640 ) FN ;
+ - ANTENNA__182__A sky130_fd_sc_hd__diode_2 + PLACED ( 17020 35360 ) FS ;
+ - ANTENNA__201__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 19040 ) S ;
+ - ANTENNA__201__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 7820 19040 ) FS ;
+ - ANTENNA__202__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 27200 ) FN ;
+ - ANTENNA__204__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 16320 ) N ;
+ - ANTENNA__207__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 10880 ) N ;
+ - ANTENNA__210__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 8160 ) S ;
+ - ANTENNA__210__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 9200 5440 ) FN ;
+ - ANTENNA__211__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 13600 ) S ;
+ - ANTENNA__216__A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 29920 ) FS ;
+ - ANTENNA__218__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 9200 32640 ) FN ;
+ - ANTENNA__330__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28060 46240 ) S ;
+ - ANTENNA__330__S sky130_fd_sc_hd__diode_2 + PLACED ( 23000 48960 ) N ;
+ - ANTENNA__331__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 35360 ) FS ;
+ - ANTENNA__331__S sky130_fd_sc_hd__diode_2 + PLACED ( 58880 35360 ) FS ;
+ - ANTENNA__332__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 36340 54400 ) N ;
+ - ANTENNA__332__S sky130_fd_sc_hd__diode_2 + PLACED ( 37260 54400 ) N ;
+ - ANTENNA__333__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 38080 ) FN ;
+ - ANTENNA__333__S sky130_fd_sc_hd__diode_2 + PLACED ( 57500 32640 ) FN ;
+ - ANTENNA__334__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 38180 54400 ) FN ;
+ - ANTENNA__334__S sky130_fd_sc_hd__diode_2 + PLACED ( 39100 54400 ) N ;
+ - ANTENNA__335__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 67160 46240 ) FS ;
+ - ANTENNA__335__S sky130_fd_sc_hd__diode_2 + PLACED ( 60720 48960 ) N ;
+ - ANTENNA__336__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 31740 65280 ) N ;
+ - ANTENNA__336__S sky130_fd_sc_hd__diode_2 + PLACED ( 32660 65280 ) N ;
+ - ANTENNA__337__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59800 48960 ) FN ;
+ - ANTENNA__337__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 51680 ) FS ;
+ - ANTENNA__338__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 33580 65280 ) N ;
+ - ANTENNA__338__S sky130_fd_sc_hd__diode_2 + PLACED ( 30360 65280 ) N ;
+ - ANTENNA__339__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 51060 59840 ) N ;
+ - ANTENNA__339__S sky130_fd_sc_hd__diode_2 + PLACED ( 44620 59840 ) N ;
+ - ANTENNA__340__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 26220 59840 ) N ;
+ - ANTENNA__340__S sky130_fd_sc_hd__diode_2 + PLACED ( 23460 59840 ) N ;
+ - ANTENNA__341__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 56120 59840 ) N ;
+ - ANTENNA__341__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 57120 ) FS ;
+ - ANTENNA__342__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 57120 ) S ;
+ - ANTENNA__342__S sky130_fd_sc_hd__diode_2 + PLACED ( 18860 54400 ) N ;
+ - ANTENNA__343__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 48760 54400 ) N ;
+ - ANTENNA__343__S sky130_fd_sc_hd__diode_2 + PLACED ( 44160 51680 ) FS ;
+ - ANTENNA__344__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 17480 59840 ) N ;
+ - ANTENNA__344__S sky130_fd_sc_hd__diode_2 + PLACED ( 18860 65280 ) N ;
+ - ANTENNA__345__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 55200 65280 ) N ;
+ - ANTENNA__345__S sky130_fd_sc_hd__diode_2 + PLACED ( 56120 65280 ) N ;
+ - ANTENNA__346__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 54400 ) FN ;
+ - ANTENNA__346__S sky130_fd_sc_hd__diode_2 + PLACED ( 6900 59840 ) N ;
+ - ANTENNA__347__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 64860 65280 ) FN ;
+ - ANTENNA__347__S sky130_fd_sc_hd__diode_2 + PLACED ( 65780 65280 ) FN ;
+ - ANTENNA__348__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 48960 ) FN ;
+ - ANTENNA__348__S sky130_fd_sc_hd__diode_2 + PLACED ( 7820 48960 ) N ;
+ - ANTENNA__349__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 59800 57120 ) S ;
+ - ANTENNA__349__S sky130_fd_sc_hd__diode_2 + PLACED ( 45080 51680 ) FS ;
+ - ANTENNA__350__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 40800 ) S ;
+ - ANTENNA__350__S sky130_fd_sc_hd__diode_2 + PLACED ( 6900 46240 ) FS ;
+ - ANTENNA__351__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 46000 51680 ) S ;
+ - ANTENNA__351__S sky130_fd_sc_hd__diode_2 + PLACED ( 39100 48960 ) N ;
+ - ANTENNA__352__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 6900 32640 ) FN ;
+ - ANTENNA__352__S sky130_fd_sc_hd__diode_2 + PLACED ( 7820 32640 ) FN ;
+ - ANTENNA__353__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 41860 43520 ) FN ;
+ - ANTENNA__353__S sky130_fd_sc_hd__diode_2 + PLACED ( 33120 40800 ) FS ;
+ - ANTENNA__354__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 28980 46240 ) S ;
+ - ANTENNA__354__S sky130_fd_sc_hd__diode_2 + PLACED ( 22080 48960 ) N ;
+ - ANTENNA__355__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 51060 38080 ) FN ;
+ - ANTENNA__355__S sky130_fd_sc_hd__diode_2 + PLACED ( 45080 40800 ) FS ;
+ - ANTENNA__356__D sky130_fd_sc_hd__diode_2 + PLACED ( 57500 5440 ) N ;
+ - FILLER_0_10 sky130_fd_sc_hd__decap_6 + PLACED ( 10120 5440 ) N ;
+ - FILLER_0_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 5440 ) N ;
+ - FILLER_0_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 5440 ) N ;
+ - FILLER_0_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 5440 ) N ;
+ - FILLER_0_37 sky130_fd_sc_hd__decap_6 + PLACED ( 22540 5440 ) N ;
+ - FILLER_0_52 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 5440 ) N ;
+ - FILLER_0_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 5440 ) N ;
+ - FILLER_0_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 5440 ) N ;
+ - FILLER_0_70 sky130_fd_sc_hd__decap_12 + PLACED ( 37720 5440 ) N ;
+ - FILLER_0_82 sky130_fd_sc_hd__fill_2 + PLACED ( 43240 5440 ) N ;
+ - FILLER_0_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 5440 ) N ;
+ - FILLER_10_10 sky130_fd_sc_hd__decap_6 + PLACED ( 10120 32640 ) N ;
+ - FILLER_10_104 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 32640 ) N ;
+ - FILLER_10_19 sky130_fd_sc_hd__decap_3 + PLACED ( 14260 32640 ) N ;
+ - FILLER_10_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 32640 ) N ;
+ - FILLER_10_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 32640 ) N ;
+ - FILLER_10_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 32640 ) N ;
+ - FILLER_10_94 sky130_fd_sc_hd__fill_2 + PLACED ( 48760 32640 ) N ;
+ - FILLER_11_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 35360 ) FS ;
+ - FILLER_11_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 35360 ) FS ;
+ - FILLER_11_130 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 35360 ) FS ;
+ - FILLER_11_23 sky130_fd_sc_hd__fill_2 + PLACED ( 16100 35360 ) FS ;
+ - FILLER_11_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 35360 ) FS ;
+ - FILLER_11_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 35360 ) FS ;
+ - FILLER_11_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 35360 ) FS ;
+ - FILLER_11_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 35360 ) FS ;
+ - FILLER_11_77 sky130_fd_sc_hd__fill_2 + PLACED ( 40940 35360 ) FS ;
+ - FILLER_12_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 38080 ) N ;
+ - FILLER_12_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 38080 ) N ;
+ - FILLER_12_19 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 38080 ) N ;
+ - FILLER_12_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 38080 ) N ;
+ - FILLER_12_39 sky130_fd_sc_hd__fill_2 + PLACED ( 23460 38080 ) N ;
+ - FILLER_12_48 sky130_fd_sc_hd__fill_2 + PLACED ( 27600 38080 ) N ;
+ - FILLER_12_68 sky130_fd_sc_hd__fill_2 + PLACED ( 36800 38080 ) N ;
+ - FILLER_12_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 38080 ) N ;
+ - FILLER_13_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 40800 ) FS ;
+ - FILLER_13_34 sky130_fd_sc_hd__fill_2 + PLACED ( 21160 40800 ) FS ;
+ - FILLER_13_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 40800 ) FS ;
+ - FILLER_14_112 sky130_fd_sc_hd__fill_2 + PLACED ( 57040 43520 ) N ;
+ - FILLER_14_13 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 43520 ) N ;
+ - FILLER_14_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 43520 ) N ;
+ - FILLER_14_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 43520 ) N ;
+ - FILLER_14_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 43520 ) N ;
+ - FILLER_14_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 43520 ) N ;
+ - FILLER_14_85 sky130_fd_sc_hd__fill_2 + PLACED ( 44620 43520 ) N ;
+ - FILLER_15_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 46240 ) FS ;
+ - FILLER_15_24 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 46240 ) FS ;
+ - FILLER_15_39 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 46240 ) FS ;
+ - FILLER_15_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 46240 ) FS ;
+ - FILLER_15_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 46240 ) FS ;
+ - FILLER_15_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 46240 ) FS ;
+ - FILLER_15_76 sky130_fd_sc_hd__fill_2 + PLACED ( 40480 46240 ) FS ;
+ - FILLER_15_93 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 46240 ) FS ;
+ - FILLER_16_115 sky130_fd_sc_hd__decap_3 + PLACED ( 58420 48960 ) N ;
+ - FILLER_16_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 48960 ) N ;
+ - FILLER_16_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 48960 ) N ;
+ - FILLER_16_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 48960 ) N ;
+ - FILLER_16_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 48960 ) N ;
+ - FILLER_16_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 48960 ) N ;
+ - FILLER_16_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 48960 ) N ;
+ - FILLER_16_72 sky130_fd_sc_hd__fill_1 + PLACED ( 38640 48960 ) N ;
+ - FILLER_16_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 48960 ) N ;
+ - FILLER_16_98 sky130_fd_sc_hd__fill_1 + PLACED ( 50600 48960 ) N ;
+ - FILLER_17_100 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 51680 ) FS ;
+ - FILLER_17_12 sky130_fd_sc_hd__decap_4 + PLACED ( 11040 51680 ) FS ;
+ - FILLER_17_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 51680 ) FS ;
+ - FILLER_18_112 sky130_fd_sc_hd__fill_1 + PLACED ( 57040 54400 ) N ;
+ - FILLER_18_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 54400 ) N ;
+ - FILLER_18_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 54400 ) N ;
+ - FILLER_18_49 sky130_fd_sc_hd__fill_1 + PLACED ( 28060 54400 ) N ;
+ - FILLER_18_75 sky130_fd_sc_hd__fill_1 + PLACED ( 40020 54400 ) N ;
+ - FILLER_18_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 54400 ) N ;
+ - FILLER_19_100 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 57120 ) FS ;
+ - FILLER_19_120 sky130_fd_sc_hd__fill_1 + PLACED ( 60720 57120 ) FS ;
+ - FILLER_19_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 57120 ) FS ;
+ - FILLER_19_28 sky130_fd_sc_hd__fill_1 + PLACED ( 18400 57120 ) FS ;
+ - FILLER_19_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 57120 ) FS ;
+ - FILLER_19_68 sky130_fd_sc_hd__fill_1 + PLACED ( 36800 57120 ) FS ;
+ - FILLER_19_87 sky130_fd_sc_hd__fill_1 + PLACED ( 45540 57120 ) FS ;
+ - FILLER_1_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 8160 ) FS ;
+ - FILLER_1_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 8160 ) FS ;
+ - FILLER_1_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 8160 ) FS ;
+ - FILLER_1_78 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 8160 ) FS ;
+ - FILLER_20_112 sky130_fd_sc_hd__fill_1 + PLACED ( 57040 59840 ) N ;
+ - FILLER_20_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 59840 ) N ;
+ - FILLER_20_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 59840 ) N ;
+ - FILLER_20_5 sky130_fd_sc_hd__decap_6 + PLACED ( 7820 59840 ) N ;
+ - FILLER_21_104 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 62560 ) FS ;
+ - FILLER_21_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 62560 ) FS ;
+ - FILLER_21_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 62560 ) FS ;
+ - FILLER_21_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 62560 ) FS ;
+ - FILLER_21_69 sky130_fd_sc_hd__fill_2 + PLACED ( 37260 62560 ) FS ;
+ - FILLER_22_133 sky130_fd_sc_hd__decap_3 + PLACED ( 66700 65280 ) N ;
+ - FILLER_22_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 65280 ) N ;
+ - FILLER_22_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 65280 ) N ;
+ - FILLER_22_42 sky130_fd_sc_hd__fill_2 + PLACED ( 24840 65280 ) N ;
+ - FILLER_22_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 65280 ) N ;
+ - FILLER_22_72 sky130_fd_sc_hd__decap_12 + PLACED ( 38640 65280 ) N ;
+ - FILLER_2_120 sky130_fd_sc_hd__fill_2 + PLACED ( 60720 10880 ) N ;
+ - FILLER_2_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 10880 ) N ;
+ - FILLER_2_18 sky130_fd_sc_hd__fill_1 + PLACED ( 13800 10880 ) N ;
+ - FILLER_2_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 10880 ) N ;
+ - FILLER_2_78 sky130_fd_sc_hd__decap_3 + PLACED ( 41400 10880 ) N ;
+ - FILLER_3_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 13600 ) FS ;
+ - FILLER_3_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 13600 ) FS ;
+ - FILLER_3_28 sky130_fd_sc_hd__fill_2 + PLACED ( 18400 13600 ) FS ;
+ - FILLER_3_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 13600 ) FS ;
+ - FILLER_3_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 13600 ) FS ;
+ - FILLER_3_37 sky130_fd_sc_hd__fill_1 + PLACED ( 22540 13600 ) FS ;
+ - FILLER_3_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 13600 ) FS ;
+ - FILLER_3_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 13600 ) FS ;
+ - FILLER_3_71 sky130_fd_sc_hd__fill_2 + PLACED ( 38180 13600 ) FS ;
+ - FILLER_3_94 sky130_fd_sc_hd__fill_1 + PLACED ( 48760 13600 ) FS ;
+ - FILLER_4_120 sky130_fd_sc_hd__decap_3 + PLACED ( 60720 16320 ) N ;
+ - FILLER_4_129 sky130_fd_sc_hd__decap_6 + PLACED ( 64860 16320 ) N ;
+ - FILLER_4_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 16320 ) N ;
+ - FILLER_4_58 sky130_fd_sc_hd__fill_2 + PLACED ( 32200 16320 ) N ;
+ - FILLER_4_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 16320 ) N ;
+ - FILLER_5_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 19040 ) FS ;
+ - FILLER_5_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 19040 ) FS ;
+ - FILLER_5_19 sky130_fd_sc_hd__fill_1 + PLACED ( 14260 19040 ) FS ;
+ - FILLER_5_32 sky130_fd_sc_hd__decap_3 + PLACED ( 20240 19040 ) FS ;
+ - FILLER_5_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 19040 ) FS ;
+ - FILLER_5_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 19040 ) FS ;
+ - FILLER_6_100 sky130_fd_sc_hd__decap_3 + PLACED ( 51520 21760 ) N ;
+ - FILLER_6_122 sky130_fd_sc_hd__decap_12 + PLACED ( 61640 21760 ) N ;
+ - FILLER_6_134 sky130_fd_sc_hd__fill_2 + PLACED ( 67160 21760 ) N ;
+ - FILLER_6_17 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 21760 ) N ;
+ - FILLER_6_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 21760 ) N ;
+ - FILLER_6_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 21760 ) N ;
+ - FILLER_6_38 sky130_fd_sc_hd__decap_3 + PLACED ( 23000 21760 ) N ;
+ - FILLER_6_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 21760 ) N ;
+ - FILLER_6_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 21760 ) N ;
+ - FILLER_6_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 21760 ) N ;
+ - FILLER_6_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 21760 ) N ;
+ - FILLER_6_88 sky130_fd_sc_hd__fill_1 + PLACED ( 46000 21760 ) N ;
+ - FILLER_7_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 24480 ) FS ;
+ - FILLER_7_116 sky130_fd_sc_hd__decap_8 + PLACED ( 58880 24480 ) FS ;
+ - FILLER_7_127 sky130_fd_sc_hd__decap_8 + PLACED ( 63940 24480 ) FS ;
+ - FILLER_7_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 24480 ) FS ;
+ - FILLER_7_39 sky130_fd_sc_hd__decap_3 + PLACED ( 23460 24480 ) FS ;
+ - FILLER_7_81 sky130_fd_sc_hd__fill_2 + PLACED ( 42780 24480 ) FS ;
+ - FILLER_7_90 sky130_fd_sc_hd__decap_6 + PLACED ( 46920 24480 ) FS ;
+ - FILLER_7_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 24480 ) FS ;
+ - FILLER_8_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 27200 ) N ;
+ - FILLER_8_29 sky130_fd_sc_hd__fill_1 + PLACED ( 18860 27200 ) N ;
+ - FILLER_8_51 sky130_fd_sc_hd__decap_12 + PLACED ( 28980 27200 ) N ;
+ - FILLER_8_63 sky130_fd_sc_hd__decap_6 + PLACED ( 34500 27200 ) N ;
+ - FILLER_8_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 27200 ) N ;
+ - FILLER_9_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 29920 ) FS ;
+ - FILLER_9_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 29920 ) FS ;
+ - FILLER_9_47 sky130_fd_sc_hd__fill_2 + PLACED ( 27140 29920 ) FS ;
+ - FILLER_9_5 sky130_fd_sc_hd__fill_1 + PLACED ( 7820 29920 ) FS ;
+ - FILLER_9_52 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 29920 ) FS ;
+ - FILLER_9_78 sky130_fd_sc_hd__fill_1 + PLACED ( 41400 29920 ) FS ;
+ - FILLER_9_87 sky130_fd_sc_hd__decap_3 + PLACED ( 45540 29920 ) FS ;
+ - PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 5440 ) N ;
+ - PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 5440 ) FN ;
+ - PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
+ - PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 19040 ) S ;
+ - PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
+ - PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 21760 ) FN ;
+ - PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
+ - PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 24480 ) S ;
+ - PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
+ - PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 27200 ) FN ;
+ - PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
+ - PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 29920 ) S ;
+ - PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 8160 ) FS ;
+ - PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
+ - PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 32640 ) FN ;
+ - PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
+ - PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 35360 ) S ;
+ - PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
+ - PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 38080 ) FN ;
+ - PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
+ - PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 40800 ) S ;
+ - PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
+ - PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 43520 ) FN ;
+ - PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 8160 ) S ;
+ - PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
+ - PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 46240 ) S ;
+ - PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
+ - PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 48960 ) FN ;
+ - PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
+ - PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 51680 ) S ;
+ - PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
+ - PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 54400 ) FN ;
+ - PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
+ - PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 57120 ) S ;
+ - PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
+ - PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
+ - PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 59840 ) FN ;
+ - PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
+ - PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 62560 ) S ;
+ - PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
+ - PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 65280 ) FN ;
+ - PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 10880 ) FN ;
+ - PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
+ - PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 13600 ) S ;
+ - PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
+ - PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 68080 16320 ) FN ;
+ - TAP_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 5440 ) N ;
+ - TAP_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 5440 ) N ;
+ - TAP_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 5440 ) N ;
+ - TAP_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 5440 ) N ;
+ - TAP_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 8160 ) FS ;
+ - TAP_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 8160 ) FS ;
+ - TAP_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
+ - TAP_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
+ - TAP_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
+ - TAP_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
+ - TAP_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
+ - TAP_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
+ - TAP_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
+ - TAP_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
+ - TAP_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
+ - TAP_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
+ - TAP_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
+ - TAP_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
+ - TAP_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
+ - TAP_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
+ - TAP_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
+ - TAP_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
+ - TAP_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
+ - TAP_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
+ - TAP_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
+ - TAP_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
+ - TAP_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
+ - TAP_73 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
+ - TAP_74 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
+ - TAP_75 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
+ - TAP_76 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
+ - TAP_77 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
+ - TAP_78 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
+ - TAP_79 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
+ - TAP_80 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
+ - TAP_81 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
+ - TAP_82 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
+ - TAP_83 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
+ - TAP_84 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
+ - TAP_85 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
+ - TAP_86 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
+ - TAP_87 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
+ - TAP_88 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
+ - TAP_89 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
+ - TAP_90 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
+ - TAP_91 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
+ - TAP_92 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
+ - TAP_93 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 65280 ) N ;
+ - TAP_94 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
+ - TAP_95 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 65280 ) N ;
+ - _164_ sky130_fd_sc_hd__inv_2 + PLACED ( 18400 29920 ) S ;
+ - _165_ sky130_fd_sc_hd__inv_2 + PLACED ( 15640 32640 ) FN ;
+ - _166_ sky130_fd_sc_hd__inv_2 + PLACED ( 23000 10880 ) N ;
+ - _167_ sky130_fd_sc_hd__inv_2 + PLACED ( 29900 5440 ) FN ;
+ - _168_ sky130_fd_sc_hd__inv_2 + PLACED ( 31280 21760 ) FN ;
+ - _169_ sky130_fd_sc_hd__inv_2 + PLACED ( 35880 19040 ) S ;
+ - _170_ sky130_fd_sc_hd__inv_2 + PLACED ( 52440 38080 ) N ;
+ - _171_ sky130_fd_sc_hd__inv_2 + PLACED ( 40940 38080 ) N ;
+ - _172_ sky130_fd_sc_hd__inv_2 + PLACED ( 43700 40800 ) FS ;
+ - _173_ sky130_fd_sc_hd__inv_2 + PLACED ( 54280 46240 ) FS ;
+ - _174_ sky130_fd_sc_hd__inv_2 + PLACED ( 57500 35360 ) FS ;
+ - _175_ sky130_fd_sc_hd__inv_2 + PLACED ( 57500 24480 ) S ;
+ - _176_ sky130_fd_sc_hd__inv_2 + PLACED ( 46460 21760 ) FN ;
+ - _177_ sky130_fd_sc_hd__inv_2 + PLACED ( 15180 13600 ) S ;
+ - _178_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 63020 10880 ) N ;
+ - _179_ sky130_fd_sc_hd__inv_2 + PLACED ( 48300 10880 ) N ;
+ - _180_ sky130_fd_sc_hd__a22o_2 + PLACED ( 16560 24480 ) S ;
+ - _181_ sky130_fd_sc_hd__nand2_2 + PLACED ( 18860 32640 ) N ;
+ - _182_ sky130_fd_sc_hd__nor2_2 + PLACED ( 17940 35360 ) FS ;
+ - _183_ sky130_fd_sc_hd__a22o_2 + PLACED ( 14720 16320 ) N ;
+ - _184_ sky130_fd_sc_hd__a22o_2 + PLACED ( 18860 5440 ) FN ;
+ - _185_ sky130_fd_sc_hd__a22o_2 + PLACED ( 44620 10880 ) FN ;
+ - _186_ sky130_fd_sc_hd__a22o_2 + PLACED ( 32200 19040 ) FS ;
+ - _187_ sky130_fd_sc_hd__a22o_2 + PLACED ( 49220 13600 ) FS ;
+ - _188_ sky130_fd_sc_hd__a22o_2 + PLACED ( 51520 8160 ) S ;
+ - _189_ sky130_fd_sc_hd__or2_2 + PLACED ( 44620 5440 ) FN ;
+ - _190_ sky130_fd_sc_hd__nor2_2 + PLACED ( 14720 19040 ) FS ;
+ - _191_ sky130_fd_sc_hd__a21o_2 + PLACED ( 17020 19040 ) FS ;
+ - _192_ sky130_fd_sc_hd__nor2_2 + PLACED ( 16100 5440 ) FN ;
+ - _193_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32200 13600 ) FS ;
+ - _194_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 37260 10880 ) N ;
+ - _195_ sky130_fd_sc_hd__a22o_2 + PLACED ( 34500 13600 ) S ;
+ - _196_ sky130_fd_sc_hd__inv_2 + PLACED ( 19320 13600 ) FS ;
+ - _197_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 18860 10880 ) FN ;
+ - _198_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 13800 21760 ) N ;
+ - _199_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 17480 8160 ) S ;
+ - _200_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 14260 10880 ) N ;
+ - _201_ sky130_fd_sc_hd__a22oi_2 + PLACED ( 7360 21760 ) FN ;
+ - _202_ sky130_fd_sc_hd__or2_2 + PLACED ( 6900 24480 ) FS ;
+ - _203_ sky130_fd_sc_hd__inv_2 + PLACED ( 11960 21760 ) N ;
+ - _204_ sky130_fd_sc_hd__o211a_2 + PLACED ( 7820 16320 ) FN ;
+ - _205_ sky130_fd_sc_hd__inv_2 + PLACED ( 12880 19040 ) FS ;
+ - _206_ sky130_fd_sc_hd__o2bb2ai_2 + PLACED ( 31740 10880 ) FN ;
+ - _207_ sky130_fd_sc_hd__nand2_2 + PLACED ( 7360 13600 ) S ;
+ - _208_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 28060 13600 ) FS ;
+ - _209_ sky130_fd_sc_hd__inv_2 + PLACED ( 12420 10880 ) FN ;
+ - _210_ sky130_fd_sc_hd__o221a_2 + PLACED ( 8280 10880 ) N ;
+ - _211_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 11500 16320 ) FN ;
+ - _212_ sky130_fd_sc_hd__a22o_2 + PLACED ( 12880 24480 ) S ;
+ - _213_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 18860 21760 ) FN ;
+ - _214_ sky130_fd_sc_hd__or2_2 + PLACED ( 15640 27200 ) FN ;
+ - _215_ sky130_fd_sc_hd__a21bo_2 + PLACED ( 9200 24480 ) FS ;
+ - _216_ sky130_fd_sc_hd__nand2_2 + PLACED ( 7820 27200 ) FN ;
+ - _217_ sky130_fd_sc_hd__o221a_2 + PLACED ( 8740 19040 ) FS ;
+ - _218_ sky130_fd_sc_hd__o221ai_2 + PLACED ( 10120 27200 ) N ;
+ - _219_ sky130_fd_sc_hd__or2_2 + PLACED ( 35880 21760 ) N ;
+ - _220_ sky130_fd_sc_hd__inv_2 + PLACED ( 41400 24480 ) FS ;
+ - _221_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 43520 ) FN ;
+ - _222_ sky130_fd_sc_hd__inv_2 + PLACED ( 50600 43520 ) N ;
+ - _223_ sky130_fd_sc_hd__or3_2 + PLACED ( 45540 43520 ) N ;
+ - _224_ sky130_fd_sc_hd__or3_2 + PLACED ( 50140 24480 ) S ;
+ - _225_ sky130_fd_sc_hd__o2111ai_2 + PLACED ( 9660 13600 ) FS ;
+ - _226_ sky130_fd_sc_hd__and4_2 + PLACED ( 52900 13600 ) S ;
+ - _227_ sky130_fd_sc_hd__or2_2 + PLACED ( 54740 43520 ) N ;
+ - _228_ sky130_fd_sc_hd__inv_2 + PLACED ( 52440 40800 ) S ;
+ - _229_ sky130_fd_sc_hd__or2_2 + PLACED ( 41400 40800 ) FS ;
+ - _230_ sky130_fd_sc_hd__inv_2 + PLACED ( 42780 38080 ) FN ;
+ - _231_ sky130_fd_sc_hd__or2_2 + PLACED ( 51980 46240 ) FS ;
+ - _232_ sky130_fd_sc_hd__or2_2 + PLACED ( 50140 40800 ) S ;
+ - _233_ sky130_fd_sc_hd__or3_2 + PLACED ( 48300 16320 ) N ;
+ - _234_ sky130_fd_sc_hd__o221a_2 + PLACED ( 48760 19040 ) S ;
+ - _235_ sky130_fd_sc_hd__o31a_2 + PLACED ( 47840 21760 ) N ;
+ - _236_ sky130_fd_sc_hd__inv_2 + PLACED ( 37720 27200 ) N ;
+ - _237_ sky130_fd_sc_hd__a22o_2 + PLACED ( 53360 19040 ) FS ;
+ - _238_ sky130_fd_sc_hd__o22a_2 + PLACED ( 53360 24480 ) FS ;
+ - _239_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48760 38080 ) FN ;
+ - _240_ sky130_fd_sc_hd__a22o_2 + PLACED ( 49680 32640 ) FN ;
+ - _241_ sky130_fd_sc_hd__o32a_2 + PLACED ( 47840 35360 ) FS ;
+ - _242_ sky130_fd_sc_hd__a22o_2 + PLACED ( 41860 35360 ) FS ;
+ - _243_ sky130_fd_sc_hd__o22a_2 + PLACED ( 40480 32640 ) N ;
+ - _244_ sky130_fd_sc_hd__inv_2 + PLACED ( 34960 32640 ) FN ;
+ - _245_ sky130_fd_sc_hd__o32a_2 + PLACED ( 44620 32640 ) N ;
+ - _246_ sky130_fd_sc_hd__inv_2 + PLACED ( 39100 27200 ) FN ;
+ - _247_ sky130_fd_sc_hd__o22a_2 + PLACED ( 40480 27200 ) FN ;
+ - _248_ sky130_fd_sc_hd__inv_2 + PLACED ( 41860 29920 ) FS ;
+ - _249_ sky130_fd_sc_hd__a221o_2 + PLACED ( 44620 27200 ) N ;
+ - _250_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 43700 24480 ) FS ;
+ - _251_ sky130_fd_sc_hd__or2_2 + PLACED ( 45540 35360 ) FS ;
+ - _252_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 37720 38080 ) N ;
+ - _253_ sky130_fd_sc_hd__inv_2 + PLACED ( 33580 32640 ) FN ;
+ - _254_ sky130_fd_sc_hd__a221o_2 + PLACED ( 36340 32640 ) FN ;
+ - _255_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 33580 35360 ) FS ;
+ - _256_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43240 29920 ) S ;
+ - _257_ sky130_fd_sc_hd__a32o_2 + PLACED ( 36800 35360 ) FS ;
+ - _258_ sky130_fd_sc_hd__or2_2 + PLACED ( 53360 29920 ) FS ;
+ - _259_ sky130_fd_sc_hd__mux2_1 + PLACED ( 52440 35360 ) FS ;
+ - _260_ sky130_fd_sc_hd__o211a_2 + PLACED ( 53820 32640 ) N ;
+ - _261_ sky130_fd_sc_hd__o2bb2a_2 + PLACED ( 58420 32640 ) FN ;
+ - _262_ sky130_fd_sc_hd__nand2_2 + PLACED ( 46920 29920 ) S ;
+ - _263_ sky130_fd_sc_hd__a32o_2 + PLACED ( 49220 29920 ) FS ;
+ - _264_ sky130_fd_sc_hd__a2bb2o_2 + PLACED ( 57500 21760 ) FN ;
+ - _265_ sky130_fd_sc_hd__o22ai_2 + PLACED ( 52900 21760 ) FN ;
+ - _266_ sky130_fd_sc_hd__o22a_2 + PLACED ( 44620 16320 ) N ;
+ - _267_ sky130_fd_sc_hd__or3_2 + PLACED ( 25300 5440 ) FN ;
+ - _268_ sky130_fd_sc_hd__inv_2 + PLACED ( 23000 13600 ) S ;
+ - _269_ sky130_fd_sc_hd__nand2_2 + PLACED ( 28980 24480 ) FS ;
+ - _270_ sky130_fd_sc_hd__a21oi_2 + PLACED ( 20240 24480 ) S ;
+ - _271_ sky130_fd_sc_hd__o221a_2 + PLACED ( 24840 24480 ) FS ;
+ - _272_ sky130_fd_sc_hd__and4_2 + PLACED ( 28520 16320 ) N ;
+ - _273_ sky130_fd_sc_hd__o21ai_2 + PLACED ( 24380 10880 ) FN ;
+ - _274_ sky130_fd_sc_hd__a31o_2 + PLACED ( 24380 13600 ) FS ;
+ - _275_ sky130_fd_sc_hd__o221a_2 + PLACED ( 27600 10880 ) N ;
+ - _276_ sky130_fd_sc_hd__or2_2 + PLACED ( 31740 5440 ) N ;
+ - _277_ sky130_fd_sc_hd__a311o_2 + PLACED ( 24380 21760 ) N ;
+ - _278_ sky130_fd_sc_hd__or2_2 + PLACED ( 38180 46240 ) S ;
+ - _279_ sky130_fd_sc_hd__or2_2 + PLACED ( 31740 51680 ) S ;
+ - _280_ sky130_fd_sc_hd__or2_2 + PLACED ( 39560 43520 ) FN ;
+ - _281_ sky130_fd_sc_hd__o31a_2 + PLACED ( 34040 51680 ) FS ;
+ - _282_ sky130_fd_sc_hd__o31a_2 + PLACED ( 27600 51680 ) FS ;
+ - _283_ sky130_fd_sc_hd__o31a_2 + PLACED ( 28520 54400 ) N ;
+ - _284_ sky130_fd_sc_hd__o21a_2 + PLACED ( 31740 57120 ) S ;
+ - _285_ sky130_fd_sc_hd__o41a_2 + PLACED ( 34040 48960 ) N ;
+ - _286_ sky130_fd_sc_hd__o41a_2 + PLACED ( 28060 48960 ) N ;
+ - _287_ sky130_fd_sc_hd__o41a_2 + PLACED ( 31740 46240 ) FS ;
+ - _288_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 51680 ) FS ;
+ - _289_ sky130_fd_sc_hd__o31a_2 + PLACED ( 30820 43520 ) N ;
+ - _290_ sky130_fd_sc_hd__a31o_2 + PLACED ( 36340 43520 ) N ;
+ - _291_ sky130_fd_sc_hd__a31o_2 + PLACED ( 38180 40800 ) FS ;
+ - _292_ sky130_fd_sc_hd__nand2_2 + PLACED ( 57500 57120 ) FS ;
+ - _293_ sky130_fd_sc_hd__o311a_2 + PLACED ( 51980 51680 ) S ;
+ - _294_ sky130_fd_sc_hd__or3_2 + PLACED ( 55660 48960 ) N ;
+ - _295_ sky130_fd_sc_hd__o311a_2 + PLACED ( 50140 54400 ) N ;
+ - _296_ sky130_fd_sc_hd__o41a_2 + PLACED ( 46920 51680 ) FS ;
+ - _297_ sky130_fd_sc_hd__or4_2 + PLACED ( 41400 46240 ) FS ;
+ - _298_ sky130_fd_sc_hd__o311a_2 + PLACED ( 44620 48960 ) N ;
+ - _299_ sky130_fd_sc_hd__and2_2 + PLACED ( 54280 54400 ) N ;
+ - _300_ sky130_fd_sc_hd__o41a_2 + PLACED ( 51060 48960 ) N ;
+ - _301_ sky130_fd_sc_hd__nor2_2 + PLACED ( 41860 54400 ) N ;
+ - _302_ sky130_fd_sc_hd__and3_2 + PLACED ( 51980 43520 ) FN ;
+ - _303_ sky130_fd_sc_hd__o31a_2 + PLACED ( 44620 46240 ) FS ;
+ - _304_ sky130_fd_sc_hd__o311a_2 + PLACED ( 46000 40800 ) FS ;
+ - _305_ sky130_fd_sc_hd__buf_1 + PLACED ( 12880 5440 ) N ;
+ - _306_ sky130_fd_sc_hd__buf_1 + PLACED ( 42780 10880 ) FN ;
+ - _307_ sky130_fd_sc_hd__buf_1 + PLACED ( 37720 19040 ) FS ;
+ - _308_ sky130_fd_sc_hd__buf_1 + PLACED ( 59340 10880 ) FN ;
+ - _309_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 8160 ) S ;
+ - _310_ sky130_fd_sc_hd__buf_1 + PLACED ( 46920 5440 ) N ;
+ - _311_ sky130_fd_sc_hd__buf_1 + PLACED ( 62560 24480 ) FS ;
+ - _312_ sky130_fd_sc_hd__buf_1 + PLACED ( 29900 29920 ) FS ;
+ - _313_ sky130_fd_sc_hd__buf_1 + PLACED ( 28060 29920 ) FS ;
+ - _314_ sky130_fd_sc_hd__buf_1 + PLACED ( 62560 32640 ) N ;
+ - _315_ sky130_fd_sc_hd__buf_1 + PLACED ( 55660 29920 ) S ;
+ - _316_ sky130_fd_sc_hd__buf_1 + PLACED ( 62100 16320 ) N ;
+ - _317_ sky130_fd_sc_hd__buf_1 + PLACED ( 44620 21760 ) FN ;
+ - _318_ sky130_fd_sc_hd__buf_1 + PLACED ( 25760 29920 ) S ;
+ - _319_ sky130_fd_sc_hd__buf_1 + PLACED ( 32660 21760 ) N ;
+ - _320_ sky130_fd_sc_hd__buf_1 + PLACED ( 28060 5440 ) FN ;
+ - _321_ sky130_fd_sc_hd__buf_1 + PLACED ( 36340 5440 ) N ;
+ - _322_ sky130_fd_sc_hd__buf_1 + PLACED ( 28520 21760 ) FN ;
+ - _323_ sky130_fd_sc_hd__buf_1 + PLACED ( 63480 16320 ) N ;
+ - _324_ sky130_fd_sc_hd__buf_1 + PLACED ( 61640 10880 ) N ;
+ - _325_ sky130_fd_sc_hd__buf_1 + PLACED ( 55660 5440 ) N ;
+ - _326_ sky130_fd_sc_hd__buf_1 + PLACED ( 65780 35360 ) S ;
+ - _327_ sky130_fd_sc_hd__buf_1 + PLACED ( 12880 32640 ) N ;
+ - _328_ sky130_fd_sc_hd__buf_2 + PLACED ( 7360 5440 ) FN ;
+ - _329_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51980 57120 ) S ;
+ - _330_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23920 46240 ) S ;
+ - _331_ sky130_fd_sc_hd__mux2_1 + PLACED ( 61180 35360 ) FS ;
+ - _332_ sky130_fd_sc_hd__mux2_1 + PLACED ( 37720 51680 ) S ;
+ - _333_ sky130_fd_sc_hd__mux2_1 + PLACED ( 63940 32640 ) N ;
+ - _334_ sky130_fd_sc_hd__mux2_1 + PLACED ( 32200 54400 ) FN ;
+ - _335_ sky130_fd_sc_hd__mux2_1 + PLACED ( 63480 48960 ) N ;
+ - _336_ sky130_fd_sc_hd__mux2_1 + PLACED ( 33120 62560 ) S ;
+ - _337_ sky130_fd_sc_hd__mux2_1 + PLACED ( 57500 51680 ) FS ;
+ - _338_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34500 65280 ) FN ;
+ - _339_ sky130_fd_sc_hd__mux2_1 + PLACED ( 45540 59840 ) FN ;
+ - _340_ sky130_fd_sc_hd__mux2_1 + PLACED ( 27140 59840 ) FN ;
+ - _341_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51980 59840 ) N ;
+ - _342_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 57120 ) S ;
+ - _343_ sky130_fd_sc_hd__mux2_1 + PLACED ( 44620 54400 ) N ;
+ - _344_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 59840 ) N ;
+ - _345_ sky130_fd_sc_hd__mux2_1 + PLACED ( 51060 65280 ) N ;
+ - _346_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 57120 ) FS ;
+ - _347_ sky130_fd_sc_hd__mux2_1 + PLACED ( 57500 65280 ) N ;
+ - _348_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 51680 ) S ;
+ - _349_ sky130_fd_sc_hd__mux2_1 + PLACED ( 46000 57120 ) FS ;
+ - _350_ sky130_fd_sc_hd__mux2_1 + PLACED ( 7360 43520 ) FN ;
+ - _351_ sky130_fd_sc_hd__mux2_1 + PLACED ( 40020 48960 ) N ;
+ - _352_ sky130_fd_sc_hd__mux2_1 + PLACED ( 6900 38080 ) FN ;
+ - _353_ sky130_fd_sc_hd__mux2_1 + PLACED ( 34040 40800 ) FS ;
+ - _354_ sky130_fd_sc_hd__mux2_1 + PLACED ( 23920 48960 ) N ;
+ - _355_ sky130_fd_sc_hd__mux2_1 + PLACED ( 44620 38080 ) N ;
+ - _356_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 8160 ) FS ;
+ - _357_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 5440 ) N ;
+ - _358_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 13600 ) FS ;
+ - _359_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 21620 19040 ) FS ;
+ - _360_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 8160 ) FS ;
+ - _361_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 20700 8160 ) S ;
+ - _362_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 24480 ) S ;
+ - _363_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 19320 27200 ) N ;
+ - _364_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 39100 19040 ) FS ;
+ - _365_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 57500 19040 ) FS ;
+ - _366_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 48760 27200 ) N ;
+ - _367_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 29920 ) FS ;
+ - _368_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 23920 32640 ) N ;
+ - _369_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 31740 29920 ) FS ;
+ - _370_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 58420 27200 ) N ;
+ - _371_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 41860 8160 ) FS ;
+ - _372_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 49680 10880 ) N ;
+ - _373_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 51060 16320 ) N ;
+ - _374_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 33120 16320 ) N ;
+ - _375_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 39100 13600 ) S ;
+ - _376_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 7820 8160 ) FS ;
+ - _377_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 18860 16320 ) FN ;
+ - _378_ sky130_fd_sc_hd__dfrtp_2 + PLACED ( 8280 29920 ) FS ;
+ - ringosc.dstage\[0\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 22080 43520 ) FN ;
+ - ringosc.dstage\[0\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17020 43520 ) N ;
+ - ringosc.dstage\[0\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11040 38080 ) N ;
+ - ringosc.dstage\[0\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 14720 38080 ) FN ;
+ - ringosc.dstage\[0\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 7820 40800 ) FS ;
+ - ringosc.dstage\[0\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 16100 40800 ) S ;
+ - ringosc.dstage\[0\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 14720 35360 ) S ;
+ - ringosc.dstage\[10\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 61640 48960 ) N ;
+ - ringosc.dstage\[10\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 65780 40800 ) S ;
+ - ringosc.dstage\[10\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 59800 43520 ) N ;
+ - ringosc.dstage\[10\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 63940 38080 ) N ;
+ - ringosc.dstage\[10\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 46240 ) FS ;
+ - ringosc.dstage\[10\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 63020 43520 ) N ;
+ - ringosc.dstage\[10\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 65780 46240 ) S ;
+ - ringosc.dstage\[11\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 57960 43520 ) N ;
+ - ringosc.dstage\[11\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 59800 35360 ) FS ;
+ - ringosc.dstage\[11\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 53820 40800 ) S ;
+ - ringosc.dstage\[11\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 55660 38080 ) FN ;
+ - ringosc.dstage\[11\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 40800 ) FS ;
+ - ringosc.dstage\[11\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 58880 38080 ) FN ;
+ - ringosc.dstage\[11\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 54280 38080 ) FN ;
+ - ringosc.dstage\[1\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15180 43520 ) N ;
+ - ringosc.dstage\[1\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 46240 ) FS ;
+ - ringosc.dstage\[1\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11960 43520 ) N ;
+ - ringosc.dstage\[1\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 18860 43520 ) FN ;
+ - ringosc.dstage\[1\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 8280 46240 ) FS ;
+ - ringosc.dstage\[1\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 17020 46240 ) S ;
+ - ringosc.dstage\[1\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 18860 48960 ) N ;
+ - ringosc.dstage\[2\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 14260 48960 ) N ;
+ - ringosc.dstage\[2\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 51680 ) FS ;
+ - ringosc.dstage\[2\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 11040 57120 ) FS ;
+ - ringosc.dstage\[2\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 14260 57120 ) FS ;
+ - ringosc.dstage\[2\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 7820 54400 ) N ;
+ - ringosc.dstage\[2\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 12880 51680 ) FS ;
+ - ringosc.dstage\[2\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 16100 54400 ) N ;
+ - ringosc.dstage\[3\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15640 59840 ) N ;
+ - ringosc.dstage\[3\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15640 65280 ) N ;
+ - ringosc.dstage\[3\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 9200 65280 ) N ;
+ - ringosc.dstage\[3\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 12420 65280 ) N ;
+ - ringosc.dstage\[3\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 6900 62560 ) FS ;
+ - ringosc.dstage\[3\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 10580 59840 ) N ;
+ - ringosc.dstage\[3\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 17020 65280 ) N ;
+ - ringosc.dstage\[4\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 15640 62560 ) FS ;
+ - ringosc.dstage\[4\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 62560 ) FS ;
+ - ringosc.dstage\[4\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 21620 65280 ) N ;
+ - ringosc.dstage\[4\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 25760 65280 ) N ;
+ - ringosc.dstage\[4\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 17480 62560 ) FS ;
+ - ringosc.dstage\[4\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 25760 62560 ) FS ;
+ - ringosc.dstage\[4\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 28980 65280 ) N ;
+ - ringosc.dstage\[5\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 24380 59840 ) N ;
+ - ringosc.dstage\[5\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 29440 57120 ) FS ;
+ - ringosc.dstage\[5\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 23000 57120 ) FS ;
+ - ringosc.dstage\[5\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 26220 57120 ) S ;
+ - ringosc.dstage\[5\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 19780 54400 ) N ;
+ - ringosc.dstage\[5\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 22540 51680 ) S ;
+ - ringosc.dstage\[5\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 21160 51680 ) S ;
+ - ringosc.dstage\[6\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 34960 57120 ) FS ;
+ - ringosc.dstage\[6\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40480 54400 ) FN ;
+ - ringosc.dstage\[6\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 39560 59840 ) N ;
+ - ringosc.dstage\[6\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 42320 57120 ) S ;
+ - ringosc.dstage\[6\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 31280 59840 ) N ;
+ - ringosc.dstage\[6\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 37260 57120 ) S ;
+ - ringosc.dstage\[6\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 42780 59840 ) N ;
+ - ringosc.dstage\[7\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 38180 62560 ) FS ;
+ - ringosc.dstage\[7\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 49680 59840 ) FN ;
+ - ringosc.dstage\[7\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 44620 65280 ) N ;
+ - ringosc.dstage\[7\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 47840 65280 ) N ;
+ - ringosc.dstage\[7\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 40020 62560 ) FS ;
+ - ringosc.dstage\[7\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 48300 62560 ) FS ;
+ - ringosc.dstage\[7\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 50140 57120 ) S ;
+ - ringosc.dstage\[8\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 57500 62560 ) FS ;
+ - ringosc.dstage\[8\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 62560 59840 ) N ;
+ - ringosc.dstage\[8\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 61640 65280 ) N ;
+ - ringosc.dstage\[8\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 53820 62560 ) FS ;
+ - ringosc.dstage\[8\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 59340 62560 ) FS ;
+ - ringosc.dstage\[8\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 57500 59840 ) N ;
+ - ringosc.dstage\[8\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 63940 59840 ) N ;
+ - ringosc.dstage\[9\].id.delaybuf0 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 65320 59840 ) N ;
+ - ringosc.dstage\[9\].id.delaybuf1 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 65780 54400 ) FN ;
+ - ringosc.dstage\[9\].id.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 61640 51680 ) FS ;
+ - ringosc.dstage\[9\].id.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 64860 51680 ) FS ;
+ - ringosc.dstage\[9\].id.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 57500 54400 ) N ;
+ - ringosc.dstage\[9\].id.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 62560 57120 ) FS ;
+ - ringosc.dstage\[9\].id.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 61180 57120 ) S ;
+ - ringosc.ibufp00 sky130_fd_sc_hd__clkinv_2 + PLACED ( 21160 35360 ) FS ;
+ - ringosc.ibufp01 sky130_fd_sc_hd__clkinv_8 + PLACED ( 19780 29920 ) FS ;
+ - ringosc.ibufp10 sky130_fd_sc_hd__clkinv_2 + PLACED ( 12880 35360 ) S ;
+ - ringosc.ibufp11 sky130_fd_sc_hd__clkinv_8 + PLACED ( 6900 35360 ) S ;
+ - ringosc.iss.const1 sky130_fd_sc_hd__conb_1 + PLACED ( 23920 43520 ) N ;
+ - ringosc.iss.ctrlen0 sky130_fd_sc_hd__or2_2 + PLACED ( 21160 38080 ) FN ;
+ - ringosc.iss.delaybuf0 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 32200 35360 ) S ;
+ - ringosc.iss.delayen0 sky130_fd_sc_hd__einvp_2 + PLACED ( 24380 38080 ) N ;
+ - ringosc.iss.delayen1 sky130_fd_sc_hd__einvp_2 + PLACED ( 33580 38080 ) FN ;
+ - ringosc.iss.delayenb0 sky130_fd_sc_hd__einvn_8 + PLACED ( 22080 40800 ) FS ;
+ - ringosc.iss.delayenb1 sky130_fd_sc_hd__einvn_4 + PLACED ( 28520 38080 ) FN ;
+ - ringosc.iss.delayint0 sky130_fd_sc_hd__clkinv_1 + PLACED ( 31740 40800 ) S ;
+ - ringosc.iss.reseten0 sky130_fd_sc_hd__einvp_1 + PLACED ( 18860 38080 ) N ;
+END COMPONENTS
+PINS 39 ;
+ - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE GROUND
+ + PORT
+ + LAYER met4 ( -800 -31520 ) ( 800 31520 )
+ + LAYER met5 ( -36320 4330 ) ( 27620 5930 )
+ + FIXED ( 41840 36720 ) N ;
+ - VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE POWER
+ + PORT
+ + LAYER met4 ( -800 -31520 ) ( 800 31520 )
+ + LAYER met4 ( -40800 -31520 ) ( -39200 31520 )
+ + LAYER met5 ( -56320 24330 ) ( 7620 25930 )
+ + LAYER met5 ( -56320 -15670 ) ( 7620 -14070 )
+ + FIXED ( 61840 36720 ) N ;
+ - clockp[0] + NET clockp[0] + DIRECTION OUTPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 2380 ) N ;
+ - clockp[1] + NET clockp[1] + DIRECTION OUTPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 6460 ) N ;
+ - dco + NET dco + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 39780 ) N ;
+ - div[0] + NET div[0] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 11220 ) N ;
+ - div[1] + NET div[1] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 15980 ) N ;
+ - div[2] + NET div[2] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 20740 ) N ;
+ - div[3] + NET div[3] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 25500 ) N ;
+ - div[4] + NET div[4] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 30260 ) N ;
+ - enable + NET enable + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 35020 ) N ;
+ - ext_trim[0] + NET ext_trim[0] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 43860 ) N ;
+ - ext_trim[10] + NET ext_trim[10] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 20010 73000 ) N ;
+ - ext_trim[11] + NET ext_trim[11] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 25990 73000 ) N ;
+ - ext_trim[12] + NET ext_trim[12] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 31510 73000 ) N ;
+ - ext_trim[13] + NET ext_trim[13] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 37490 73000 ) N ;
+ - ext_trim[14] + NET ext_trim[14] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 43010 73000 ) N ;
+ - ext_trim[15] + NET ext_trim[15] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 48990 73000 ) N ;
+ - ext_trim[16] + NET ext_trim[16] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 54510 73000 ) N ;
+ - ext_trim[17] + NET ext_trim[17] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 60490 73000 ) N ;
+ - ext_trim[18] + NET ext_trim[18] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 66010 73000 ) N ;
+ - ext_trim[19] + NET ext_trim[19] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 71990 73000 ) N ;
+ - ext_trim[1] + NET ext_trim[1] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 48620 ) N ;
+ - ext_trim[20] + NET ext_trim[20] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 73000 68340 ) N ;
+ - ext_trim[21] + NET ext_trim[21] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 73000 56100 ) N ;
+ - ext_trim[22] + NET ext_trim[22] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 73000 43860 ) N ;
+ - ext_trim[23] + NET ext_trim[23] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 73000 30940 ) N ;
+ - ext_trim[24] + NET ext_trim[24] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 73000 18700 ) N ;
+ - ext_trim[25] + NET ext_trim[25] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 73000 6460 ) N ;
+ - ext_trim[2] + NET ext_trim[2] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 53380 ) N ;
+ - ext_trim[3] + NET ext_trim[3] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 58140 ) N ;
+ - ext_trim[4] + NET ext_trim[4] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 62900 ) N ;
+ - ext_trim[5] + NET ext_trim[5] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 67660 ) N ;
+ - ext_trim[6] + NET ext_trim[6] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ + PLACED ( 2000 72420 ) N ;
+ - ext_trim[7] + NET ext_trim[7] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 2990 73000 ) N ;
+ - ext_trim[8] + NET ext_trim[8] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 8510 73000 ) N ;
+ - ext_trim[9] + NET ext_trim[9] + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 14490 73000 ) N ;
+ - osc + NET osc + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 55890 2000 ) N ;
+ - resetb + NET resetb + DIRECTION INPUT + USE SIGNAL
+ + PORT
+ + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ + PLACED ( 18630 2000 ) N ;
+END PINS
+SPECIALNETS 2 ;
+ - VGND ( PIN VGND ) ( * VNB ) ( * VGND ) + USE GROUND
+ + ROUTED met3 0 + SHAPE STRIPE ( 41840 65280 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 65280 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 65280 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 59840 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 59840 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 59840 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 54400 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 54400 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 54400 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 48960 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 48960 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 48960 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 43520 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 43520 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 43520 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 38080 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 38080 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 38080 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 32640 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 32640 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 32640 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 27200 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 27200 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 27200 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 21760 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 21760 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 21760 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 16320 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 16320 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 16320 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 10880 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 10880 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 10880 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 41840 5440 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 41840 5440 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 41840 5440 ) via_1600x480
+ NEW met4 0 + SHAPE STRIPE ( 41840 41850 ) via4_1600x1600
+ NEW met5 1600 + SHAPE STRIPE ( 5520 41850 ) ( 69460 41850 )
+ NEW met4 1600 + SHAPE STRIPE ( 41840 5200 ) ( 41840 68240 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 69460 65280 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 69460 59840 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 69460 54400 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 69460 48960 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 69460 43520 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 69460 38080 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 69460 32640 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 69460 27200 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 69460 21760 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 69460 16320 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 69460 10880 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 5440 ) ( 69460 5440 ) ;
+ - VPWR ( PIN VPWR ) ( * VPB ) ( * VPWR ) + USE POWER
+ + ROUTED met3 0 + SHAPE STRIPE ( 61840 68000 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 68000 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 68000 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 62560 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 62560 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 62560 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 57120 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 57120 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 57120 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 51680 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 51680 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 51680 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 46240 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 46240 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 46240 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 40800 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 40800 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 40800 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 35360 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 35360 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 35360 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 29920 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 29920 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 29920 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 24480 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 24480 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 24480 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 19040 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 19040 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 19040 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 13600 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 13600 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 13600 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 61840 8160 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 61840 8160 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 61840 8160 ) via_1600x480
+ NEW met3 0 + SHAPE STRIPE ( 21840 8160 ) via3_1600x480
+ NEW met2 0 + SHAPE STRIPE ( 21840 8160 ) via2_1600x480
+ NEW met1 0 + SHAPE STRIPE ( 21840 8160 ) via_1600x480
+ NEW met4 0 + SHAPE STRIPE ( 61840 61850 ) via4_1600x1600
+ NEW met4 0 + SHAPE STRIPE ( 21840 61850 ) via4_1600x1600
+ NEW met4 0 + SHAPE STRIPE ( 61840 21850 ) via4_1600x1600
+ NEW met4 0 + SHAPE STRIPE ( 21840 21850 ) via4_1600x1600
+ NEW met5 1600 + SHAPE STRIPE ( 5520 61850 ) ( 69460 61850 )
+ NEW met5 1600 + SHAPE STRIPE ( 5520 21850 ) ( 69460 21850 )
+ NEW met4 1600 + SHAPE STRIPE ( 61840 5200 ) ( 61840 68240 )
+ NEW met4 1600 + SHAPE STRIPE ( 21840 5200 ) ( 21840 68240 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 69460 68000 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 69460 62560 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 69460 57120 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 69460 51680 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 69460 46240 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 69460 40800 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 69460 35360 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 69460 29920 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 69460 24480 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 69460 19040 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 69460 13600 )
+ NEW met1 480 + SHAPE FOLLOWPIN ( 5520 8160 ) ( 69460 8160 ) ;
+END SPECIALNETS
+NETS 320 ;
+ - _000_ ( _329_ A1 ) ( _304_ C1 ) ( _293_ C1 ) ( _232_ B ) ( _231_ X ) + USE SIGNAL
+ + ROUTED met2 ( 52210 53210 ) ( * 58650 )
+ NEW met1 ( 52210 58650 ) ( 53590 * )
+ NEW met1 ( 54050 48110 ) ( * 48450 )
+ NEW met1 ( 52210 48450 ) ( 54050 * )
+ NEW met2 ( 52210 48450 ) ( * 53210 )
+ NEW met2 ( 52210 42670 ) ( * 48450 )
+ NEW met1 ( 49910 42330 ) ( 50370 * )
+ NEW met1 ( 50370 42330 ) ( * 42670 )
+ NEW met1 ( 50370 42670 ) ( 52210 * )
+ NEW li1 ( 52210 53210 ) L1M1_PR_MR
+ NEW met1 ( 52210 53210 ) M1M2_PR
+ NEW met1 ( 52210 58650 ) M1M2_PR
+ NEW li1 ( 53590 58650 ) L1M1_PR_MR
+ NEW li1 ( 54050 48110 ) L1M1_PR_MR
+ NEW met1 ( 52210 48450 ) M1M2_PR
+ NEW li1 ( 52210 42670 ) L1M1_PR_MR
+ NEW met1 ( 52210 42670 ) M1M2_PR
+ NEW li1 ( 49910 42330 ) L1M1_PR_MR
+ NEW met1 ( 52210 53210 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 52210 42670 ) RECT ( -355 -70 0 70 ) ;
+ - _001_ ( _352_ A0 ) ( _233_ C ) ( _232_ X ) + USE SIGNAL
+ + ROUTED met2 ( 50830 38930 ) ( * 41310 )
+ NEW met2 ( 48530 17510 ) ( * 38930 )
+ NEW met2 ( 42090 38930 ) ( * 39100 )
+ NEW met3 ( 8970 39100 ) ( 42090 * )
+ NEW met2 ( 8970 38930 ) ( * 39100 )
+ NEW met1 ( 42090 38930 ) ( 50830 * )
+ NEW li1 ( 50830 41310 ) L1M1_PR_MR
+ NEW met1 ( 50830 41310 ) M1M2_PR
+ NEW met1 ( 50830 38930 ) M1M2_PR
+ NEW li1 ( 48530 17510 ) L1M1_PR_MR
+ NEW met1 ( 48530 17510 ) M1M2_PR
+ NEW met1 ( 48530 38930 ) M1M2_PR
+ NEW met1 ( 42090 38930 ) M1M2_PR
+ NEW met2 ( 42090 39100 ) M2M3_PR_M
+ NEW met2 ( 8970 39100 ) M2M3_PR_M
+ NEW li1 ( 8970 38930 ) L1M1_PR_MR
+ NEW met1 ( 8970 38930 ) M1M2_PR
+ NEW met1 ( 50830 41310 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 48530 17510 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48530 38930 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 8970 38930 ) RECT ( -355 -70 0 70 ) ;
+ - _002_ ( _350_ A0 ) ( _289_ X ) + USE SIGNAL
+ + ROUTED met1 ( 9430 44710 ) ( 13800 * )
+ NEW met1 ( 13800 44710 ) ( * 45730 )
+ NEW met1 ( 13800 45730 ) ( 31510 * )
+ NEW li1 ( 9430 44710 ) L1M1_PR_MR
+ NEW li1 ( 31510 45730 ) L1M1_PR_MR ;
+ - _003_ ( _348_ A0 ) ( _289_ B1 ) ( _287_ B1 ) ( _286_ B1 ) ( _285_ B1 ) ( _284_ X ) + USE SIGNAL
+ + ROUTED met1 ( 8970 52870 ) ( * 53210 )
+ NEW met1 ( 8970 52870 ) ( 13800 * )
+ NEW met1 ( 29670 50150 ) ( 30130 * )
+ NEW met2 ( 29670 50150 ) ( * 52530 )
+ NEW met1 ( 13800 52530 ) ( 29670 * )
+ NEW met1 ( 13800 52530 ) ( * 52870 )
+ NEW met1 ( 36110 49810 ) ( * 50150 )
+ NEW met1 ( 30130 49810 ) ( 36110 * )
+ NEW met1 ( 30130 49810 ) ( * 50150 )
+ NEW met2 ( 33810 47770 ) ( * 49810 )
+ NEW met2 ( 33810 44710 ) ( * 47770 )
+ NEW met1 ( 33810 57630 ) ( 34270 * )
+ NEW met2 ( 33810 49810 ) ( * 57630 )
+ NEW li1 ( 8970 53210 ) L1M1_PR_MR
+ NEW li1 ( 30130 50150 ) L1M1_PR_MR
+ NEW met1 ( 29670 50150 ) M1M2_PR
+ NEW met1 ( 29670 52530 ) M1M2_PR
+ NEW li1 ( 36110 50150 ) L1M1_PR_MR
+ NEW li1 ( 33810 47770 ) L1M1_PR_MR
+ NEW met1 ( 33810 47770 ) M1M2_PR
+ NEW met1 ( 33810 49810 ) M1M2_PR
+ NEW li1 ( 33810 44710 ) L1M1_PR_MR
+ NEW met1 ( 33810 44710 ) M1M2_PR
+ NEW li1 ( 34270 57630 ) L1M1_PR_MR
+ NEW met1 ( 33810 57630 ) M1M2_PR
+ NEW met1 ( 33810 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 33810 49810 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 33810 44710 ) RECT ( 0 -70 355 70 ) ;
+ - _004_ ( _346_ A0 ) ( _288_ B ) ( _284_ B1 ) ( _283_ B1 ) ( _282_ B1 ) ( _281_ B1 ) ( _279_ B )
+ ( _278_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38410 48110 ) ( 38870 * )
+ NEW met1 ( 32890 58650 ) ( 33350 * )
+ NEW met2 ( 32890 58650 ) ( * 58820 )
+ NEW met3 ( 8970 58820 ) ( 32890 * )
+ NEW met2 ( 8970 58820 ) ( * 58990 )
+ NEW met1 ( 31510 55590 ) ( * 55600 )
+ NEW met1 ( 31510 55600 ) ( 31970 * )
+ NEW met1 ( 31970 55590 ) ( * 55600 )
+ NEW met1 ( 31970 55590 ) ( 32430 * )
+ NEW met2 ( 32430 55590 ) ( * 58650 )
+ NEW met2 ( 32430 58650 ) ( 32890 * )
+ NEW met1 ( 30590 53210 ) ( 32430 * )
+ NEW met2 ( 32430 53210 ) ( * 55590 )
+ NEW met1 ( 32430 53550 ) ( 33810 * )
+ NEW met1 ( 32430 53210 ) ( * 53550 )
+ NEW met1 ( 37030 53210 ) ( * 53230 )
+ NEW met1 ( 37030 53230 ) ( 37490 * )
+ NEW met1 ( 37490 52870 ) ( * 53230 )
+ NEW met1 ( 33810 52870 ) ( 37490 * )
+ NEW met1 ( 33810 52870 ) ( * 53550 )
+ NEW met1 ( 39330 53210 ) ( 42090 * )
+ NEW met1 ( 39330 53210 ) ( * 53550 )
+ NEW met1 ( 37490 53550 ) ( 39330 * )
+ NEW met1 ( 37490 53230 ) ( * 53550 )
+ NEW met2 ( 38870 48110 ) ( * 53550 )
+ NEW li1 ( 38410 48110 ) L1M1_PR_MR
+ NEW met1 ( 38870 48110 ) M1M2_PR
+ NEW li1 ( 33350 58650 ) L1M1_PR_MR
+ NEW met1 ( 32890 58650 ) M1M2_PR
+ NEW met2 ( 32890 58820 ) M2M3_PR_M
+ NEW met2 ( 8970 58820 ) M2M3_PR_M
+ NEW li1 ( 8970 58990 ) L1M1_PR_MR
+ NEW met1 ( 8970 58990 ) M1M2_PR
+ NEW li1 ( 31510 55590 ) L1M1_PR_MR
+ NEW met1 ( 32430 55590 ) M1M2_PR
+ NEW li1 ( 30590 53210 ) L1M1_PR_MR
+ NEW met1 ( 32430 53210 ) M1M2_PR
+ NEW li1 ( 33810 53550 ) L1M1_PR_MR
+ NEW li1 ( 37030 53210 ) L1M1_PR_MR
+ NEW li1 ( 42090 53210 ) L1M1_PR_MR
+ NEW met1 ( 38870 53550 ) M1M2_PR
+ NEW met1 ( 8970 58990 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38870 53550 ) RECT ( -595 -70 0 70 ) ;
+ - _005_ ( _344_ A0 ) ( _286_ X ) + USE SIGNAL
+ + ROUTED met2 ( 28750 51170 ) ( * 60690 )
+ NEW met1 ( 20930 60690 ) ( 28750 * )
+ NEW li1 ( 28750 51170 ) L1M1_PR_MR
+ NEW met1 ( 28750 51170 ) M1M2_PR
+ NEW met1 ( 28750 60690 ) M1M2_PR
+ NEW li1 ( 20930 60690 ) L1M1_PR_MR
+ NEW met1 ( 28750 51170 ) RECT ( -355 -70 0 70 ) ;
+ - _006_ ( _342_ A0 ) ( _283_ X ) + USE SIGNAL
+ + ROUTED met1 ( 28290 56610 ) ( 29210 * )
+ NEW met2 ( 28290 56610 ) ( * 58650 )
+ NEW met1 ( 20930 58650 ) ( 28290 * )
+ NEW li1 ( 29210 56610 ) L1M1_PR_MR
+ NEW met1 ( 28290 56610 ) M1M2_PR
+ NEW met1 ( 28290 58650 ) M1M2_PR
+ NEW li1 ( 20930 58650 ) L1M1_PR_MR ;
+ - _007_ ( _340_ A0 ) ( _279_ X ) + USE SIGNAL
+ + ROUTED met2 ( 31970 53550 ) ( * 60350 )
+ NEW met1 ( 29210 60350 ) ( 31970 * )
+ NEW li1 ( 31970 53550 ) L1M1_PR_MR
+ NEW met1 ( 31970 53550 ) M1M2_PR
+ NEW met1 ( 31970 60350 ) M1M2_PR
+ NEW li1 ( 29210 60350 ) L1M1_PR_MR
+ NEW met1 ( 31970 53550 ) RECT ( -355 -70 0 70 ) ;
+ - _008_ ( _338_ A0 ) ( _290_ X ) + USE SIGNAL
+ + ROUTED met2 ( 36570 45390 ) ( * 65790 )
+ NEW li1 ( 36570 65790 ) L1M1_PR_MR
+ NEW met1 ( 36570 65790 ) M1M2_PR
+ NEW li1 ( 36570 45390 ) L1M1_PR_MR
+ NEW met1 ( 36570 45390 ) M1M2_PR
+ NEW met1 ( 36570 65790 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 36570 45390 ) RECT ( -355 -70 0 70 ) ;
+ - _009_ ( _336_ A0 ) ( _281_ X ) + USE SIGNAL
+ + ROUTED met1 ( 34730 53890 ) ( 35190 * )
+ NEW met2 ( 35190 53890 ) ( * 64090 )
+ NEW li1 ( 35190 64090 ) L1M1_PR_MR
+ NEW met1 ( 35190 64090 ) M1M2_PR
+ NEW li1 ( 34730 53890 ) L1M1_PR_MR
+ NEW met1 ( 35190 53890 ) M1M2_PR
+ NEW met1 ( 35190 64090 ) RECT ( -355 -70 0 70 ) ;
+ - _010_ ( _334_ A0 ) ( _285_ X ) + USE SIGNAL
+ + ROUTED met2 ( 34730 51170 ) ( * 55250 )
+ NEW met1 ( 34270 55250 ) ( 34730 * )
+ NEW li1 ( 34730 51170 ) L1M1_PR_MR
+ NEW met1 ( 34730 51170 ) M1M2_PR
+ NEW met1 ( 34730 55250 ) M1M2_PR
+ NEW li1 ( 34270 55250 ) L1M1_PR_MR
+ NEW met1 ( 34730 51170 ) RECT ( -355 -70 0 70 ) ;
+ - _011_ ( _332_ A0 ) ( _288_ X ) + USE SIGNAL
+ + ROUTED met1 ( 39790 53550 ) ( 43930 * )
+ NEW li1 ( 39790 53550 ) L1M1_PR_MR
+ NEW li1 ( 43930 53550 ) L1M1_PR_MR ;
+ - _012_ ( _330_ A0 ) ( _287_ X ) + USE SIGNAL
+ + ROUTED met1 ( 25990 47770 ) ( 32430 * )
+ NEW li1 ( 32430 47770 ) L1M1_PR_MR
+ NEW li1 ( 25990 47770 ) L1M1_PR_MR ;
+ - _013_ ( _354_ A0 ) ( _282_ X ) + USE SIGNAL
+ + ROUTED met2 ( 25990 50150 ) ( * 52190 )
+ NEW met1 ( 25990 52190 ) ( 28290 * )
+ NEW li1 ( 25990 50150 ) L1M1_PR_MR
+ NEW met1 ( 25990 50150 ) M1M2_PR
+ NEW met1 ( 25990 52190 ) M1M2_PR
+ NEW li1 ( 28290 52190 ) L1M1_PR_MR
+ NEW met1 ( 25990 50150 ) RECT ( -355 -70 0 70 ) ;
+ - _014_ ( _353_ A0 ) ( _291_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36110 42330 ) ( 38410 * )
+ NEW li1 ( 36110 42330 ) L1M1_PR_MR
+ NEW li1 ( 38410 42330 ) L1M1_PR_MR ;
+ - _015_ ( _329_ A0 ) ( _292_ B ) ( _224_ C ) ( _223_ X ) + USE SIGNAL
+ + ROUTED met1 ( 47610 44030 ) ( 48990 * )
+ NEW met2 ( 48990 26010 ) ( * 44030 )
+ NEW met1 ( 48990 26010 ) ( 52670 * )
+ NEW met1 ( 51750 58990 ) ( 54050 * )
+ NEW li1 ( 51750 57630 ) ( * 58990 )
+ NEW met1 ( 48990 57630 ) ( 51750 * )
+ NEW met2 ( 48990 44030 ) ( * 57630 )
+ NEW met1 ( 54050 58650 ) ( 57730 * )
+ NEW met1 ( 54050 58650 ) ( * 58990 )
+ NEW li1 ( 47610 44030 ) L1M1_PR_MR
+ NEW met1 ( 48990 44030 ) M1M2_PR
+ NEW met1 ( 48990 26010 ) M1M2_PR
+ NEW li1 ( 52670 26010 ) L1M1_PR_MR
+ NEW li1 ( 54050 58990 ) L1M1_PR_MR
+ NEW li1 ( 51750 58990 ) L1M1_PR_MR
+ NEW li1 ( 51750 57630 ) L1M1_PR_MR
+ NEW met1 ( 48990 57630 ) M1M2_PR
+ NEW li1 ( 57730 58650 ) L1M1_PR_MR ;
+ - _016_ ( _351_ A0 ) ( _298_ X ) + USE SIGNAL
+ + ROUTED met1 ( 42090 50150 ) ( 44850 * )
+ NEW li1 ( 42090 50150 ) L1M1_PR_MR
+ NEW li1 ( 44850 50150 ) L1M1_PR_MR ;
+ - _017_ ( _349_ A0 ) ( _296_ B1 ) ( _295_ X ) + USE SIGNAL
+ + ROUTED met2 ( 50830 56610 ) ( * 58990 )
+ NEW met1 ( 48070 58990 ) ( 50830 * )
+ NEW met1 ( 48530 53210 ) ( 48990 * )
+ NEW met2 ( 48530 53210 ) ( * 56610 )
+ NEW met1 ( 48530 56610 ) ( 50830 * )
+ NEW li1 ( 50830 56610 ) L1M1_PR_MR
+ NEW met1 ( 50830 56610 ) M1M2_PR
+ NEW met1 ( 50830 58990 ) M1M2_PR
+ NEW li1 ( 48070 58990 ) L1M1_PR_MR
+ NEW li1 ( 48990 53210 ) L1M1_PR_MR
+ NEW met1 ( 48530 53210 ) M1M2_PR
+ NEW met1 ( 48530 56610 ) M1M2_PR
+ NEW met1 ( 50830 56610 ) RECT ( -355 -70 0 70 ) ;
+ - _018_ ( _329_ X ) ( _299_ A ) + USE SIGNAL
+ + ROUTED met2 ( 54510 55930 ) ( * 57630 )
+ NEW met1 ( 54510 57630 ) ( 55890 * )
+ NEW li1 ( 54510 55930 ) L1M1_PR_MR
+ NEW met1 ( 54510 55930 ) M1M2_PR
+ NEW met1 ( 54510 57630 ) M1M2_PR
+ NEW li1 ( 55890 57630 ) L1M1_PR_MR
+ NEW met1 ( 54510 55930 ) RECT ( -355 -70 0 70 ) ;
+ - _019_ ( _347_ A0 ) ( _299_ X ) + USE SIGNAL
+ + ROUTED met1 ( 56350 56610 ) ( 59570 * )
+ NEW met2 ( 59570 56610 ) ( * 65790 )
+ NEW li1 ( 59570 65790 ) L1M1_PR_MR
+ NEW met1 ( 59570 65790 ) M1M2_PR
+ NEW li1 ( 56350 56610 ) L1M1_PR_MR
+ NEW met1 ( 59570 56610 ) M1M2_PR
+ NEW met1 ( 59570 65790 ) RECT ( -355 -70 0 70 ) ;
+ - _020_ ( _345_ A0 ) ( _300_ X ) + USE SIGNAL
+ + ROUTED met1 ( 51750 51170 ) ( 53130 * )
+ NEW met2 ( 53130 51170 ) ( * 65790 )
+ NEW li1 ( 51750 51170 ) L1M1_PR_MR
+ NEW met1 ( 53130 51170 ) M1M2_PR
+ NEW li1 ( 53130 65790 ) L1M1_PR_MR
+ NEW met1 ( 53130 65790 ) M1M2_PR
+ NEW met1 ( 53130 65790 ) RECT ( -355 -70 0 70 ) ;
+ - _021_ ( _343_ A0 ) ( _301_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 43930 55250 ) ( 46690 * )
+ NEW li1 ( 43930 55250 ) L1M1_PR_MR
+ NEW li1 ( 46690 55250 ) L1M1_PR_MR ;
+ - _022_ ( _341_ A0 ) ( _299_ B ) ( _292_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 55430 55590 ) ( * 60350 )
+ NEW met1 ( 54050 60350 ) ( 55430 * )
+ NEW met1 ( 55430 57970 ) ( 58190 * )
+ NEW li1 ( 55430 55590 ) L1M1_PR_MR
+ NEW met1 ( 55430 55590 ) M1M2_PR
+ NEW met1 ( 55430 60350 ) M1M2_PR
+ NEW li1 ( 54050 60350 ) L1M1_PR_MR
+ NEW li1 ( 58190 57970 ) L1M1_PR_MR
+ NEW met1 ( 55430 57970 ) M1M2_PR
+ NEW met1 ( 55430 55590 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 55430 57970 ) RECT ( -70 -485 70 0 ) ;
+ - _023_ ( _339_ A0 ) ( _303_ X ) + USE SIGNAL
+ + ROUTED met2 ( 45310 48110 ) ( * 60350 )
+ NEW met1 ( 45310 60350 ) ( 47610 * )
+ NEW li1 ( 45310 48110 ) L1M1_PR_MR
+ NEW met1 ( 45310 48110 ) M1M2_PR
+ NEW met1 ( 45310 60350 ) M1M2_PR
+ NEW li1 ( 47610 60350 ) L1M1_PR_MR
+ NEW met1 ( 45310 48110 ) RECT ( -355 -70 0 70 ) ;
+ - _024_ ( _337_ A0 ) ( _295_ C1 ) ( _293_ X ) + USE SIGNAL
+ + ROUTED met2 ( 55430 53890 ) ( * 54910 )
+ NEW met1 ( 55430 54910 ) ( * 55250 )
+ NEW met1 ( 54050 55250 ) ( 55430 * )
+ NEW met1 ( 54050 55250 ) ( * 55590 )
+ NEW met1 ( 55430 53210 ) ( 59570 * )
+ NEW met2 ( 55430 53210 ) ( * 53890 )
+ NEW li1 ( 55430 53890 ) L1M1_PR_MR
+ NEW met1 ( 55430 53890 ) M1M2_PR
+ NEW met1 ( 55430 54910 ) M1M2_PR
+ NEW li1 ( 54050 55590 ) L1M1_PR_MR
+ NEW li1 ( 59570 53210 ) L1M1_PR_MR
+ NEW met1 ( 55430 53210 ) M1M2_PR
+ NEW met1 ( 55430 53890 ) RECT ( -355 -70 0 70 ) ;
+ - _025_ ( _335_ A0 ) ( _300_ B1 ) ( _298_ C1 ) ( _296_ X ) + USE SIGNAL
+ + ROUTED met2 ( 53130 49980 ) ( * 50150 )
+ NEW met3 ( 53130 49980 ) ( 65550 * )
+ NEW met2 ( 65550 49810 ) ( * 49980 )
+ NEW met1 ( 48530 50150 ) ( 53130 * )
+ NEW met2 ( 48530 50150 ) ( * 52190 )
+ NEW met1 ( 47610 52190 ) ( 48530 * )
+ NEW li1 ( 47610 52190 ) L1M1_PR_MR
+ NEW li1 ( 53130 50150 ) L1M1_PR_MR
+ NEW met1 ( 53130 50150 ) M1M2_PR
+ NEW met2 ( 53130 49980 ) M2M3_PR_M
+ NEW met2 ( 65550 49980 ) M2M3_PR_M
+ NEW li1 ( 65550 49810 ) L1M1_PR_MR
+ NEW met1 ( 65550 49810 ) M1M2_PR
+ NEW li1 ( 48530 50150 ) L1M1_PR_MR
+ NEW met1 ( 48530 52190 ) M1M2_PR
+ NEW met1 ( 48530 50150 ) M1M2_PR
+ NEW met1 ( 53130 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 65550 49810 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48530 50150 ) RECT ( 0 -70 595 70 ) ;
+ - _026_ ( _333_ A0 ) ( _326_ X ) + USE SIGNAL
+ + ROUTED met2 ( 66010 33830 ) ( * 35870 )
+ NEW li1 ( 66010 33830 ) L1M1_PR_MR
+ NEW met1 ( 66010 33830 ) M1M2_PR
+ NEW li1 ( 66010 35870 ) L1M1_PR_MR
+ NEW met1 ( 66010 35870 ) M1M2_PR
+ NEW met1 ( 66010 33830 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66010 35870 ) RECT ( -355 -70 0 70 ) ;
+ - _027_ ( _331_ A0 ) ( _303_ B1 ) ( _302_ X ) + USE SIGNAL
+ + ROUTED met2 ( 52670 37230 ) ( * 44030 )
+ NEW met1 ( 47610 47430 ) ( * 47770 )
+ NEW met1 ( 47610 47430 ) ( 52670 * )
+ NEW met2 ( 52670 44030 ) ( * 47430 )
+ NEW met1 ( 52670 37230 ) ( 63250 * )
+ NEW li1 ( 63250 37230 ) L1M1_PR_MR
+ NEW li1 ( 52670 44030 ) L1M1_PR_MR
+ NEW met1 ( 52670 44030 ) M1M2_PR
+ NEW met1 ( 52670 37230 ) M1M2_PR
+ NEW li1 ( 47610 47770 ) L1M1_PR_MR
+ NEW met1 ( 52670 47430 ) M1M2_PR
+ NEW met1 ( 52670 44030 ) RECT ( -355 -70 0 70 ) ;
+ - _028_ ( _355_ A0 ) ( _304_ X ) + USE SIGNAL
+ + ROUTED met2 ( 46690 39270 ) ( * 41310 )
+ NEW li1 ( 46690 39270 ) L1M1_PR_MR
+ NEW met1 ( 46690 39270 ) M1M2_PR
+ NEW li1 ( 46690 41310 ) L1M1_PR_MR
+ NEW met1 ( 46690 41310 ) M1M2_PR
+ NEW met1 ( 46690 39270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 46690 41310 ) RECT ( -355 -70 0 70 ) ;
+ - _029_ ( _356_ RESET_B ) ( _325_ X ) + USE SIGNAL
+ + ROUTED met2 ( 56810 7650 ) ( * 10030 )
+ NEW met1 ( 56810 10030 ) ( 61410 * 0 )
+ NEW li1 ( 56810 7650 ) L1M1_PR_MR
+ NEW met1 ( 56810 7650 ) M1M2_PR
+ NEW met1 ( 56810 10030 ) M1M2_PR
+ NEW met1 ( 56810 7650 ) RECT ( -355 -70 0 70 ) ;
+ - _030_ ( _357_ RESET_B ) ( _324_ X ) + USE SIGNAL
+ + ROUTED met1 ( 62790 11390 ) ( 63250 * )
+ NEW met2 ( 63250 6290 ) ( * 11390 )
+ NEW li1 ( 62790 11390 ) L1M1_PR_MR
+ NEW met1 ( 63250 11390 ) M1M2_PR
+ NEW met1 ( 63250 6290 ) M1M2_PR ;
+ - _031_ ( _358_ RESET_B ) ( _323_ X ) + USE SIGNAL
+ + ROUTED met1 ( 64630 16830 ) ( 65090 * )
+ NEW met2 ( 65090 15470 ) ( * 16830 )
+ NEW li1 ( 64630 16830 ) L1M1_PR_MR
+ NEW met1 ( 65090 16830 ) M1M2_PR
+ NEW met1 ( 65090 15470 ) M1M2_PR ;
+ - _032_ ( _359_ RESET_B ) ( _322_ X ) + USE SIGNAL
+ + ROUTED met1 ( 28750 22270 ) ( 30590 * )
+ NEW met2 ( 30590 20910 ) ( * 22270 )
+ NEW met1 ( 29210 20910 0 ) ( 30590 * )
+ NEW li1 ( 28750 22270 ) L1M1_PR_MR
+ NEW met1 ( 30590 22270 ) M1M2_PR
+ NEW met1 ( 30590 20910 ) M1M2_PR ;
+ - _033_ ( _360_ RESET_B ) ( _321_ X ) + USE SIGNAL
+ + ROUTED met1 ( 37030 7650 ) ( 37490 * )
+ NEW met2 ( 37030 7650 ) ( * 10030 )
+ NEW li1 ( 37490 7650 ) L1M1_PR_MR
+ NEW met1 ( 37030 7650 ) M1M2_PR
+ NEW met1 ( 37030 10030 ) M1M2_PR ;
+ - _034_ ( _361_ RESET_B ) ( _320_ X ) + USE SIGNAL
+ + ROUTED met1 ( 26450 5950 ) ( 28290 * )
+ NEW met2 ( 26450 5950 ) ( * 10030 )
+ NEW li1 ( 28290 5950 ) L1M1_PR_MR
+ NEW met1 ( 26450 5950 ) M1M2_PR
+ NEW met1 ( 26450 10030 ) M1M2_PR ;
+ - _035_ ( _362_ RESET_B ) ( _319_ X ) + USE SIGNAL
+ + ROUTED met1 ( 33810 23970 ) ( 34270 * )
+ NEW met2 ( 34270 23970 ) ( * 26010 )
+ NEW li1 ( 33810 23970 ) L1M1_PR_MR
+ NEW met1 ( 34270 23970 ) M1M2_PR
+ NEW met1 ( 34270 26010 ) M1M2_PR ;
+ - _036_ ( _363_ RESET_B ) ( _318_ X ) + USE SIGNAL
+ + ROUTED met2 ( 25990 28050 ) ( * 30430 )
+ NEW li1 ( 25990 30430 ) L1M1_PR_MR
+ NEW met1 ( 25990 30430 ) M1M2_PR
+ NEW met1 ( 25990 28050 ) M1M2_PR
+ NEW met1 ( 25990 30430 ) RECT ( -355 -70 0 70 ) ;
+ - _037_ ( _364_ RESET_B ) ( _317_ X ) + USE SIGNAL
+ + ROUTED met1 ( 44390 22270 ) ( 44850 * )
+ NEW met2 ( 44390 20910 ) ( * 22270 )
+ NEW li1 ( 44850 22270 ) L1M1_PR_MR
+ NEW met1 ( 44390 22270 ) M1M2_PR
+ NEW met1 ( 44390 20910 ) M1M2_PR ;
+ - _038_ ( _365_ RESET_B ) ( _316_ X ) + USE SIGNAL
+ + ROUTED met1 ( 63250 18530 ) ( 64170 * )
+ NEW met2 ( 64170 18530 ) ( * 20910 )
+ NEW li1 ( 63250 18530 ) L1M1_PR_MR
+ NEW met1 ( 64170 18530 ) M1M2_PR
+ NEW met1 ( 64170 20910 ) M1M2_PR ;
+ - _039_ ( _366_ RESET_B ) ( _315_ X ) + USE SIGNAL
+ + ROUTED met2 ( 55890 28390 ) ( * 30430 )
+ NEW li1 ( 55890 30430 ) L1M1_PR_MR
+ NEW met1 ( 55890 30430 ) M1M2_PR
+ NEW met1 ( 55890 28390 ) M1M2_PR
+ NEW met1 ( 55890 30430 ) RECT ( -355 -70 0 70 ) ;
+ - _040_ ( _367_ RESET_B ) ( _314_ X ) + USE SIGNAL
+ + ROUTED met2 ( 63710 31790 ) ( * 33150 )
+ NEW li1 ( 63710 33150 ) L1M1_PR_MR
+ NEW met1 ( 63710 33150 ) M1M2_PR
+ NEW met1 ( 63710 31790 ) M1M2_PR
+ NEW met1 ( 63710 33150 ) RECT ( -355 -70 0 70 ) ;
+ - _041_ ( _368_ RESET_B ) ( _313_ X ) + USE SIGNAL
+ + ROUTED met2 ( 29210 32130 ) ( * 33490 )
+ NEW li1 ( 29210 32130 ) L1M1_PR_MR
+ NEW met1 ( 29210 32130 ) M1M2_PR
+ NEW met1 ( 29210 33490 ) M1M2_PR
+ NEW met1 ( 29210 32130 ) RECT ( -355 -70 0 70 ) ;
+ - _042_ ( _369_ RESET_B ) ( _312_ X ) + USE SIGNAL
+ + ROUTED met1 ( 31050 31790 ) ( * 32130 )
+ NEW met1 ( 31050 31790 ) ( 35650 * 0 )
+ NEW li1 ( 31050 32130 ) L1M1_PR_MR ;
+ - _043_ ( _370_ RESET_B ) ( _311_ X ) + USE SIGNAL
+ + ROUTED met2 ( 63710 26690 ) ( * 28050 )
+ NEW li1 ( 63710 26690 ) L1M1_PR_MR
+ NEW met1 ( 63710 26690 ) M1M2_PR
+ NEW met1 ( 63710 28050 ) M1M2_PR
+ NEW met1 ( 63710 26690 ) RECT ( -355 -70 0 70 ) ;
+ - _044_ ( _371_ RESET_B ) ( _310_ X ) + USE SIGNAL
+ + ROUTED met1 ( 47150 7650 ) ( 48070 * )
+ NEW met2 ( 47150 7650 ) ( * 10030 )
+ NEW li1 ( 48070 7650 ) L1M1_PR_MR
+ NEW met1 ( 47150 7650 ) M1M2_PR
+ NEW met1 ( 47150 10030 ) M1M2_PR ;
+ - _045_ ( _372_ RESET_B ) ( _309_ X ) + USE SIGNAL
+ + ROUTED met2 ( 55430 10370 ) ( * 11390 )
+ NEW met1 ( 55430 11390 ) ( * 11730 0 )
+ NEW li1 ( 55430 10370 ) L1M1_PR_MR
+ NEW met1 ( 55430 10370 ) M1M2_PR
+ NEW met1 ( 55430 11390 ) M1M2_PR
+ NEW met1 ( 55430 10370 ) RECT ( -355 -70 0 70 ) ;
+ - _046_ ( _373_ RESET_B ) ( _308_ X ) + USE SIGNAL
+ + ROUTED met1 ( 58650 17170 0 ) ( 59570 * )
+ NEW met2 ( 59570 13090 ) ( * 17170 )
+ NEW li1 ( 59570 13090 ) L1M1_PR_MR
+ NEW met1 ( 59570 13090 ) M1M2_PR
+ NEW met1 ( 59570 17170 ) M1M2_PR
+ NEW met1 ( 59570 13090 ) RECT ( -355 -70 0 70 ) ;
+ - _047_ ( _374_ RESET_B ) ( _307_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38410 19550 ) ( 38870 * )
+ NEW met2 ( 38410 17170 ) ( * 19550 )
+ NEW li1 ( 38870 19550 ) L1M1_PR_MR
+ NEW met1 ( 38410 19550 ) M1M2_PR
+ NEW met1 ( 38410 17170 ) M1M2_PR ;
+ - _048_ ( _375_ RESET_B ) ( _306_ X ) + USE SIGNAL
+ + ROUTED met1 ( 43010 13090 ) ( 43470 * )
+ NEW met2 ( 43470 13090 ) ( * 15470 )
+ NEW li1 ( 43010 13090 ) L1M1_PR_MR
+ NEW met1 ( 43470 13090 ) M1M2_PR
+ NEW met1 ( 43470 15470 ) M1M2_PR ;
+ - _049_ ( _376_ RESET_B ) ( _305_ X ) + USE SIGNAL
+ + ROUTED met1 ( 14030 7650 ) ( 14490 * )
+ NEW met2 ( 14490 7650 ) ( * 10030 )
+ NEW li1 ( 14030 7650 ) L1M1_PR_MR
+ NEW met1 ( 14490 7650 ) M1M2_PR
+ NEW met1 ( 14490 10030 ) M1M2_PR ;
+ - _050_ ( _377_ RESET_B ) ( _327_ A ) ( _325_ A ) ( _324_ A ) ( _323_ A ) ( _322_ A ) ( _321_ A )
+ ( _320_ A ) ( _319_ A ) ( _318_ A ) ( _317_ A ) ( _316_ A ) ( _315_ A ) ( _314_ A ) ( _313_ A )
+ ( _312_ A ) ( _311_ A ) ( _310_ A ) ( _309_ A ) ( _308_ A ) ( _307_ A ) ( _306_ A ) ( _305_ A )
+ ( _182_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 13570 6630 ) ( * 11390 )
+ NEW met1 ( 13110 6630 ) ( 13570 * )
+ NEW met1 ( 63250 17510 ) ( 63710 * )
+ NEW met1 ( 62330 17510 ) ( 63250 * )
+ NEW met1 ( 62790 26010 ) ( 63250 * )
+ NEW met2 ( 63250 17510 ) ( * 26010 )
+ NEW met2 ( 63250 26010 ) ( * 30430 )
+ NEW met1 ( 62790 33830 ) ( 63250 * )
+ NEW met2 ( 63250 30430 ) ( * 33830 )
+ NEW met2 ( 63250 13090 ) ( * 17510 )
+ NEW met1 ( 36570 5950 ) ( * 6630 )
+ NEW met1 ( 29670 5950 ) ( 36570 * )
+ NEW li1 ( 29670 5950 ) ( * 6630 )
+ NEW met1 ( 29210 6630 ) ( 29670 * )
+ NEW met1 ( 36570 6630 ) ( 47150 * )
+ NEW met2 ( 43930 6630 ) ( * 12070 )
+ NEW met1 ( 43930 11390 ) ( 46690 * )
+ NEW met1 ( 55890 6630 ) ( * 6970 )
+ NEW met1 ( 47150 6970 ) ( 55890 * )
+ NEW met1 ( 47150 6630 ) ( * 6970 )
+ NEW met2 ( 56350 6970 ) ( * 9690 )
+ NEW met1 ( 55890 6970 ) ( 56350 * )
+ NEW met2 ( 60490 10370 ) ( * 12070 )
+ NEW met1 ( 56350 10370 ) ( 60490 * )
+ NEW met1 ( 56350 9690 ) ( * 10370 )
+ NEW met1 ( 60490 12070 ) ( 61870 * )
+ NEW met2 ( 61870 12070 ) ( * 13090 )
+ NEW met2 ( 13570 11390 ) ( 14030 * )
+ NEW met2 ( 61870 13090 ) ( 63250 * )
+ NEW met2 ( 46690 11390 ) ( * 13800 )
+ NEW met1 ( 56810 30430 ) ( * 31450 )
+ NEW met2 ( 45770 13800 ) ( * 22950 )
+ NEW met2 ( 45770 13800 ) ( 46690 * )
+ NEW met1 ( 37950 20570 ) ( * 20910 )
+ NEW met1 ( 37950 20910 ) ( 42090 * )
+ NEW met2 ( 42090 20910 ) ( 43010 * )
+ NEW met2 ( 43010 20910 ) ( * 22950 )
+ NEW met1 ( 43010 22950 ) ( 45770 * )
+ NEW met1 ( 32890 22950 ) ( * 23290 )
+ NEW met1 ( 32890 23290 ) ( 41170 * )
+ NEW met1 ( 41170 22950 ) ( * 23290 )
+ NEW met1 ( 41170 22950 ) ( 43010 * )
+ NEW met2 ( 29670 22950 ) ( * 23970 )
+ NEW met1 ( 29670 23970 ) ( 32890 * )
+ NEW met1 ( 32890 23290 ) ( * 23970 )
+ NEW met1 ( 24610 17170 0 ) ( 25530 * )
+ NEW met2 ( 25530 17170 ) ( * 23970 )
+ NEW met1 ( 25530 23970 ) ( 29670 * )
+ NEW met1 ( 25530 31450 ) ( 26910 * )
+ NEW met2 ( 25530 23970 ) ( * 31450 )
+ NEW met1 ( 26910 31450 ) ( 28290 * )
+ NEW met1 ( 28290 31450 ) ( 30130 * )
+ NEW met1 ( 20010 36550 ) ( 25530 * )
+ NEW met2 ( 25530 31450 ) ( * 36550 )
+ NEW met1 ( 14030 15470 ) ( 23690 * )
+ NEW met1 ( 23690 15470 ) ( * 15810 )
+ NEW met1 ( 23690 15810 ) ( 24610 * )
+ NEW met2 ( 24610 15810 ) ( * 17170 )
+ NEW met2 ( 15870 33830 ) ( * 36210 )
+ NEW met1 ( 15870 36210 ) ( 20010 * )
+ NEW met1 ( 20010 36210 ) ( * 36550 )
+ NEW met1 ( 13110 33830 ) ( 15870 * )
+ NEW met2 ( 14030 11390 ) ( * 15470 )
+ NEW met1 ( 56810 30430 ) ( 63250 * )
+ NEW met1 ( 13570 6630 ) M1M2_PR
+ NEW li1 ( 13110 6630 ) L1M1_PR_MR
+ NEW li1 ( 13110 33830 ) L1M1_PR_MR
+ NEW li1 ( 63710 17510 ) L1M1_PR_MR
+ NEW met1 ( 63250 17510 ) M1M2_PR
+ NEW li1 ( 62330 17510 ) L1M1_PR_MR
+ NEW li1 ( 62790 26010 ) L1M1_PR_MR
+ NEW met1 ( 63250 26010 ) M1M2_PR
+ NEW met1 ( 63250 30430 ) M1M2_PR
+ NEW li1 ( 62790 33830 ) L1M1_PR_MR
+ NEW met1 ( 63250 33830 ) M1M2_PR
+ NEW li1 ( 36570 6630 ) L1M1_PR_MR
+ NEW li1 ( 29670 5950 ) L1M1_PR_MR
+ NEW li1 ( 29670 6630 ) L1M1_PR_MR
+ NEW li1 ( 29210 6630 ) L1M1_PR_MR
+ NEW li1 ( 47150 6630 ) L1M1_PR_MR
+ NEW li1 ( 43930 12070 ) L1M1_PR_MR
+ NEW met1 ( 43930 12070 ) M1M2_PR
+ NEW met1 ( 43930 6630 ) M1M2_PR
+ NEW met1 ( 46690 11390 ) M1M2_PR
+ NEW met1 ( 43930 11390 ) M1M2_PR
+ NEW li1 ( 55890 6630 ) L1M1_PR_MR
+ NEW li1 ( 56350 9690 ) L1M1_PR_MR
+ NEW met1 ( 56350 9690 ) M1M2_PR
+ NEW met1 ( 56350 6970 ) M1M2_PR
+ NEW li1 ( 60490 12070 ) L1M1_PR_MR
+ NEW met1 ( 60490 12070 ) M1M2_PR
+ NEW met1 ( 60490 10370 ) M1M2_PR
+ NEW li1 ( 61870 12070 ) L1M1_PR_MR
+ NEW met1 ( 61870 12070 ) M1M2_PR
+ NEW li1 ( 56810 31450 ) L1M1_PR_MR
+ NEW li1 ( 45770 22950 ) L1M1_PR_MR
+ NEW met1 ( 45770 22950 ) M1M2_PR
+ NEW li1 ( 37950 20570 ) L1M1_PR_MR
+ NEW met1 ( 42090 20910 ) M1M2_PR
+ NEW met1 ( 43010 22950 ) M1M2_PR
+ NEW li1 ( 32890 22950 ) L1M1_PR_MR
+ NEW li1 ( 29670 22950 ) L1M1_PR_MR
+ NEW met1 ( 29670 22950 ) M1M2_PR
+ NEW met1 ( 29670 23970 ) M1M2_PR
+ NEW met1 ( 25530 17170 ) M1M2_PR
+ NEW met1 ( 25530 23970 ) M1M2_PR
+ NEW li1 ( 26910 31450 ) L1M1_PR_MR
+ NEW met1 ( 25530 31450 ) M1M2_PR
+ NEW li1 ( 28290 31450 ) L1M1_PR_MR
+ NEW li1 ( 30130 31450 ) L1M1_PR_MR
+ NEW li1 ( 20010 36550 ) L1M1_PR_MR
+ NEW met1 ( 25530 36550 ) M1M2_PR
+ NEW met1 ( 14030 15470 ) M1M2_PR
+ NEW met1 ( 24610 15810 ) M1M2_PR
+ NEW met1 ( 24610 17170 ) M1M2_PR
+ NEW met1 ( 15870 33830 ) M1M2_PR
+ NEW met1 ( 15870 36210 ) M1M2_PR
+ NEW met1 ( 43930 12070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43930 6630 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 43930 11390 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 56350 9690 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 60490 12070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 61870 12070 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 45770 22950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 29670 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _051_ ( _378_ RESET_B ) ( _327_ X ) + USE SIGNAL
+ + ROUTED met1 ( 14030 31790 0 ) ( * 32130 )
+ NEW met2 ( 14030 32130 ) ( * 33150 )
+ NEW met1 ( 14030 32130 ) M1M2_PR
+ NEW li1 ( 14030 33150 ) L1M1_PR_MR
+ NEW met1 ( 14030 33150 ) M1M2_PR
+ NEW met1 ( 14030 33150 ) RECT ( 0 -70 355 70 ) ;
+ - _052_ ( _359_ D ) ( _277_ X ) + USE SIGNAL
+ + ROUTED met2 ( 23230 20910 ) ( * 22270 )
+ NEW met1 ( 23230 22270 ) ( 25070 * )
+ NEW li1 ( 23230 20910 ) L1M1_PR_MR
+ NEW met1 ( 23230 20910 ) M1M2_PR
+ NEW met1 ( 23230 22270 ) M1M2_PR
+ NEW li1 ( 25070 22270 ) L1M1_PR_MR
+ NEW met1 ( 23230 20910 ) RECT ( -355 -70 0 70 ) ;
+ - _053_ ( _360_ D ) ( _276_ X ) + USE SIGNAL
+ + ROUTED met2 ( 33350 7650 ) ( * 9350 )
+ NEW li1 ( 33350 7650 ) L1M1_PR_MR
+ NEW met1 ( 33350 7650 ) M1M2_PR
+ NEW li1 ( 33350 9350 ) L1M1_PR_MR
+ NEW met1 ( 33350 9350 ) M1M2_PR
+ NEW met1 ( 33350 7650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 33350 9350 ) RECT ( -355 -70 0 70 ) ;
+ - _054_ ( _361_ D ) ( _274_ X ) + USE SIGNAL
+ + ROUTED met1 ( 25070 14110 ) ( 28750 * )
+ NEW met2 ( 25070 14110 ) ( * 15810 )
+ NEW met2 ( 28750 10030 ) ( * 14110 )
+ NEW li1 ( 28750 10030 ) L1M1_PR_MR
+ NEW met1 ( 28750 10030 ) M1M2_PR
+ NEW met1 ( 28750 14110 ) M1M2_PR
+ NEW met1 ( 25070 14110 ) M1M2_PR
+ NEW li1 ( 25070 15810 ) L1M1_PR_MR
+ NEW met1 ( 25070 15810 ) M1M2_PR
+ NEW met1 ( 28750 10030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 25070 15810 ) RECT ( 0 -70 355 70 ) ;
+ - _055_ ( _362_ D ) ( _271_ X ) + USE SIGNAL
+ + ROUTED met1 ( 28750 25670 ) ( 39790 * )
+ NEW li1 ( 39790 25670 ) L1M1_PR_MR
+ NEW li1 ( 28750 25670 ) L1M1_PR_MR ;
+ - _056_ ( _363_ D ) ( _270_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 20930 26690 ) ( * 28050 )
+ NEW li1 ( 20930 26690 ) L1M1_PR_MR
+ NEW met1 ( 20930 26690 ) M1M2_PR
+ NEW li1 ( 20930 28050 ) L1M1_PR_MR
+ NEW met1 ( 20930 28050 ) M1M2_PR
+ NEW met1 ( 20930 26690 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 20930 28050 ) RECT ( -355 -70 0 70 ) ;
+ - _057_ ( _364_ D ) ( _266_ X ) + USE SIGNAL
+ + ROUTED met1 ( 40710 18530 ) ( 45310 * )
+ NEW met2 ( 40710 18530 ) ( * 20230 )
+ NEW li1 ( 45310 18530 ) L1M1_PR_MR
+ NEW met1 ( 40710 18530 ) M1M2_PR
+ NEW li1 ( 40710 20230 ) L1M1_PR_MR
+ NEW met1 ( 40710 20230 ) M1M2_PR
+ NEW met1 ( 40710 20230 ) RECT ( -355 -70 0 70 ) ;
+ - _058_ ( _365_ D ) ( _265_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 59110 20910 ) ( * 23290 )
+ NEW met1 ( 55430 23290 ) ( 59110 * )
+ NEW li1 ( 59110 20910 ) L1M1_PR_MR
+ NEW met1 ( 59110 20910 ) M1M2_PR
+ NEW met1 ( 59110 23290 ) M1M2_PR
+ NEW li1 ( 55430 23290 ) L1M1_PR_MR
+ NEW met1 ( 59110 20910 ) RECT ( -355 -70 0 70 ) ;
+ - _059_ ( _366_ D ) ( _263_ X ) + USE SIGNAL
+ + ROUTED met2 ( 50370 28730 ) ( * 30430 )
+ NEW li1 ( 50370 28730 ) L1M1_PR_MR
+ NEW met1 ( 50370 28730 ) M1M2_PR
+ NEW li1 ( 50370 30430 ) L1M1_PR_MR
+ NEW met1 ( 50370 30430 ) M1M2_PR
+ NEW met1 ( 50370 28730 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 50370 30430 ) RECT ( -355 -70 0 70 ) ;
+ - _060_ ( _367_ D ) ( _261_ X ) + USE SIGNAL
+ + ROUTED met1 ( 60030 31790 ) ( 61410 * )
+ NEW met2 ( 61410 31790 ) ( * 33150 )
+ NEW met1 ( 61410 33150 ) ( 61870 * )
+ NEW li1 ( 60030 31790 ) L1M1_PR_MR
+ NEW met1 ( 61410 31790 ) M1M2_PR
+ NEW met1 ( 61410 33150 ) M1M2_PR
+ NEW li1 ( 61870 33150 ) L1M1_PR_MR ;
+ - _061_ ( _368_ D ) ( _257_ X ) + USE SIGNAL
+ + ROUTED met1 ( 25465 34850 ) ( 25990 * )
+ NEW met2 ( 25990 34850 ) ( * 35870 )
+ NEW met1 ( 25990 35870 ) ( 37030 * )
+ NEW li1 ( 25465 34850 ) L1M1_PR_MR
+ NEW met1 ( 25990 34850 ) M1M2_PR
+ NEW met1 ( 25990 35870 ) M1M2_PR
+ NEW li1 ( 37030 35870 ) L1M1_PR_MR ;
+ - _062_ ( _369_ D ) ( _255_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 33350 31110 ) ( 35190 * )
+ NEW met2 ( 35190 31110 ) ( * 36210 )
+ NEW li1 ( 33350 31110 ) L1M1_PR_MR
+ NEW met1 ( 35190 31110 ) M1M2_PR
+ NEW li1 ( 35190 36210 ) L1M1_PR_MR
+ NEW met1 ( 35190 36210 ) M1M2_PR
+ NEW met1 ( 35190 36210 ) RECT ( -355 -70 0 70 ) ;
+ - _063_ ( _370_ D ) ( _250_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 46230 26350 ) ( * 26690 )
+ NEW met1 ( 46230 26690 ) ( 60030 * )
+ NEW met2 ( 60030 26690 ) ( * 28050 )
+ NEW li1 ( 46230 26350 ) L1M1_PR_MR
+ NEW met1 ( 60030 26690 ) M1M2_PR
+ NEW li1 ( 60030 28050 ) L1M1_PR_MR
+ NEW met1 ( 60030 28050 ) M1M2_PR
+ NEW met1 ( 60030 28050 ) RECT ( -355 -70 0 70 ) ;
+ - _064_ ( _371_ D ) ( _189_ X ) + USE SIGNAL
+ + ROUTED met1 ( 43470 7310 ) ( 44850 * )
+ NEW met2 ( 43470 7310 ) ( * 9350 )
+ NEW li1 ( 44850 7310 ) L1M1_PR_MR
+ NEW met1 ( 43470 7310 ) M1M2_PR
+ NEW li1 ( 43470 9350 ) L1M1_PR_MR
+ NEW met1 ( 43470 9350 ) M1M2_PR
+ NEW met1 ( 43470 9350 ) RECT ( -355 -70 0 70 ) ;
+ - _065_ ( _372_ D ) ( _188_ X ) + USE SIGNAL
+ + ROUTED met1 ( 51290 10370 ) ( 52125 * )
+ NEW met2 ( 51290 10370 ) ( * 11730 )
+ NEW li1 ( 52125 10370 ) L1M1_PR_MR
+ NEW met1 ( 51290 10370 ) M1M2_PR
+ NEW li1 ( 51290 11730 ) L1M1_PR_MR
+ NEW met1 ( 51290 11730 ) M1M2_PR
+ NEW met1 ( 51290 11730 ) RECT ( -355 -70 0 70 ) ;
+ - _066_ ( _373_ D ) ( _187_ X ) + USE SIGNAL
+ + ROUTED met2 ( 52210 14450 ) ( * 17170 )
+ NEW met1 ( 52210 17170 ) ( 52670 * )
+ NEW li1 ( 52210 14450 ) L1M1_PR_MR
+ NEW met1 ( 52210 14450 ) M1M2_PR
+ NEW met1 ( 52210 17170 ) M1M2_PR
+ NEW li1 ( 52670 17170 ) L1M1_PR_MR
+ NEW met1 ( 52210 14450 ) RECT ( -355 -70 0 70 ) ;
+ - _067_ ( _374_ D ) ( _186_ X ) + USE SIGNAL
+ + ROUTED met2 ( 34730 17850 ) ( * 19550 )
+ NEW met1 ( 34730 19550 ) ( 35190 * )
+ NEW li1 ( 34730 17850 ) L1M1_PR_MR
+ NEW met1 ( 34730 17850 ) M1M2_PR
+ NEW met1 ( 34730 19550 ) M1M2_PR
+ NEW li1 ( 35190 19550 ) L1M1_PR_MR
+ NEW met1 ( 34730 17850 ) RECT ( -355 -70 0 70 ) ;
+ - _068_ ( _375_ D ) ( _185_ X ) + USE SIGNAL
+ + ROUTED met1 ( 45310 13090 ) ( 47150 * )
+ NEW met2 ( 47150 13090 ) ( * 14790 )
+ NEW met1 ( 47150 13090 ) M1M2_PR
+ NEW li1 ( 45310 13090 ) L1M1_PR_MR
+ NEW li1 ( 47150 14790 ) L1M1_PR_MR
+ NEW met1 ( 47150 14790 ) M1M2_PR
+ NEW met1 ( 47150 14790 ) RECT ( -355 -70 0 70 ) ;
+ - _069_ ( _376_ D ) ( _184_ X ) + USE SIGNAL
+ + ROUTED met2 ( 16790 7650 ) ( * 9350 )
+ NEW met1 ( 16790 7650 ) ( 19550 * )
+ NEW met1 ( 9430 9350 ) ( 16790 * )
+ NEW li1 ( 9430 9350 ) L1M1_PR_MR
+ NEW met1 ( 16790 9350 ) M1M2_PR
+ NEW met1 ( 16790 7650 ) M1M2_PR
+ NEW li1 ( 19550 7650 ) L1M1_PR_MR ;
+ - _070_ ( _377_ D ) ( _183_ X ) + USE SIGNAL
+ + ROUTED met1 ( 17710 17850 ) ( * 18190 )
+ NEW met1 ( 17710 17850 ) ( 26910 * )
+ NEW li1 ( 17710 18190 ) L1M1_PR_MR
+ NEW li1 ( 26910 17850 ) L1M1_PR_MR ;
+ - _071_ ( _378_ D ) ( _180_ X ) + USE SIGNAL
+ + ROUTED met2 ( 17250 25330 ) ( * 31110 )
+ NEW met1 ( 9890 31110 ) ( 17250 * )
+ NEW li1 ( 9890 31110 ) L1M1_PR_MR
+ NEW li1 ( 17250 25330 ) L1M1_PR_MR
+ NEW met1 ( 17250 25330 ) M1M2_PR
+ NEW met1 ( 17250 31110 ) M1M2_PR
+ NEW met1 ( 17250 25330 ) RECT ( 0 -70 355 70 ) ;
+ - _072_ ( _270_ A1 ) ( _218_ A1 ) ( _212_ B1 ) ( _164_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 15410 28390 ) ( 18145 * )
+ NEW met1 ( 18145 27710 ) ( * 28390 )
+ NEW met1 ( 18145 27710 ) ( 22310 * )
+ NEW met2 ( 22310 26010 ) ( * 27710 )
+ NEW met2 ( 19090 27710 ) ( * 30430 )
+ NEW met1 ( 15640 26010 ) ( 15870 * )
+ NEW met2 ( 15870 26010 ) ( * 28390 )
+ NEW li1 ( 15410 28390 ) L1M1_PR_MR
+ NEW met1 ( 22310 27710 ) M1M2_PR
+ NEW li1 ( 22310 26010 ) L1M1_PR_MR
+ NEW met1 ( 22310 26010 ) M1M2_PR
+ NEW li1 ( 19090 30430 ) L1M1_PR_MR
+ NEW met1 ( 19090 30430 ) M1M2_PR
+ NEW met1 ( 19090 27710 ) M1M2_PR
+ NEW li1 ( 15640 26010 ) L1M1_PR_MR
+ NEW met1 ( 15870 26010 ) M1M2_PR
+ NEW met1 ( 15870 28390 ) M1M2_PR
+ NEW met1 ( 22310 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 19090 30430 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 19090 27710 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 15870 28390 ) RECT ( -595 -70 0 70 ) ;
+ - _073_ ( _218_ A2 ) ( _212_ B2 ) ( _165_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 14490 28390 ) ( * 33490 )
+ NEW met1 ( 14490 33490 ) ( 16330 * )
+ NEW met1 ( 16330 26010 ) ( * 26350 )
+ NEW met1 ( 14490 26350 ) ( 16330 * )
+ NEW met1 ( 14490 26350 ) ( * 26690 )
+ NEW met2 ( 14490 26690 ) ( * 28390 )
+ NEW li1 ( 14490 28390 ) L1M1_PR_MR
+ NEW met1 ( 14490 28390 ) M1M2_PR
+ NEW met1 ( 14490 33490 ) M1M2_PR
+ NEW li1 ( 16330 33490 ) L1M1_PR_MR
+ NEW li1 ( 16330 26010 ) L1M1_PR_MR
+ NEW met1 ( 14490 26690 ) M1M2_PR
+ NEW met1 ( 14490 28390 ) RECT ( -355 -70 0 70 ) ;
+ - _074_ ( _273_ B1 ) ( _267_ C ) ( _166_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 24610 6970 ) ( * 11730 )
+ NEW met1 ( 24610 6970 ) ( 27830 * )
+ NEW met1 ( 27830 6630 ) ( * 6970 )
+ NEW met1 ( 23690 11730 ) ( 24610 * )
+ NEW li1 ( 24610 11730 ) L1M1_PR_MR
+ NEW met1 ( 24610 11730 ) M1M2_PR
+ NEW met1 ( 24610 6970 ) M1M2_PR
+ NEW li1 ( 27830 6630 ) L1M1_PR_MR
+ NEW li1 ( 23690 11730 ) L1M1_PR_MR
+ NEW met1 ( 24610 11730 ) RECT ( -355 -70 0 70 ) ;
+ - _075_ ( _275_ A1 ) ( _273_ A1 ) ( _267_ A ) ( _167_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 29210 12070 ) ( 30360 * )
+ NEW met1 ( 29210 11730 ) ( * 12070 )
+ NEW met1 ( 25530 11730 ) ( 29210 * )
+ NEW met1 ( 25530 11730 ) ( * 12070 )
+ NEW met2 ( 30590 7650 ) ( * 12070 )
+ NEW met1 ( 30590 12070 ) ( * 12080 )
+ NEW met1 ( 30455 12080 ) ( 30590 * )
+ NEW met1 ( 30455 12070 ) ( * 12080 )
+ NEW met1 ( 30360 12070 ) ( 30455 * )
+ NEW met1 ( 27370 6290 ) ( * 6630 )
+ NEW met1 ( 27370 6290 ) ( 30590 * )
+ NEW met2 ( 30590 6290 ) ( * 7650 )
+ NEW li1 ( 30360 12070 ) L1M1_PR_MR
+ NEW li1 ( 25530 12070 ) L1M1_PR_MR
+ NEW li1 ( 30590 7650 ) L1M1_PR_MR
+ NEW met1 ( 30590 7650 ) M1M2_PR
+ NEW met1 ( 30590 12070 ) M1M2_PR
+ NEW li1 ( 27370 6630 ) L1M1_PR_MR
+ NEW met1 ( 30590 6290 ) M1M2_PR
+ NEW met1 ( 30590 7650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 30360 12070 ) RECT ( -345 -70 0 70 ) ;
+ - _076_ ( _277_ B1 ) ( _275_ A2 ) ( _273_ A2 ) ( _267_ B ) ( _208_ A1 ) ( _193_ A ) ( _168_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 27370 22950 ) ( * 23290 )
+ NEW met1 ( 27370 23290 ) ( 31970 * )
+ NEW met1 ( 28750 15130 ) ( 29210 * )
+ NEW met2 ( 28750 15130 ) ( * 23290 )
+ NEW met1 ( 29210 15130 ) ( 32430 * )
+ NEW met1 ( 29670 12410 ) ( * 12750 )
+ NEW met1 ( 29670 12750 ) ( 30130 * )
+ NEW met2 ( 30130 12750 ) ( * 15130 )
+ NEW met1 ( 26450 12070 ) ( * 12410 )
+ NEW met1 ( 26450 12410 ) ( 28290 * )
+ NEW met2 ( 28290 12410 ) ( * 15130 )
+ NEW met2 ( 28290 15130 ) ( 28750 * )
+ NEW met1 ( 27830 7650 ) ( 28290 * )
+ NEW met2 ( 28290 7650 ) ( * 12410 )
+ NEW li1 ( 27370 22950 ) L1M1_PR_MR
+ NEW li1 ( 31970 23290 ) L1M1_PR_MR
+ NEW li1 ( 29210 15130 ) L1M1_PR_MR
+ NEW met1 ( 28750 15130 ) M1M2_PR
+ NEW met1 ( 28750 23290 ) M1M2_PR
+ NEW li1 ( 32430 15130 ) L1M1_PR_MR
+ NEW li1 ( 29670 12410 ) L1M1_PR_MR
+ NEW met1 ( 30130 12750 ) M1M2_PR
+ NEW met1 ( 30130 15130 ) M1M2_PR
+ NEW li1 ( 26450 12070 ) L1M1_PR_MR
+ NEW met1 ( 28290 12410 ) M1M2_PR
+ NEW li1 ( 27830 7650 ) L1M1_PR_MR
+ NEW met1 ( 28290 7650 ) M1M2_PR
+ NEW met1 ( 28750 23290 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 30130 15130 ) RECT ( -595 -70 0 70 ) ;
+ - _077_ ( _208_ A2 ) ( _193_ B ) ( _169_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 33810 15130 ) ( * 19890 )
+ NEW met1 ( 33810 19890 ) ( 36570 * )
+ NEW met1 ( 27830 15130 ) ( 28290 * )
+ NEW li1 ( 27830 15130 ) ( * 15810 )
+ NEW met1 ( 27830 15810 ) ( 33810 * )
+ NEW li1 ( 33810 15130 ) L1M1_PR_MR
+ NEW met1 ( 33810 15130 ) M1M2_PR
+ NEW met1 ( 33810 19890 ) M1M2_PR
+ NEW li1 ( 36570 19890 ) L1M1_PR_MR
+ NEW li1 ( 28290 15130 ) L1M1_PR_MR
+ NEW li1 ( 27830 15130 ) L1M1_PR_MR
+ NEW li1 ( 27830 15810 ) L1M1_PR_MR
+ NEW met1 ( 33810 15810 ) M1M2_PR
+ NEW met1 ( 33810 15130 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 33810 15810 ) RECT ( -70 -485 70 0 ) ;
+ - _078_ ( _301_ A ) ( _300_ A3 ) ( _298_ A1 ) ( _297_ D ) ( _296_ A4 ) ( _295_ A1 ) ( _294_ C )
+ ( _292_ A ) ( _250_ A1 ) ( _247_ B1 ) ( _235_ A1 ) ( _170_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 49450 22950 ) ( * 25670 )
+ NEW met1 ( 52210 38590 ) ( 53130 * )
+ NEW met2 ( 52210 35870 ) ( * 38590 )
+ NEW met1 ( 49450 35870 ) ( 52210 * )
+ NEW met2 ( 49450 25670 ) ( * 35870 )
+ NEW met1 ( 46230 49810 ) ( * 50150 )
+ NEW met2 ( 51750 41820 ) ( 52210 * )
+ NEW met2 ( 52210 38590 ) ( * 41820 )
+ NEW met1 ( 43930 49810 ) ( 46230 * )
+ NEW met1 ( 42090 55250 ) ( * 55590 )
+ NEW met1 ( 42090 55250 ) ( 43470 * )
+ NEW met1 ( 43470 55250 ) ( * 55590 )
+ NEW met1 ( 42550 28390 ) ( 43470 * )
+ NEW met2 ( 43470 25670 ) ( * 28390 )
+ NEW met1 ( 43470 25670 ) ( 45770 * )
+ NEW met3 ( 41630 47940 ) ( 43930 * )
+ NEW met2 ( 41630 47770 ) ( * 47940 )
+ NEW met2 ( 43930 47940 ) ( * 49810 )
+ NEW met1 ( 45770 25670 ) ( 49450 * )
+ NEW met2 ( 51750 55590 ) ( * 56100 )
+ NEW met3 ( 51750 56100 ) ( 58650 * )
+ NEW met2 ( 58650 56100 ) ( * 58650 )
+ NEW met1 ( 49450 53210 ) ( * 53890 )
+ NEW met1 ( 49450 53890 ) ( 50370 * )
+ NEW met2 ( 50370 53890 ) ( * 55590 )
+ NEW met1 ( 54050 49810 ) ( * 50150 )
+ NEW met1 ( 51750 49810 ) ( 54050 * )
+ NEW met2 ( 51750 49810 ) ( * 55590 )
+ NEW met1 ( 55890 50150 ) ( 56350 * )
+ NEW met1 ( 56350 49470 ) ( * 50150 )
+ NEW met1 ( 54050 49470 ) ( 56350 * )
+ NEW met1 ( 54050 49470 ) ( * 49810 )
+ NEW met1 ( 46230 49810 ) ( 51750 * )
+ NEW met1 ( 43470 55590 ) ( 51750 * )
+ NEW met2 ( 51750 41820 ) ( * 49810 )
+ NEW li1 ( 49450 22950 ) L1M1_PR_MR
+ NEW met1 ( 49450 22950 ) M1M2_PR
+ NEW met1 ( 49450 25670 ) M1M2_PR
+ NEW li1 ( 53130 38590 ) L1M1_PR_MR
+ NEW met1 ( 52210 38590 ) M1M2_PR
+ NEW met1 ( 52210 35870 ) M1M2_PR
+ NEW met1 ( 49450 35870 ) M1M2_PR
+ NEW li1 ( 46230 50150 ) L1M1_PR_MR
+ NEW met1 ( 43930 49810 ) M1M2_PR
+ NEW li1 ( 42090 55590 ) L1M1_PR_MR
+ NEW li1 ( 45770 25670 ) L1M1_PR_MR
+ NEW li1 ( 42550 28390 ) L1M1_PR_MR
+ NEW met1 ( 43470 28390 ) M1M2_PR
+ NEW met1 ( 43470 25670 ) M1M2_PR
+ NEW met2 ( 43930 47940 ) M2M3_PR_M
+ NEW met2 ( 41630 47940 ) M2M3_PR_M
+ NEW li1 ( 41630 47770 ) L1M1_PR_MR
+ NEW met1 ( 41630 47770 ) M1M2_PR
+ NEW li1 ( 51750 55590 ) L1M1_PR_MR
+ NEW met1 ( 51750 55590 ) M1M2_PR
+ NEW met2 ( 51750 56100 ) M2M3_PR_M
+ NEW met2 ( 58650 56100 ) M2M3_PR_M
+ NEW li1 ( 58650 58650 ) L1M1_PR_MR
+ NEW met1 ( 58650 58650 ) M1M2_PR
+ NEW li1 ( 49450 53210 ) L1M1_PR_MR
+ NEW met1 ( 50370 53890 ) M1M2_PR
+ NEW met1 ( 50370 55590 ) M1M2_PR
+ NEW li1 ( 54050 50150 ) L1M1_PR_MR
+ NEW met1 ( 51750 49810 ) M1M2_PR
+ NEW li1 ( 55890 50150 ) L1M1_PR_MR
+ NEW met1 ( 49450 22950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 41630 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 51750 55590 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 58650 58650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 50370 55590 ) RECT ( -595 -70 0 70 ) ;
+ - _079_ ( _303_ A1 ) ( _297_ A ) ( _289_ A1 ) ( _287_ A1 ) ( _286_ A1 ) ( _285_ A1 ) ( _255_ A1 )
+ ( _243_ A1 ) ( _223_ A ) ( _171_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 37950 49470 ) ( * 50150 )
+ NEW met1 ( 35650 49470 ) ( 37950 * )
+ NEW met1 ( 32430 50150 ) ( 35650 * )
+ NEW met2 ( 35650 49470 ) ( * 50150 )
+ NEW met1 ( 41630 38590 ) ( 43470 * )
+ NEW met2 ( 43470 33830 ) ( * 38590 )
+ NEW met2 ( 43470 33830 ) ( 43930 * )
+ NEW met1 ( 35650 36210 ) ( * 36550 )
+ NEW met1 ( 35650 36210 ) ( 43470 * )
+ NEW met2 ( 46230 38590 ) ( * 44710 )
+ NEW met1 ( 43470 38590 ) ( 46230 * )
+ NEW met2 ( 35650 36550 ) ( * 47770 )
+ NEW met2 ( 46230 44710 ) ( * 47770 )
+ NEW met1 ( 42975 47770 ) ( 43010 * )
+ NEW met1 ( 43010 47770 ) ( * 47790 )
+ NEW met1 ( 43010 47790 ) ( 43470 * )
+ NEW met1 ( 43470 47430 ) ( * 47790 )
+ NEW met1 ( 43470 47430 ) ( 46230 * )
+ NEW met1 ( 46230 47430 ) ( * 47770 )
+ NEW met1 ( 32430 44710 ) ( 32910 * )
+ NEW met1 ( 32910 44030 ) ( * 44710 )
+ NEW met1 ( 32910 44030 ) ( 35650 * )
+ NEW met2 ( 35650 47770 ) ( * 49470 )
+ NEW li1 ( 37950 50150 ) L1M1_PR_MR
+ NEW met1 ( 35650 49470 ) M1M2_PR
+ NEW li1 ( 32430 50150 ) L1M1_PR_MR
+ NEW met1 ( 35650 50150 ) M1M2_PR
+ NEW li1 ( 41630 38590 ) L1M1_PR_MR
+ NEW met1 ( 43470 38590 ) M1M2_PR
+ NEW li1 ( 43930 33830 ) L1M1_PR_MR
+ NEW met1 ( 43930 33830 ) M1M2_PR
+ NEW li1 ( 35650 36550 ) L1M1_PR_MR
+ NEW met1 ( 43470 36210 ) M1M2_PR
+ NEW li1 ( 46230 44710 ) L1M1_PR_MR
+ NEW met1 ( 46230 44710 ) M1M2_PR
+ NEW met1 ( 46230 38590 ) M1M2_PR
+ NEW li1 ( 35650 47770 ) L1M1_PR_MR
+ NEW met1 ( 35650 47770 ) M1M2_PR
+ NEW met1 ( 35650 36550 ) M1M2_PR
+ NEW li1 ( 46230 47770 ) L1M1_PR_MR
+ NEW met1 ( 46230 47770 ) M1M2_PR
+ NEW li1 ( 42975 47770 ) L1M1_PR_MR
+ NEW li1 ( 32430 44710 ) L1M1_PR_MR
+ NEW met1 ( 35650 44030 ) M1M2_PR
+ NEW met1 ( 43930 33830 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 43470 36210 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 46230 44710 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 35650 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 35650 36550 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 46230 47770 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 35650 44030 ) RECT ( -70 -485 70 0 ) ;
+ - _080_ ( _280_ B ) ( _252_ A1 ) ( _242_ B1 ) ( _223_ B ) ( _172_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 44390 43010 ) ( 45770 * )
+ NEW met2 ( 45770 43010 ) ( * 45730 )
+ NEW met1 ( 41630 44370 ) ( 45310 * )
+ NEW met2 ( 45310 44370 ) ( 45770 * )
+ NEW met2 ( 38410 39610 ) ( * 40290 )
+ NEW met1 ( 38410 40290 ) ( 45770 * )
+ NEW met2 ( 45770 40290 ) ( * 43010 )
+ NEW met1 ( 42780 36890 ) ( 43010 * )
+ NEW met1 ( 43010 36890 ) ( * 37060 )
+ NEW met2 ( 43010 37060 ) ( * 40290 )
+ NEW li1 ( 44390 43010 ) L1M1_PR_MR
+ NEW met1 ( 45770 43010 ) M1M2_PR
+ NEW li1 ( 45770 45730 ) L1M1_PR_MR
+ NEW met1 ( 45770 45730 ) M1M2_PR
+ NEW li1 ( 41630 44370 ) L1M1_PR_MR
+ NEW met1 ( 45310 44370 ) M1M2_PR
+ NEW li1 ( 38410 39610 ) L1M1_PR_MR
+ NEW met1 ( 38410 39610 ) M1M2_PR
+ NEW met1 ( 38410 40290 ) M1M2_PR
+ NEW met1 ( 45770 40290 ) M1M2_PR
+ NEW li1 ( 42780 36890 ) L1M1_PR_MR
+ NEW met1 ( 43010 37060 ) M1M2_PR
+ NEW met1 ( 43010 40290 ) M1M2_PR
+ NEW met1 ( 45770 45730 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38410 39610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43010 40290 ) RECT ( -595 -70 0 70 ) ;
+ - _081_ ( _300_ A1 ) ( _298_ A3 ) ( _295_ A3 ) ( _221_ A ) ( _173_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 54510 50150 ) ( 54970 * )
+ NEW met2 ( 54510 50150 ) ( * 52020 )
+ NEW met2 ( 54050 52020 ) ( 54510 * )
+ NEW met2 ( 54050 52020 ) ( * 54910 )
+ NEW met1 ( 52670 54910 ) ( 54050 * )
+ NEW met1 ( 52670 54910 ) ( * 55590 )
+ NEW met1 ( 54510 48450 ) ( 54970 * )
+ NEW met2 ( 54510 48450 ) ( * 50150 )
+ NEW met1 ( 47150 50490 ) ( 54510 * )
+ NEW met1 ( 54510 50150 ) ( * 50490 )
+ NEW met2 ( 49450 44370 ) ( * 50490 )
+ NEW li1 ( 54970 50150 ) L1M1_PR_MR
+ NEW met1 ( 54510 50150 ) M1M2_PR
+ NEW met1 ( 54050 54910 ) M1M2_PR
+ NEW li1 ( 52670 55590 ) L1M1_PR_MR
+ NEW li1 ( 54970 48450 ) L1M1_PR_MR
+ NEW met1 ( 54510 48450 ) M1M2_PR
+ NEW li1 ( 47150 50490 ) L1M1_PR_MR
+ NEW li1 ( 49450 44370 ) L1M1_PR_MR
+ NEW met1 ( 49450 44370 ) M1M2_PR
+ NEW met1 ( 49450 50490 ) M1M2_PR
+ NEW met1 ( 49450 44370 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 49450 50490 ) RECT ( -595 -70 0 70 ) ;
+ - _082_ ( _296_ A2 ) ( _293_ A2 ) ( _260_ A1 ) ( _240_ B1 ) ( _221_ B ) ( _174_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 52210 33490 ) ( 54510 * )
+ NEW met2 ( 54510 33490 ) ( * 33660 )
+ NEW met2 ( 54510 33660 ) ( 55430 * )
+ NEW met2 ( 55430 33660 ) ( * 43010 )
+ NEW met1 ( 50370 43010 ) ( 55430 * )
+ NEW met2 ( 50370 43010 ) ( * 44370 )
+ NEW met1 ( 55890 33830 ) ( 55895 * )
+ NEW met2 ( 55430 33830 ) ( 55890 * )
+ NEW met1 ( 55430 35870 ) ( 58190 * )
+ NEW met1 ( 53130 52870 ) ( 54050 * )
+ NEW met1 ( 53130 52190 ) ( * 52870 )
+ NEW met1 ( 50370 52190 ) ( 53130 * )
+ NEW met2 ( 50370 44370 ) ( * 52190 )
+ NEW li1 ( 50370 44370 ) L1M1_PR_MR
+ NEW met1 ( 50370 44370 ) M1M2_PR
+ NEW li1 ( 52210 33490 ) L1M1_PR_MR
+ NEW met1 ( 54510 33490 ) M1M2_PR
+ NEW met1 ( 55430 43010 ) M1M2_PR
+ NEW met1 ( 50370 43010 ) M1M2_PR
+ NEW li1 ( 55895 33830 ) L1M1_PR_MR
+ NEW met1 ( 55890 33830 ) M1M2_PR
+ NEW li1 ( 58190 35870 ) L1M1_PR_MR
+ NEW met1 ( 55430 35870 ) M1M2_PR
+ NEW li1 ( 50370 52190 ) L1M1_PR_MR
+ NEW met1 ( 50370 52190 ) M1M2_PR
+ NEW li1 ( 54050 52870 ) L1M1_PR_MR
+ NEW met1 ( 50370 44370 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 55895 33830 ) RECT ( 0 -70 350 70 )
+ NEW met2 ( 55430 35870 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 50370 52190 ) RECT ( 0 -70 355 70 ) ;
+ - _083_ ( _265_ A1 ) ( _238_ A1 ) ( _237_ B1 ) ( _224_ A ) ( _175_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 56810 25330 ) ( * 25670 )
+ NEW met1 ( 56810 25330 ) ( 58190 * )
+ NEW met1 ( 52210 25670 ) ( 56810 * )
+ NEW met2 ( 53590 22950 ) ( * 25670 )
+ NEW met1 ( 54510 20910 ) ( * 21250 )
+ NEW met1 ( 53590 21250 ) ( 54510 * )
+ NEW met2 ( 53590 21250 ) ( * 22950 )
+ NEW li1 ( 56810 25670 ) L1M1_PR_MR
+ NEW li1 ( 58190 25330 ) L1M1_PR_MR
+ NEW li1 ( 52210 25670 ) L1M1_PR_MR
+ NEW li1 ( 53590 22950 ) L1M1_PR_MR
+ NEW met1 ( 53590 22950 ) M1M2_PR
+ NEW met1 ( 53590 25670 ) M1M2_PR
+ NEW li1 ( 54510 20910 ) L1M1_PR_MR
+ NEW met1 ( 53590 21250 ) M1M2_PR
+ NEW met1 ( 53590 22950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 53590 25670 ) RECT ( -595 -70 0 70 ) ;
+ - _084_ ( _266_ B1 ) ( _264_ B1 ) ( _264_ A1_N ) ( _238_ B1 ) ( _224_ B ) ( _176_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 46230 22270 ) ( 47150 * )
+ NEW met2 ( 46230 17510 ) ( * 22270 )
+ NEW met1 ( 51750 24990 ) ( * 25330 )
+ NEW met1 ( 46230 25330 ) ( 51750 * )
+ NEW met2 ( 46230 22270 ) ( * 25330 )
+ NEW met1 ( 53130 26010 ) ( 54970 * )
+ NEW li1 ( 53130 25330 ) ( * 26010 )
+ NEW met1 ( 51750 25330 ) ( 53130 * )
+ NEW met1 ( 47150 22610 ) ( 57730 * )
+ NEW met1 ( 47150 22270 ) ( * 22610 )
+ NEW met1 ( 60030 22950 ) ( 60130 * )
+ NEW met1 ( 60030 22610 ) ( * 22950 )
+ NEW met1 ( 57730 22610 ) ( 60030 * )
+ NEW li1 ( 47150 22270 ) L1M1_PR_MR
+ NEW met1 ( 46230 22270 ) M1M2_PR
+ NEW li1 ( 46230 17510 ) L1M1_PR_MR
+ NEW met1 ( 46230 17510 ) M1M2_PR
+ NEW li1 ( 51750 24990 ) L1M1_PR_MR
+ NEW met1 ( 46230 25330 ) M1M2_PR
+ NEW li1 ( 54970 26010 ) L1M1_PR_MR
+ NEW li1 ( 53130 26010 ) L1M1_PR_MR
+ NEW li1 ( 53130 25330 ) L1M1_PR_MR
+ NEW li1 ( 57730 22610 ) L1M1_PR_MR
+ NEW li1 ( 60130 22950 ) L1M1_PR_MR
+ NEW met1 ( 46230 17510 ) RECT ( -355 -70 0 70 ) ;
+ - _085_ ( _225_ A1 ) ( _177_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 14950 15130 ) ( 15870 * )
+ NEW li1 ( 14950 15130 ) L1M1_PR_MR
+ NEW li1 ( 15870 15130 ) L1M1_PR_MR ;
+ - _086_ ( _275_ C1 ) ( _274_ A1 ) ( _272_ D ) ( _271_ C1 ) ( _188_ A2 ) ( _187_ B2 ) ( _186_ A2 )
+ ( _185_ A2 ) ( _184_ A2 ) ( _183_ A2 ) ( _180_ A2 ) ( _179_ A ) ( _178_ X ) + USE SIGNAL
+ + ROUTED met1 ( 62100 12750 ) ( 63710 * )
+ NEW met1 ( 34270 8670 ) ( 49450 * )
+ NEW met1 ( 48530 12070 ) ( 49450 * )
+ NEW met1 ( 46205 12070 ) ( 46230 * )
+ NEW met2 ( 46230 8670 ) ( * 12070 )
+ NEW met1 ( 49450 9690 ) ( 52870 * )
+ NEW met1 ( 49450 9350 ) ( * 9690 )
+ NEW met1 ( 62100 12410 ) ( * 12750 )
+ NEW met1 ( 49450 12410 ) ( 62100 * )
+ NEW met1 ( 49450 12070 ) ( * 12410 )
+ NEW met1 ( 19550 6630 ) ( 20210 * )
+ NEW met1 ( 22770 26010 ) ( 25070 * )
+ NEW met1 ( 22770 25330 ) ( * 26010 )
+ NEW met1 ( 20010 25330 ) ( 22770 * )
+ NEW met2 ( 20010 25330 ) ( * 26690 )
+ NEW met1 ( 18170 26690 ) ( 20010 * )
+ NEW met1 ( 18170 26010 ) ( * 26690 )
+ NEW met1 ( 18145 26010 ) ( 18170 * )
+ NEW met1 ( 17050 17510 ) ( 20470 * )
+ NEW met2 ( 20470 17510 ) ( * 24820 )
+ NEW met2 ( 20010 24820 ) ( 20470 * )
+ NEW met2 ( 20010 24820 ) ( * 25330 )
+ NEW met1 ( 26450 15810 ) ( 26910 * )
+ NEW met2 ( 26910 15810 ) ( * 18530 )
+ NEW met1 ( 20470 18530 ) ( 26910 * )
+ NEW met2 ( 26910 15810 ) ( 27830 * )
+ NEW met1 ( 26910 17170 ) ( 30590 * )
+ NEW met1 ( 30590 17170 ) ( 34270 * )
+ NEW met1 ( 34270 20570 ) ( 34295 * )
+ NEW met2 ( 34270 17170 ) ( * 20570 )
+ NEW met2 ( 19550 6630 ) ( * 17510 )
+ NEW met2 ( 27830 12070 ) ( * 15810 )
+ NEW met2 ( 34270 8670 ) ( * 17170 )
+ NEW met2 ( 49450 8670 ) ( * 15130 )
+ NEW li1 ( 63710 12750 ) L1M1_PR_MR
+ NEW li1 ( 27830 12070 ) L1M1_PR_MR
+ NEW met1 ( 27830 12070 ) M1M2_PR
+ NEW met1 ( 49450 8670 ) M1M2_PR
+ NEW met1 ( 34270 8670 ) M1M2_PR
+ NEW li1 ( 48530 12070 ) L1M1_PR_MR
+ NEW met1 ( 49450 12070 ) M1M2_PR
+ NEW li1 ( 46205 12070 ) L1M1_PR_MR
+ NEW met1 ( 46230 12070 ) M1M2_PR
+ NEW met1 ( 46230 8670 ) M1M2_PR
+ NEW li1 ( 52870 9690 ) L1M1_PR_MR
+ NEW met1 ( 49450 9350 ) M1M2_PR
+ NEW met1 ( 19550 6630 ) M1M2_PR
+ NEW li1 ( 20210 6630 ) L1M1_PR_MR
+ NEW li1 ( 25070 26010 ) L1M1_PR_MR
+ NEW met1 ( 20010 25330 ) M1M2_PR
+ NEW met1 ( 20010 26690 ) M1M2_PR
+ NEW li1 ( 18145 26010 ) L1M1_PR_MR
+ NEW li1 ( 17050 17510 ) L1M1_PR_MR
+ NEW met1 ( 20470 17510 ) M1M2_PR
+ NEW met1 ( 19550 17510 ) M1M2_PR
+ NEW li1 ( 26450 15810 ) L1M1_PR_MR
+ NEW met1 ( 26910 15810 ) M1M2_PR
+ NEW met1 ( 26910 18530 ) M1M2_PR
+ NEW met1 ( 20470 18530 ) M1M2_PR
+ NEW li1 ( 30590 17170 ) L1M1_PR_MR
+ NEW met1 ( 26910 17170 ) M1M2_PR
+ NEW met1 ( 34270 17170 ) M1M2_PR
+ NEW li1 ( 34295 20570 ) L1M1_PR_MR
+ NEW met1 ( 34270 20570 ) M1M2_PR
+ NEW li1 ( 49450 15130 ) L1M1_PR_MR
+ NEW met1 ( 49450 15130 ) M1M2_PR
+ NEW met1 ( 27830 12070 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 49450 12070 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 46205 12070 ) RECT ( -330 -70 0 70 )
+ NEW met1 ( 46230 8670 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 49450 9350 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 19550 17510 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 20470 18530 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 26910 17170 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 34295 20570 ) RECT ( 0 -70 330 70 )
+ NEW met1 ( 49450 15130 ) RECT ( -355 -70 0 70 ) ;
+ - _087_ ( _277_ C1 ) ( _270_ B1 ) ( _226_ B ) ( _189_ B ) ( _188_ B2 ) ( _187_ A2 ) ( _186_ B2 )
+ ( _185_ B2 ) ( _184_ B2 ) ( _183_ B2 ) ( _180_ B2 ) ( _179_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 48070 6290 ) ( * 12070 )
+ NEW met1 ( 46690 6290 ) ( 48070 * )
+ NEW met1 ( 48070 11730 ) ( 48990 * )
+ NEW met1 ( 48070 11730 ) ( * 12070 )
+ NEW met1 ( 21850 6630 ) ( 22310 * )
+ NEW met1 ( 21850 6630 ) ( * 6970 )
+ NEW met1 ( 18170 6970 ) ( 21850 * )
+ NEW met1 ( 54970 15130 ) ( 55430 * )
+ NEW met1 ( 51550 15130 ) ( 53590 * )
+ NEW met2 ( 53590 15130 ) ( * 15810 )
+ NEW met2 ( 53590 15810 ) ( 54970 * )
+ NEW met2 ( 54970 15130 ) ( * 15810 )
+ NEW met1 ( 48990 14450 ) ( 51290 * )
+ NEW met2 ( 51290 14450 ) ( * 15130 )
+ NEW met1 ( 51290 15130 ) ( 51550 * )
+ NEW met2 ( 32430 14110 ) ( * 20570 )
+ NEW met1 ( 32430 14110 ) ( 48990 * )
+ NEW met1 ( 48990 14110 ) ( * 14450 )
+ NEW met1 ( 28290 22610 ) ( * 22950 )
+ NEW met1 ( 28290 22610 ) ( 32430 * )
+ NEW met1 ( 32430 22270 ) ( * 22610 )
+ NEW met2 ( 32430 20570 ) ( * 22270 )
+ NEW met1 ( 18170 22610 ) ( 25530 * )
+ NEW met1 ( 25530 22270 ) ( * 22610 )
+ NEW met1 ( 25530 22270 ) ( 28290 * )
+ NEW met1 ( 28290 22270 ) ( * 22610 )
+ NEW met1 ( 19090 25670 ) ( 20470 * )
+ NEW met1 ( 19090 25330 ) ( * 25670 )
+ NEW met2 ( 19090 23630 ) ( * 25330 )
+ NEW met1 ( 18170 23630 ) ( 19090 * )
+ NEW met2 ( 18170 22610 ) ( * 23630 )
+ NEW met1 ( 20010 25670 ) ( * 26010 )
+ NEW met1 ( 14950 17170 ) ( * 17510 )
+ NEW met1 ( 14950 17170 ) ( 18170 * )
+ NEW met2 ( 18170 6970 ) ( * 22610 )
+ NEW met2 ( 48990 11730 ) ( * 14450 )
+ NEW met2 ( 54970 9690 ) ( * 15130 )
+ NEW li1 ( 48070 12070 ) L1M1_PR_MR
+ NEW met1 ( 48070 12070 ) M1M2_PR
+ NEW met1 ( 48070 6290 ) M1M2_PR
+ NEW li1 ( 46690 6290 ) L1M1_PR_MR
+ NEW li1 ( 48990 11730 ) L1M1_PR_MR
+ NEW met1 ( 48990 11730 ) M1M2_PR
+ NEW li1 ( 22310 6630 ) L1M1_PR_MR
+ NEW met1 ( 18170 6970 ) M1M2_PR
+ NEW li1 ( 54970 9690 ) L1M1_PR_MR
+ NEW met1 ( 54970 9690 ) M1M2_PR
+ NEW li1 ( 55430 15130 ) L1M1_PR_MR
+ NEW met1 ( 54970 15130 ) M1M2_PR
+ NEW li1 ( 51550 15130 ) L1M1_PR_MR
+ NEW met1 ( 53590 15130 ) M1M2_PR
+ NEW met1 ( 48990 14450 ) M1M2_PR
+ NEW met1 ( 51290 14450 ) M1M2_PR
+ NEW met1 ( 51290 15130 ) M1M2_PR
+ NEW li1 ( 32430 20570 ) L1M1_PR_MR
+ NEW met1 ( 32430 20570 ) M1M2_PR
+ NEW met1 ( 32430 14110 ) M1M2_PR
+ NEW li1 ( 28290 22950 ) L1M1_PR_MR
+ NEW met1 ( 32430 22270 ) M1M2_PR
+ NEW met1 ( 18170 22610 ) M1M2_PR
+ NEW li1 ( 20470 25670 ) L1M1_PR_MR
+ NEW met1 ( 19090 25330 ) M1M2_PR
+ NEW met1 ( 19090 23630 ) M1M2_PR
+ NEW met1 ( 18170 23630 ) M1M2_PR
+ NEW li1 ( 20010 26010 ) L1M1_PR_MR
+ NEW li1 ( 14950 17510 ) L1M1_PR_MR
+ NEW met1 ( 18170 17170 ) M1M2_PR
+ NEW met1 ( 48070 12070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48990 11730 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 54970 9690 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 51550 15130 ) RECT ( 0 -70 335 70 )
+ NEW met1 ( 32430 20570 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 20010 26010 ) RECT ( 0 -70 255 70 )
+ NEW met2 ( 18170 17170 ) RECT ( -70 -485 70 0 ) ;
+ - _088_ ( _213_ B1 ) ( _191_ B1 ) ( _190_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 18630 20570 ) ( 19090 * )
+ NEW met2 ( 19090 20570 ) ( * 22950 )
+ NEW met1 ( 16790 20570 ) ( 18630 * )
+ NEW li1 ( 18630 20570 ) L1M1_PR_MR
+ NEW met1 ( 19090 20570 ) M1M2_PR
+ NEW li1 ( 19090 22950 ) L1M1_PR_MR
+ NEW met1 ( 19090 22950 ) M1M2_PR
+ NEW li1 ( 16790 20570 ) L1M1_PR_MR
+ NEW met1 ( 19090 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _089_ ( _198_ B1 ) ( _198_ A1_N ) ( _191_ X ) + USE SIGNAL
+ + ROUTED met1 ( 15310 23290 ) ( 17710 * )
+ NEW met2 ( 17710 20910 ) ( * 23290 )
+ NEW li1 ( 17710 23290 ) L1M1_PR_MR
+ NEW li1 ( 15310 23290 ) L1M1_PR_MR
+ NEW li1 ( 17710 20910 ) L1M1_PR_MR
+ NEW met1 ( 17710 20910 ) M1M2_PR
+ NEW met1 ( 17710 23290 ) M1M2_PR
+ NEW met1 ( 17710 20910 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 17710 23290 ) RECT ( -595 -70 0 70 ) ;
+ - _090_ ( _199_ B1 ) ( _197_ B1 ) ( _192_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 17710 9690 ) ( 19090 * )
+ NEW met2 ( 19090 9690 ) ( * 12070 )
+ NEW met1 ( 17710 5950 ) ( 19090 * )
+ NEW met2 ( 19090 5950 ) ( * 9690 )
+ NEW li1 ( 17710 9690 ) L1M1_PR_MR
+ NEW met1 ( 19090 9690 ) M1M2_PR
+ NEW li1 ( 19090 12070 ) L1M1_PR_MR
+ NEW met1 ( 19090 12070 ) M1M2_PR
+ NEW li1 ( 17710 5950 ) L1M1_PR_MR
+ NEW met1 ( 19090 5950 ) M1M2_PR
+ NEW met1 ( 19090 12070 ) RECT ( -355 -70 0 70 ) ;
+ - _091_ ( _208_ B1 ) ( _206_ B1 ) ( _206_ A1_N ) ( _195_ B1 ) ( _193_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 33350 12410 ) ( 35650 * )
+ NEW met1 ( 35650 12410 ) ( 37030 * )
+ NEW met1 ( 34270 14790 ) ( 34730 * )
+ NEW met1 ( 31050 14790 ) ( 34270 * )
+ NEW met2 ( 34730 12410 ) ( * 14790 )
+ NEW met2 ( 37030 12410 ) ( * 15130 )
+ NEW li1 ( 35650 12410 ) L1M1_PR_MR
+ NEW li1 ( 33350 12410 ) L1M1_PR_MR
+ NEW met1 ( 34730 12410 ) M1M2_PR
+ NEW met1 ( 37030 12410 ) M1M2_PR
+ NEW li1 ( 37030 15130 ) L1M1_PR_MR
+ NEW met1 ( 37030 15130 ) M1M2_PR
+ NEW li1 ( 34270 14790 ) L1M1_PR_MR
+ NEW met1 ( 34730 14790 ) M1M2_PR
+ NEW li1 ( 31050 14790 ) L1M1_PR_MR
+ NEW met1 ( 34730 12410 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 37030 15130 ) RECT ( 0 -70 355 70 ) ;
+ - _092_ ( _206_ B2 ) ( _206_ A2_N ) ( _195_ B2 ) ( _194_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36110 12070 ) ( 37950 * )
+ NEW met2 ( 37950 12070 ) ( * 13090 )
+ NEW met1 ( 32890 12070 ) ( 36110 * )
+ NEW met2 ( 37950 13090 ) ( * 15130 )
+ NEW li1 ( 37950 13090 ) L1M1_PR_MR
+ NEW met1 ( 37950 13090 ) M1M2_PR
+ NEW li1 ( 36110 12070 ) L1M1_PR_MR
+ NEW met1 ( 37950 12070 ) M1M2_PR
+ NEW li1 ( 32890 12070 ) L1M1_PR_MR
+ NEW li1 ( 37950 15130 ) L1M1_PR_MR
+ NEW met1 ( 37950 15130 ) M1M2_PR
+ NEW met1 ( 37950 13090 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 37950 15130 ) RECT ( 0 -70 355 70 ) ;
+ - _093_ ( _200_ B1 ) ( _200_ A1_N ) ( _196_ A ) ( _195_ X ) + USE SIGNAL
+ + ROUTED met1 ( 19550 15130 ) ( 20010 * )
+ NEW met2 ( 20010 14620 ) ( * 15130 )
+ NEW met3 ( 20010 14620 ) ( 35190 * )
+ NEW met2 ( 35190 14450 ) ( * 14620 )
+ NEW met1 ( 18170 12410 ) ( 20470 * )
+ NEW met2 ( 20470 12410 ) ( * 14620 )
+ NEW met2 ( 20010 14620 ) ( 20470 * )
+ NEW met1 ( 15770 12410 ) ( 18170 * )
+ NEW li1 ( 19550 15130 ) L1M1_PR_MR
+ NEW met1 ( 20010 15130 ) M1M2_PR
+ NEW met2 ( 20010 14620 ) M2M3_PR_M
+ NEW met2 ( 35190 14620 ) M2M3_PR_M
+ NEW li1 ( 35190 14450 ) L1M1_PR_MR
+ NEW met1 ( 35190 14450 ) M1M2_PR
+ NEW li1 ( 18170 12410 ) L1M1_PR_MR
+ NEW met1 ( 20470 12410 ) M1M2_PR
+ NEW li1 ( 15770 12410 ) L1M1_PR_MR
+ NEW met1 ( 35190 14450 ) RECT ( -355 -70 0 70 ) ;
+ - _094_ ( _197_ B2 ) ( _196_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 19550 13090 ) ( 20010 * )
+ NEW met2 ( 20010 13090 ) ( * 14110 )
+ NEW met1 ( 20010 13090 ) M1M2_PR
+ NEW li1 ( 19550 13090 ) L1M1_PR_MR
+ NEW li1 ( 20010 14110 ) L1M1_PR_MR
+ NEW met1 ( 20010 14110 ) M1M2_PR
+ NEW met1 ( 20010 14110 ) RECT ( -355 -70 0 70 ) ;
+ - _095_ ( _213_ B2 ) ( _198_ B2 ) ( _198_ A2_N ) ( _197_ X ) + USE SIGNAL
+ + ROUTED met1 ( 22310 13090 ) ( 24150 * )
+ NEW met1 ( 19550 23630 ) ( 24150 * )
+ NEW met1 ( 17250 22950 ) ( 18170 * )
+ NEW met1 ( 18170 22950 ) ( * 23290 )
+ NEW met1 ( 18170 23290 ) ( 19550 * )
+ NEW met1 ( 19550 23290 ) ( * 23630 )
+ NEW met1 ( 15870 22950 ) ( 17250 * )
+ NEW met2 ( 24150 13090 ) ( * 23630 )
+ NEW li1 ( 22310 13090 ) L1M1_PR_MR
+ NEW met1 ( 24150 13090 ) M1M2_PR
+ NEW li1 ( 19550 23630 ) L1M1_PR_MR
+ NEW met1 ( 24150 23630 ) M1M2_PR
+ NEW li1 ( 17250 22950 ) L1M1_PR_MR
+ NEW li1 ( 15870 22950 ) L1M1_PR_MR ;
+ - _096_ ( _202_ B ) ( _201_ A2 ) ( _198_ X ) + USE SIGNAL
+ + ROUTED met1 ( 7590 22950 ) ( * 23630 )
+ NEW met2 ( 7130 23630 ) ( * 26010 )
+ NEW met1 ( 7130 23630 ) ( 7590 * )
+ NEW met1 ( 7590 23630 ) ( 14490 * )
+ NEW li1 ( 7590 22950 ) L1M1_PR_MR
+ NEW li1 ( 7130 26010 ) L1M1_PR_MR
+ NEW met1 ( 7130 26010 ) M1M2_PR
+ NEW met1 ( 7130 23630 ) M1M2_PR
+ NEW li1 ( 14490 23630 ) L1M1_PR_MR
+ NEW met1 ( 7130 26010 ) RECT ( -355 -70 0 70 ) ;
+ - _097_ ( _200_ B2 ) ( _200_ A2_N ) ( _199_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 17710 10370 ) ( * 11730 )
+ NEW met1 ( 17710 10370 ) ( 18170 * )
+ NEW met1 ( 16330 11730 ) ( * 12070 )
+ NEW met1 ( 16330 11730 ) ( 17710 * )
+ NEW li1 ( 17710 11730 ) L1M1_PR_MR
+ NEW met1 ( 17710 11730 ) M1M2_PR
+ NEW met1 ( 17710 10370 ) M1M2_PR
+ NEW li1 ( 18170 10370 ) L1M1_PR_MR
+ NEW li1 ( 16330 12070 ) L1M1_PR_MR
+ NEW met1 ( 17710 11730 ) RECT ( -355 -70 0 70 ) ;
+ - _098_ ( _204_ A2 ) ( _201_ B2 ) ( _200_ X ) + USE SIGNAL
+ + ROUTED met1 ( 9890 17510 ) ( * 18530 )
+ NEW met1 ( 11270 22610 ) ( * 22950 )
+ NEW met2 ( 14950 18530 ) ( * 22610 )
+ NEW met1 ( 9890 18530 ) ( 14950 * )
+ NEW met1 ( 11270 22610 ) ( 14950 * )
+ NEW met2 ( 14950 13090 ) ( * 18530 )
+ NEW li1 ( 9890 17510 ) L1M1_PR_MR
+ NEW li1 ( 11270 22950 ) L1M1_PR_MR
+ NEW li1 ( 14950 13090 ) L1M1_PR_MR
+ NEW met1 ( 14950 13090 ) M1M2_PR
+ NEW met1 ( 14950 18530 ) M1M2_PR
+ NEW met1 ( 14950 22610 ) M1M2_PR
+ NEW met1 ( 14950 13090 ) RECT ( -355 -70 0 70 ) ;
+ - _099_ ( _217_ A1 ) ( _204_ C1 ) ( _201_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 11730 20570 ) ( * 23290 )
+ NEW met2 ( 11270 17510 ) ( * 20060 )
+ NEW met2 ( 11270 20060 ) ( 11730 * )
+ NEW met2 ( 11730 20060 ) ( * 20570 )
+ NEW li1 ( 11730 20570 ) L1M1_PR_MR
+ NEW met1 ( 11730 20570 ) M1M2_PR
+ NEW li1 ( 11730 23290 ) L1M1_PR_MR
+ NEW met1 ( 11730 23290 ) M1M2_PR
+ NEW li1 ( 11270 17510 ) L1M1_PR_MR
+ NEW met1 ( 11270 17510 ) M1M2_PR
+ NEW met1 ( 11730 20570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 11730 23290 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 11270 17510 ) RECT ( -355 -70 0 70 ) ;
+ - _100_ ( _204_ B1 ) ( _203_ A ) ( _202_ X ) + USE SIGNAL
+ + ROUTED met2 ( 12190 22950 ) ( * 24990 )
+ NEW met1 ( 8510 24990 ) ( 12190 * )
+ NEW met1 ( 10350 17170 ) ( * 17510 )
+ NEW met1 ( 10350 17170 ) ( 12650 * )
+ NEW met2 ( 12650 17170 ) ( * 22950 )
+ NEW met2 ( 12190 22950 ) ( 12650 * )
+ NEW li1 ( 12190 22950 ) L1M1_PR_MR
+ NEW met1 ( 12190 22950 ) M1M2_PR
+ NEW met1 ( 12190 24990 ) M1M2_PR
+ NEW li1 ( 8510 24990 ) L1M1_PR_MR
+ NEW li1 ( 10350 17510 ) L1M1_PR_MR
+ NEW met1 ( 12650 17170 ) M1M2_PR
+ NEW met1 ( 12190 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _101_ ( _217_ A2 ) ( _203_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 10810 20570 ) ( * 22270 )
+ NEW met1 ( 10810 22270 ) ( 12650 * )
+ NEW li1 ( 10810 20570 ) L1M1_PR_MR
+ NEW met1 ( 10810 20570 ) M1M2_PR
+ NEW met1 ( 10810 22270 ) M1M2_PR
+ NEW li1 ( 12650 22270 ) L1M1_PR_MR
+ NEW met1 ( 10810 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _102_ ( _225_ C1 ) ( _205_ A ) ( _204_ X ) + USE SIGNAL
+ + ROUTED met1 ( 11270 15130 ) ( * 15470 )
+ NEW met1 ( 11270 15470 ) ( 13110 * )
+ NEW met1 ( 13110 15470 ) ( * 15810 )
+ NEW met2 ( 13110 15810 ) ( * 20570 )
+ NEW met1 ( 8050 16830 ) ( * 17170 )
+ NEW met1 ( 8050 16830 ) ( 13110 * )
+ NEW li1 ( 11270 15130 ) L1M1_PR_MR
+ NEW met1 ( 13110 15810 ) M1M2_PR
+ NEW li1 ( 13110 20570 ) L1M1_PR_MR
+ NEW met1 ( 13110 20570 ) M1M2_PR
+ NEW li1 ( 8050 17170 ) L1M1_PR_MR
+ NEW met1 ( 13110 16830 ) M1M2_PR
+ NEW met1 ( 13110 20570 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 13110 16830 ) RECT ( -70 -485 70 0 ) ;
+ - _103_ ( _217_ B1 ) ( _205_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 9885 20570 ) ( 9890 * )
+ NEW met1 ( 9890 19550 ) ( * 20570 )
+ NEW met1 ( 9890 19550 ) ( 13570 * )
+ NEW li1 ( 9885 20570 ) L1M1_PR_MR
+ NEW li1 ( 13570 19550 ) L1M1_PR_MR ;
+ - _104_ ( _211_ A2 ) ( _210_ A2 ) ( _207_ B ) ( _206_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 10350 11390 ) ( * 12070 )
+ NEW met1 ( 8970 15130 ) ( * 15470 )
+ NEW met1 ( 8970 15470 ) ( 10350 * )
+ NEW met2 ( 10350 12070 ) ( * 15470 )
+ NEW met1 ( 10810 17850 ) ( 12880 * )
+ NEW met2 ( 10810 15470 ) ( * 17850 )
+ NEW met2 ( 10350 15470 ) ( 10810 * )
+ NEW met1 ( 20470 11390 ) ( * 11730 )
+ NEW met1 ( 20470 11730 ) ( 21850 * )
+ NEW met1 ( 21850 11390 ) ( * 11730 )
+ NEW met1 ( 21850 11390 ) ( 34270 * )
+ NEW met1 ( 34270 11390 ) ( * 11730 )
+ NEW met1 ( 10350 11390 ) ( 20470 * )
+ NEW li1 ( 10350 12070 ) L1M1_PR_MR
+ NEW met1 ( 10350 12070 ) M1M2_PR
+ NEW met1 ( 10350 11390 ) M1M2_PR
+ NEW li1 ( 8970 15130 ) L1M1_PR_MR
+ NEW met1 ( 10350 15470 ) M1M2_PR
+ NEW li1 ( 12880 17850 ) L1M1_PR_MR
+ NEW met1 ( 10810 17850 ) M1M2_PR
+ NEW li1 ( 34270 11730 ) L1M1_PR_MR
+ NEW met1 ( 10350 12070 ) RECT ( 0 -70 355 70 ) ;
+ - _105_ ( _210_ C1 ) ( _207_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 8510 12070 ) ( * 14110 )
+ NEW met1 ( 8510 14110 ) ( 8970 * )
+ NEW li1 ( 8510 12070 ) L1M1_PR_MR
+ NEW met1 ( 8510 12070 ) M1M2_PR
+ NEW met1 ( 8510 14110 ) M1M2_PR
+ NEW li1 ( 8970 14110 ) L1M1_PR_MR
+ NEW met1 ( 8510 12070 ) RECT ( -355 -70 0 70 ) ;
+ - _106_ ( _225_ A2 ) ( _209_ A ) ( _208_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 13110 14790 ) ( * 15130 )
+ NEW met1 ( 13110 14790 ) ( 30590 * )
+ NEW met2 ( 13570 12070 ) ( * 14790 )
+ NEW li1 ( 13110 15130 ) L1M1_PR_MR
+ NEW li1 ( 30590 14790 ) L1M1_PR_MR
+ NEW li1 ( 13570 12070 ) L1M1_PR_MR
+ NEW met1 ( 13570 12070 ) M1M2_PR
+ NEW met1 ( 13570 14790 ) M1M2_PR
+ NEW met1 ( 13570 12070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 13570 14790 ) RECT ( -595 -70 0 70 ) ;
+ - _107_ ( _210_ B2 ) ( _209_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 9890 12410 ) ( 13110 * )
+ NEW li1 ( 9890 12410 ) L1M1_PR_MR
+ NEW li1 ( 13110 12410 ) L1M1_PR_MR ;
+ - _108_ ( _225_ B1 ) ( _211_ B1 ) ( _210_ X ) + USE SIGNAL
+ + ROUTED met2 ( 12190 12750 ) ( * 15130 )
+ NEW met1 ( 11730 17510 ) ( 12190 * )
+ NEW met2 ( 12190 15130 ) ( * 17510 )
+ NEW li1 ( 12190 15130 ) L1M1_PR_MR
+ NEW met1 ( 12190 15130 ) M1M2_PR
+ NEW li1 ( 12190 12750 ) L1M1_PR_MR
+ NEW met1 ( 12190 12750 ) M1M2_PR
+ NEW li1 ( 11730 17510 ) L1M1_PR_MR
+ NEW met1 ( 12190 17510 ) M1M2_PR
+ NEW met1 ( 12190 15130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 12190 12750 ) RECT ( -355 -70 0 70 ) ;
+ - _109_ ( _217_ B2 ) ( _211_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 10350 18190 ) ( * 20230 )
+ NEW met1 ( 10350 18190 ) ( 12190 * )
+ NEW li1 ( 10350 20230 ) L1M1_PR_MR
+ NEW met1 ( 10350 20230 ) M1M2_PR
+ NEW met1 ( 10350 18190 ) M1M2_PR
+ NEW li1 ( 12190 18190 ) L1M1_PR_MR
+ NEW met1 ( 10350 20230 ) RECT ( 0 -70 355 70 ) ;
+ - _110_ ( _215_ A1 ) ( _214_ A ) ( _212_ X ) + USE SIGNAL
+ + ROUTED met1 ( 12190 25330 ) ( * 25670 )
+ NEW met1 ( 12190 25330 ) ( 13570 * )
+ NEW met2 ( 14490 25330 ) ( * 26180 )
+ NEW met2 ( 14030 26180 ) ( 14490 * )
+ NEW met2 ( 14030 26180 ) ( * 28050 )
+ NEW met1 ( 14030 28050 ) ( 16790 * )
+ NEW met1 ( 13570 25330 ) ( 14490 * )
+ NEW li1 ( 13570 25330 ) L1M1_PR_MR
+ NEW li1 ( 12190 25670 ) L1M1_PR_MR
+ NEW met1 ( 14490 25330 ) M1M2_PR
+ NEW met1 ( 14030 28050 ) M1M2_PR
+ NEW li1 ( 16790 28050 ) L1M1_PR_MR ;
+ - _111_ ( _215_ A2 ) ( _214_ B ) ( _213_ X ) + USE SIGNAL
+ + ROUTED met2 ( 17710 23970 ) ( * 28050 )
+ NEW met1 ( 17710 23970 ) ( 22310 * )
+ NEW met2 ( 14030 23970 ) ( * 25670 )
+ NEW met1 ( 14030 23970 ) ( 17710 * )
+ NEW met1 ( 12650 25670 ) ( 14030 * )
+ NEW li1 ( 12650 25670 ) L1M1_PR_MR
+ NEW li1 ( 17710 28050 ) L1M1_PR_MR
+ NEW met1 ( 17710 28050 ) M1M2_PR
+ NEW met1 ( 17710 23970 ) M1M2_PR
+ NEW li1 ( 22310 23970 ) L1M1_PR_MR
+ NEW met1 ( 14030 25670 ) M1M2_PR
+ NEW met1 ( 14030 23970 ) M1M2_PR
+ NEW met1 ( 17710 28050 ) RECT ( -355 -70 0 70 ) ;
+ - _112_ ( _218_ C1 ) ( _215_ B1_N ) ( _214_ X ) + USE SIGNAL
+ + ROUTED met2 ( 10350 28390 ) ( * 29070 )
+ NEW met1 ( 10350 26010 ) ( 10355 * )
+ NEW met2 ( 10350 26010 ) ( * 28390 )
+ NEW met1 ( 10350 29070 ) ( 15870 * )
+ NEW li1 ( 10350 28390 ) L1M1_PR_MR
+ NEW met1 ( 10350 28390 ) M1M2_PR
+ NEW met1 ( 10350 29070 ) M1M2_PR
+ NEW li1 ( 10355 26010 ) L1M1_PR_MR
+ NEW met1 ( 10350 26010 ) M1M2_PR
+ NEW li1 ( 15870 29070 ) L1M1_PR_MR
+ NEW met1 ( 10350 28390 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 10355 26010 ) RECT ( 0 -70 350 70 ) ;
+ - _113_ ( _218_ B2 ) ( _216_ B ) ( _215_ X ) + USE SIGNAL
+ + ROUTED met1 ( 9890 28390 ) ( * 28730 )
+ NEW met1 ( 9890 28730 ) ( 12650 * )
+ NEW met1 ( 12650 28390 ) ( * 28730 )
+ NEW met2 ( 9890 26690 ) ( * 28390 )
+ NEW li1 ( 9890 28390 ) L1M1_PR_MR
+ NEW li1 ( 12650 28390 ) L1M1_PR_MR
+ NEW li1 ( 9890 26690 ) L1M1_PR_MR
+ NEW met1 ( 9890 26690 ) M1M2_PR
+ NEW met1 ( 9890 28390 ) M1M2_PR
+ NEW met1 ( 9890 26690 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 9890 28390 ) RECT ( -595 -70 0 70 ) ;
+ - _114_ ( _225_ D1 ) ( _217_ C1 ) ( _216_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 8970 20570 ) ( * 29070 )
+ NEW met1 ( 8970 29070 ) ( 9430 * )
+ NEW met1 ( 9430 15130 ) ( 9890 * )
+ NEW met2 ( 8970 15130 ) ( 9430 * )
+ NEW met2 ( 8970 15130 ) ( * 20570 )
+ NEW li1 ( 8970 20570 ) L1M1_PR_MR
+ NEW met1 ( 8970 20570 ) M1M2_PR
+ NEW met1 ( 8970 29070 ) M1M2_PR
+ NEW li1 ( 9430 29070 ) L1M1_PR_MR
+ NEW li1 ( 9890 15130 ) L1M1_PR_MR
+ NEW met1 ( 9430 15130 ) M1M2_PR
+ NEW met1 ( 8970 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _115_ ( _219_ A ) ( _217_ X ) + USE SIGNAL
+ + ROUTED met1 ( 22310 19890 ) ( * 20230 )
+ NEW met1 ( 22310 20230 ) ( 29210 * )
+ NEW met1 ( 29210 20230 ) ( * 20570 )
+ NEW met1 ( 29210 20570 ) ( 31970 * )
+ NEW met1 ( 31970 20570 ) ( * 21250 )
+ NEW met1 ( 31970 21250 ) ( 37030 * )
+ NEW met2 ( 37030 21250 ) ( * 22610 )
+ NEW met1 ( 12650 19890 ) ( 22310 * )
+ NEW li1 ( 12650 19890 ) L1M1_PR_MR
+ NEW met1 ( 37030 21250 ) M1M2_PR
+ NEW li1 ( 37030 22610 ) L1M1_PR_MR
+ NEW met1 ( 37030 22610 ) M1M2_PR
+ NEW met1 ( 37030 22610 ) RECT ( -355 -70 0 70 ) ;
+ - _116_ ( _234_ A1 ) ( _219_ B ) ( _218_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 36110 22950 ) ( * 29410 )
+ NEW met1 ( 49910 20570 ) ( * 20910 )
+ NEW met1 ( 47150 20910 ) ( 49910 * )
+ NEW met1 ( 47150 20910 ) ( * 21250 )
+ NEW met1 ( 40710 21250 ) ( 47150 * )
+ NEW met2 ( 40710 21250 ) ( * 22950 )
+ NEW met1 ( 36110 22950 ) ( 40710 * )
+ NEW met1 ( 10810 29410 ) ( 36110 * )
+ NEW li1 ( 10810 29410 ) L1M1_PR_MR
+ NEW li1 ( 36110 22950 ) L1M1_PR_MR
+ NEW met1 ( 36110 22950 ) M1M2_PR
+ NEW met1 ( 36110 29410 ) M1M2_PR
+ NEW li1 ( 49910 20570 ) L1M1_PR_MR
+ NEW met1 ( 40710 21250 ) M1M2_PR
+ NEW met1 ( 40710 22950 ) M1M2_PR
+ NEW met1 ( 36110 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _117_ ( _260_ A2 ) ( _252_ A2 ) ( _247_ B2 ) ( _245_ B1 ) ( _243_ B2 ) ( _242_ B2 ) ( _241_ B1 )
+ ( _240_ B2 ) ( _238_ A2 ) ( _237_ B2 ) ( _234_ B1 ) ( _220_ A ) ( _219_ X ) + USE SIGNAL
+ + ROUTED met2 ( 40710 26010 ) ( * 28220 )
+ NEW met2 ( 40250 28220 ) ( * 33150 )
+ NEW met2 ( 40250 28220 ) ( 40710 * )
+ NEW met2 ( 38870 35870 ) ( * 39270 )
+ NEW met1 ( 51755 20230 ) ( * 20570 )
+ NEW met1 ( 51755 20230 ) ( 53590 * )
+ NEW met1 ( 53590 20230 ) ( * 20570 )
+ NEW met1 ( 54510 24990 ) ( 56350 * )
+ NEW met2 ( 54510 19890 ) ( * 24990 )
+ NEW met1 ( 53590 19890 ) ( 54510 * )
+ NEW met1 ( 53590 19890 ) ( * 20230 )
+ NEW met1 ( 55430 33150 ) ( * 33830 )
+ NEW met2 ( 55430 24990 ) ( * 33150 )
+ NEW met1 ( 52670 33830 ) ( 53130 * )
+ NEW met2 ( 52670 33830 ) ( * 34510 )
+ NEW met1 ( 52670 34510 ) ( 55430 * )
+ NEW met1 ( 55430 33830 ) ( * 34510 )
+ NEW met1 ( 51750 36550 ) ( * 36890 )
+ NEW met1 ( 51750 36550 ) ( 52670 * )
+ NEW met2 ( 52670 34510 ) ( * 36550 )
+ NEW met1 ( 48530 33490 ) ( * 33830 )
+ NEW met1 ( 48530 33490 ) ( 49450 * )
+ NEW li1 ( 49450 33490 ) ( * 34170 )
+ NEW met1 ( 49450 34170 ) ( 50830 * )
+ NEW met1 ( 50830 34170 ) ( * 34510 )
+ NEW met1 ( 50830 34510 ) ( 52670 * )
+ NEW met1 ( 42550 33490 ) ( * 33830 )
+ NEW met1 ( 42550 33490 ) ( 48530 * )
+ NEW met1 ( 42550 33150 ) ( * 33490 )
+ NEW met2 ( 42550 33830 ) ( * 35870 )
+ NEW met1 ( 41630 36890 ) ( 42090 * )
+ NEW li1 ( 41630 35870 ) ( * 36890 )
+ NEW met2 ( 41630 23630 ) ( * 26010 )
+ NEW met1 ( 41630 28390 ) ( 42090 * )
+ NEW met2 ( 41630 28220 ) ( * 28390 )
+ NEW met1 ( 37950 23630 ) ( 41630 * )
+ NEW met2 ( 40710 26010 ) ( 41630 * )
+ NEW met2 ( 40710 28220 ) ( 41630 * )
+ NEW met1 ( 40250 33150 ) ( 42550 * )
+ NEW met1 ( 38870 35870 ) ( 42550 * )
+ NEW li1 ( 37950 23630 ) L1M1_PR_MR
+ NEW met1 ( 40250 33150 ) M1M2_PR
+ NEW li1 ( 38870 39270 ) L1M1_PR_MR
+ NEW met1 ( 38870 39270 ) M1M2_PR
+ NEW met1 ( 38870 35870 ) M1M2_PR
+ NEW li1 ( 51755 20570 ) L1M1_PR_MR
+ NEW li1 ( 53590 20570 ) L1M1_PR_MR
+ NEW li1 ( 56350 24990 ) L1M1_PR_MR
+ NEW met1 ( 54510 24990 ) M1M2_PR
+ NEW met1 ( 54510 19890 ) M1M2_PR
+ NEW li1 ( 55430 33830 ) L1M1_PR_MR
+ NEW met1 ( 55430 33150 ) M1M2_PR
+ NEW met1 ( 55430 24990 ) M1M2_PR
+ NEW li1 ( 53130 33830 ) L1M1_PR_MR
+ NEW met1 ( 52670 33830 ) M1M2_PR
+ NEW met1 ( 52670 34510 ) M1M2_PR
+ NEW li1 ( 51750 36890 ) L1M1_PR_MR
+ NEW met1 ( 52670 36550 ) M1M2_PR
+ NEW li1 ( 48530 33830 ) L1M1_PR_MR
+ NEW li1 ( 49450 33490 ) L1M1_PR_MR
+ NEW li1 ( 49450 34170 ) L1M1_PR_MR
+ NEW li1 ( 42550 33830 ) L1M1_PR_MR
+ NEW met1 ( 42550 35870 ) M1M2_PR
+ NEW met1 ( 42550 33830 ) M1M2_PR
+ NEW li1 ( 42090 36890 ) L1M1_PR_MR
+ NEW li1 ( 41630 36890 ) L1M1_PR_MR
+ NEW li1 ( 41630 35870 ) L1M1_PR_MR
+ NEW li1 ( 41630 26010 ) L1M1_PR_MR
+ NEW met1 ( 41630 26010 ) M1M2_PR
+ NEW met1 ( 41630 23630 ) M1M2_PR
+ NEW li1 ( 42090 28390 ) L1M1_PR_MR
+ NEW met1 ( 41630 28390 ) M1M2_PR
+ NEW met1 ( 38870 39270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 55430 24990 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 42550 33830 ) RECT ( 0 -70 595 70 )
+ NEW met1 ( 41630 35870 ) RECT ( 0 -70 595 70 )
+ NEW met1 ( 41630 26010 ) RECT ( 0 -70 355 70 ) ;
+ - _118_ ( _259_ A1 ) ( _247_ A2 ) ( _243_ A2 ) ( _242_ A2 ) ( _240_ A2 ) ( _237_ A2 ) ( _235_ A2 )
+ ( _220_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 49910 22950 ) ( * 23290 )
+ NEW met1 ( 49910 23290 ) ( 54050 * )
+ NEW met2 ( 54050 20230 ) ( * 23290 )
+ NEW met1 ( 54050 20230 ) ( 55430 * )
+ NEW met1 ( 55430 20230 ) ( * 20570 )
+ NEW met1 ( 55430 20570 ) ( 55455 * )
+ NEW met2 ( 42090 23290 ) ( * 24990 )
+ NEW met1 ( 42090 23290 ) ( 49910 * )
+ NEW met1 ( 41170 28730 ) ( 43010 * )
+ NEW met2 ( 43010 24990 ) ( * 28730 )
+ NEW met2 ( 42090 24990 ) ( 43010 * )
+ NEW met1 ( 43010 34170 ) ( 43470 * )
+ NEW met2 ( 43010 28730 ) ( * 34170 )
+ NEW met1 ( 48990 33830 ) ( 51030 * )
+ NEW met1 ( 48990 33830 ) ( * 34850 )
+ NEW met1 ( 43930 34850 ) ( 48990 * )
+ NEW met1 ( 43930 34170 ) ( * 34850 )
+ NEW met1 ( 43470 34170 ) ( 43930 * )
+ NEW met2 ( 54970 34850 ) ( * 36550 )
+ NEW met1 ( 48990 34850 ) ( 54970 * )
+ NEW met1 ( 43930 36890 ) ( 43955 * )
+ NEW met2 ( 43930 34850 ) ( * 36890 )
+ NEW li1 ( 49910 22950 ) L1M1_PR_MR
+ NEW met1 ( 54050 23290 ) M1M2_PR
+ NEW met1 ( 54050 20230 ) M1M2_PR
+ NEW li1 ( 55455 20570 ) L1M1_PR_MR
+ NEW li1 ( 42090 24990 ) L1M1_PR_MR
+ NEW met1 ( 42090 24990 ) M1M2_PR
+ NEW met1 ( 42090 23290 ) M1M2_PR
+ NEW li1 ( 41170 28730 ) L1M1_PR_MR
+ NEW met1 ( 43010 28730 ) M1M2_PR
+ NEW li1 ( 43470 34170 ) L1M1_PR_MR
+ NEW met1 ( 43010 34170 ) M1M2_PR
+ NEW li1 ( 51030 33830 ) L1M1_PR_MR
+ NEW li1 ( 54970 36550 ) L1M1_PR_MR
+ NEW met1 ( 54970 36550 ) M1M2_PR
+ NEW met1 ( 54970 34850 ) M1M2_PR
+ NEW li1 ( 43955 36890 ) L1M1_PR_MR
+ NEW met1 ( 43930 36890 ) M1M2_PR
+ NEW met1 ( 43930 34850 ) M1M2_PR
+ NEW met1 ( 42090 24990 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 54970 36550 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43955 36890 ) RECT ( 0 -70 330 70 )
+ NEW met1 ( 43930 34850 ) RECT ( 0 -70 595 70 ) ;
+ - _119_ ( _223_ C ) ( _222_ A ) ( _221_ X ) + USE SIGNAL
+ + ROUTED met1 ( 45770 44370 ) ( 48530 * )
+ NEW met1 ( 45770 44370 ) ( * 44710 )
+ NEW met1 ( 48530 44710 ) ( 50830 * )
+ NEW met1 ( 48530 44370 ) ( * 44710 )
+ NEW li1 ( 48530 44370 ) L1M1_PR_MR
+ NEW li1 ( 45770 44710 ) L1M1_PR_MR
+ NEW li1 ( 50830 44710 ) L1M1_PR_MR ;
+ - _120_ ( _304_ A1 ) ( _288_ A ) ( _287_ A4 ) ( _283_ A3 ) ( _239_ A ) ( _222_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 51290 45390 ) ( * 52870 )
+ NEW met1 ( 50370 39270 ) ( 51290 * )
+ NEW met2 ( 51290 39270 ) ( * 45390 )
+ NEW met2 ( 47610 41820 ) ( * 42330 )
+ NEW met2 ( 47610 41820 ) ( 48530 * )
+ NEW met2 ( 48530 40290 ) ( * 41820 )
+ NEW met1 ( 48530 40290 ) ( 51290 * )
+ NEW met1 ( 32890 53890 ) ( 34270 * )
+ NEW met2 ( 32890 53890 ) ( * 55930 )
+ NEW met1 ( 31050 55930 ) ( 32890 * )
+ NEW met1 ( 43010 52870 ) ( * 53210 )
+ NEW met1 ( 41170 52870 ) ( 43010 * )
+ NEW met1 ( 41170 52190 ) ( * 52870 )
+ NEW met1 ( 34270 52190 ) ( 41170 * )
+ NEW met2 ( 34270 47430 ) ( * 53890 )
+ NEW met1 ( 43010 52870 ) ( 51290 * )
+ NEW li1 ( 34270 47430 ) L1M1_PR_MR
+ NEW met1 ( 34270 47430 ) M1M2_PR
+ NEW li1 ( 51290 45390 ) L1M1_PR_MR
+ NEW met1 ( 51290 45390 ) M1M2_PR
+ NEW met1 ( 51290 52870 ) M1M2_PR
+ NEW li1 ( 50370 39270 ) L1M1_PR_MR
+ NEW met1 ( 51290 39270 ) M1M2_PR
+ NEW li1 ( 47610 42330 ) L1M1_PR_MR
+ NEW met1 ( 47610 42330 ) M1M2_PR
+ NEW met1 ( 48530 40290 ) M1M2_PR
+ NEW met1 ( 51290 40290 ) M1M2_PR
+ NEW met1 ( 34270 53890 ) M1M2_PR
+ NEW met1 ( 32890 53890 ) M1M2_PR
+ NEW met1 ( 32890 55930 ) M1M2_PR
+ NEW li1 ( 31050 55930 ) L1M1_PR_MR
+ NEW li1 ( 43010 53210 ) L1M1_PR_MR
+ NEW met1 ( 34270 52190 ) M1M2_PR
+ NEW met1 ( 34270 47430 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 51290 45390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 47610 42330 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 51290 40290 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 34270 52190 ) RECT ( -70 -485 70 0 ) ;
+ - _121_ ( _235_ A3 ) ( _224_ X ) + USE SIGNAL
+ + ROUTED met2 ( 50370 23630 ) ( * 24990 )
+ NEW met1 ( 50370 24990 ) ( 50830 * )
+ NEW li1 ( 50370 23630 ) L1M1_PR_MR
+ NEW met1 ( 50370 23630 ) M1M2_PR
+ NEW met1 ( 50370 24990 ) M1M2_PR
+ NEW li1 ( 50830 24990 ) L1M1_PR_MR
+ NEW met1 ( 50370 23630 ) RECT ( -355 -70 0 70 ) ;
+ - _122_ ( _234_ A2 ) ( _225_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 23230 14450 ) ( * 19550 )
+ NEW met1 ( 23230 19550 ) ( 28290 * )
+ NEW met1 ( 28290 19550 ) ( * 19890 )
+ NEW met1 ( 28290 19890 ) ( 33350 * )
+ NEW met1 ( 33350 19890 ) ( * 20230 )
+ NEW met1 ( 33350 20230 ) ( 37030 * )
+ NEW met1 ( 37030 19890 ) ( * 20230 )
+ NEW met1 ( 37030 19890 ) ( 39330 * )
+ NEW met1 ( 39330 19550 ) ( * 19890 )
+ NEW met1 ( 39330 19550 ) ( 50830 * )
+ NEW met1 ( 50830 19550 ) ( * 20230 )
+ NEW met1 ( 10350 14450 ) ( 23230 * )
+ NEW li1 ( 10350 14450 ) L1M1_PR_MR
+ NEW met1 ( 23230 14450 ) M1M2_PR
+ NEW met1 ( 23230 19550 ) M1M2_PR
+ NEW li1 ( 50830 20230 ) L1M1_PR_MR ;
+ - _123_ ( _234_ C1 ) ( _226_ X ) + USE SIGNAL
+ + ROUTED met1 ( 52670 15810 ) ( 53590 * )
+ NEW met2 ( 52670 15810 ) ( * 20570 )
+ NEW li1 ( 53590 15810 ) L1M1_PR_MR
+ NEW met1 ( 52670 15810 ) M1M2_PR
+ NEW li1 ( 52670 20570 ) L1M1_PR_MR
+ NEW met1 ( 52670 20570 ) M1M2_PR
+ NEW met1 ( 52670 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _124_ ( _297_ C ) ( _294_ A ) ( _290_ A3 ) ( _285_ A4 ) ( _281_ A1 ) ( _231_ A ) ( _228_ A )
+ ( _227_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36110 51170 ) ( 36570 * )
+ NEW met2 ( 36110 51170 ) ( * 53210 )
+ NEW met1 ( 35650 53210 ) ( 36110 * )
+ NEW met1 ( 36110 44710 ) ( 37490 * )
+ NEW met2 ( 36110 44710 ) ( * 51170 )
+ NEW met1 ( 36110 47430 ) ( 42090 * )
+ NEW met1 ( 53130 46750 ) ( * 47770 )
+ NEW met1 ( 43470 46750 ) ( 53130 * )
+ NEW met1 ( 43470 46750 ) ( * 47090 )
+ NEW met1 ( 42090 47090 ) ( 43470 * )
+ NEW met1 ( 42090 47090 ) ( * 47430 )
+ NEW met1 ( 53590 42330 ) ( 55890 * )
+ NEW met2 ( 55890 42330 ) ( * 46750 )
+ NEW met1 ( 53130 46750 ) ( 55890 * )
+ NEW met1 ( 55890 45730 ) ( 56350 * )
+ NEW met2 ( 56350 47260 ) ( * 50490 )
+ NEW met2 ( 55890 47260 ) ( 56350 * )
+ NEW met2 ( 55890 46750 ) ( * 47260 )
+ NEW li1 ( 36570 51170 ) L1M1_PR_MR
+ NEW met1 ( 36110 51170 ) M1M2_PR
+ NEW met1 ( 36110 53210 ) M1M2_PR
+ NEW li1 ( 35650 53210 ) L1M1_PR_MR
+ NEW li1 ( 37490 44710 ) L1M1_PR_MR
+ NEW met1 ( 36110 44710 ) M1M2_PR
+ NEW li1 ( 42090 47430 ) L1M1_PR_MR
+ NEW met1 ( 36110 47430 ) M1M2_PR
+ NEW li1 ( 53130 47770 ) L1M1_PR_MR
+ NEW li1 ( 53590 42330 ) L1M1_PR_MR
+ NEW met1 ( 55890 42330 ) M1M2_PR
+ NEW met1 ( 55890 46750 ) M1M2_PR
+ NEW li1 ( 56350 45730 ) L1M1_PR_MR
+ NEW met1 ( 55890 45730 ) M1M2_PR
+ NEW li1 ( 56350 50490 ) L1M1_PR_MR
+ NEW met1 ( 56350 50490 ) M1M2_PR
+ NEW met2 ( 36110 47430 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 55890 45730 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 56350 50490 ) RECT ( 0 -70 355 70 ) ;
+ - _125_ ( _304_ A2 ) ( _241_ B2 ) ( _239_ B ) ( _228_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 48070 41990 ) ( 53130 * )
+ NEW met2 ( 49450 39270 ) ( * 41990 )
+ NEW met1 ( 50830 36890 ) ( * 37230 )
+ NEW met1 ( 49910 37230 ) ( 50830 * )
+ NEW met2 ( 49910 37230 ) ( * 39100 )
+ NEW met2 ( 49450 39100 ) ( 49910 * )
+ NEW met2 ( 49450 39100 ) ( * 39270 )
+ NEW li1 ( 48070 41990 ) L1M1_PR_MR
+ NEW li1 ( 53130 41990 ) L1M1_PR_MR
+ NEW li1 ( 49450 39270 ) L1M1_PR_MR
+ NEW met1 ( 49450 39270 ) M1M2_PR
+ NEW met1 ( 49450 41990 ) M1M2_PR
+ NEW li1 ( 50830 36890 ) L1M1_PR_MR
+ NEW met1 ( 49910 37230 ) M1M2_PR
+ NEW met1 ( 49450 39270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 49450 41990 ) RECT ( -595 -70 0 70 ) ;
+ - _126_ ( _304_ A3 ) ( _302_ B ) ( _295_ A2 ) ( _293_ A3 ) ( _278_ B ) ( _231_ B ) ( _230_ A )
+ ( _229_ X ) + USE SIGNAL
+ + ROUTED met1 ( 52210 47770 ) ( * 48110 )
+ NEW met1 ( 52210 48110 ) ( 53590 * )
+ NEW met2 ( 53590 45730 ) ( * 48110 )
+ NEW met2 ( 48530 42330 ) ( * 45730 )
+ NEW met1 ( 48530 45730 ) ( 53590 * )
+ NEW met1 ( 43470 42670 ) ( 48530 * )
+ NEW met1 ( 48530 42330 ) ( * 42670 )
+ NEW met1 ( 43930 39270 ) ( 44850 * )
+ NEW met2 ( 44850 39270 ) ( * 42670 )
+ NEW met1 ( 40250 48110 ) ( 44390 * )
+ NEW met1 ( 44390 47770 ) ( * 48110 )
+ NEW met1 ( 44390 47770 ) ( 44850 * )
+ NEW met2 ( 44850 42670 ) ( * 47770 )
+ NEW met1 ( 51290 55930 ) ( 52210 * )
+ NEW met2 ( 51290 55420 ) ( * 55930 )
+ NEW met3 ( 51290 55420 ) ( 53590 * )
+ NEW met2 ( 53590 52530 ) ( * 55420 )
+ NEW met2 ( 53590 48110 ) ( * 52530 )
+ NEW li1 ( 52210 47770 ) L1M1_PR_MR
+ NEW met1 ( 53590 48110 ) M1M2_PR
+ NEW li1 ( 53590 45730 ) L1M1_PR_MR
+ NEW met1 ( 53590 45730 ) M1M2_PR
+ NEW li1 ( 48530 42330 ) L1M1_PR_MR
+ NEW met1 ( 48530 42330 ) M1M2_PR
+ NEW met1 ( 48530 45730 ) M1M2_PR
+ NEW li1 ( 43470 42670 ) L1M1_PR_MR
+ NEW li1 ( 43930 39270 ) L1M1_PR_MR
+ NEW met1 ( 44850 39270 ) M1M2_PR
+ NEW met1 ( 44850 42670 ) M1M2_PR
+ NEW li1 ( 40250 48110 ) L1M1_PR_MR
+ NEW met1 ( 44850 47770 ) M1M2_PR
+ NEW li1 ( 53590 52530 ) L1M1_PR_MR
+ NEW met1 ( 53590 52530 ) M1M2_PR
+ NEW li1 ( 52210 55930 ) L1M1_PR_MR
+ NEW met1 ( 51290 55930 ) M1M2_PR
+ NEW met2 ( 51290 55420 ) M2M3_PR_M
+ NEW met2 ( 53590 55420 ) M2M3_PR_M
+ NEW met1 ( 53590 45730 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 48530 42330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 44850 42670 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 53590 52530 ) RECT ( 0 -70 355 70 ) ;
+ - _127_ ( _301_ B ) ( _245_ B2 ) ( _230_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 43470 39610 ) ( * 42500 )
+ NEW met2 ( 43010 42500 ) ( 43470 * )
+ NEW met2 ( 43010 42500 ) ( * 55590 )
+ NEW met1 ( 47610 34170 ) ( * 34510 )
+ NEW met1 ( 45770 34510 ) ( 47610 * )
+ NEW met2 ( 45770 34510 ) ( * 39610 )
+ NEW met2 ( 45310 39610 ) ( 45770 * )
+ NEW met1 ( 43470 39610 ) ( 45310 * )
+ NEW li1 ( 43470 39610 ) L1M1_PR_MR
+ NEW met1 ( 43470 39610 ) M1M2_PR
+ NEW li1 ( 43010 55590 ) L1M1_PR_MR
+ NEW met1 ( 43010 55590 ) M1M2_PR
+ NEW li1 ( 47610 34170 ) L1M1_PR_MR
+ NEW met1 ( 45770 34510 ) M1M2_PR
+ NEW met1 ( 45310 39610 ) M1M2_PR
+ NEW met1 ( 43470 39610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43010 55590 ) RECT ( -355 -70 0 70 ) ;
+ - _128_ ( _234_ B2 ) ( _233_ X ) + USE SIGNAL
+ + ROUTED met1 ( 50370 18530 ) ( 51290 * )
+ NEW met2 ( 51290 18530 ) ( * 20570 )
+ NEW li1 ( 50370 18530 ) L1M1_PR_MR
+ NEW met1 ( 51290 18530 ) M1M2_PR
+ NEW li1 ( 51290 20570 ) L1M1_PR_MR
+ NEW met1 ( 51290 20570 ) M1M2_PR
+ NEW met1 ( 51290 20570 ) RECT ( -355 -70 0 70 ) ;
+ - _129_ ( _235_ B1 ) ( _234_ X ) + USE SIGNAL
+ + ROUTED met1 ( 49450 21250 ) ( 50830 * )
+ NEW met2 ( 50830 21250 ) ( * 22950 )
+ NEW li1 ( 49450 21250 ) L1M1_PR_MR
+ NEW met1 ( 50830 21250 ) M1M2_PR
+ NEW li1 ( 50830 22950 ) L1M1_PR_MR
+ NEW met1 ( 50830 22950 ) M1M2_PR
+ NEW met1 ( 50830 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _130_ ( _266_ A2 ) ( _265_ A2 ) ( _263_ A1 ) ( _260_ B1 ) ( _257_ A1 ) ( _255_ A2 ) ( _250_ A2 )
+ ( _236_ A ) ( _235_ X ) + USE SIGNAL
+ + ROUTED met1 ( 34730 37570 ) ( 39790 * )
+ NEW met1 ( 34730 36890 ) ( * 37570 )
+ NEW met1 ( 37490 28390 ) ( 37950 * )
+ NEW met2 ( 37490 28390 ) ( * 37570 )
+ NEW met1 ( 37950 27710 ) ( * 28390 )
+ NEW met1 ( 51290 32130 ) ( 52210 * )
+ NEW met2 ( 51290 32130 ) ( * 33150 )
+ NEW met1 ( 51290 33150 ) ( 54970 * )
+ NEW met1 ( 54970 33150 ) ( * 33830 )
+ NEW met1 ( 54510 22950 ) ( * 23630 )
+ NEW met1 ( 51290 23630 ) ( 54510 * )
+ NEW met2 ( 51290 23630 ) ( * 32130 )
+ NEW met1 ( 48530 23970 ) ( 51290 * )
+ NEW met1 ( 51290 23630 ) ( * 23970 )
+ NEW met2 ( 44850 23970 ) ( * 26010 )
+ NEW met1 ( 44850 23970 ) ( 48530 * )
+ NEW met2 ( 44850 26010 ) ( * 27710 )
+ NEW met1 ( 47150 17850 ) ( 47610 * )
+ NEW met2 ( 47150 17850 ) ( * 23970 )
+ NEW met1 ( 37950 27710 ) ( 44850 * )
+ NEW li1 ( 39790 37570 ) L1M1_PR_MR
+ NEW li1 ( 34730 36890 ) L1M1_PR_MR
+ NEW li1 ( 37950 28390 ) L1M1_PR_MR
+ NEW met1 ( 37490 28390 ) M1M2_PR
+ NEW met1 ( 37490 37570 ) M1M2_PR
+ NEW li1 ( 52210 32130 ) L1M1_PR_MR
+ NEW met1 ( 51290 32130 ) M1M2_PR
+ NEW met1 ( 51290 33150 ) M1M2_PR
+ NEW li1 ( 54970 33830 ) L1M1_PR_MR
+ NEW li1 ( 54510 22950 ) L1M1_PR_MR
+ NEW met1 ( 51290 23630 ) M1M2_PR
+ NEW li1 ( 48530 23970 ) L1M1_PR_MR
+ NEW li1 ( 44850 26010 ) L1M1_PR_MR
+ NEW met1 ( 44850 26010 ) M1M2_PR
+ NEW met1 ( 44850 23970 ) M1M2_PR
+ NEW met1 ( 44850 27710 ) M1M2_PR
+ NEW li1 ( 47610 17850 ) L1M1_PR_MR
+ NEW met1 ( 47150 17850 ) M1M2_PR
+ NEW met1 ( 47150 23970 ) M1M2_PR
+ NEW met1 ( 37490 37570 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 44850 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 47150 23970 ) RECT ( -595 -70 0 70 ) ;
+ - _131_ ( _266_ B2 ) ( _265_ B1 ) ( _263_ B2 ) ( _257_ B2 ) ( _254_ C1 ) ( _249_ C1 ) ( _236_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 50370 31450 ) ( 50830 * )
+ NEW met2 ( 50830 28730 ) ( * 31450 )
+ NEW met1 ( 50830 28730 ) ( 56350 * )
+ NEW met2 ( 56350 22950 ) ( * 28730 )
+ NEW met1 ( 56350 22950 ) ( 56810 * )
+ NEW met2 ( 44850 28220 ) ( * 28390 )
+ NEW met2 ( 44850 28220 ) ( 45310 * )
+ NEW met2 ( 45310 27710 ) ( * 28220 )
+ NEW met1 ( 45310 27710 ) ( 46690 * )
+ NEW met1 ( 46690 27710 ) ( * 28050 )
+ NEW met1 ( 46690 28050 ) ( 47610 * )
+ NEW met1 ( 47610 28050 ) ( * 29410 )
+ NEW met1 ( 47610 29410 ) ( 50830 * )
+ NEW met2 ( 46690 17510 ) ( * 27710 )
+ NEW met2 ( 38410 29410 ) ( * 30770 )
+ NEW met1 ( 38410 30770 ) ( 43930 * )
+ NEW met2 ( 43930 28390 ) ( * 30770 )
+ NEW met1 ( 43930 28390 ) ( 44850 * )
+ NEW met1 ( 40250 33830 ) ( * 34170 )
+ NEW met1 ( 38870 34170 ) ( 40250 * )
+ NEW met2 ( 38870 34170 ) ( * 34340 )
+ NEW met2 ( 38410 34340 ) ( 38870 * )
+ NEW met2 ( 38410 30770 ) ( * 34340 )
+ NEW met1 ( 37950 36890 ) ( 38410 * )
+ NEW met2 ( 38410 34340 ) ( * 36890 )
+ NEW li1 ( 50370 31450 ) L1M1_PR_MR
+ NEW met1 ( 50830 31450 ) M1M2_PR
+ NEW met1 ( 50830 28730 ) M1M2_PR
+ NEW met1 ( 56350 28730 ) M1M2_PR
+ NEW met1 ( 56350 22950 ) M1M2_PR
+ NEW li1 ( 56810 22950 ) L1M1_PR_MR
+ NEW li1 ( 44850 28390 ) L1M1_PR_MR
+ NEW met1 ( 44850 28390 ) M1M2_PR
+ NEW met1 ( 45310 27710 ) M1M2_PR
+ NEW met1 ( 50830 29410 ) M1M2_PR
+ NEW li1 ( 46690 17510 ) L1M1_PR_MR
+ NEW met1 ( 46690 17510 ) M1M2_PR
+ NEW met1 ( 46690 27710 ) M1M2_PR
+ NEW li1 ( 38410 29410 ) L1M1_PR_MR
+ NEW met1 ( 38410 29410 ) M1M2_PR
+ NEW met1 ( 38410 30770 ) M1M2_PR
+ NEW met1 ( 43930 30770 ) M1M2_PR
+ NEW met1 ( 43930 28390 ) M1M2_PR
+ NEW li1 ( 40250 33830 ) L1M1_PR_MR
+ NEW met1 ( 38870 34170 ) M1M2_PR
+ NEW li1 ( 37950 36890 ) L1M1_PR_MR
+ NEW met1 ( 38410 36890 ) M1M2_PR
+ NEW met1 ( 44850 28390 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 50830 29410 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 46690 17510 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 46690 27710 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 38410 29410 ) RECT ( -355 -70 0 70 ) ;
+ - _132_ ( _264_ B2 ) ( _264_ A2_N ) ( _238_ B2 ) ( _237_ X ) + USE SIGNAL
+ + ROUTED met2 ( 58190 22950 ) ( * 26010 )
+ NEW met1 ( 55430 26010 ) ( 58190 * )
+ NEW met1 ( 58190 22950 ) ( 59570 * )
+ NEW met1 ( 56350 19550 ) ( 58190 * )
+ NEW met2 ( 58190 19550 ) ( * 22950 )
+ NEW li1 ( 58190 22950 ) L1M1_PR_MR
+ NEW met1 ( 58190 22950 ) M1M2_PR
+ NEW met1 ( 58190 26010 ) M1M2_PR
+ NEW li1 ( 55430 26010 ) L1M1_PR_MR
+ NEW li1 ( 59570 22950 ) L1M1_PR_MR
+ NEW li1 ( 56350 19550 ) L1M1_PR_MR
+ NEW met1 ( 58190 19550 ) M1M2_PR
+ NEW met1 ( 58190 22950 ) RECT ( -355 -70 0 70 ) ;
+ - _133_ ( _262_ A ) ( _259_ S ) ( _258_ A ) ( _241_ A3 ) ( _238_ X ) + USE SIGNAL
+ + ROUTED met1 ( 54050 31450 ) ( 54510 * )
+ NEW met2 ( 54050 26350 ) ( * 31450 )
+ NEW met1 ( 48070 31450 ) ( * 31790 )
+ NEW met1 ( 48070 31790 ) ( 54050 * )
+ NEW met1 ( 54050 31450 ) ( * 31790 )
+ NEW met1 ( 50370 36210 ) ( * 36550 )
+ NEW met1 ( 50370 36210 ) ( 50830 * )
+ NEW met2 ( 50830 32130 ) ( * 36210 )
+ NEW met1 ( 50830 31790 ) ( * 32130 )
+ NEW met1 ( 55430 36210 ) ( * 36550 )
+ NEW met1 ( 50830 36210 ) ( 55430 * )
+ NEW li1 ( 54510 31450 ) L1M1_PR_MR
+ NEW met1 ( 54050 31450 ) M1M2_PR
+ NEW li1 ( 54050 26350 ) L1M1_PR_MR
+ NEW met1 ( 54050 26350 ) M1M2_PR
+ NEW li1 ( 48070 31450 ) L1M1_PR_MR
+ NEW li1 ( 50370 36550 ) L1M1_PR_MR
+ NEW met1 ( 50830 36210 ) M1M2_PR
+ NEW met1 ( 50830 32130 ) M1M2_PR
+ NEW li1 ( 55430 36550 ) L1M1_PR_MR
+ NEW met1 ( 54050 26350 ) RECT ( -355 -70 0 70 ) ;
+ - _134_ ( _241_ A1 ) ( _239_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 49450 36890 ) ( * 38590 )
+ NEW li1 ( 49450 36890 ) L1M1_PR_MR
+ NEW met1 ( 49450 36890 ) M1M2_PR
+ NEW li1 ( 49450 38590 ) L1M1_PR_MR
+ NEW met1 ( 49450 38590 ) M1M2_PR
+ NEW met1 ( 49450 36890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 49450 38590 ) RECT ( -355 -70 0 70 ) ;
+ - _135_ ( _262_ B ) ( _258_ B ) ( _241_ A2 ) ( _240_ X ) + USE SIGNAL
+ + ROUTED met1 ( 48990 31110 ) ( * 31450 )
+ NEW met1 ( 48990 31110 ) ( 52210 * )
+ NEW met1 ( 52210 31110 ) ( * 31450 )
+ NEW met1 ( 52210 31450 ) ( 53590 * )
+ NEW met1 ( 49910 34510 ) ( 50370 * )
+ NEW met2 ( 49910 31110 ) ( * 34510 )
+ NEW met2 ( 49910 34510 ) ( * 36550 )
+ NEW li1 ( 48990 31450 ) L1M1_PR_MR
+ NEW li1 ( 53590 31450 ) L1M1_PR_MR
+ NEW li1 ( 50370 34510 ) L1M1_PR_MR
+ NEW met1 ( 49910 34510 ) M1M2_PR
+ NEW met1 ( 49910 31110 ) M1M2_PR
+ NEW li1 ( 49910 36550 ) L1M1_PR_MR
+ NEW met1 ( 49910 36550 ) M1M2_PR
+ NEW met1 ( 49910 31110 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 49910 36550 ) RECT ( -355 -70 0 70 ) ;
+ - _136_ ( _256_ A ) ( _251_ A ) ( _245_ A3 ) ( _241_ X ) + USE SIGNAL
+ + ROUTED met1 ( 44390 34170 ) ( 47150 * )
+ NEW met1 ( 44390 33830 ) ( * 34170 )
+ NEW met2 ( 44390 31450 ) ( * 33830 )
+ NEW met1 ( 46690 36890 ) ( 47150 * )
+ NEW met2 ( 47150 34170 ) ( * 36890 )
+ NEW met1 ( 47150 36890 ) ( 48530 * )
+ NEW li1 ( 47150 34170 ) L1M1_PR_MR
+ NEW met1 ( 44390 33830 ) M1M2_PR
+ NEW li1 ( 44390 31450 ) L1M1_PR_MR
+ NEW met1 ( 44390 31450 ) M1M2_PR
+ NEW li1 ( 46690 36890 ) L1M1_PR_MR
+ NEW met1 ( 47150 36890 ) M1M2_PR
+ NEW met1 ( 47150 34170 ) M1M2_PR
+ NEW li1 ( 48530 36890 ) L1M1_PR_MR
+ NEW met1 ( 44390 31450 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 47150 34170 ) RECT ( -595 -70 0 70 ) ;
+ - _137_ ( _256_ B ) ( _251_ B ) ( _245_ A1 ) ( _242_ X ) + USE SIGNAL
+ + ROUTED met1 ( 45310 33830 ) ( 46230 * )
+ NEW met2 ( 45310 31450 ) ( * 33830 )
+ NEW met1 ( 44850 35870 ) ( 45310 * )
+ NEW met2 ( 45310 33830 ) ( * 35870 )
+ NEW met1 ( 45310 36890 ) ( 45770 * )
+ NEW met2 ( 45310 35870 ) ( * 36890 )
+ NEW li1 ( 46230 33830 ) L1M1_PR_MR
+ NEW met1 ( 45310 33830 ) M1M2_PR
+ NEW li1 ( 45310 31450 ) L1M1_PR_MR
+ NEW met1 ( 45310 31450 ) M1M2_PR
+ NEW li1 ( 44850 35870 ) L1M1_PR_MR
+ NEW met1 ( 45310 35870 ) M1M2_PR
+ NEW li1 ( 45770 36890 ) L1M1_PR_MR
+ NEW met1 ( 45310 36890 ) M1M2_PR
+ NEW met1 ( 45310 31450 ) RECT ( -355 -70 0 70 ) ;
+ - _138_ ( _254_ B1 ) ( _245_ A2 ) ( _244_ A ) ( _243_ X ) + USE SIGNAL
+ + ROUTED met2 ( 41170 33490 ) ( * 33660 )
+ NEW met3 ( 41170 33660 ) ( 46690 * )
+ NEW met2 ( 46690 33660 ) ( * 33830 )
+ NEW met1 ( 38870 33490 ) ( 41170 * )
+ NEW met1 ( 35190 33830 ) ( 36110 * )
+ NEW met1 ( 35190 33150 ) ( * 33830 )
+ NEW met1 ( 35190 33150 ) ( 38870 * )
+ NEW met1 ( 38870 33150 ) ( * 33490 )
+ NEW li1 ( 41170 33490 ) L1M1_PR_MR
+ NEW met1 ( 41170 33490 ) M1M2_PR
+ NEW met2 ( 41170 33660 ) M2M3_PR_M
+ NEW met2 ( 46690 33660 ) M2M3_PR_M
+ NEW li1 ( 46690 33830 ) L1M1_PR_MR
+ NEW met1 ( 46690 33830 ) M1M2_PR
+ NEW li1 ( 38870 33490 ) L1M1_PR_MR
+ NEW li1 ( 36110 33830 ) L1M1_PR_MR
+ NEW met1 ( 41170 33490 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 46690 33830 ) RECT ( 0 -70 355 70 ) ;
+ - _139_ ( _254_ A1 ) ( _244_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 35650 33490 ) ( 38410 * )
+ NEW li1 ( 38410 33490 ) L1M1_PR_MR
+ NEW li1 ( 35650 33490 ) L1M1_PR_MR ;
+ - _140_ ( _249_ B1 ) ( _246_ A ) ( _245_ X ) + USE SIGNAL
+ + ROUTED met2 ( 46230 28390 ) ( * 33150 )
+ NEW met1 ( 45310 33150 ) ( 46230 * )
+ NEW met1 ( 40250 28050 ) ( * 28390 )
+ NEW met1 ( 40250 28050 ) ( 46230 * )
+ NEW met1 ( 46230 28050 ) ( * 28390 )
+ NEW li1 ( 46230 28390 ) L1M1_PR_MR
+ NEW met1 ( 46230 28390 ) M1M2_PR
+ NEW met1 ( 46230 33150 ) M1M2_PR
+ NEW li1 ( 45310 33150 ) L1M1_PR_MR
+ NEW li1 ( 40250 28390 ) L1M1_PR_MR
+ NEW met1 ( 46230 28390 ) RECT ( -355 -70 0 70 ) ;
+ - _141_ ( _249_ A1 ) ( _246_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 46690 28390 ) ( * 29070 )
+ NEW met1 ( 39790 29070 ) ( 46690 * )
+ NEW li1 ( 46690 28390 ) L1M1_PR_MR
+ NEW li1 ( 39790 29070 ) L1M1_PR_MR ;
+ - _142_ ( _249_ A2 ) ( _248_ A ) ( _247_ X ) + USE SIGNAL
+ + ROUTED met2 ( 43470 29410 ) ( * 31450 )
+ NEW met1 ( 42090 31450 ) ( 43470 * )
+ NEW met1 ( 47150 28390 ) ( 47175 * )
+ NEW met1 ( 47150 28390 ) ( * 29410 )
+ NEW met1 ( 43470 29410 ) ( 47150 * )
+ NEW li1 ( 43470 29410 ) L1M1_PR_MR
+ NEW met1 ( 43470 29410 ) M1M2_PR
+ NEW met1 ( 43470 31450 ) M1M2_PR
+ NEW li1 ( 42090 31450 ) L1M1_PR_MR
+ NEW li1 ( 47175 28390 ) L1M1_PR_MR
+ NEW met1 ( 43470 29410 ) RECT ( -355 -70 0 70 ) ;
+ - _143_ ( _249_ B2 ) ( _248_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 45310 28390 ) ( 45315 * )
+ NEW met1 ( 45310 28390 ) ( * 28730 )
+ NEW met1 ( 44390 28730 ) ( 45310 * )
+ NEW met2 ( 44390 28730 ) ( * 30430 )
+ NEW met1 ( 42550 30430 ) ( 44390 * )
+ NEW li1 ( 45315 28390 ) L1M1_PR_MR
+ NEW met1 ( 44390 28730 ) M1M2_PR
+ NEW met1 ( 44390 30430 ) M1M2_PR
+ NEW li1 ( 42550 30430 ) L1M1_PR_MR ;
+ - _144_ ( _250_ B1 ) ( _249_ X ) + USE SIGNAL
+ + ROUTED met1 ( 46690 26350 ) ( 48070 * )
+ NEW met2 ( 48070 26350 ) ( * 27710 )
+ NEW li1 ( 46690 26350 ) L1M1_PR_MR
+ NEW met1 ( 48070 26350 ) M1M2_PR
+ NEW li1 ( 48070 27710 ) L1M1_PR_MR
+ NEW met1 ( 48070 27710 ) M1M2_PR
+ NEW met1 ( 48070 27710 ) RECT ( -355 -70 0 70 ) ;
+ - _145_ ( _257_ A2 ) ( _252_ B1 ) ( _251_ X ) + USE SIGNAL
+ + ROUTED met1 ( 40250 37570 ) ( 47610 * )
+ NEW met1 ( 47610 37230 ) ( * 37570 )
+ NEW met2 ( 40710 37570 ) ( * 38930 )
+ NEW li1 ( 40250 37570 ) L1M1_PR_MR
+ NEW li1 ( 47610 37230 ) L1M1_PR_MR
+ NEW li1 ( 40710 38930 ) L1M1_PR_MR
+ NEW met1 ( 40710 38930 ) M1M2_PR
+ NEW met1 ( 40710 37570 ) M1M2_PR
+ NEW met1 ( 40710 38930 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 40710 37570 ) RECT ( 0 -70 595 70 ) ;
+ - _146_ ( _254_ A2 ) ( _253_ A ) ( _252_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 37925 33830 ) ( 37950 * )
+ NEW met2 ( 37950 33830 ) ( * 38590 )
+ NEW met1 ( 37950 38590 ) ( 40250 * )
+ NEW met1 ( 40250 38590 ) ( * 38930 )
+ NEW met1 ( 33810 33830 ) ( 34730 * )
+ NEW met1 ( 33810 33830 ) ( * 34510 )
+ NEW met1 ( 33810 34510 ) ( 37950 * )
+ NEW li1 ( 37925 33830 ) L1M1_PR_MR
+ NEW met1 ( 37950 33830 ) M1M2_PR
+ NEW met1 ( 37950 38590 ) M1M2_PR
+ NEW li1 ( 40250 38930 ) L1M1_PR_MR
+ NEW li1 ( 34730 33830 ) L1M1_PR_MR
+ NEW met1 ( 37950 34510 ) M1M2_PR
+ NEW met1 ( 37925 33830 ) RECT ( -330 -70 0 70 )
+ NEW met2 ( 37950 34510 ) RECT ( -70 -485 70 0 ) ;
+ - _147_ ( _254_ B2 ) ( _253_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 38410 33830 ) ( 39510 * )
+ NEW met1 ( 38410 33830 ) ( * 34170 )
+ NEW met1 ( 34270 34170 ) ( 38410 * )
+ NEW li1 ( 39510 33830 ) L1M1_PR_MR
+ NEW li1 ( 34270 34170 ) L1M1_PR_MR ;
+ - _148_ ( _255_ B1 ) ( _254_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36570 34850 ) ( 37030 * )
+ NEW met2 ( 36570 34850 ) ( * 36890 )
+ NEW li1 ( 37030 34850 ) L1M1_PR_MR
+ NEW met1 ( 36570 34850 ) M1M2_PR
+ NEW li1 ( 36570 36890 ) L1M1_PR_MR
+ NEW met1 ( 36570 36890 ) M1M2_PR
+ NEW met1 ( 36570 36890 ) RECT ( -355 -70 0 70 ) ;
+ - _149_ ( _257_ A3 ) ( _256_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 44850 30770 ) ( * 36550 )
+ NEW met1 ( 40710 36550 ) ( 44850 * )
+ NEW li1 ( 44850 30770 ) L1M1_PR_MR
+ NEW met1 ( 44850 30770 ) M1M2_PR
+ NEW met1 ( 44850 36550 ) M1M2_PR
+ NEW li1 ( 40710 36550 ) L1M1_PR_MR
+ NEW met1 ( 44850 30770 ) RECT ( -355 -70 0 70 ) ;
+ - _150_ ( _263_ A2 ) ( _258_ X ) + USE SIGNAL
+ + ROUTED met1 ( 52670 32130 ) ( 55430 * )
+ NEW met1 ( 55430 31790 ) ( * 32130 )
+ NEW li1 ( 52670 32130 ) L1M1_PR_MR
+ NEW li1 ( 55430 31790 ) L1M1_PR_MR ;
+ - _151_ ( _260_ C1 ) ( _259_ X ) + USE SIGNAL
+ + ROUTED met2 ( 54050 33830 ) ( * 35870 )
+ NEW met1 ( 52670 35870 ) ( 54050 * )
+ NEW li1 ( 54050 33830 ) L1M1_PR_MR
+ NEW met1 ( 54050 33830 ) M1M2_PR
+ NEW met1 ( 54050 35870 ) M1M2_PR
+ NEW li1 ( 52670 35870 ) L1M1_PR_MR
+ NEW met1 ( 54050 33830 ) RECT ( -355 -70 0 70 ) ;
+ - _152_ ( _261_ B2 ) ( _261_ A2_N ) ( _260_ X ) + USE SIGNAL
+ + ROUTED met1 ( 59110 34170 ) ( * 34510 )
+ NEW met1 ( 57270 34170 ) ( 59110 * )
+ NEW met1 ( 57270 33150 ) ( 60950 * )
+ NEW met1 ( 57270 33150 ) ( * 34170 )
+ NEW li1 ( 59110 34510 ) L1M1_PR_MR
+ NEW li1 ( 57270 34170 ) L1M1_PR_MR
+ NEW li1 ( 60950 33150 ) L1M1_PR_MR ;
+ - _153_ ( _263_ A3 ) ( _262_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 52670 31110 ) ( 53130 * )
+ NEW met1 ( 52670 30770 ) ( * 31110 )
+ NEW met1 ( 48530 30770 ) ( 52670 * )
+ NEW li1 ( 53130 31110 ) L1M1_PR_MR
+ NEW li1 ( 48530 30770 ) L1M1_PR_MR ;
+ - _154_ ( _265_ B2 ) ( _264_ X ) + USE SIGNAL
+ + ROUTED met1 ( 54970 22950 ) ( 55890 * )
+ NEW met1 ( 54970 22950 ) ( * 23630 )
+ NEW met1 ( 54970 23630 ) ( 60950 * )
+ NEW li1 ( 55890 22950 ) L1M1_PR_MR
+ NEW li1 ( 60950 23630 ) L1M1_PR_MR ;
+ - _155_ ( _274_ A2 ) ( _268_ A ) ( _267_ X ) + USE SIGNAL
+ + ROUTED met1 ( 25990 15470 ) ( * 15810 )
+ NEW met1 ( 25990 15470 ) ( 26910 * )
+ NEW met1 ( 26910 15130 ) ( * 15470 )
+ NEW met2 ( 26910 7650 ) ( * 15130 )
+ NEW met1 ( 25990 7650 ) ( 26910 * )
+ NEW met1 ( 24150 15130 ) ( 25070 * )
+ NEW met1 ( 25070 15130 ) ( * 15470 )
+ NEW met1 ( 25070 15470 ) ( 25990 * )
+ NEW li1 ( 25990 15810 ) L1M1_PR_MR
+ NEW met1 ( 26910 15130 ) M1M2_PR
+ NEW met1 ( 26910 7650 ) M1M2_PR
+ NEW li1 ( 25990 7650 ) L1M1_PR_MR
+ NEW li1 ( 24150 15130 ) L1M1_PR_MR ;
+ - _156_ ( _277_ A2 ) ( _272_ B ) ( _271_ A2 ) ( _269_ B ) ( _268_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 23690 16830 ) ( 29670 * )
+ NEW met2 ( 23690 14450 ) ( * 16830 )
+ NEW met2 ( 26450 16830 ) ( * 22610 )
+ NEW met2 ( 26910 22780 ) ( * 25670 )
+ NEW met2 ( 26450 22780 ) ( 26910 * )
+ NEW met2 ( 26450 22610 ) ( * 22780 )
+ NEW met1 ( 28290 26010 ) ( 29210 * )
+ NEW met1 ( 28290 25670 ) ( * 26010 )
+ NEW met1 ( 26910 25670 ) ( 28290 * )
+ NEW li1 ( 29670 16830 ) L1M1_PR_MR
+ NEW met1 ( 23690 16830 ) M1M2_PR
+ NEW li1 ( 23690 14450 ) L1M1_PR_MR
+ NEW met1 ( 23690 14450 ) M1M2_PR
+ NEW li1 ( 26450 22610 ) L1M1_PR_MR
+ NEW met1 ( 26450 22610 ) M1M2_PR
+ NEW met1 ( 26450 16830 ) M1M2_PR
+ NEW li1 ( 26910 25670 ) L1M1_PR_MR
+ NEW met1 ( 26910 25670 ) M1M2_PR
+ NEW li1 ( 29210 26010 ) L1M1_PR_MR
+ NEW met1 ( 23690 14450 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 26450 22610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 26450 16830 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 26910 25670 ) RECT ( 0 -70 355 70 ) ;
+ - _157_ ( _271_ B2 ) ( _270_ A2 ) ( _269_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 26450 25330 ) ( * 25670 )
+ NEW met1 ( 26450 25330 ) ( 29670 * )
+ NEW met1 ( 23230 25670 ) ( 26450 * )
+ NEW li1 ( 26450 25670 ) L1M1_PR_MR
+ NEW li1 ( 29670 25330 ) L1M1_PR_MR
+ NEW li1 ( 23230 25670 ) L1M1_PR_MR ;
+ - _158_ ( _276_ A ) ( _274_ B1 ) ( _272_ X ) + USE SIGNAL
+ + ROUTED met1 ( 31510 16830 ) ( 32890 * )
+ NEW met1 ( 27370 15470 ) ( 32890 * )
+ NEW met2 ( 32890 6630 ) ( * 16830 )
+ NEW li1 ( 32890 6630 ) L1M1_PR_MR
+ NEW met1 ( 32890 6630 ) M1M2_PR
+ NEW li1 ( 31510 16830 ) L1M1_PR_MR
+ NEW met1 ( 32890 16830 ) M1M2_PR
+ NEW li1 ( 27370 15470 ) L1M1_PR_MR
+ NEW met1 ( 32890 15470 ) M1M2_PR
+ NEW met1 ( 32890 6630 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 32890 15470 ) RECT ( -70 -485 70 0 ) ;
+ - _159_ ( _274_ A3 ) ( _273_ Y ) + USE SIGNAL
+ + ROUTED met2 ( 25530 15130 ) ( 26450 * )
+ NEW met1 ( 25690 15130 ) ( 26450 * )
+ NEW met2 ( 25530 12750 ) ( * 15130 )
+ NEW li1 ( 25530 12750 ) L1M1_PR_MR
+ NEW met1 ( 25530 12750 ) M1M2_PR
+ NEW met1 ( 26450 15130 ) M1M2_PR
+ NEW li1 ( 25690 15130 ) L1M1_PR_MR
+ NEW met1 ( 25530 12750 ) RECT ( -355 -70 0 70 ) ;
+ - _160_ ( _276_ B ) ( _275_ X ) + USE SIGNAL
+ + ROUTED met1 ( 31510 6630 ) ( 31970 * )
+ NEW met2 ( 31510 6630 ) ( * 11730 )
+ NEW li1 ( 31970 6630 ) L1M1_PR_MR
+ NEW met1 ( 31510 6630 ) M1M2_PR
+ NEW li1 ( 31510 11730 ) L1M1_PR_MR
+ NEW met1 ( 31510 11730 ) M1M2_PR
+ NEW met1 ( 31510 11730 ) RECT ( -355 -70 0 70 ) ;
+ - _161_ ( _302_ C ) ( _300_ A4 ) ( _298_ A2 ) ( _296_ A3 ) ( _294_ B ) ( _284_ A2 ) ( _283_ A2 )
+ ( _282_ A2 ) ( _281_ A2 ) ( _280_ X ) + USE SIGNAL
+ + ROUTED met1 ( 39790 45390 ) ( 40710 * )
+ NEW met1 ( 36130 52530 ) ( 40710 * )
+ NEW met2 ( 40710 50830 ) ( * 52530 )
+ NEW met1 ( 29670 53210 ) ( * 53550 )
+ NEW met1 ( 29670 53550 ) ( 31050 * )
+ NEW met2 ( 31050 52530 ) ( * 53550 )
+ NEW met1 ( 31050 52530 ) ( 36130 * )
+ NEW met1 ( 30590 56270 ) ( 30610 * )
+ NEW met2 ( 30590 56270 ) ( 31050 * )
+ NEW met2 ( 31050 53550 ) ( * 56270 )
+ NEW met1 ( 30590 57970 ) ( 32430 * )
+ NEW met2 ( 30590 56270 ) ( * 57970 )
+ NEW met2 ( 40710 45390 ) ( * 50830 )
+ NEW met2 ( 49910 50830 ) ( * 52190 )
+ NEW met1 ( 46690 50830 ) ( 49910 * )
+ NEW met1 ( 49910 50830 ) ( 53590 * )
+ NEW met1 ( 53590 51170 ) ( 55890 * )
+ NEW met1 ( 53590 50830 ) ( * 51170 )
+ NEW met1 ( 53590 44370 ) ( * 45390 )
+ NEW met1 ( 53590 45390 ) ( 54050 * )
+ NEW met2 ( 54050 45390 ) ( * 51170 )
+ NEW met1 ( 40710 50830 ) ( 46690 * )
+ NEW li1 ( 39790 45390 ) L1M1_PR_MR
+ NEW met1 ( 40710 45390 ) M1M2_PR
+ NEW met1 ( 40710 50830 ) M1M2_PR
+ NEW li1 ( 36130 52530 ) L1M1_PR_MR
+ NEW met1 ( 40710 52530 ) M1M2_PR
+ NEW li1 ( 29670 53210 ) L1M1_PR_MR
+ NEW met1 ( 31050 53550 ) M1M2_PR
+ NEW met1 ( 31050 52530 ) M1M2_PR
+ NEW li1 ( 30610 56270 ) L1M1_PR_MR
+ NEW met1 ( 30590 56270 ) M1M2_PR
+ NEW li1 ( 32430 57970 ) L1M1_PR_MR
+ NEW met1 ( 30590 57970 ) M1M2_PR
+ NEW li1 ( 46690 50830 ) L1M1_PR_MR
+ NEW li1 ( 49910 52190 ) L1M1_PR_MR
+ NEW met1 ( 49910 52190 ) M1M2_PR
+ NEW met1 ( 49910 50830 ) M1M2_PR
+ NEW li1 ( 53590 50830 ) L1M1_PR_MR
+ NEW li1 ( 55890 51170 ) L1M1_PR_MR
+ NEW li1 ( 53590 44370 ) L1M1_PR_MR
+ NEW met1 ( 54050 45390 ) M1M2_PR
+ NEW met1 ( 54050 51170 ) M1M2_PR
+ NEW met1 ( 30590 56270 ) RECT ( -335 -70 0 70 )
+ NEW met1 ( 49910 52190 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 54050 51170 ) RECT ( 0 -70 595 70 ) ;
+ - _162_ ( _295_ B1 ) ( _294_ X ) + USE SIGNAL
+ + ROUTED met1 ( 57270 51170 ) ( 57730 * )
+ NEW met2 ( 57270 51170 ) ( * 56270 )
+ NEW met1 ( 53130 56270 ) ( 57270 * )
+ NEW met1 ( 53130 55590 ) ( * 56270 )
+ NEW met1 ( 53125 55590 ) ( 53130 * )
+ NEW li1 ( 57730 51170 ) L1M1_PR_MR
+ NEW met1 ( 57270 51170 ) M1M2_PR
+ NEW met1 ( 57270 56270 ) M1M2_PR
+ NEW li1 ( 53125 55590 ) L1M1_PR_MR ;
+ - _163_ ( _298_ B1 ) ( _297_ X ) + USE SIGNAL
+ + ROUTED met1 ( 43930 48450 ) ( 47610 * )
+ NEW met2 ( 47610 48450 ) ( * 50150 )
+ NEW li1 ( 43930 48450 ) L1M1_PR_MR
+ NEW met1 ( 47610 48450 ) M1M2_PR
+ NEW li1 ( 47610 50150 ) L1M1_PR_MR
+ NEW met1 ( 47610 50150 ) M1M2_PR
+ NEW met1 ( 47610 50150 ) RECT ( 0 -70 355 70 ) ;
+ - clockp[0] ( PIN clockp[0] ) ( _328_ X ) + USE SIGNAL
+ + ROUTED met3 ( 3220 2380 0 ) ( 8050 * )
+ NEW met2 ( 8050 2380 ) ( * 5950 )
+ NEW met2 ( 8050 2380 ) M2M3_PR_M
+ NEW li1 ( 8050 5950 ) L1M1_PR_MR
+ NEW met1 ( 8050 5950 ) M1M2_PR
+ NEW met1 ( 8050 5950 ) RECT ( -355 -70 0 70 ) ;
+ - clockp[1] ( PIN clockp[1] ) ( ringosc.ibufp11 Y ) + USE SIGNAL
+ + ROUTED met3 ( 3220 6460 0 ) ( 15410 * )
+ NEW met2 ( 14950 25500 ) ( * 36210 )
+ NEW met2 ( 14950 25500 ) ( 15410 * )
+ NEW met1 ( 12190 36210 ) ( 14950 * )
+ NEW met2 ( 15410 6460 ) ( * 25500 )
+ NEW li1 ( 12190 36210 ) L1M1_PR_MR
+ NEW met2 ( 15410 6460 ) M2M3_PR_M
+ NEW met1 ( 14950 36210 ) M1M2_PR ;
+ - dco ( PIN dco ) ( ANTENNA__182__A DIODE ) ( ANTENNA__330__S DIODE ) ( ANTENNA__331__S DIODE ) ( ANTENNA__332__S DIODE ) ( ANTENNA__333__S DIODE ) ( ANTENNA__334__S DIODE )
+ ( ANTENNA__335__S DIODE ) ( ANTENNA__336__S DIODE ) ( ANTENNA__337__S DIODE ) ( ANTENNA__338__S DIODE ) ( ANTENNA__339__S DIODE ) ( ANTENNA__340__S DIODE ) ( ANTENNA__341__S DIODE ) ( ANTENNA__342__S DIODE )
+ ( ANTENNA__343__S DIODE ) ( ANTENNA__344__S DIODE ) ( ANTENNA__345__S DIODE ) ( ANTENNA__346__S DIODE ) ( ANTENNA__347__S DIODE ) ( ANTENNA__348__S DIODE ) ( ANTENNA__349__S DIODE ) ( ANTENNA__350__S DIODE )
+ ( ANTENNA__351__S DIODE ) ( ANTENNA__352__S DIODE ) ( ANTENNA__353__S DIODE ) ( ANTENNA__354__S DIODE ) ( ANTENNA__355__S DIODE ) ( _355_ S ) ( _354_ S ) ( _353_ S )
+ ( _352_ S ) ( _351_ S ) ( _350_ S ) ( _349_ S ) ( _348_ S ) ( _347_ S ) ( _346_ S ) ( _345_ S )
+ ( _344_ S ) ( _343_ S ) ( _342_ S ) ( _341_ S ) ( _340_ S ) ( _339_ S ) ( _338_ S ) ( _337_ S )
+ ( _336_ S ) ( _335_ S ) ( _334_ S ) ( _333_ S ) ( _332_ S ) ( _331_ S ) ( _330_ S ) ( _182_ A ) + USE SIGNAL
+ + ROUTED met2 ( 64630 34170 ) ( * 36550 )
+ NEW met1 ( 64630 34170 ) ( 66930 * )
+ NEW met2 ( 62330 36550 ) ( * 39950 )
+ NEW met1 ( 62100 34170 ) ( 64630 * )
+ NEW met1 ( 62100 34170 ) ( * 34850 )
+ NEW met1 ( 58190 34850 ) ( 62100 * )
+ NEW met1 ( 59570 36550 ) ( 64630 * )
+ NEW met1 ( 60490 39950 ) ( 62330 * )
+ NEW met1 ( 25070 47430 ) ( 27370 * )
+ NEW met2 ( 7590 39610 ) ( * 39780 )
+ NEW met3 ( 3220 39780 0 ) ( 7590 * )
+ NEW met1 ( 7590 34170 ) ( 8050 * )
+ NEW met2 ( 7590 34170 ) ( * 39610 )
+ NEW met1 ( 7590 45050 ) ( 8050 * )
+ NEW met2 ( 7590 39780 ) ( * 45050 )
+ NEW met2 ( 7590 45050 ) ( * 46750 )
+ NEW met1 ( 7590 36550 ) ( 17250 * )
+ NEW met1 ( 18170 36550 ) ( * 36890 )
+ NEW met1 ( 17250 36550 ) ( 18170 * )
+ NEW met2 ( 37030 41310 ) ( * 41990 )
+ NEW met1 ( 33810 41310 ) ( 37030 * )
+ NEW met1 ( 37030 41310 ) ( 45310 * )
+ NEW met2 ( 47610 39610 ) ( * 40460 )
+ NEW met3 ( 45310 40460 ) ( 47610 * )
+ NEW met2 ( 45310 40460 ) ( * 41310 )
+ NEW met1 ( 47610 39610 ) ( * 39950 )
+ NEW met1 ( 47610 39950 ) ( 60490 * )
+ NEW met1 ( 7590 49470 ) ( 8050 * )
+ NEW met2 ( 7590 49470 ) ( * 52870 )
+ NEW met1 ( 9890 57970 ) ( * 58310 )
+ NEW met1 ( 7590 57970 ) ( 9890 * )
+ NEW met2 ( 7590 52870 ) ( * 57970 )
+ NEW met2 ( 7590 57970 ) ( * 60350 )
+ NEW met1 ( 7590 55250 ) ( 19090 * )
+ NEW met2 ( 19550 55250 ) ( * 58310 )
+ NEW met1 ( 19090 55250 ) ( 19550 * )
+ NEW met1 ( 19550 51170 ) ( 22310 * )
+ NEW met2 ( 19550 51170 ) ( * 55250 )
+ NEW met1 ( 22310 51170 ) ( 23230 * )
+ NEW met1 ( 19550 60350 ) ( 23690 * )
+ NEW met2 ( 19550 58310 ) ( * 60350 )
+ NEW met1 ( 21850 61030 ) ( * 61370 )
+ NEW met1 ( 20470 61030 ) ( 21850 * )
+ NEW met1 ( 20470 60350 ) ( * 61030 )
+ NEW met1 ( 23230 50490 ) ( 26910 * )
+ NEW met1 ( 23230 50490 ) ( * 51170 )
+ NEW met1 ( 26910 50490 ) ( 27370 * )
+ NEW met1 ( 21850 61370 ) ( 27830 * )
+ NEW met2 ( 19550 60350 ) ( * 65790 )
+ NEW met1 ( 27830 65790 ) ( 30590 * )
+ NEW met2 ( 27830 61370 ) ( * 65790 )
+ NEW met1 ( 30590 65790 ) ( 32890 * )
+ NEW met2 ( 33810 63750 ) ( * 65790 )
+ NEW met1 ( 32890 65790 ) ( 33810 * )
+ NEW met1 ( 33810 66810 ) ( 35190 * )
+ NEW met1 ( 33810 65790 ) ( * 66810 )
+ NEW met1 ( 33810 62050 ) ( 44850 * )
+ NEW met2 ( 33810 62050 ) ( * 63750 )
+ NEW met1 ( 44850 61370 ) ( 46230 * )
+ NEW met1 ( 44850 61370 ) ( * 62050 )
+ NEW met1 ( 46230 60690 ) ( * 61370 )
+ NEW met1 ( 33350 56610 ) ( 37490 * )
+ NEW met1 ( 33350 55930 ) ( * 56610 )
+ NEW met1 ( 37490 56610 ) ( 39330 * )
+ NEW met2 ( 38410 52870 ) ( * 56610 )
+ NEW met1 ( 37950 50830 ) ( 39790 * )
+ NEW met2 ( 37950 50830 ) ( * 51340 )
+ NEW met2 ( 37950 51340 ) ( 38410 * )
+ NEW met2 ( 38410 51340 ) ( * 52870 )
+ NEW met1 ( 42550 50490 ) ( 43010 * )
+ NEW met2 ( 42550 50490 ) ( * 51170 )
+ NEW met1 ( 39790 51170 ) ( 42550 * )
+ NEW met1 ( 39790 50830 ) ( * 51170 )
+ NEW met2 ( 44390 51170 ) ( * 52190 )
+ NEW met1 ( 42550 51170 ) ( 44390 * )
+ NEW met1 ( 44390 52190 ) ( 45310 * )
+ NEW met1 ( 44390 55930 ) ( 47610 * )
+ NEW met2 ( 44390 52190 ) ( * 55930 )
+ NEW met2 ( 7590 46750 ) ( * 49470 )
+ NEW met2 ( 27370 47430 ) ( * 50490 )
+ NEW met1 ( 60950 66810 ) ( 66010 * )
+ NEW met1 ( 56810 66470 ) ( 60950 * )
+ NEW met1 ( 60950 66470 ) ( * 66810 )
+ NEW met1 ( 54050 66470 ) ( * 66810 )
+ NEW met1 ( 54050 66470 ) ( 56810 * )
+ NEW met2 ( 55430 61370 ) ( * 66470 )
+ NEW met1 ( 55890 59330 ) ( 56350 * )
+ NEW met2 ( 55890 59330 ) ( * 61370 )
+ NEW met2 ( 55430 61370 ) ( 55890 * )
+ NEW met2 ( 56350 53890 ) ( * 59330 )
+ NEW met2 ( 55890 59330 ) ( 56350 * )
+ NEW met1 ( 56350 52870 ) ( 60490 * )
+ NEW met2 ( 56350 52870 ) ( * 53890 )
+ NEW met1 ( 60490 51170 ) ( 60950 * )
+ NEW met2 ( 60490 51170 ) ( * 52870 )
+ NEW met1 ( 66470 50490 ) ( * 50830 )
+ NEW met1 ( 60950 50830 ) ( 66470 * )
+ NEW met1 ( 60950 50830 ) ( * 51170 )
+ NEW met1 ( 49450 58310 ) ( 51750 * )
+ NEW met2 ( 51750 58310 ) ( * 59330 )
+ NEW met2 ( 51750 59330 ) ( 52210 * )
+ NEW met1 ( 52210 59330 ) ( 55890 * )
+ NEW met2 ( 49450 55930 ) ( * 58310 )
+ NEW met2 ( 51750 59330 ) ( * 60690 )
+ NEW met1 ( 47610 55930 ) ( 49450 * )
+ NEW met1 ( 46230 60690 ) ( 51750 * )
+ NEW met2 ( 60490 39950 ) ( * 51170 )
+ NEW li1 ( 64630 36550 ) L1M1_PR_MR
+ NEW met1 ( 64630 36550 ) M1M2_PR
+ NEW met1 ( 64630 34170 ) M1M2_PR
+ NEW li1 ( 66930 34170 ) L1M1_PR_MR
+ NEW met1 ( 62330 39950 ) M1M2_PR
+ NEW met1 ( 62330 36550 ) M1M2_PR
+ NEW li1 ( 59570 36550 ) L1M1_PR_MR
+ NEW li1 ( 58190 34850 ) L1M1_PR_MR
+ NEW met1 ( 60490 39950 ) M1M2_PR
+ NEW li1 ( 25070 47430 ) L1M1_PR_MR
+ NEW met1 ( 27370 47430 ) M1M2_PR
+ NEW li1 ( 7590 39610 ) L1M1_PR_MR
+ NEW met1 ( 7590 39610 ) M1M2_PR
+ NEW met2 ( 7590 39780 ) M2M3_PR_M
+ NEW li1 ( 8050 34170 ) L1M1_PR_MR
+ NEW met1 ( 7590 34170 ) M1M2_PR
+ NEW li1 ( 8050 45050 ) L1M1_PR_MR
+ NEW met1 ( 7590 45050 ) M1M2_PR
+ NEW li1 ( 7590 46750 ) L1M1_PR_MR
+ NEW met1 ( 7590 46750 ) M1M2_PR
+ NEW li1 ( 17250 36550 ) L1M1_PR_MR
+ NEW met1 ( 7590 36550 ) M1M2_PR
+ NEW li1 ( 18170 36890 ) L1M1_PR_MR
+ NEW li1 ( 37030 41990 ) L1M1_PR_MR
+ NEW met1 ( 37030 41990 ) M1M2_PR
+ NEW met1 ( 37030 41310 ) M1M2_PR
+ NEW li1 ( 33810 41310 ) L1M1_PR_MR
+ NEW li1 ( 45310 41310 ) L1M1_PR_MR
+ NEW li1 ( 47610 39610 ) L1M1_PR_MR
+ NEW met1 ( 47610 39610 ) M1M2_PR
+ NEW met2 ( 47610 40460 ) M2M3_PR_M
+ NEW met2 ( 45310 40460 ) M2M3_PR_M
+ NEW met1 ( 45310 41310 ) M1M2_PR
+ NEW li1 ( 8050 49470 ) L1M1_PR_MR
+ NEW met1 ( 7590 49470 ) M1M2_PR
+ NEW li1 ( 7590 52870 ) L1M1_PR_MR
+ NEW met1 ( 7590 52870 ) M1M2_PR
+ NEW li1 ( 9890 58310 ) L1M1_PR_MR
+ NEW met1 ( 7590 57970 ) M1M2_PR
+ NEW li1 ( 7590 60350 ) L1M1_PR_MR
+ NEW met1 ( 7590 60350 ) M1M2_PR
+ NEW li1 ( 19090 55250 ) L1M1_PR_MR
+ NEW met1 ( 7590 55250 ) M1M2_PR
+ NEW li1 ( 19550 58310 ) L1M1_PR_MR
+ NEW met1 ( 19550 58310 ) M1M2_PR
+ NEW met1 ( 19550 55250 ) M1M2_PR
+ NEW li1 ( 22310 51170 ) L1M1_PR_MR
+ NEW met1 ( 19550 51170 ) M1M2_PR
+ NEW li1 ( 23230 51170 ) L1M1_PR_MR
+ NEW li1 ( 23690 60350 ) L1M1_PR_MR
+ NEW met1 ( 19550 60350 ) M1M2_PR
+ NEW li1 ( 21850 61370 ) L1M1_PR_MR
+ NEW li1 ( 26910 50490 ) L1M1_PR_MR
+ NEW met1 ( 27370 50490 ) M1M2_PR
+ NEW li1 ( 27830 61370 ) L1M1_PR_MR
+ NEW li1 ( 19550 65790 ) L1M1_PR_MR
+ NEW met1 ( 19550 65790 ) M1M2_PR
+ NEW li1 ( 30590 65790 ) L1M1_PR_MR
+ NEW met1 ( 27830 65790 ) M1M2_PR
+ NEW met1 ( 27830 61370 ) M1M2_PR
+ NEW li1 ( 32890 65790 ) L1M1_PR_MR
+ NEW li1 ( 33810 63750 ) L1M1_PR_MR
+ NEW met1 ( 33810 63750 ) M1M2_PR
+ NEW met1 ( 33810 65790 ) M1M2_PR
+ NEW li1 ( 35190 66810 ) L1M1_PR_MR
+ NEW li1 ( 44850 62050 ) L1M1_PR_MR
+ NEW met1 ( 33810 62050 ) M1M2_PR
+ NEW li1 ( 46230 61370 ) L1M1_PR_MR
+ NEW li1 ( 37490 56610 ) L1M1_PR_MR
+ NEW li1 ( 33350 55930 ) L1M1_PR_MR
+ NEW li1 ( 39330 56610 ) L1M1_PR_MR
+ NEW li1 ( 38410 52870 ) L1M1_PR_MR
+ NEW met1 ( 38410 52870 ) M1M2_PR
+ NEW met1 ( 38410 56610 ) M1M2_PR
+ NEW li1 ( 39790 50830 ) L1M1_PR_MR
+ NEW met1 ( 37950 50830 ) M1M2_PR
+ NEW li1 ( 43010 50490 ) L1M1_PR_MR
+ NEW met1 ( 42550 50490 ) M1M2_PR
+ NEW met1 ( 42550 51170 ) M1M2_PR
+ NEW li1 ( 44390 52190 ) L1M1_PR_MR
+ NEW met1 ( 44390 52190 ) M1M2_PR
+ NEW met1 ( 44390 51170 ) M1M2_PR
+ NEW li1 ( 45310 52190 ) L1M1_PR_MR
+ NEW li1 ( 47610 55930 ) L1M1_PR_MR
+ NEW met1 ( 44390 55930 ) M1M2_PR
+ NEW li1 ( 60950 66810 ) L1M1_PR_MR
+ NEW li1 ( 66010 66810 ) L1M1_PR_MR
+ NEW li1 ( 56810 66470 ) L1M1_PR_MR
+ NEW li1 ( 54050 66810 ) L1M1_PR_MR
+ NEW li1 ( 55430 61370 ) L1M1_PR_MR
+ NEW met1 ( 55430 61370 ) M1M2_PR
+ NEW met1 ( 55430 66470 ) M1M2_PR
+ NEW li1 ( 56350 59330 ) L1M1_PR_MR
+ NEW met1 ( 55890 59330 ) M1M2_PR
+ NEW li1 ( 56350 53890 ) L1M1_PR_MR
+ NEW met1 ( 56350 53890 ) M1M2_PR
+ NEW li1 ( 60490 52870 ) L1M1_PR_MR
+ NEW met1 ( 56350 52870 ) M1M2_PR
+ NEW li1 ( 60950 51170 ) L1M1_PR_MR
+ NEW met1 ( 60490 51170 ) M1M2_PR
+ NEW met1 ( 60490 52870 ) M1M2_PR
+ NEW li1 ( 66470 50490 ) L1M1_PR_MR
+ NEW li1 ( 49450 58310 ) L1M1_PR_MR
+ NEW met1 ( 51750 58310 ) M1M2_PR
+ NEW met1 ( 52210 59330 ) M1M2_PR
+ NEW met1 ( 49450 55930 ) M1M2_PR
+ NEW met1 ( 49450 58310 ) M1M2_PR
+ NEW met1 ( 51750 60690 ) M1M2_PR
+ NEW met1 ( 64630 36550 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 62330 36550 ) RECT ( 0 -70 595 70 )
+ NEW met1 ( 7590 39610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7590 46750 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 7590 36550 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 37030 41990 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 47610 39610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 45310 41310 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 7590 52870 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7590 60350 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 7590 55250 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 19550 58310 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 19550 65790 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 27830 61370 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 33810 63750 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38410 52870 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38410 56610 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 44390 52190 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 55430 61370 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 55430 66470 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 56350 53890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 60490 52870 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 49450 58310 ) RECT ( 0 -70 595 70 ) ;
+ - div[0] ( PIN div[0] ) ( ANTENNA__177__A DIODE ) ( ANTENNA__210__B1 DIODE ) ( _210_ B1 ) ( _177_ A ) + USE SIGNAL
+ + ROUTED met1 ( 8970 12070 ) ( 9425 * )
+ NEW met2 ( 8970 11220 ) ( * 12070 )
+ NEW met3 ( 3220 11220 0 ) ( 8970 * )
+ NEW met1 ( 8970 7650 ) ( 9430 * )
+ NEW met2 ( 8970 7650 ) ( * 11220 )
+ NEW met1 ( 9890 11730 ) ( * 12070 )
+ NEW met1 ( 9425 12070 ) ( 9890 * )
+ NEW met1 ( 9890 11730 ) ( 14490 * )
+ NEW met1 ( 14490 14110 ) ( 16790 * )
+ NEW met2 ( 16330 14110 ) ( * 15130 )
+ NEW met2 ( 14490 11730 ) ( * 14110 )
+ NEW li1 ( 9425 12070 ) L1M1_PR_MR
+ NEW met1 ( 8970 12070 ) M1M2_PR
+ NEW met2 ( 8970 11220 ) M2M3_PR_M
+ NEW li1 ( 9430 7650 ) L1M1_PR_MR
+ NEW met1 ( 8970 7650 ) M1M2_PR
+ NEW met1 ( 14490 11730 ) M1M2_PR
+ NEW li1 ( 16790 14110 ) L1M1_PR_MR
+ NEW met1 ( 14490 14110 ) M1M2_PR
+ NEW li1 ( 16330 15130 ) L1M1_PR_MR
+ NEW met1 ( 16330 15130 ) M1M2_PR
+ NEW met1 ( 16330 14110 ) M1M2_PR
+ NEW met1 ( 16330 15130 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 16330 14110 ) RECT ( -595 -70 0 70 ) ;
+ - div[1] ( PIN div[1] ) ( ANTENNA__207__A DIODE ) ( ANTENNA__210__A1 DIODE ) ( ANTENNA__211__A1 DIODE ) ( _211_ A1 ) ( _210_ A1 ) ( _207_ A ) + USE SIGNAL
+ + ROUTED met2 ( 8050 15130 ) ( * 15980 )
+ NEW met3 ( 3220 15980 0 ) ( 8050 * )
+ NEW met1 ( 7590 13090 ) ( 8050 * )
+ NEW met2 ( 8050 13090 ) ( * 15130 )
+ NEW met1 ( 7590 10370 ) ( 8050 * )
+ NEW met2 ( 8050 10370 ) ( * 13090 )
+ NEW met2 ( 11270 12070 ) ( * 13090 )
+ NEW met1 ( 8050 13090 ) ( 11270 * )
+ NEW met2 ( 13570 15300 ) ( * 17510 )
+ NEW met2 ( 13110 15300 ) ( 13570 * )
+ NEW met2 ( 13110 13090 ) ( * 15300 )
+ NEW met1 ( 11270 13090 ) ( 13110 * )
+ NEW met1 ( 13570 15810 ) ( 17710 * )
+ NEW li1 ( 8050 15130 ) L1M1_PR_MR
+ NEW met1 ( 8050 15130 ) M1M2_PR
+ NEW met2 ( 8050 15980 ) M2M3_PR_M
+ NEW li1 ( 7590 13090 ) L1M1_PR_MR
+ NEW met1 ( 8050 13090 ) M1M2_PR
+ NEW li1 ( 7590 10370 ) L1M1_PR_MR
+ NEW met1 ( 8050 10370 ) M1M2_PR
+ NEW li1 ( 11270 12070 ) L1M1_PR_MR
+ NEW met1 ( 11270 12070 ) M1M2_PR
+ NEW met1 ( 11270 13090 ) M1M2_PR
+ NEW li1 ( 13570 17510 ) L1M1_PR_MR
+ NEW met1 ( 13570 17510 ) M1M2_PR
+ NEW met1 ( 13110 13090 ) M1M2_PR
+ NEW met1 ( 13570 15810 ) M1M2_PR
+ NEW li1 ( 17710 15810 ) L1M1_PR_MR
+ NEW met1 ( 8050 15130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 11270 12070 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 13570 17510 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 13570 15810 ) RECT ( -70 -485 70 0 ) ;
+ - div[2] ( PIN div[2] ) ( ANTENNA__201__B1 DIODE ) ( ANTENNA__204__A1 DIODE ) ( _204_ A1 ) ( _201_ B1 ) + USE SIGNAL
+ + ROUTED met2 ( 8050 20570 ) ( * 20740 )
+ NEW met3 ( 3220 20740 0 ) ( 8050 * )
+ NEW met1 ( 7590 18530 ) ( 8050 * )
+ NEW met2 ( 8050 18530 ) ( * 20570 )
+ NEW met1 ( 8050 17510 ) ( 9260 * )
+ NEW met1 ( 8050 17510 ) ( * 18530 )
+ NEW met1 ( 10350 22610 ) ( * 22950 )
+ NEW met1 ( 8510 22610 ) ( 10350 * )
+ NEW met2 ( 8510 20740 ) ( * 22610 )
+ NEW met2 ( 8050 20740 ) ( 8510 * )
+ NEW li1 ( 8050 20570 ) L1M1_PR_MR
+ NEW met1 ( 8050 20570 ) M1M2_PR
+ NEW met2 ( 8050 20740 ) M2M3_PR_M
+ NEW li1 ( 7590 18530 ) L1M1_PR_MR
+ NEW met1 ( 8050 18530 ) M1M2_PR
+ NEW li1 ( 9260 17510 ) L1M1_PR_MR
+ NEW li1 ( 10350 22950 ) L1M1_PR_MR
+ NEW met1 ( 8510 22610 ) M1M2_PR
+ NEW met1 ( 8050 20570 ) RECT ( -355 -70 0 70 ) ;
+ - div[3] ( PIN div[3] ) ( ANTENNA__201__A1 DIODE ) ( ANTENNA__202__A DIODE ) ( _202_ A ) ( _201_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 8050 25500 ) ( * 26010 )
+ NEW met3 ( 3220 25500 0 ) ( 8050 * )
+ NEW met2 ( 7590 26180 ) ( * 27710 )
+ NEW met2 ( 7590 26180 ) ( 8050 * )
+ NEW met2 ( 8050 26010 ) ( * 26180 )
+ NEW met1 ( 8050 22950 ) ( 8970 * )
+ NEW met2 ( 8050 22950 ) ( * 25500 )
+ NEW met1 ( 7590 21250 ) ( 8050 * )
+ NEW met2 ( 8050 21250 ) ( * 22950 )
+ NEW li1 ( 8050 26010 ) L1M1_PR_MR
+ NEW met1 ( 8050 26010 ) M1M2_PR
+ NEW met2 ( 8050 25500 ) M2M3_PR_M
+ NEW li1 ( 7590 27710 ) L1M1_PR_MR
+ NEW met1 ( 7590 27710 ) M1M2_PR
+ NEW li1 ( 8970 22950 ) L1M1_PR_MR
+ NEW met1 ( 8050 22950 ) M1M2_PR
+ NEW li1 ( 7590 21250 ) L1M1_PR_MR
+ NEW met1 ( 8050 21250 ) M1M2_PR
+ NEW met1 ( 8050 26010 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7590 27710 ) RECT ( -355 -70 0 70 ) ;
+ - div[4] ( PIN div[4] ) ( ANTENNA__216__A DIODE ) ( ANTENNA__218__B1 DIODE ) ( _218_ B1 ) ( _216_ A ) + USE SIGNAL
+ + ROUTED met2 ( 7130 30260 ) ( * 30430 )
+ NEW met3 ( 3220 30260 0 ) ( 7130 * )
+ NEW met1 ( 7130 28390 ) ( 8510 * )
+ NEW met2 ( 7130 28390 ) ( * 30260 )
+ NEW met1 ( 11270 27710 ) ( * 28390 )
+ NEW met1 ( 8510 27710 ) ( 11270 * )
+ NEW met1 ( 8510 27710 ) ( * 28390 )
+ NEW met1 ( 7130 33150 ) ( 9430 * )
+ NEW met2 ( 7130 30430 ) ( * 33150 )
+ NEW li1 ( 7130 30430 ) L1M1_PR_MR
+ NEW met1 ( 7130 30430 ) M1M2_PR
+ NEW met2 ( 7130 30260 ) M2M3_PR_M
+ NEW li1 ( 8510 28390 ) L1M1_PR_MR
+ NEW met1 ( 7130 28390 ) M1M2_PR
+ NEW li1 ( 11270 28390 ) L1M1_PR_MR
+ NEW li1 ( 9430 33150 ) L1M1_PR_MR
+ NEW met1 ( 7130 33150 ) M1M2_PR
+ NEW met1 ( 7130 30430 ) RECT ( -355 -70 0 70 ) ;
+ - enable ( PIN enable ) ( ANTENNA__181__A DIODE ) ( _181_ A ) + USE SIGNAL
+ + ROUTED met2 ( 20010 33830 ) ( * 35020 )
+ NEW met1 ( 20010 33830 ) ( 21390 * )
+ NEW met3 ( 3220 35020 0 ) ( 20010 * )
+ NEW li1 ( 20010 33830 ) L1M1_PR_MR
+ NEW met1 ( 20010 33830 ) M1M2_PR
+ NEW met2 ( 20010 35020 ) M2M3_PR_M
+ NEW li1 ( 21390 33830 ) L1M1_PR_MR
+ NEW met1 ( 20010 33830 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[0] ( PIN ext_trim[0] ) ( ANTENNA__352__A1 DIODE ) ( _352_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 8510 39610 ) ( * 43860 )
+ NEW met3 ( 3220 43860 0 ) ( 8510 * )
+ NEW met1 ( 7590 34850 ) ( 8510 * )
+ NEW met2 ( 8510 34850 ) ( * 39610 )
+ NEW li1 ( 8510 39610 ) L1M1_PR_MR
+ NEW met1 ( 8510 39610 ) M1M2_PR
+ NEW met2 ( 8510 43860 ) M2M3_PR_M
+ NEW li1 ( 7590 34850 ) L1M1_PR_MR
+ NEW met1 ( 8510 34850 ) M1M2_PR
+ NEW met1 ( 8510 39610 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[10] ( PIN ext_trim[10] ) ( ANTENNA__332__A1 DIODE ) ( _332_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 20470 54910 ) ( 36570 * )
+ NEW met2 ( 20470 54910 ) ( * 58310 )
+ NEW met2 ( 20010 58310 ) ( 20470 * )
+ NEW met2 ( 20010 58310 ) ( * 71740 0 )
+ NEW met1 ( 38870 52870 ) ( 39330 * )
+ NEW met1 ( 38870 52870 ) ( * 53210 )
+ NEW met1 ( 37950 53210 ) ( 38870 * )
+ NEW met2 ( 37950 53210 ) ( * 54910 )
+ NEW met1 ( 36570 54910 ) ( 37950 * )
+ NEW li1 ( 36570 54910 ) L1M1_PR_MR
+ NEW met1 ( 20470 54910 ) M1M2_PR
+ NEW li1 ( 39330 52870 ) L1M1_PR_MR
+ NEW met1 ( 37950 53210 ) M1M2_PR
+ NEW met1 ( 37950 54910 ) M1M2_PR ;
+ - ext_trim[11] ( PIN ext_trim[11] ) ( ANTENNA__330__A1 DIODE ) ( _330_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 25530 66980 ) ( 25990 * )
+ NEW met2 ( 25990 66980 ) ( * 71740 0 )
+ NEW met1 ( 25530 48110 ) ( 28290 * )
+ NEW met2 ( 25530 48110 ) ( * 66980 )
+ NEW li1 ( 25530 48110 ) L1M1_PR_MR
+ NEW met1 ( 25530 48110 ) M1M2_PR
+ NEW li1 ( 28290 48110 ) L1M1_PR_MR
+ NEW met1 ( 25530 48110 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[12] ( PIN ext_trim[12] ) ( ANTENNA__354__A1 DIODE ) ( _354_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 29670 48110 ) ( 31510 * )
+ NEW met1 ( 26450 49470 ) ( 31510 * )
+ NEW met2 ( 31510 48110 ) ( * 71740 0 )
+ NEW li1 ( 29670 48110 ) L1M1_PR_MR
+ NEW met1 ( 31510 48110 ) M1M2_PR
+ NEW li1 ( 26450 49470 ) L1M1_PR_MR
+ NEW met1 ( 31510 49470 ) M1M2_PR
+ NEW met2 ( 31510 49470 ) RECT ( -70 -485 70 0 ) ;
+ - ext_trim[13] ( PIN ext_trim[13] ) ( ANTENNA__353__A1 DIODE ) ( _353_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 37490 62100 ) ( * 71740 0 )
+ NEW met1 ( 36570 43010 ) ( 37030 * )
+ NEW met2 ( 37030 43010 ) ( * 62100 )
+ NEW met2 ( 37030 62100 ) ( 37490 * )
+ NEW met1 ( 37030 45730 ) ( 42090 * )
+ NEW li1 ( 36570 43010 ) L1M1_PR_MR
+ NEW met1 ( 37030 43010 ) M1M2_PR
+ NEW li1 ( 42090 45730 ) L1M1_PR_MR
+ NEW met1 ( 37030 45730 ) M1M2_PR
+ NEW met2 ( 37030 45730 ) RECT ( -70 -485 70 0 ) ;
+ - ext_trim[14] ( PIN ext_trim[14] ) ( ANTENNA__351__A1 DIODE ) ( _351_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 43010 66300 ) ( 43470 * )
+ NEW met2 ( 43010 66300 ) ( * 71740 0 )
+ NEW met1 ( 43470 53890 ) ( 46230 * )
+ NEW met1 ( 42550 49810 ) ( 43470 * )
+ NEW met2 ( 43470 49810 ) ( * 53890 )
+ NEW met2 ( 43470 53890 ) ( * 66300 )
+ NEW li1 ( 46230 53890 ) L1M1_PR_MR
+ NEW met1 ( 43470 53890 ) M1M2_PR
+ NEW li1 ( 42550 49810 ) L1M1_PR_MR
+ NEW met1 ( 43470 49810 ) M1M2_PR ;
+ - ext_trim[15] ( PIN ext_trim[15] ) ( ANTENNA__349__A1 DIODE ) ( _349_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 48530 57970 ) ( * 58310 )
+ NEW met1 ( 48530 57970 ) ( 53130 * )
+ NEW met1 ( 53130 57970 ) ( * 58310 )
+ NEW met1 ( 53130 58310 ) ( 60030 * )
+ NEW met2 ( 48530 59500 ) ( 48990 * )
+ NEW met2 ( 48530 58310 ) ( * 59500 )
+ NEW met2 ( 48990 59500 ) ( * 71740 0 )
+ NEW li1 ( 48530 58310 ) L1M1_PR_MR
+ NEW li1 ( 60030 58310 ) L1M1_PR_MR
+ NEW met1 ( 48530 58310 ) M1M2_PR
+ NEW met1 ( 48530 58310 ) RECT ( 0 -70 595 70 ) ;
+ - ext_trim[16] ( PIN ext_trim[16] ) ( ANTENNA__347__A1 DIODE ) ( _347_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 54510 66810 ) ( 60030 * )
+ NEW met2 ( 54510 66810 ) ( * 71740 0 )
+ NEW met1 ( 60030 67150 ) ( 65090 * )
+ NEW met1 ( 60030 66810 ) ( * 67150 )
+ NEW li1 ( 60030 66810 ) L1M1_PR_MR
+ NEW met1 ( 54510 66810 ) M1M2_PR
+ NEW li1 ( 65090 67150 ) L1M1_PR_MR ;
+ - ext_trim[17] ( PIN ext_trim[17] ) ( ANTENNA__345__A1 DIODE ) ( _345_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 55890 67490 ) ( 60490 * )
+ NEW met2 ( 60490 67490 ) ( * 71740 0 )
+ NEW met1 ( 53590 66810 ) ( * 67150 )
+ NEW met1 ( 53590 67150 ) ( 55890 * )
+ NEW met1 ( 55890 67150 ) ( * 67490 )
+ NEW li1 ( 55890 67490 ) L1M1_PR_MR
+ NEW met1 ( 60490 67490 ) M1M2_PR
+ NEW li1 ( 53590 66810 ) L1M1_PR_MR ;
+ - ext_trim[18] ( PIN ext_trim[18] ) ( ANTENNA__343__A1 DIODE ) ( _343_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 65550 64260 ) ( 66010 * )
+ NEW met2 ( 66010 64260 ) ( * 71740 0 )
+ NEW met2 ( 65550 53890 ) ( * 64260 )
+ NEW met1 ( 62100 53890 ) ( 65550 * )
+ NEW met1 ( 49450 55250 ) ( 49910 * )
+ NEW met2 ( 49910 53550 ) ( * 55250 )
+ NEW met1 ( 49910 53550 ) ( 62100 * )
+ NEW met1 ( 62100 53550 ) ( * 53890 )
+ NEW met1 ( 47150 55250 ) ( 49450 * )
+ NEW met1 ( 65550 53890 ) M1M2_PR
+ NEW li1 ( 49450 55250 ) L1M1_PR_MR
+ NEW met1 ( 49910 55250 ) M1M2_PR
+ NEW met1 ( 49910 53550 ) M1M2_PR
+ NEW li1 ( 47150 55250 ) L1M1_PR_MR ;
+ - ext_trim[19] ( PIN ext_trim[19] ) ( ANTENNA__341__A1 DIODE ) ( _341_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 71990 61370 ) ( * 71740 0 )
+ NEW met1 ( 54510 61030 ) ( 56810 * )
+ NEW met1 ( 56810 61030 ) ( * 61370 )
+ NEW met1 ( 56810 61370 ) ( 71990 * )
+ NEW met1 ( 71990 61370 ) M1M2_PR
+ NEW li1 ( 56810 61370 ) L1M1_PR_MR
+ NEW li1 ( 54510 61030 ) L1M1_PR_MR ;
+ - ext_trim[1] ( PIN ext_trim[1] ) ( ANTENNA__350__A1 DIODE ) ( _350_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 8970 45050 ) ( * 48620 )
+ NEW met3 ( 3220 48620 0 ) ( 8970 * )
+ NEW met1 ( 7590 43010 ) ( 8970 * )
+ NEW met2 ( 8970 43010 ) ( * 45050 )
+ NEW li1 ( 8970 45050 ) L1M1_PR_MR
+ NEW met1 ( 8970 45050 ) M1M2_PR
+ NEW met2 ( 8970 48620 ) M2M3_PR_M
+ NEW li1 ( 7590 43010 ) L1M1_PR_MR
+ NEW met1 ( 8970 43010 ) M1M2_PR
+ NEW met1 ( 8970 45050 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[20] ( PIN ext_trim[20] ) ( ANTENNA__339__A1 DIODE ) ( _339_ A1 ) + USE SIGNAL
+ + ROUTED met3 ( 51750 66980 ) ( 63940 * )
+ NEW met3 ( 63940 66980 ) ( * 68340 )
+ NEW met3 ( 63940 68340 ) ( 71300 * 0 )
+ NEW met1 ( 47150 61370 ) ( 51750 * )
+ NEW met1 ( 51750 61370 ) ( * 62050 )
+ NEW met2 ( 51750 62050 ) ( * 66980 )
+ NEW met2 ( 51750 66980 ) M2M3_PR_M
+ NEW li1 ( 51750 62050 ) L1M1_PR_MR
+ NEW met1 ( 51750 62050 ) M1M2_PR
+ NEW li1 ( 47150 61370 ) L1M1_PR_MR
+ NEW met1 ( 51750 62050 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[21] ( PIN ext_trim[21] ) ( ANTENNA__337__A1 DIODE ) ( _337_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 60030 53890 ) ( * 56100 )
+ NEW met2 ( 60030 51170 ) ( * 53890 )
+ NEW met3 ( 60030 56100 ) ( 71300 * 0 )
+ NEW li1 ( 60030 53890 ) L1M1_PR_MR
+ NEW met1 ( 60030 53890 ) M1M2_PR
+ NEW met2 ( 60030 56100 ) M2M3_PR_M
+ NEW li1 ( 60030 51170 ) L1M1_PR_MR
+ NEW met1 ( 60030 51170 ) M1M2_PR
+ NEW met1 ( 60030 53890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 60030 51170 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[22] ( PIN ext_trim[22] ) ( ANTENNA__335__A1 DIODE ) ( _335_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 67850 43860 ) ( * 46750 )
+ NEW met3 ( 67850 43860 ) ( 71300 * 0 )
+ NEW met1 ( 66010 49470 ) ( 67850 * )
+ NEW met2 ( 67850 46750 ) ( * 49470 )
+ NEW li1 ( 67850 46750 ) L1M1_PR_MR
+ NEW met1 ( 67850 46750 ) M1M2_PR
+ NEW met2 ( 67850 43860 ) M2M3_PR_M
+ NEW li1 ( 66010 49470 ) L1M1_PR_MR
+ NEW met1 ( 67850 49470 ) M1M2_PR
+ NEW met1 ( 67850 46750 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[23] ( PIN ext_trim[23] ) ( ANTENNA__333__A1 DIODE ) ( _333_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 66470 30940 ) ( * 33150 )
+ NEW met3 ( 66470 30940 ) ( 71300 * 0 )
+ NEW met1 ( 66470 38590 ) ( 67390 * )
+ NEW met2 ( 66470 33150 ) ( * 38590 )
+ NEW li1 ( 66470 33150 ) L1M1_PR_MR
+ NEW met1 ( 66470 33150 ) M1M2_PR
+ NEW met2 ( 66470 30940 ) M2M3_PR_M
+ NEW li1 ( 67390 38590 ) L1M1_PR_MR
+ NEW met1 ( 66470 38590 ) M1M2_PR
+ NEW met1 ( 66470 33150 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[24] ( PIN ext_trim[24] ) ( ANTENNA__331__A1 DIODE ) ( _331_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 67850 18700 ) ( * 35870 )
+ NEW met3 ( 67850 18700 ) ( 71300 * 0 )
+ NEW met1 ( 63710 36890 ) ( 66470 * )
+ NEW met1 ( 66470 36550 ) ( * 36890 )
+ NEW met1 ( 66470 36550 ) ( 67850 * )
+ NEW met1 ( 67850 35870 ) ( * 36550 )
+ NEW li1 ( 67850 35870 ) L1M1_PR_MR
+ NEW met1 ( 67850 35870 ) M1M2_PR
+ NEW met2 ( 67850 18700 ) M2M3_PR_M
+ NEW li1 ( 63710 36890 ) L1M1_PR_MR
+ NEW met1 ( 67850 35870 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[25] ( PIN ext_trim[25] ) ( ANTENNA__355__A1 DIODE ) ( _355_ A1 ) + USE SIGNAL
+ + ROUTED met3 ( 53130 6460 ) ( 71300 * 0 )
+ NEW met1 ( 51750 39610 ) ( 53130 * )
+ NEW met1 ( 47150 39270 ) ( 48530 * )
+ NEW met1 ( 48530 39270 ) ( * 39610 )
+ NEW met1 ( 48530 39610 ) ( 51750 * )
+ NEW met2 ( 53130 6460 ) ( * 39610 )
+ NEW met2 ( 53130 6460 ) M2M3_PR_M
+ NEW li1 ( 51750 39610 ) L1M1_PR_MR
+ NEW met1 ( 53130 39610 ) M1M2_PR
+ NEW li1 ( 47150 39270 ) L1M1_PR_MR ;
+ - ext_trim[2] ( PIN ext_trim[2] ) ( ANTENNA__348__A1 DIODE ) ( _348_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 8510 53210 ) ( * 53380 )
+ NEW met3 ( 3220 53380 0 ) ( 8510 * )
+ NEW met1 ( 7590 51170 ) ( 8510 * )
+ NEW met2 ( 8510 51170 ) ( * 53210 )
+ NEW li1 ( 8510 53210 ) L1M1_PR_MR
+ NEW met1 ( 8510 53210 ) M1M2_PR
+ NEW met2 ( 8510 53380 ) M2M3_PR_M
+ NEW li1 ( 7590 51170 ) L1M1_PR_MR
+ NEW met1 ( 8510 51170 ) M1M2_PR
+ NEW met1 ( 8510 53210 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[3] ( PIN ext_trim[3] ) ( ANTENNA__346__A1 DIODE ) ( _346_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 7130 56610 ) ( * 58140 )
+ NEW met3 ( 3220 58140 0 ) ( 7130 * )
+ NEW met1 ( 7130 58310 ) ( 9430 * )
+ NEW met2 ( 7130 58140 ) ( * 58310 )
+ NEW li1 ( 7130 56610 ) L1M1_PR_MR
+ NEW met1 ( 7130 56610 ) M1M2_PR
+ NEW met2 ( 7130 58140 ) M2M3_PR_M
+ NEW li1 ( 9430 58310 ) L1M1_PR_MR
+ NEW met1 ( 7130 58310 ) M1M2_PR
+ NEW met1 ( 7130 56610 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 7130 58310 ) RECT ( -70 0 70 315 ) ;
+ - ext_trim[4] ( PIN ext_trim[4] ) ( ANTENNA__344__A1 DIODE ) ( _344_ A1 ) + USE SIGNAL
+ + ROUTED met3 ( 3220 62900 0 ) ( 17710 * )
+ NEW met1 ( 17710 61370 ) ( 21390 * )
+ NEW met1 ( 17710 61370 ) ( * 62050 )
+ NEW met2 ( 17710 62050 ) ( * 62900 )
+ NEW met2 ( 17710 62900 ) M2M3_PR_M
+ NEW li1 ( 17710 62050 ) L1M1_PR_MR
+ NEW met1 ( 17710 62050 ) M1M2_PR
+ NEW li1 ( 21390 61370 ) L1M1_PR_MR
+ NEW met1 ( 17710 62050 ) RECT ( -355 -70 0 70 ) ;
+ - ext_trim[5] ( PIN ext_trim[5] ) ( ANTENNA__342__A1 DIODE ) ( _342_ A1 ) + USE SIGNAL
+ + ROUTED met3 ( 3220 67660 0 ) ( 17250 * )
+ NEW met1 ( 17250 59330 ) ( 17710 * )
+ NEW met1 ( 17710 59330 ) ( 20470 * )
+ NEW met2 ( 17250 59330 ) ( * 67660 )
+ NEW met2 ( 17250 67660 ) M2M3_PR_M
+ NEW li1 ( 17710 59330 ) L1M1_PR_MR
+ NEW met1 ( 17250 59330 ) M1M2_PR
+ NEW li1 ( 20470 59330 ) L1M1_PR_MR ;
+ - ext_trim[6] ( PIN ext_trim[6] ) ( ANTENNA__340__A1 DIODE ) ( _340_ A1 ) + USE SIGNAL
+ + ROUTED met3 ( 3220 72420 0 ) ( 20470 * )
+ NEW met2 ( 20470 62100 ) ( * 72420 )
+ NEW met1 ( 20930 61710 ) ( 26450 * )
+ NEW met2 ( 20930 61710 ) ( * 62100 )
+ NEW met2 ( 20470 62100 ) ( 20930 * )
+ NEW met1 ( 28750 61370 ) ( * 61710 )
+ NEW met1 ( 26450 61710 ) ( 28750 * )
+ NEW met2 ( 20470 72420 ) M2M3_PR_M
+ NEW li1 ( 26450 61710 ) L1M1_PR_MR
+ NEW met1 ( 20930 61710 ) M1M2_PR
+ NEW li1 ( 28750 61370 ) L1M1_PR_MR ;
+ - ext_trim[7] ( PIN ext_trim[7] ) ( ANTENNA__338__A1 DIODE ) ( _338_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 2990 67150 ) ( * 71740 0 )
+ NEW met1 ( 36110 66810 ) ( * 67150 )
+ NEW met1 ( 2990 67150 ) ( 36110 * )
+ NEW met1 ( 2990 67150 ) M1M2_PR
+ NEW li1 ( 33810 67150 ) L1M1_PR_MR
+ NEW li1 ( 36110 66810 ) L1M1_PR_MR
+ NEW met1 ( 33810 67150 ) RECT ( -595 -70 0 70 ) ;
+ - ext_trim[8] ( PIN ext_trim[8] ) ( ANTENNA__336__A1 DIODE ) ( _336_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 8510 67490 ) ( 31970 * )
+ NEW met2 ( 8510 67490 ) ( * 71740 0 )
+ NEW met2 ( 32430 64770 ) ( * 67490 )
+ NEW met1 ( 31970 67490 ) ( 32430 * )
+ NEW met1 ( 32430 64770 ) ( 34730 * )
+ NEW li1 ( 31970 67490 ) L1M1_PR_MR
+ NEW met1 ( 8510 67490 ) M1M2_PR
+ NEW met1 ( 32430 64770 ) M1M2_PR
+ NEW met1 ( 32430 67490 ) M1M2_PR
+ NEW li1 ( 34730 64770 ) L1M1_PR_MR ;
+ - ext_trim[9] ( PIN ext_trim[9] ) ( ANTENNA__334__A1 DIODE ) ( _334_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 33350 55250 ) ( 33810 * )
+ NEW met2 ( 33350 55250 ) ( * 68850 )
+ NEW met1 ( 14490 68850 ) ( 33350 * )
+ NEW met2 ( 14490 68850 ) ( * 71740 0 )
+ NEW met1 ( 34270 55930 ) ( 38410 * )
+ NEW met1 ( 34270 55590 ) ( * 55930 )
+ NEW met1 ( 33810 55590 ) ( 34270 * )
+ NEW met1 ( 33810 55590 ) ( * 55600 )
+ NEW met1 ( 33350 55600 ) ( 33810 * )
+ NEW met1 ( 33350 55250 ) ( * 55600 )
+ NEW li1 ( 33810 55250 ) L1M1_PR_MR
+ NEW met1 ( 33350 55250 ) M1M2_PR
+ NEW met1 ( 33350 68850 ) M1M2_PR
+ NEW met1 ( 14490 68850 ) M1M2_PR
+ NEW li1 ( 38410 55930 ) L1M1_PR_MR ;
+ - osc ( PIN osc ) ( ANTENNA__356__D DIODE ) ( _356_ D ) + USE SIGNAL
+ + ROUTED met1 ( 55890 5950 ) ( 57730 * )
+ NEW met2 ( 55890 3740 0 ) ( * 5950 )
+ NEW met2 ( 59110 5950 ) ( * 9350 )
+ NEW met1 ( 57730 5950 ) ( 59110 * )
+ NEW li1 ( 57730 5950 ) L1M1_PR_MR
+ NEW met1 ( 55890 5950 ) M1M2_PR
+ NEW li1 ( 59110 9350 ) L1M1_PR_MR
+ NEW met1 ( 59110 9350 ) M1M2_PR
+ NEW met1 ( 59110 5950 ) M1M2_PR
+ NEW met1 ( 59110 9350 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.clock ( ringosc.ibufp01 Y ) ( _378_ CLK ) ( _377_ CLK ) ( _376_ CLK ) ( _375_ CLK ) ( _374_ CLK ) ( _373_ CLK )
+ ( _372_ CLK ) ( _371_ CLK ) ( _370_ CLK ) ( _369_ CLK ) ( _368_ CLK ) ( _367_ CLK ) ( _366_ CLK ) ( _365_ CLK )
+ ( _364_ CLK ) ( _363_ CLK ) ( _362_ CLK ) ( _361_ CLK ) ( _360_ CLK ) ( _359_ CLK ) ( _358_ CLK ) ( _357_ CLK )
+ ( _356_ CLK ) ( _328_ A ) + USE SIGNAL
+ + ROUTED met2 ( 8050 6630 ) ( * 9350 )
+ NEW met1 ( 8050 6630 ) ( 8970 * )
+ NEW met1 ( 11270 10030 ) ( * 10370 )
+ NEW met1 ( 8050 10030 ) ( 11270 * )
+ NEW met1 ( 8050 9350 ) ( * 10030 )
+ NEW met1 ( 8510 30430 ) ( * 31110 )
+ NEW met1 ( 8510 30430 ) ( 13110 * )
+ NEW met2 ( 13110 28730 ) ( * 30430 )
+ NEW met1 ( 22310 9350 ) ( 30130 * )
+ NEW met1 ( 22310 9350 ) ( * 10030 )
+ NEW met1 ( 17250 10030 ) ( 22310 * )
+ NEW met1 ( 17250 10030 ) ( * 10370 )
+ NEW met1 ( 30130 9350 ) ( 31970 * )
+ NEW met2 ( 29670 11220 ) ( 30130 * )
+ NEW met2 ( 30130 9350 ) ( * 11220 )
+ NEW met1 ( 42090 9690 ) ( * 10030 )
+ NEW met1 ( 39790 10030 ) ( 42090 * )
+ NEW met1 ( 39790 10030 ) ( * 10370 )
+ NEW met1 ( 31970 10370 ) ( 39790 * )
+ NEW met1 ( 31970 9350 ) ( * 10370 )
+ NEW met1 ( 42090 10370 ) ( 49910 * )
+ NEW met1 ( 42090 10030 ) ( * 10370 )
+ NEW met1 ( 49910 11960 ) ( * 12070 )
+ NEW met1 ( 57730 6970 ) ( 58650 * )
+ NEW met2 ( 57730 6970 ) ( * 9690 )
+ NEW met1 ( 11270 10370 ) ( 17250 * )
+ NEW met1 ( 57730 14790 ) ( 58650 * )
+ NEW met2 ( 57730 14790 ) ( * 20230 )
+ NEW met1 ( 51290 16830 ) ( * 17510 )
+ NEW met1 ( 51290 16830 ) ( 57730 * )
+ NEW met1 ( 57730 28390 ) ( 58650 * )
+ NEW met2 ( 57730 20230 ) ( * 28390 )
+ NEW met1 ( 48990 27710 ) ( * 28390 )
+ NEW met1 ( 48990 27710 ) ( 57730 * )
+ NEW met1 ( 57730 31110 ) ( 58650 * )
+ NEW met2 ( 57730 28390 ) ( * 31110 )
+ NEW met1 ( 41170 26010 ) ( * 26350 )
+ NEW met1 ( 41170 26350 ) ( 45310 * )
+ NEW met1 ( 45310 26010 ) ( * 26350 )
+ NEW met1 ( 45310 26010 ) ( 47610 * )
+ NEW met2 ( 47610 26010 ) ( * 28220 )
+ NEW met2 ( 47610 28220 ) ( 48070 * )
+ NEW met2 ( 48070 28220 ) ( * 28390 )
+ NEW met1 ( 48070 28390 ) ( 48990 * )
+ NEW met2 ( 39330 20570 ) ( * 26350 )
+ NEW met1 ( 39330 26350 ) ( 41170 * )
+ NEW met2 ( 33350 17850 ) ( * 22270 )
+ NEW met1 ( 33350 22270 ) ( 39330 * )
+ NEW met1 ( 31970 30430 ) ( * 31110 )
+ NEW met1 ( 31970 30430 ) ( 33350 * )
+ NEW met2 ( 33350 22270 ) ( * 30430 )
+ NEW met1 ( 29670 17850 ) ( 33350 * )
+ NEW met1 ( 28290 17850 ) ( 29670 * )
+ NEW met1 ( 25070 31110 ) ( 31970 * )
+ NEW met1 ( 21850 20570 ) ( * 20910 )
+ NEW met1 ( 21850 20910 ) ( 22310 * )
+ NEW met1 ( 22310 20910 ) ( * 21250 )
+ NEW met1 ( 22310 21250 ) ( 29670 * )
+ NEW met2 ( 29670 17850 ) ( * 21250 )
+ NEW met1 ( 19550 28730 ) ( 27830 * )
+ NEW met2 ( 27830 28730 ) ( * 31110 )
+ NEW met1 ( 24150 34170 ) ( 27830 * )
+ NEW met2 ( 27830 31110 ) ( * 34170 )
+ NEW met1 ( 48530 14790 ) ( 49910 * )
+ NEW met1 ( 13110 28730 ) ( 19550 * )
+ NEW met2 ( 29670 11220 ) ( * 17850 )
+ NEW met2 ( 49910 10370 ) ( * 14790 )
+ NEW met2 ( 57730 9690 ) ( * 14790 )
+ NEW li1 ( 8050 9350 ) L1M1_PR_MR
+ NEW met1 ( 8050 9350 ) M1M2_PR
+ NEW met1 ( 8050 6630 ) M1M2_PR
+ NEW li1 ( 8970 6630 ) L1M1_PR_MR
+ NEW li1 ( 8510 31110 ) L1M1_PR_MR
+ NEW met1 ( 13110 30430 ) M1M2_PR
+ NEW met1 ( 13110 28730 ) M1M2_PR
+ NEW li1 ( 30130 9350 ) L1M1_PR_MR
+ NEW li1 ( 31970 9350 ) L1M1_PR_MR
+ NEW met1 ( 30130 9350 ) M1M2_PR
+ NEW li1 ( 42090 9690 ) L1M1_PR_MR
+ NEW met1 ( 49910 10370 ) M1M2_PR
+ NEW li1 ( 49910 12070 ) L1M1_PR_MR
+ NEW met1 ( 49910 11960 ) M1M2_PR
+ NEW li1 ( 57730 9690 ) L1M1_PR_MR
+ NEW met1 ( 57730 9690 ) M1M2_PR
+ NEW li1 ( 58650 6970 ) L1M1_PR_MR
+ NEW met1 ( 57730 6970 ) M1M2_PR
+ NEW li1 ( 58650 14790 ) L1M1_PR_MR
+ NEW met1 ( 57730 14790 ) M1M2_PR
+ NEW li1 ( 57730 20230 ) L1M1_PR_MR
+ NEW met1 ( 57730 20230 ) M1M2_PR
+ NEW li1 ( 51290 17510 ) L1M1_PR_MR
+ NEW met1 ( 57730 16830 ) M1M2_PR
+ NEW li1 ( 58650 28390 ) L1M1_PR_MR
+ NEW met1 ( 57730 28390 ) M1M2_PR
+ NEW li1 ( 48990 28390 ) L1M1_PR_MR
+ NEW met1 ( 57730 27710 ) M1M2_PR
+ NEW li1 ( 58650 31110 ) L1M1_PR_MR
+ NEW met1 ( 57730 31110 ) M1M2_PR
+ NEW li1 ( 41170 26010 ) L1M1_PR_MR
+ NEW met1 ( 47610 26010 ) M1M2_PR
+ NEW met1 ( 48070 28390 ) M1M2_PR
+ NEW li1 ( 39330 20570 ) L1M1_PR_MR
+ NEW met1 ( 39330 20570 ) M1M2_PR
+ NEW met1 ( 39330 26350 ) M1M2_PR
+ NEW li1 ( 33350 17850 ) L1M1_PR_MR
+ NEW met1 ( 33350 17850 ) M1M2_PR
+ NEW met1 ( 33350 22270 ) M1M2_PR
+ NEW met1 ( 39330 22270 ) M1M2_PR
+ NEW li1 ( 31970 31110 ) L1M1_PR_MR
+ NEW met1 ( 33350 30430 ) M1M2_PR
+ NEW met1 ( 29670 17850 ) M1M2_PR
+ NEW li1 ( 28290 17850 ) L1M1_PR_MR
+ NEW li1 ( 25070 31110 ) L1M1_PR_MR
+ NEW li1 ( 21850 20570 ) L1M1_PR_MR
+ NEW met1 ( 29670 21250 ) M1M2_PR
+ NEW li1 ( 19550 28730 ) L1M1_PR_MR
+ NEW met1 ( 27830 28730 ) M1M2_PR
+ NEW met1 ( 27830 31110 ) M1M2_PR
+ NEW li1 ( 24150 34170 ) L1M1_PR_MR
+ NEW met1 ( 27830 34170 ) M1M2_PR
+ NEW met1 ( 49910 14790 ) M1M2_PR
+ NEW li1 ( 48530 14790 ) L1M1_PR_MR
+ NEW met1 ( 8050 9350 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 30130 9350 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 49910 11960 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 57730 9690 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 57730 20230 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 57730 16830 ) RECT ( -70 -485 70 0 )
+ NEW met2 ( 57730 27710 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 39330 20570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 33350 17850 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 39330 22270 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 27830 31110 ) RECT ( -595 -70 0 70 ) ;
+ - pll_control.count0\[0\] ( _359_ Q ) ( _275_ B2 ) ( _186_ B1 ) ( _168_ A ) + USE SIGNAL
+ + ROUTED met1 ( 29210 12410 ) ( * 13090 )
+ NEW met1 ( 29210 19550 ) ( 30590 * )
+ NEW met1 ( 32890 20910 ) ( 33350 * )
+ NEW met2 ( 32890 19550 ) ( * 20910 )
+ NEW met1 ( 30590 19550 ) ( 32890 * )
+ NEW met2 ( 32430 22950 ) ( 32890 * )
+ NEW met2 ( 32890 20910 ) ( * 22950 )
+ NEW met2 ( 29210 13090 ) ( * 19550 )
+ NEW met1 ( 29210 13090 ) M1M2_PR
+ NEW li1 ( 29210 12410 ) L1M1_PR_MR
+ NEW li1 ( 30590 19550 ) L1M1_PR_MR
+ NEW met1 ( 29210 19550 ) M1M2_PR
+ NEW li1 ( 33350 20910 ) L1M1_PR_MR
+ NEW met1 ( 32890 20910 ) M1M2_PR
+ NEW met1 ( 32890 19550 ) M1M2_PR
+ NEW li1 ( 32430 22950 ) L1M1_PR_MR
+ NEW met1 ( 32430 22950 ) M1M2_PR
+ NEW met1 ( 32430 22950 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count0\[1\] ( _360_ Q ) ( _275_ B1 ) ( _195_ A1 ) ( _194_ B1 ) ( _194_ A1_N ) ( _185_ B1 ) ( _167_ A ) + USE SIGNAL
+ + ROUTED met1 ( 28745 12070 ) ( * 12750 )
+ NEW met1 ( 27370 12750 ) ( 28745 * )
+ NEW met2 ( 27370 7310 ) ( * 12750 )
+ NEW met1 ( 27370 7310 ) ( 31050 * )
+ NEW met1 ( 31050 6630 ) ( * 7310 )
+ NEW met1 ( 38410 12070 ) ( 38560 * )
+ NEW met2 ( 38410 7310 ) ( * 12070 )
+ NEW met1 ( 31050 7310 ) ( 38410 * )
+ NEW met1 ( 38410 9350 ) ( 40710 * )
+ NEW met1 ( 38560 12070 ) ( 41170 * )
+ NEW met1 ( 36570 15470 ) ( 38410 * )
+ NEW met2 ( 38410 12070 ) ( * 15470 )
+ NEW met1 ( 41170 11730 ) ( 47150 * )
+ NEW met1 ( 41170 11730 ) ( * 12070 )
+ NEW li1 ( 28745 12070 ) L1M1_PR_MR
+ NEW met1 ( 27370 12750 ) M1M2_PR
+ NEW met1 ( 27370 7310 ) M1M2_PR
+ NEW li1 ( 31050 6630 ) L1M1_PR_MR
+ NEW li1 ( 38560 12070 ) L1M1_PR_MR
+ NEW met1 ( 38410 12070 ) M1M2_PR
+ NEW met1 ( 38410 7310 ) M1M2_PR
+ NEW li1 ( 40710 9350 ) L1M1_PR_MR
+ NEW met1 ( 38410 9350 ) M1M2_PR
+ NEW li1 ( 41170 12070 ) L1M1_PR_MR
+ NEW li1 ( 36570 15470 ) L1M1_PR_MR
+ NEW met1 ( 38410 15470 ) M1M2_PR
+ NEW li1 ( 47150 11730 ) L1M1_PR_MR
+ NEW met2 ( 38410 9350 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.count0\[2\] ( _361_ Q ) ( _199_ A1 ) ( _197_ A1_N ) ( _192_ A ) ( _184_ B1 ) ( _166_ A ) + USE SIGNAL
+ + ROUTED met2 ( 21390 6290 ) ( * 7310 )
+ NEW met1 ( 17710 7310 ) ( 21390 * )
+ NEW met1 ( 17710 6630 ) ( * 7310 )
+ NEW met1 ( 21390 8670 ) ( 23230 * )
+ NEW met2 ( 23230 7310 ) ( * 8670 )
+ NEW met1 ( 21390 7310 ) ( 23230 * )
+ NEW met1 ( 19550 9350 ) ( * 9690 )
+ NEW met1 ( 19550 9350 ) ( 21390 * )
+ NEW met1 ( 21390 8670 ) ( * 9350 )
+ NEW met2 ( 23230 8670 ) ( * 12070 )
+ NEW met1 ( 21700 12070 ) ( 23230 * )
+ NEW li1 ( 21390 6290 ) L1M1_PR_MR
+ NEW met1 ( 21390 6290 ) M1M2_PR
+ NEW met1 ( 21390 7310 ) M1M2_PR
+ NEW li1 ( 17710 6630 ) L1M1_PR_MR
+ NEW li1 ( 21390 8670 ) L1M1_PR_MR
+ NEW met1 ( 23230 8670 ) M1M2_PR
+ NEW met1 ( 23230 7310 ) M1M2_PR
+ NEW li1 ( 19550 9690 ) L1M1_PR_MR
+ NEW li1 ( 23230 12070 ) L1M1_PR_MR
+ NEW met1 ( 23230 12070 ) M1M2_PR
+ NEW li1 ( 21700 12070 ) L1M1_PR_MR
+ NEW met1 ( 21390 6290 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 23230 12070 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count0\[3\] ( _362_ Q ) ( _277_ A1 ) ( _272_ A ) ( _271_ A1 ) ( _269_ A ) ( _213_ A1_N ) ( _191_ A1 )
+ ( _190_ A ) ( _183_ B1 ) + USE SIGNAL
+ + ROUTED met1 ( 15410 20570 ) ( 15870 * )
+ NEW met2 ( 15870 17510 ) ( * 20570 )
+ NEW met1 ( 15870 21250 ) ( 19550 * )
+ NEW met1 ( 15870 20570 ) ( * 21250 )
+ NEW met1 ( 19550 22950 ) ( 21400 * )
+ NEW met2 ( 19550 21250 ) ( * 22950 )
+ NEW met1 ( 26910 22950 ) ( * 23290 )
+ NEW met1 ( 25530 23290 ) ( 26910 * )
+ NEW met1 ( 25530 22950 ) ( * 23290 )
+ NEW met1 ( 21400 22950 ) ( 25530 * )
+ NEW met1 ( 28750 18190 ) ( * 18530 )
+ NEW met1 ( 27830 18530 ) ( 28750 * )
+ NEW met2 ( 27830 18530 ) ( * 22610 )
+ NEW met1 ( 26910 22610 ) ( 27830 * )
+ NEW met1 ( 26910 22610 ) ( * 22950 )
+ NEW met2 ( 27830 22610 ) ( * 26010 )
+ NEW met1 ( 30130 26010 ) ( * 26350 )
+ NEW met1 ( 27830 26350 ) ( 30130 * )
+ NEW met1 ( 27830 26010 ) ( * 26350 )
+ NEW met1 ( 32430 26350 ) ( * 26690 )
+ NEW met1 ( 30130 26350 ) ( 32430 * )
+ NEW li1 ( 15410 20570 ) L1M1_PR_MR
+ NEW met1 ( 15870 20570 ) M1M2_PR
+ NEW li1 ( 15870 17510 ) L1M1_PR_MR
+ NEW met1 ( 15870 17510 ) M1M2_PR
+ NEW li1 ( 19550 21250 ) L1M1_PR_MR
+ NEW li1 ( 21400 22950 ) L1M1_PR_MR
+ NEW met1 ( 19550 22950 ) M1M2_PR
+ NEW met1 ( 19550 21250 ) M1M2_PR
+ NEW li1 ( 26910 22950 ) L1M1_PR_MR
+ NEW li1 ( 28750 18190 ) L1M1_PR_MR
+ NEW met1 ( 27830 18530 ) M1M2_PR
+ NEW met1 ( 27830 22610 ) M1M2_PR
+ NEW li1 ( 27830 26010 ) L1M1_PR_MR
+ NEW met1 ( 27830 26010 ) M1M2_PR
+ NEW li1 ( 30130 26010 ) L1M1_PR_MR
+ NEW li1 ( 32430 26690 ) L1M1_PR_MR
+ NEW met1 ( 15870 17510 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 19550 21250 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 27830 26010 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count0\[4\] ( _363_ Q ) ( _277_ A3 ) ( _272_ C ) ( _271_ B1 ) ( _212_ A1 ) ( _180_ B1 ) ( _164_ A ) + USE SIGNAL
+ + ROUTED met2 ( 25990 22950 ) ( * 23630 )
+ NEW met1 ( 25990 23630 ) ( 30130 * )
+ NEW met2 ( 30130 17510 ) ( * 23630 )
+ NEW met1 ( 25985 26010 ) ( 25990 * )
+ NEW met2 ( 25990 23630 ) ( * 26010 )
+ NEW met2 ( 28290 23630 ) ( * 27710 )
+ NEW met1 ( 19090 26010 ) ( 19550 * )
+ NEW met1 ( 19550 26010 ) ( * 26350 )
+ NEW met1 ( 19550 26350 ) ( 25985 * )
+ NEW met1 ( 25985 26010 ) ( * 26350 )
+ NEW met2 ( 19550 26350 ) ( * 31450 )
+ NEW met1 ( 14950 25330 ) ( * 26010 )
+ NEW met1 ( 14950 25330 ) ( 15410 * )
+ NEW met1 ( 15410 25330 ) ( * 25670 )
+ NEW met1 ( 15410 25670 ) ( 18630 * )
+ NEW met1 ( 18630 25670 ) ( * 26010 )
+ NEW met1 ( 18630 26010 ) ( 19090 * )
+ NEW li1 ( 25990 22950 ) L1M1_PR_MR
+ NEW met1 ( 25990 22950 ) M1M2_PR
+ NEW met1 ( 25990 23630 ) M1M2_PR
+ NEW met1 ( 30130 23630 ) M1M2_PR
+ NEW li1 ( 30130 17510 ) L1M1_PR_MR
+ NEW met1 ( 30130 17510 ) M1M2_PR
+ NEW li1 ( 25985 26010 ) L1M1_PR_MR
+ NEW met1 ( 25990 26010 ) M1M2_PR
+ NEW li1 ( 28290 27710 ) L1M1_PR_MR
+ NEW met1 ( 28290 27710 ) M1M2_PR
+ NEW met1 ( 28290 23630 ) M1M2_PR
+ NEW li1 ( 19090 26010 ) L1M1_PR_MR
+ NEW li1 ( 19550 31450 ) L1M1_PR_MR
+ NEW met1 ( 19550 31450 ) M1M2_PR
+ NEW met1 ( 19550 26350 ) M1M2_PR
+ NEW li1 ( 14950 26010 ) L1M1_PR_MR
+ NEW met1 ( 25990 22950 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 30130 17510 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 25985 26010 ) RECT ( -350 -70 0 70 )
+ NEW met1 ( 28290 27710 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 28290 23630 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 19550 31450 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 19550 26350 ) RECT ( 0 -70 595 70 ) ;
+ - pll_control.count1\[0\] ( _374_ Q ) ( _186_ A1 ) ( _169_ A ) + USE SIGNAL
+ + ROUTED met2 ( 37030 17850 ) ( * 20570 )
+ NEW met1 ( 37030 17850 ) ( 42090 * )
+ NEW met1 ( 33810 20910 ) ( 37030 * )
+ NEW met1 ( 37030 20570 ) ( * 20910 )
+ NEW li1 ( 37030 20570 ) L1M1_PR_MR
+ NEW met1 ( 37030 20570 ) M1M2_PR
+ NEW met1 ( 37030 17850 ) M1M2_PR
+ NEW li1 ( 42090 17850 ) L1M1_PR_MR
+ NEW li1 ( 33810 20910 ) L1M1_PR_MR
+ NEW met1 ( 37030 20570 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count1\[1\] ( _375_ Q ) ( _195_ A2 ) ( _194_ B2 ) ( _194_ A2_N ) ( _185_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 40710 12750 ) ( 46690 * )
+ NEW met1 ( 46690 12070 ) ( * 12750 )
+ NEW met2 ( 38870 11390 ) ( * 12750 )
+ NEW met1 ( 38870 12750 ) ( 40710 * )
+ NEW met1 ( 38870 14450 ) ( 39790 * )
+ NEW met2 ( 38870 12750 ) ( * 14450 )
+ NEW met1 ( 36085 15130 ) ( 36570 * )
+ NEW met1 ( 36570 14790 ) ( * 15130 )
+ NEW met1 ( 36570 14790 ) ( 38870 * )
+ NEW met1 ( 38870 14450 ) ( * 14790 )
+ NEW li1 ( 40710 12750 ) L1M1_PR_MR
+ NEW li1 ( 46690 12070 ) L1M1_PR_MR
+ NEW li1 ( 38870 11390 ) L1M1_PR_MR
+ NEW met1 ( 38870 11390 ) M1M2_PR
+ NEW met1 ( 38870 12750 ) M1M2_PR
+ NEW li1 ( 39790 14450 ) L1M1_PR_MR
+ NEW met1 ( 38870 14450 ) M1M2_PR
+ NEW li1 ( 36085 15130 ) L1M1_PR_MR
+ NEW met1 ( 38870 11390 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.count1\[2\] ( _376_ Q ) ( _199_ A2 ) ( _197_ A2_N ) ( _192_ B ) ( _184_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 20470 9690 ) ( 21390 * )
+ NEW met2 ( 21390 9690 ) ( * 11390 )
+ NEW met2 ( 20930 6630 ) ( * 7140 )
+ NEW met2 ( 20470 7140 ) ( 20930 * )
+ NEW met2 ( 20470 7140 ) ( * 9180 )
+ NEW met2 ( 20470 9180 ) ( 21390 * )
+ NEW met2 ( 21390 9180 ) ( * 9690 )
+ NEW met1 ( 17250 6290 ) ( * 6630 )
+ NEW met1 ( 17250 6290 ) ( 20930 * )
+ NEW met1 ( 20930 6290 ) ( * 6630 )
+ NEW met1 ( 16790 8670 ) ( 20470 * )
+ NEW li1 ( 20470 9690 ) L1M1_PR_MR
+ NEW met1 ( 21390 9690 ) M1M2_PR
+ NEW li1 ( 21390 11390 ) L1M1_PR_MR
+ NEW met1 ( 21390 11390 ) M1M2_PR
+ NEW li1 ( 20930 6630 ) L1M1_PR_MR
+ NEW met1 ( 20930 6630 ) M1M2_PR
+ NEW li1 ( 17250 6630 ) L1M1_PR_MR
+ NEW li1 ( 16790 8670 ) L1M1_PR_MR
+ NEW met1 ( 20470 8670 ) M1M2_PR
+ NEW met1 ( 21390 11390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 20930 6630 ) RECT ( 0 -70 355 70 )
+ NEW met2 ( 20470 8670 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.count1\[3\] ( _377_ Q ) ( _213_ A2_N ) ( _191_ A2 ) ( _190_ B ) ( _183_ A1 ) + USE SIGNAL
+ + ROUTED met2 ( 20010 20910 ) ( * 22270 )
+ NEW met1 ( 20010 22270 ) ( 21390 * )
+ NEW met1 ( 19550 18530 ) ( 20010 * )
+ NEW met2 ( 20010 18530 ) ( * 20910 )
+ NEW met1 ( 16330 20230 ) ( * 20570 )
+ NEW met1 ( 16330 20230 ) ( 20010 * )
+ NEW met1 ( 16330 17510 ) ( * 18530 )
+ NEW met1 ( 16330 18530 ) ( 19550 * )
+ NEW li1 ( 20010 20910 ) L1M1_PR_MR
+ NEW met1 ( 20010 20910 ) M1M2_PR
+ NEW met1 ( 20010 22270 ) M1M2_PR
+ NEW li1 ( 21390 22270 ) L1M1_PR_MR
+ NEW li1 ( 19550 18530 ) L1M1_PR_MR
+ NEW met1 ( 20010 18530 ) M1M2_PR
+ NEW li1 ( 16330 20570 ) L1M1_PR_MR
+ NEW met1 ( 20010 20230 ) M1M2_PR
+ NEW li1 ( 16330 17510 ) L1M1_PR_MR
+ NEW met1 ( 20010 20910 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 20010 20230 ) RECT ( -70 -485 70 0 ) ;
+ - pll_control.count1\[4\] ( _378_ Q ) ( _212_ A2 ) ( _180_ A1 ) ( _165_ A ) + USE SIGNAL
+ + ROUTED met2 ( 18630 26180 ) ( * 26350 )
+ NEW met3 ( 13570 26180 ) ( 18630 * )
+ NEW met2 ( 13570 26010 ) ( * 26180 )
+ NEW met1 ( 13570 26010 ) ( 14465 * )
+ NEW met1 ( 17250 30430 ) ( 18630 * )
+ NEW met2 ( 18630 26350 ) ( * 30430 )
+ NEW met1 ( 16790 33830 ) ( 18630 * )
+ NEW met2 ( 18630 30430 ) ( * 33830 )
+ NEW li1 ( 18630 26350 ) L1M1_PR_MR
+ NEW met1 ( 18630 26350 ) M1M2_PR
+ NEW met2 ( 18630 26180 ) M2M3_PR_M
+ NEW met2 ( 13570 26180 ) M2M3_PR_M
+ NEW met1 ( 13570 26010 ) M1M2_PR
+ NEW li1 ( 14465 26010 ) L1M1_PR_MR
+ NEW li1 ( 17250 30430 ) L1M1_PR_MR
+ NEW met1 ( 18630 30430 ) M1M2_PR
+ NEW li1 ( 16790 33830 ) L1M1_PR_MR
+ NEW met1 ( 18630 33830 ) M1M2_PR
+ NEW met1 ( 18630 26350 ) RECT ( 0 -70 355 70 ) ;
+ - pll_control.oscbuf\[0\] ( _357_ D ) ( _356_ Q ) + USE SIGNAL
+ + ROUTED met2 ( 60030 6970 ) ( * 8670 )
+ NEW met1 ( 60030 8670 ) ( 66470 * )
+ NEW li1 ( 66470 8670 ) L1M1_PR_MR
+ NEW met1 ( 60030 8670 ) M1M2_PR
+ NEW li1 ( 60030 6970 ) L1M1_PR_MR
+ NEW met1 ( 60030 6970 ) M1M2_PR
+ NEW met1 ( 60030 6970 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.oscbuf\[1\] ( _358_ D ) ( _357_ Q ) ( _178_ B1 ) ( _178_ A1_N ) + USE SIGNAL
+ + ROUTED met1 ( 66930 11730 ) ( 67390 * )
+ NEW met2 ( 67390 7650 ) ( * 11730 )
+ NEW met1 ( 64530 12070 ) ( 64630 * )
+ NEW met1 ( 64630 11730 ) ( * 12070 )
+ NEW met1 ( 64630 11730 ) ( 66930 * )
+ NEW met1 ( 63710 12070 ) ( 64530 * )
+ NEW met2 ( 63710 12070 ) ( * 14790 )
+ NEW met1 ( 60030 14790 ) ( 63710 * )
+ NEW li1 ( 66930 11730 ) L1M1_PR_MR
+ NEW met1 ( 67390 11730 ) M1M2_PR
+ NEW li1 ( 67390 7650 ) L1M1_PR_MR
+ NEW met1 ( 67390 7650 ) M1M2_PR
+ NEW li1 ( 64530 12070 ) L1M1_PR_MR
+ NEW met1 ( 63710 12070 ) M1M2_PR
+ NEW met1 ( 63710 14790 ) M1M2_PR
+ NEW li1 ( 60030 14790 ) L1M1_PR_MR
+ NEW met1 ( 67390 7650 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.oscbuf\[2\] ( _358_ Q ) ( _178_ B2 ) ( _178_ A2_N ) + USE SIGNAL
+ + ROUTED met1 ( 66470 12410 ) ( 67390 * )
+ NEW met1 ( 65090 12070 ) ( * 12410 )
+ NEW met1 ( 65090 12410 ) ( 66470 * )
+ NEW met2 ( 67390 12410 ) ( * 14110 )
+ NEW li1 ( 66470 12410 ) L1M1_PR_MR
+ NEW met1 ( 67390 12410 ) M1M2_PR
+ NEW li1 ( 65090 12070 ) L1M1_PR_MR
+ NEW li1 ( 67390 14110 ) L1M1_PR_MR
+ NEW met1 ( 67390 14110 ) M1M2_PR
+ NEW met1 ( 67390 14110 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.prep\[0\] ( _371_ Q ) ( _226_ D ) ( _189_ A ) ( _188_ B1 ) + USE SIGNAL
+ + ROUTED met1 ( 50830 9350 ) ( 54050 * )
+ NEW met1 ( 54050 9350 ) ( * 9690 )
+ NEW met1 ( 45770 5950 ) ( * 6290 )
+ NEW met1 ( 45770 5950 ) ( 50830 * )
+ NEW met2 ( 50830 5950 ) ( * 9350 )
+ NEW met1 ( 54050 15130 ) ( 54510 * )
+ NEW met2 ( 54050 9690 ) ( * 15130 )
+ NEW li1 ( 54050 9690 ) L1M1_PR_MR
+ NEW met1 ( 54050 9690 ) M1M2_PR
+ NEW li1 ( 50830 9350 ) L1M1_PR_MR
+ NEW li1 ( 45770 6290 ) L1M1_PR_MR
+ NEW met1 ( 50830 5950 ) M1M2_PR
+ NEW met1 ( 50830 9350 ) M1M2_PR
+ NEW met1 ( 54050 15130 ) M1M2_PR
+ NEW li1 ( 54510 15130 ) L1M1_PR_MR
+ NEW met1 ( 54050 9690 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 50830 9350 ) RECT ( -595 -70 0 70 ) ;
+ - pll_control.prep\[1\] ( _372_ Q ) ( _226_ A ) ( _188_ A1 ) ( _187_ A1 ) + USE SIGNAL
+ + ROUTED met1 ( 55890 11390 ) ( 58650 * )
+ NEW met2 ( 55890 10030 ) ( * 11390 )
+ NEW met1 ( 53590 10030 ) ( 55890 * )
+ NEW met1 ( 50830 14790 ) ( 56350 * )
+ NEW met1 ( 50830 14790 ) ( * 15130 )
+ NEW met2 ( 55890 11390 ) ( * 14790 )
+ NEW li1 ( 58650 11390 ) L1M1_PR_MR
+ NEW met1 ( 55890 11390 ) M1M2_PR
+ NEW met1 ( 55890 10030 ) M1M2_PR
+ NEW li1 ( 53590 10030 ) L1M1_PR_MR
+ NEW li1 ( 56350 14790 ) L1M1_PR_MR
+ NEW li1 ( 50830 15130 ) L1M1_PR_MR
+ NEW met1 ( 55890 14790 ) M1M2_PR
+ NEW met1 ( 55890 14790 ) RECT ( -595 -70 0 70 ) ;
+ - pll_control.prep\[2\] ( _373_ Q ) ( _226_ C ) ( _187_ B1 ) + USE SIGNAL
+ + ROUTED met1 ( 54970 15810 ) ( 60030 * )
+ NEW met2 ( 60030 15810 ) ( * 16830 )
+ NEW met1 ( 50370 15470 ) ( 54970 * )
+ NEW met1 ( 54970 15470 ) ( * 15810 )
+ NEW li1 ( 54970 15810 ) L1M1_PR_MR
+ NEW met1 ( 60030 15810 ) M1M2_PR
+ NEW li1 ( 60030 16830 ) L1M1_PR_MR
+ NEW met1 ( 60030 16830 ) M1M2_PR
+ NEW li1 ( 50370 15470 ) L1M1_PR_MR
+ NEW met1 ( 60030 16830 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.tint\[0\] ( _366_ Q ) ( _300_ A2 ) ( _263_ B1 ) ( _259_ A0 ) ( _240_ A1 ) ( _227_ B ) ( _174_ A ) + USE SIGNAL
+ + ROUTED met1 ( 54510 37570 ) ( 54970 * )
+ NEW met1 ( 54510 36890 ) ( 57730 * )
+ NEW met2 ( 54510 36890 ) ( * 37060 )
+ NEW met2 ( 54510 37060 ) ( 54970 * )
+ NEW met2 ( 54970 37060 ) ( * 37570 )
+ NEW met1 ( 51750 33830 ) ( * 34170 )
+ NEW met1 ( 51750 34170 ) ( 54510 * )
+ NEW met2 ( 54510 34170 ) ( * 36890 )
+ NEW met1 ( 51650 31450 ) ( 51750 * )
+ NEW met2 ( 51750 31450 ) ( * 33830 )
+ NEW met1 ( 52210 29410 ) ( 57730 * )
+ NEW met2 ( 52210 29410 ) ( * 29580 )
+ NEW met2 ( 51750 29580 ) ( 52210 * )
+ NEW met2 ( 51750 29580 ) ( * 31450 )
+ NEW met1 ( 54975 44370 ) ( 55430 * )
+ NEW met1 ( 55430 44030 ) ( * 44370 )
+ NEW met2 ( 54970 44030 ) ( 55430 * )
+ NEW met2 ( 54970 44030 ) ( * 50830 )
+ NEW met1 ( 54510 50830 ) ( 54970 * )
+ NEW met2 ( 54970 37570 ) ( * 44030 )
+ NEW li1 ( 54510 37570 ) L1M1_PR_MR
+ NEW met1 ( 54970 37570 ) M1M2_PR
+ NEW li1 ( 57730 36890 ) L1M1_PR_MR
+ NEW met1 ( 54510 36890 ) M1M2_PR
+ NEW li1 ( 51750 33830 ) L1M1_PR_MR
+ NEW met1 ( 54510 34170 ) M1M2_PR
+ NEW li1 ( 51650 31450 ) L1M1_PR_MR
+ NEW met1 ( 51750 31450 ) M1M2_PR
+ NEW met1 ( 51750 33830 ) M1M2_PR
+ NEW li1 ( 57730 29410 ) L1M1_PR_MR
+ NEW met1 ( 52210 29410 ) M1M2_PR
+ NEW li1 ( 54975 44370 ) L1M1_PR_MR
+ NEW met1 ( 55430 44030 ) M1M2_PR
+ NEW met1 ( 54970 50830 ) M1M2_PR
+ NEW li1 ( 54510 50830 ) L1M1_PR_MR
+ NEW met1 ( 51750 33830 ) RECT ( 0 -70 595 70 ) ;
+ - pll_control.tint\[1\] ( _367_ Q ) ( _303_ A3 ) ( _296_ A1 ) ( _293_ A1 ) ( _291_ A3 ) ( _286_ A4 ) ( _282_ A3 )
+ ( _279_ A ) ( _261_ B1 ) ( _261_ A1_N ) ( _227_ A ) ( _173_ A ) + USE SIGNAL
+ + ROUTED met1 ( 61260 33830 ) ( 61870 * )
+ NEW met2 ( 61870 32130 ) ( * 33830 )
+ NEW met1 ( 58650 33830 ) ( 61260 * )
+ NEW met1 ( 55890 44370 ) ( 57730 * )
+ NEW met2 ( 57730 33830 ) ( * 44370 )
+ NEW met1 ( 57730 33830 ) ( 58650 * )
+ NEW met1 ( 54510 47430 ) ( * 47770 )
+ NEW met1 ( 54510 47430 ) ( 57730 * )
+ NEW met1 ( 57730 47090 ) ( * 47430 )
+ NEW met2 ( 57730 44370 ) ( * 47090 )
+ NEW met1 ( 54510 52870 ) ( * 53210 )
+ NEW met1 ( 54510 52870 ) ( 55890 * )
+ NEW met2 ( 55890 47770 ) ( * 52870 )
+ NEW met1 ( 55890 47430 ) ( * 47770 )
+ NEW met2 ( 50830 53210 ) ( * 53890 )
+ NEW met1 ( 50830 53890 ) ( 54510 * )
+ NEW met2 ( 54510 53210 ) ( * 53890 )
+ NEW met2 ( 50830 47090 ) ( * 53210 )
+ NEW met1 ( 30590 50490 ) ( 40710 * )
+ NEW met1 ( 40710 49470 ) ( * 50490 )
+ NEW met1 ( 40710 49470 ) ( 50830 * )
+ NEW met2 ( 32890 50490 ) ( * 53210 )
+ NEW met1 ( 30130 52870 ) ( 32890 * )
+ NEW met1 ( 32890 52870 ) ( * 53210 )
+ NEW met1 ( 61870 32130 ) ( 67390 * )
+ NEW met2 ( 38410 41990 ) ( 38870 * )
+ NEW met1 ( 38870 41990 ) ( 39330 * )
+ NEW met1 ( 39330 41990 ) ( * 42330 )
+ NEW met2 ( 38410 41990 ) ( * 50490 )
+ NEW met1 ( 47150 47090 ) ( 50830 * )
+ NEW li1 ( 67390 32130 ) L1M1_PR_MR
+ NEW li1 ( 61260 33830 ) L1M1_PR_MR
+ NEW met1 ( 61870 33830 ) M1M2_PR
+ NEW met1 ( 61870 32130 ) M1M2_PR
+ NEW li1 ( 58650 33830 ) L1M1_PR_MR
+ NEW li1 ( 55890 44370 ) L1M1_PR_MR
+ NEW met1 ( 57730 44370 ) M1M2_PR
+ NEW met1 ( 57730 33830 ) M1M2_PR
+ NEW li1 ( 54510 47770 ) L1M1_PR_MR
+ NEW met1 ( 57730 47090 ) M1M2_PR
+ NEW li1 ( 54510 53210 ) L1M1_PR_MR
+ NEW met1 ( 55890 52870 ) M1M2_PR
+ NEW met1 ( 55890 47770 ) M1M2_PR
+ NEW li1 ( 50830 53210 ) L1M1_PR_MR
+ NEW met1 ( 50830 53210 ) M1M2_PR
+ NEW met1 ( 50830 53890 ) M1M2_PR
+ NEW met1 ( 54510 53890 ) M1M2_PR
+ NEW met1 ( 54510 53210 ) M1M2_PR
+ NEW met1 ( 50830 47090 ) M1M2_PR
+ NEW li1 ( 30590 50490 ) L1M1_PR_MR
+ NEW met1 ( 50830 49470 ) M1M2_PR
+ NEW li1 ( 32890 53210 ) L1M1_PR_MR
+ NEW met1 ( 32890 53210 ) M1M2_PR
+ NEW met1 ( 32890 50490 ) M1M2_PR
+ NEW li1 ( 30130 52870 ) L1M1_PR_MR
+ NEW met1 ( 38410 50490 ) M1M2_PR
+ NEW li1 ( 47150 47090 ) L1M1_PR_MR
+ NEW met1 ( 38870 41990 ) M1M2_PR
+ NEW li1 ( 39330 42330 ) L1M1_PR_MR
+ NEW met1 ( 50830 53210 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 54510 53210 ) RECT ( 0 -70 595 70 )
+ NEW met2 ( 50830 49470 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 32890 53210 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 32890 50490 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 38410 50490 ) RECT ( -595 -70 0 70 ) ;
+ - pll_control.tint\[2\] ( _368_ Q ) ( _303_ A2 ) ( _297_ B ) ( _291_ A2 ) ( _290_ A2 ) ( _289_ A2 ) ( _287_ A2 )
+ ( _286_ A2 ) ( _285_ A2 ) ( _257_ B1 ) ( _242_ A1 ) ( _229_ B ) ( _172_ A ) + USE SIGNAL
+ + ROUTED met1 ( 31510 50830 ) ( 37490 * )
+ NEW met2 ( 37490 50150 ) ( * 50830 )
+ NEW met2 ( 37490 48300 ) ( * 50150 )
+ NEW met1 ( 36110 36550 ) ( 39230 * )
+ NEW met2 ( 36110 34850 ) ( * 36550 )
+ NEW met1 ( 32890 34850 ) ( 36110 * )
+ NEW met1 ( 39790 42330 ) ( 41630 * )
+ NEW met2 ( 39790 36550 ) ( * 42330 )
+ NEW met1 ( 39230 36550 ) ( 39790 * )
+ NEW met1 ( 39790 42330 ) ( * 43010 )
+ NEW met2 ( 37950 43010 ) ( * 44030 )
+ NEW met1 ( 37950 43010 ) ( 39790 * )
+ NEW met1 ( 35190 46750 ) ( 37950 * )
+ NEW met2 ( 37950 44030 ) ( * 46750 )
+ NEW met1 ( 32910 45390 ) ( 35190 * )
+ NEW met2 ( 35190 45390 ) ( * 46750 )
+ NEW met1 ( 37950 46750 ) ( 41630 * )
+ NEW met2 ( 37490 48300 ) ( 37950 * )
+ NEW met2 ( 37950 46750 ) ( * 48300 )
+ NEW met1 ( 41630 42330 ) ( 43930 * )
+ NEW met1 ( 43470 37230 ) ( 44390 * )
+ NEW met2 ( 44390 37230 ) ( * 42330 )
+ NEW met1 ( 43930 42330 ) ( 44390 * )
+ NEW met1 ( 46690 47090 ) ( * 47770 )
+ NEW met1 ( 44390 47090 ) ( 46690 * )
+ NEW met2 ( 44390 42330 ) ( * 47090 )
+ NEW li1 ( 37490 50150 ) L1M1_PR_MR
+ NEW met1 ( 37490 50150 ) M1M2_PR
+ NEW li1 ( 31510 50830 ) L1M1_PR_MR
+ NEW met1 ( 37490 50830 ) M1M2_PR
+ NEW li1 ( 39230 36550 ) L1M1_PR_MR
+ NEW met1 ( 36110 36550 ) M1M2_PR
+ NEW met1 ( 36110 34850 ) M1M2_PR
+ NEW li1 ( 32890 34850 ) L1M1_PR_MR
+ NEW li1 ( 41630 42330 ) L1M1_PR_MR
+ NEW met1 ( 39790 42330 ) M1M2_PR
+ NEW met1 ( 39790 36550 ) M1M2_PR
+ NEW li1 ( 39790 43010 ) L1M1_PR_MR
+ NEW li1 ( 37950 44030 ) L1M1_PR_MR
+ NEW met1 ( 37950 44030 ) M1M2_PR
+ NEW met1 ( 37950 43010 ) M1M2_PR
+ NEW li1 ( 35190 46750 ) L1M1_PR_MR
+ NEW met1 ( 37950 46750 ) M1M2_PR
+ NEW li1 ( 32910 45390 ) L1M1_PR_MR
+ NEW met1 ( 35190 45390 ) M1M2_PR
+ NEW met1 ( 35190 46750 ) M1M2_PR
+ NEW li1 ( 41630 46750 ) L1M1_PR_MR
+ NEW li1 ( 43930 42330 ) L1M1_PR_MR
+ NEW li1 ( 43470 37230 ) L1M1_PR_MR
+ NEW met1 ( 44390 37230 ) M1M2_PR
+ NEW met1 ( 44390 42330 ) M1M2_PR
+ NEW li1 ( 46690 47770 ) L1M1_PR_MR
+ NEW met1 ( 44390 47090 ) M1M2_PR
+ NEW met1 ( 37490 50150 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 37950 44030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 35190 46750 ) RECT ( -595 -70 0 70 ) ;
+ - pll_control.tint\[3\] ( _369_ Q ) ( _291_ A1 ) ( _290_ A1 ) ( _280_ A ) ( _243_ B1 ) ( _229_ A ) ( _171_ A ) + USE SIGNAL
+ + ROUTED met1 ( 40710 33830 ) ( 42090 * )
+ NEW met2 ( 40710 32130 ) ( * 33830 )
+ NEW met1 ( 41170 39280 ) ( * 39610 )
+ NEW met1 ( 40250 39610 ) ( 41170 * )
+ NEW met2 ( 40250 33830 ) ( * 39610 )
+ NEW met2 ( 40250 33830 ) ( 40710 * )
+ NEW met2 ( 40250 39610 ) ( * 43010 )
+ NEW met1 ( 42550 42670 ) ( * 43010 )
+ NEW met1 ( 40250 43010 ) ( 42550 * )
+ NEW met1 ( 40250 44370 ) ( 40710 * )
+ NEW met2 ( 40250 43010 ) ( * 44370 )
+ NEW met1 ( 38410 44030 ) ( 40250 * )
+ NEW met1 ( 40250 44030 ) ( * 44370 )
+ NEW li1 ( 42090 33830 ) L1M1_PR_MR
+ NEW met1 ( 40710 33830 ) M1M2_PR
+ NEW li1 ( 40710 32130 ) L1M1_PR_MR
+ NEW met1 ( 40710 32130 ) M1M2_PR
+ NEW li1 ( 41170 39280 ) L1M1_PR_MR
+ NEW met1 ( 40250 39610 ) M1M2_PR
+ NEW li1 ( 40250 43010 ) L1M1_PR_MR
+ NEW met1 ( 40250 43010 ) M1M2_PR
+ NEW li1 ( 42550 42670 ) L1M1_PR_MR
+ NEW li1 ( 40710 44370 ) L1M1_PR_MR
+ NEW met1 ( 40250 44370 ) M1M2_PR
+ NEW li1 ( 38410 44030 ) L1M1_PR_MR
+ NEW met1 ( 40710 32130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 40250 43010 ) RECT ( 0 -70 355 70 ) ;
+ - pll_control.tint\[4\] ( _370_ Q ) ( _329_ S ) ( _326_ A ) ( _304_ B1 ) ( _302_ A ) ( _293_ B1 ) ( _291_ B1 )
+ ( _290_ B1 ) ( _289_ A3 ) ( _287_ A3 ) ( _286_ A3 ) ( _285_ A3 ) ( _284_ A1 ) ( _283_ A1 ) ( _282_ A1 )
+ ( _281_ A3 ) ( _278_ A ) ( _247_ A1 ) ( _232_ A ) ( _170_ A ) + USE SIGNAL
+ + ROUTED met2 ( 66930 29410 ) ( * 36890 )
+ NEW met1 ( 66930 29410 ) ( 67390 * )
+ NEW met1 ( 65550 38590 ) ( * 38930 )
+ NEW met1 ( 65550 38930 ) ( 66930 * )
+ NEW met2 ( 66930 36890 ) ( * 38930 )
+ NEW met1 ( 52670 39270 ) ( 53590 * )
+ NEW met1 ( 53590 38590 ) ( * 39270 )
+ NEW met1 ( 53590 38590 ) ( 65550 * )
+ NEW met2 ( 39330 28730 ) ( * 44370 )
+ NEW met1 ( 39330 28730 ) ( 40710 * )
+ NEW met2 ( 41170 42500 ) ( * 42670 )
+ NEW met3 ( 39330 42500 ) ( 41170 * )
+ NEW met2 ( 39330 44370 ) ( * 47770 )
+ NEW met1 ( 34730 47770 ) ( * 48110 )
+ NEW met1 ( 34730 48110 ) ( 36110 * )
+ NEW met1 ( 36110 47770 ) ( * 48110 )
+ NEW met1 ( 36110 47770 ) ( 39330 * )
+ NEW met1 ( 33350 44370 ) ( * 44710 )
+ NEW met1 ( 33350 44370 ) ( 39330 * )
+ NEW met1 ( 31970 48110 ) ( 34730 * )
+ NEW met1 ( 31050 50150 ) ( 31970 * )
+ NEW met2 ( 29210 52190 ) ( * 53210 )
+ NEW met1 ( 29210 52190 ) ( 31970 * )
+ NEW met2 ( 31970 50150 ) ( * 52190 )
+ NEW met1 ( 30085 55590 ) ( 30130 * )
+ NEW met2 ( 30130 53210 ) ( * 55590 )
+ NEW met2 ( 29210 53210 ) ( 30130 * )
+ NEW met1 ( 31050 58650 ) ( 31970 * )
+ NEW met2 ( 30130 58650 ) ( 31050 * )
+ NEW met2 ( 30130 55590 ) ( * 58650 )
+ NEW met1 ( 37030 51170 ) ( 39330 * )
+ NEW met1 ( 36570 53210 ) ( * 53890 )
+ NEW met1 ( 36570 53890 ) ( 39330 * )
+ NEW met2 ( 39330 51170 ) ( * 53890 )
+ NEW met2 ( 31970 48110 ) ( * 50150 )
+ NEW met2 ( 39330 47770 ) ( * 51170 )
+ NEW met1 ( 48990 42330 ) ( 49450 * )
+ NEW met1 ( 49450 42330 ) ( * 42670 )
+ NEW met2 ( 49450 42500 ) ( * 42670 )
+ NEW met1 ( 50830 42330 ) ( 51290 * )
+ NEW met2 ( 50830 42330 ) ( * 42500 )
+ NEW met3 ( 49450 42500 ) ( 50830 * )
+ NEW met3 ( 50830 42500 ) ( 53590 * )
+ NEW met1 ( 52670 53210 ) ( 53130 * )
+ NEW met2 ( 52670 49300 ) ( * 53210 )
+ NEW met2 ( 52670 49300 ) ( 53130 * )
+ NEW met2 ( 53130 45220 ) ( * 49300 )
+ NEW met2 ( 53130 45220 ) ( 53590 * )
+ NEW met2 ( 53590 42500 ) ( * 45220 )
+ NEW met2 ( 52670 53210 ) ( * 58310 )
+ NEW met1 ( 54050 44370 ) ( 54460 * )
+ NEW met1 ( 54050 44030 ) ( * 44370 )
+ NEW met1 ( 53130 44030 ) ( 54050 * )
+ NEW met2 ( 53130 44030 ) ( * 45220 )
+ NEW met3 ( 41170 42500 ) ( 49450 * )
+ NEW met2 ( 53590 39270 ) ( * 42500 )
+ NEW li1 ( 66930 36890 ) L1M1_PR_MR
+ NEW met1 ( 66930 36890 ) M1M2_PR
+ NEW met1 ( 66930 29410 ) M1M2_PR
+ NEW li1 ( 67390 29410 ) L1M1_PR_MR
+ NEW met1 ( 66930 38930 ) M1M2_PR
+ NEW li1 ( 52670 39270 ) L1M1_PR_MR
+ NEW met1 ( 53590 39270 ) M1M2_PR
+ NEW li1 ( 39330 44370 ) L1M1_PR_MR
+ NEW met1 ( 39330 44370 ) M1M2_PR
+ NEW met1 ( 39330 28730 ) M1M2_PR
+ NEW li1 ( 40710 28730 ) L1M1_PR_MR
+ NEW li1 ( 41170 42670 ) L1M1_PR_MR
+ NEW met1 ( 41170 42670 ) M1M2_PR
+ NEW met2 ( 41170 42500 ) M2M3_PR_M
+ NEW met2 ( 39330 42500 ) M2M3_PR_M
+ NEW li1 ( 39330 47770 ) L1M1_PR_MR
+ NEW met1 ( 39330 47770 ) M1M2_PR
+ NEW li1 ( 34730 47770 ) L1M1_PR_MR
+ NEW li1 ( 33350 44710 ) L1M1_PR_MR
+ NEW met1 ( 31970 48110 ) M1M2_PR
+ NEW li1 ( 31050 50150 ) L1M1_PR_MR
+ NEW met1 ( 31970 50150 ) M1M2_PR
+ NEW li1 ( 29210 53210 ) L1M1_PR_MR
+ NEW met1 ( 29210 53210 ) M1M2_PR
+ NEW met1 ( 29210 52190 ) M1M2_PR
+ NEW met1 ( 31970 52190 ) M1M2_PR
+ NEW li1 ( 30085 55590 ) L1M1_PR_MR
+ NEW met1 ( 30130 55590 ) M1M2_PR
+ NEW li1 ( 31970 58650 ) L1M1_PR_MR
+ NEW met1 ( 31050 58650 ) M1M2_PR
+ NEW li1 ( 37030 51170 ) L1M1_PR_MR
+ NEW met1 ( 39330 51170 ) M1M2_PR
+ NEW li1 ( 36570 53210 ) L1M1_PR_MR
+ NEW met1 ( 39330 53890 ) M1M2_PR
+ NEW li1 ( 48990 42330 ) L1M1_PR_MR
+ NEW met1 ( 49450 42670 ) M1M2_PR
+ NEW met2 ( 49450 42500 ) M2M3_PR_M
+ NEW li1 ( 51290 42330 ) L1M1_PR_MR
+ NEW met1 ( 50830 42330 ) M1M2_PR
+ NEW met2 ( 50830 42500 ) M2M3_PR_M
+ NEW met2 ( 53590 42500 ) M2M3_PR_M
+ NEW li1 ( 53130 53210 ) L1M1_PR_MR
+ NEW met1 ( 52670 53210 ) M1M2_PR
+ NEW li1 ( 52670 58310 ) L1M1_PR_MR
+ NEW met1 ( 52670 58310 ) M1M2_PR
+ NEW li1 ( 54460 44370 ) L1M1_PR_MR
+ NEW met1 ( 53130 44030 ) M1M2_PR
+ NEW met1 ( 66930 36890 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 53590 39270 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 39330 44370 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 41170 42670 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 39330 42500 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 39330 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 29210 53210 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 30085 55590 ) RECT ( -310 -70 0 70 )
+ NEW met1 ( 52670 58310 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.tval\[0\] ( _364_ Q ) ( _266_ A1 ) ( _233_ B ) ( _176_ A ) + USE SIGNAL
+ + ROUTED met1 ( 47610 21250 ) ( 48070 * )
+ NEW met2 ( 47610 21250 ) ( * 22950 )
+ NEW met1 ( 47610 18530 ) ( 48530 * )
+ NEW met2 ( 47610 18530 ) ( * 21250 )
+ NEW met1 ( 48070 17850 ) ( * 18530 )
+ NEW li1 ( 48070 21250 ) L1M1_PR_MR
+ NEW met1 ( 47610 21250 ) M1M2_PR
+ NEW li1 ( 47610 22950 ) L1M1_PR_MR
+ NEW met1 ( 47610 22950 ) M1M2_PR
+ NEW li1 ( 48530 18530 ) L1M1_PR_MR
+ NEW met1 ( 47610 18530 ) M1M2_PR
+ NEW li1 ( 48070 17850 ) L1M1_PR_MR
+ NEW met1 ( 47610 22950 ) RECT ( -355 -70 0 70 ) ;
+ - pll_control.tval\[1\] ( _365_ Q ) ( _237_ A1 ) ( _233_ A ) ( _175_ A ) + USE SIGNAL
+ + ROUTED met2 ( 54970 17850 ) ( * 20570 )
+ NEW met1 ( 48990 17850 ) ( 54970 * )
+ NEW met1 ( 58190 19890 ) ( * 20230 )
+ NEW met1 ( 54970 19890 ) ( 58190 * )
+ NEW met1 ( 58650 26010 ) ( * 26350 )
+ NEW met1 ( 54510 26350 ) ( 58650 * )
+ NEW met2 ( 54510 26180 ) ( * 26350 )
+ NEW met2 ( 54510 26180 ) ( 54970 * )
+ NEW met2 ( 54970 20570 ) ( * 26180 )
+ NEW met1 ( 58190 20230 ) ( 66470 * )
+ NEW li1 ( 66470 20230 ) L1M1_PR_MR
+ NEW li1 ( 54970 20570 ) L1M1_PR_MR
+ NEW met1 ( 54970 20570 ) M1M2_PR
+ NEW met1 ( 54970 17850 ) M1M2_PR
+ NEW li1 ( 48990 17850 ) L1M1_PR_MR
+ NEW met1 ( 54970 19890 ) M1M2_PR
+ NEW li1 ( 58650 26010 ) L1M1_PR_MR
+ NEW met1 ( 54510 26350 ) M1M2_PR
+ NEW met1 ( 54970 20570 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 54970 19890 ) RECT ( -70 -485 70 0 ) ;
+ - resetb ( PIN resetb ) ( ANTENNA__181__B DIODE ) ( _181_ B ) + USE SIGNAL
+ + ROUTED met1 ( 17710 33150 ) ( 18170 * )
+ NEW met2 ( 18170 25500 ) ( * 33150 )
+ NEW met2 ( 18170 25500 ) ( 18630 * )
+ NEW met1 ( 19090 33490 ) ( * 33830 )
+ NEW met1 ( 18170 33490 ) ( 19090 * )
+ NEW met1 ( 18170 33150 ) ( * 33490 )
+ NEW met2 ( 18630 3740 0 ) ( * 25500 )
+ NEW li1 ( 17710 33150 ) L1M1_PR_MR
+ NEW met1 ( 18170 33150 ) M1M2_PR
+ NEW li1 ( 19090 33830 ) L1M1_PR_MR ;
+ - ringosc.c\[0\] ( ringosc.ibufp01 A ) ( ringosc.ibufp00 Y ) + USE SIGNAL
+ + ROUTED met1 ( 22310 35870 ) ( 23230 * )
+ NEW met2 ( 23230 31450 ) ( * 35870 )
+ NEW li1 ( 22310 35870 ) L1M1_PR_MR
+ NEW met1 ( 23230 35870 ) M1M2_PR
+ NEW li1 ( 23230 31450 ) L1M1_PR_MR
+ NEW met1 ( 23230 31450 ) M1M2_PR
+ NEW met1 ( 23230 31450 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.c\[1\] ( ringosc.ibufp11 A ) ( ringosc.ibufp10 Y ) + USE SIGNAL
+ + ROUTED met1 ( 12190 36890 ) ( 13110 * )
+ NEW li1 ( 12190 36890 ) L1M1_PR_MR
+ NEW li1 ( 13110 36890 ) L1M1_PR_MR ;
+ - ringosc.dstage\[0\].id.d0 ( ringosc.dstage\[0\].id.delayen1 A ) ( ringosc.dstage\[0\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 14950 39610 ) ( 17250 * )
+ NEW met2 ( 17250 39610 ) ( * 44030 )
+ NEW li1 ( 14950 39610 ) L1M1_PR_MR
+ NEW met1 ( 17250 39610 ) M1M2_PR
+ NEW li1 ( 17250 44030 ) L1M1_PR_MR
+ NEW met1 ( 17250 44030 ) M1M2_PR
+ NEW met1 ( 17250 44030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.d1 ( ringosc.dstage\[0\].id.delayint0 A ) ( ringosc.dstage\[0\].id.delayenb1 Z ) ( ringosc.dstage\[0\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 15410 39950 ) ( 16790 * )
+ NEW met2 ( 16790 39950 ) ( * 42330 )
+ NEW met1 ( 16790 42330 ) ( 17250 * )
+ NEW met1 ( 15870 37570 ) ( 16790 * )
+ NEW met2 ( 16790 37570 ) ( * 39950 )
+ NEW li1 ( 15410 39950 ) L1M1_PR_MR
+ NEW met1 ( 16790 39950 ) M1M2_PR
+ NEW met1 ( 16790 42330 ) M1M2_PR
+ NEW li1 ( 17250 42330 ) L1M1_PR_MR
+ NEW li1 ( 15870 37570 ) L1M1_PR_MR
+ NEW met1 ( 16790 37570 ) M1M2_PR ;
+ - ringosc.dstage\[0\].id.d2 ( ringosc.dstage\[0\].id.delayint0 Y ) ( ringosc.dstage\[0\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 14030 37570 ) ( 15410 * )
+ NEW met2 ( 14030 37570 ) ( * 38930 )
+ NEW li1 ( 15410 37570 ) L1M1_PR_MR
+ NEW met1 ( 14030 37570 ) M1M2_PR
+ NEW li1 ( 14030 38930 ) L1M1_PR_MR
+ NEW met1 ( 14030 38930 ) M1M2_PR
+ NEW met1 ( 14030 38930 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.in ( ringosc.iss.reseten0 Z ) ( ringosc.iss.delayenb0 Z ) ( ringosc.iss.delayen0 Z ) ( ringosc.ibufp00 A ) ( ringosc.dstage\[0\].id.delaybuf0 A ) + USE SIGNAL
+ + ROUTED met2 ( 26910 39950 ) ( * 41650 )
+ NEW met1 ( 26910 41650 ) ( 28750 * )
+ NEW met1 ( 23230 44370 ) ( 26910 * )
+ NEW met2 ( 26910 41650 ) ( * 44370 )
+ NEW met1 ( 20930 38590 ) ( 26910 * )
+ NEW met2 ( 26910 38590 ) ( * 39950 )
+ NEW met2 ( 22310 36890 ) ( * 38590 )
+ NEW li1 ( 26910 39950 ) L1M1_PR_MR
+ NEW met1 ( 26910 39950 ) M1M2_PR
+ NEW met1 ( 26910 41650 ) M1M2_PR
+ NEW li1 ( 28750 41650 ) L1M1_PR_MR
+ NEW li1 ( 23230 44370 ) L1M1_PR_MR
+ NEW met1 ( 26910 44370 ) M1M2_PR
+ NEW li1 ( 20930 38590 ) L1M1_PR_MR
+ NEW met1 ( 26910 38590 ) M1M2_PR
+ NEW li1 ( 22310 36890 ) L1M1_PR_MR
+ NEW met1 ( 22310 36890 ) M1M2_PR
+ NEW met1 ( 22310 38590 ) M1M2_PR
+ NEW met1 ( 26910 39950 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 22310 36890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 22310 38590 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.out ( ringosc.dstage\[1\].id.delaybuf0 A ) ( ringosc.dstage\[0\].id.delayenb0 Z ) ( ringosc.dstage\[0\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 15870 42670 ) ( * 44370 )
+ NEW met2 ( 15870 39270 ) ( * 42670 )
+ NEW met1 ( 13570 39270 ) ( 15870 * )
+ NEW li1 ( 13570 39270 ) L1M1_PR_MR
+ NEW li1 ( 15870 42670 ) L1M1_PR_MR
+ NEW met1 ( 15870 42670 ) M1M2_PR
+ NEW li1 ( 15870 44370 ) L1M1_PR_MR
+ NEW met1 ( 15870 44370 ) M1M2_PR
+ NEW met1 ( 15870 39270 ) M1M2_PR
+ NEW met1 ( 15870 42670 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 15870 44370 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.trim\[0\] ( ringosc.dstage\[0\].id.delayenb0 TE_B ) ( ringosc.dstage\[0\].id.delayen0 TE ) ( _352_ X ) + USE SIGNAL
+ + ROUTED met1 ( 8050 40290 ) ( 10810 * )
+ NEW met2 ( 8050 40290 ) ( * 42330 )
+ NEW met1 ( 10810 39610 ) ( 11270 * )
+ NEW met1 ( 10810 39610 ) ( * 40290 )
+ NEW li1 ( 10810 40290 ) L1M1_PR_MR
+ NEW met1 ( 8050 40290 ) M1M2_PR
+ NEW li1 ( 8050 42330 ) L1M1_PR_MR
+ NEW met1 ( 8050 42330 ) M1M2_PR
+ NEW li1 ( 11270 39610 ) L1M1_PR_MR
+ NEW met1 ( 8050 42330 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[0\].id.trim\[1\] ( ringosc.dstage\[0\].id.delayenb1 TE_B ) ( ringosc.dstage\[0\].id.delayen1 TE ) ( _353_ X ) + USE SIGNAL
+ + ROUTED met1 ( 20990 42330 ) ( 21850 * )
+ NEW met1 ( 21850 41990 ) ( * 42330 )
+ NEW met1 ( 21850 41990 ) ( 34270 * )
+ NEW met1 ( 34270 41650 ) ( * 41990 )
+ NEW met2 ( 17710 39610 ) ( * 42330 )
+ NEW met1 ( 17710 42330 ) ( 20990 * )
+ NEW li1 ( 20990 42330 ) L1M1_PR_MR
+ NEW li1 ( 34270 41650 ) L1M1_PR_MR
+ NEW li1 ( 17710 39610 ) L1M1_PR_MR
+ NEW met1 ( 17710 39610 ) M1M2_PR
+ NEW met1 ( 17710 42330 ) M1M2_PR
+ NEW met1 ( 17710 39610 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[0\].id.ts ( ringosc.dstage\[0\].id.delayenb1 A ) ( ringosc.dstage\[0\].id.delayenb0 A ) ( ringosc.dstage\[0\].id.delaybuf1 A ) ( ringosc.dstage\[0\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 18170 44710 ) ( 22310 * )
+ NEW met1 ( 16330 42670 ) ( 18170 * )
+ NEW met2 ( 18170 42670 ) ( * 44710 )
+ NEW met1 ( 15410 42330 ) ( 16330 * )
+ NEW met1 ( 16330 42330 ) ( * 42670 )
+ NEW li1 ( 18170 44710 ) L1M1_PR_MR
+ NEW li1 ( 22310 44710 ) L1M1_PR_MR
+ NEW li1 ( 16330 42670 ) L1M1_PR_MR
+ NEW met1 ( 18170 42670 ) M1M2_PR
+ NEW met1 ( 18170 44710 ) M1M2_PR
+ NEW li1 ( 15410 42330 ) L1M1_PR_MR
+ NEW met1 ( 18170 44710 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.d0 ( ringosc.dstage\[10\].id.delayen1 A ) ( ringosc.dstage\[10\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met2 ( 66930 39610 ) ( * 41310 )
+ NEW li1 ( 66930 39610 ) L1M1_PR_MR
+ NEW met1 ( 66930 39610 ) M1M2_PR
+ NEW li1 ( 66930 41310 ) L1M1_PR_MR
+ NEW met1 ( 66930 41310 ) M1M2_PR
+ NEW met1 ( 66930 39610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66930 41310 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.d1 ( ringosc.dstage\[10\].id.delayint0 A ) ( ringosc.dstage\[10\].id.delayenb1 Z ) ( ringosc.dstage\[10\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met2 ( 66930 44710 ) ( * 47770 )
+ NEW met2 ( 66470 39950 ) ( * 43860 )
+ NEW met2 ( 66470 43860 ) ( 66930 * )
+ NEW met2 ( 66930 43860 ) ( * 44710 )
+ NEW li1 ( 66930 44710 ) L1M1_PR_MR
+ NEW met1 ( 66930 44710 ) M1M2_PR
+ NEW li1 ( 66930 47770 ) L1M1_PR_MR
+ NEW met1 ( 66930 47770 ) M1M2_PR
+ NEW li1 ( 66470 39950 ) L1M1_PR_MR
+ NEW met1 ( 66470 39950 ) M1M2_PR
+ NEW met1 ( 66930 44710 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66930 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66470 39950 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.d2 ( ringosc.dstage\[10\].id.delayint0 Y ) ( ringosc.dstage\[10\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 62790 45050 ) ( 66470 * )
+ NEW met2 ( 66470 45050 ) ( * 46750 )
+ NEW li1 ( 62790 45050 ) L1M1_PR_MR
+ NEW met1 ( 66470 45050 ) M1M2_PR
+ NEW li1 ( 66470 46750 ) L1M1_PR_MR
+ NEW met1 ( 66470 46750 ) M1M2_PR
+ NEW met1 ( 66470 46750 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.in ( ringosc.dstage\[9\].id.delayenb0 Z ) ( ringosc.dstage\[9\].id.delayen0 Z ) ( ringosc.dstage\[10\].id.delaybuf0 A ) + USE SIGNAL
+ + ROUTED met2 ( 64170 53210 ) ( * 56270 )
+ NEW met1 ( 62330 49810 ) ( 64170 * )
+ NEW met2 ( 64170 49810 ) ( * 53210 )
+ NEW li1 ( 64170 53210 ) L1M1_PR_MR
+ NEW met1 ( 64170 53210 ) M1M2_PR
+ NEW li1 ( 64170 56270 ) L1M1_PR_MR
+ NEW met1 ( 64170 56270 ) M1M2_PR
+ NEW li1 ( 62330 49810 ) L1M1_PR_MR
+ NEW met1 ( 64170 49810 ) M1M2_PR
+ NEW met1 ( 64170 53210 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 64170 56270 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.out ( ringosc.dstage\[11\].id.delaybuf0 A ) ( ringosc.dstage\[10\].id.delayenb0 Z ) ( ringosc.dstage\[10\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 62330 45050 ) ( * 45390 )
+ NEW met1 ( 62330 45390 ) ( 64170 * )
+ NEW met2 ( 64170 45390 ) ( * 47090 )
+ NEW met1 ( 58650 44710 ) ( * 45050 )
+ NEW met1 ( 58650 45050 ) ( 62330 * )
+ NEW li1 ( 62330 45050 ) L1M1_PR_MR
+ NEW met1 ( 64170 45390 ) M1M2_PR
+ NEW li1 ( 64170 47090 ) L1M1_PR_MR
+ NEW met1 ( 64170 47090 ) M1M2_PR
+ NEW li1 ( 58650 44710 ) L1M1_PR_MR
+ NEW met1 ( 64170 47090 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.trim\[0\] ( ringosc.dstage\[10\].id.delayenb0 TE_B ) ( ringosc.dstage\[10\].id.delayen0 TE ) ( _332_ X ) + USE SIGNAL
+ + ROUTED met2 ( 60030 44710 ) ( * 47770 )
+ NEW met1 ( 57730 47770 ) ( 60030 * )
+ NEW met2 ( 42090 52530 ) ( 42550 * )
+ NEW met1 ( 41630 52530 ) ( 42090 * )
+ NEW met2 ( 49450 52020 ) ( * 52530 )
+ NEW met3 ( 49450 52020 ) ( 57730 * )
+ NEW met1 ( 42550 52530 ) ( 49450 * )
+ NEW met2 ( 57730 47770 ) ( * 52020 )
+ NEW li1 ( 57730 47770 ) L1M1_PR_MR
+ NEW met1 ( 57730 47770 ) M1M2_PR
+ NEW li1 ( 60030 44710 ) L1M1_PR_MR
+ NEW met1 ( 60030 44710 ) M1M2_PR
+ NEW met1 ( 60030 47770 ) M1M2_PR
+ NEW met1 ( 42550 52530 ) M1M2_PR
+ NEW met1 ( 42090 52530 ) M1M2_PR
+ NEW li1 ( 41630 52530 ) L1M1_PR_MR
+ NEW met1 ( 49450 52530 ) M1M2_PR
+ NEW met2 ( 49450 52020 ) M2M3_PR_M
+ NEW met2 ( 57730 52020 ) M2M3_PR_M
+ NEW met1 ( 57730 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 60030 44710 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.trim\[1\] ( ringosc.dstage\[10\].id.delayenb1 TE_B ) ( ringosc.dstage\[10\].id.delayen1 TE ) ( _333_ X ) + USE SIGNAL
+ + ROUTED met1 ( 63250 39610 ) ( 64170 * )
+ NEW met2 ( 63250 39610 ) ( * 44710 )
+ NEW met1 ( 63250 34850 ) ( 64170 * )
+ NEW met2 ( 63250 34850 ) ( * 39610 )
+ NEW li1 ( 64170 39610 ) L1M1_PR_MR
+ NEW met1 ( 63250 39610 ) M1M2_PR
+ NEW li1 ( 63250 44710 ) L1M1_PR_MR
+ NEW met1 ( 63250 44710 ) M1M2_PR
+ NEW li1 ( 64170 34850 ) L1M1_PR_MR
+ NEW met1 ( 63250 34850 ) M1M2_PR
+ NEW met1 ( 63250 44710 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[10\].id.ts ( ringosc.dstage\[10\].id.delayenb1 A ) ( ringosc.dstage\[10\].id.delayenb0 A ) ( ringosc.dstage\[10\].id.delaybuf1 A ) ( ringosc.dstage\[10\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 62790 47770 ) ( * 49470 )
+ NEW met1 ( 63710 44370 ) ( 67850 * )
+ NEW met2 ( 63710 44370 ) ( * 47770 )
+ NEW met2 ( 62790 47770 ) ( 63710 * )
+ NEW met2 ( 66010 42330 ) ( * 44370 )
+ NEW li1 ( 62790 47770 ) L1M1_PR_MR
+ NEW met1 ( 62790 47770 ) M1M2_PR
+ NEW li1 ( 62790 49470 ) L1M1_PR_MR
+ NEW met1 ( 62790 49470 ) M1M2_PR
+ NEW li1 ( 67850 44370 ) L1M1_PR_MR
+ NEW met1 ( 63710 44370 ) M1M2_PR
+ NEW li1 ( 66010 42330 ) L1M1_PR_MR
+ NEW met1 ( 66010 42330 ) M1M2_PR
+ NEW met1 ( 66010 44370 ) M1M2_PR
+ NEW met1 ( 62790 47770 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 62790 49470 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66010 42330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66010 44370 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.d0 ( ringosc.dstage\[11\].id.delayen1 A ) ( ringosc.dstage\[11\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 55890 37570 ) ( 60030 * )
+ NEW met2 ( 55890 37570 ) ( * 38930 )
+ NEW li1 ( 60030 37570 ) L1M1_PR_MR
+ NEW met1 ( 55890 37570 ) M1M2_PR
+ NEW li1 ( 55890 38930 ) L1M1_PR_MR
+ NEW met1 ( 55890 38930 ) M1M2_PR
+ NEW met1 ( 55890 38930 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.d1 ( ringosc.dstage\[11\].id.delayint0 A ) ( ringosc.dstage\[11\].id.delayenb1 Z ) ( ringosc.dstage\[11\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 56350 39610 ) ( 60030 * )
+ NEW met1 ( 60030 39270 ) ( * 39610 )
+ NEW met1 ( 55430 39270 ) ( * 39610 )
+ NEW met1 ( 55430 39610 ) ( 56350 * )
+ NEW li1 ( 56350 39610 ) L1M1_PR_MR
+ NEW li1 ( 60030 39270 ) L1M1_PR_MR
+ NEW li1 ( 55430 39270 ) L1M1_PR_MR ;
+ - ringosc.dstage\[11\].id.d2 ( ringosc.dstage\[11\].id.delayint0 Y ) ( ringosc.dstage\[11\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 54050 40290 ) ( 54970 * )
+ NEW met2 ( 54050 40290 ) ( * 41990 )
+ NEW li1 ( 54970 40290 ) L1M1_PR_MR
+ NEW met1 ( 54050 40290 ) M1M2_PR
+ NEW li1 ( 54050 41990 ) L1M1_PR_MR
+ NEW met1 ( 54050 41990 ) M1M2_PR
+ NEW met1 ( 54050 41990 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.out ( ringosc.iss.delayenb1 A ) ( ringosc.iss.delayenb0 A ) ( ringosc.iss.delaybuf0 A ) ( ringosc.dstage\[11\].id.delayenb0 Z ) ( ringosc.dstage\[11\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 58190 41650 ) ( * 42670 )
+ NEW met1 ( 54510 42670 ) ( 65550 * )
+ NEW met2 ( 29670 41820 ) ( * 42330 )
+ NEW met3 ( 29670 41820 ) ( 40710 * )
+ NEW met2 ( 40710 41650 ) ( * 41820 )
+ NEW met1 ( 28750 39270 ) ( 29670 * )
+ NEW met2 ( 29670 39270 ) ( * 41820 )
+ NEW met1 ( 29670 36890 ) ( 32430 * )
+ NEW met2 ( 29670 36890 ) ( * 39270 )
+ NEW met1 ( 40710 41650 ) ( 58190 * )
+ NEW li1 ( 65550 42670 ) L1M1_PR_MR
+ NEW li1 ( 54510 42670 ) L1M1_PR_MR
+ NEW li1 ( 29670 42330 ) L1M1_PR_MR
+ NEW met1 ( 29670 42330 ) M1M2_PR
+ NEW met2 ( 29670 41820 ) M2M3_PR_M
+ NEW met2 ( 40710 41820 ) M2M3_PR_M
+ NEW met1 ( 40710 41650 ) M1M2_PR
+ NEW li1 ( 28750 39270 ) L1M1_PR_MR
+ NEW met1 ( 29670 39270 ) M1M2_PR
+ NEW li1 ( 32430 36890 ) L1M1_PR_MR
+ NEW met1 ( 29670 36890 ) M1M2_PR
+ NEW met1 ( 29670 42330 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.trim\[0\] ( ringosc.dstage\[11\].id.delayenb0 TE_B ) ( ringosc.dstage\[11\].id.delayen0 TE ) ( _330_ X ) + USE SIGNAL
+ + ROUTED met2 ( 27830 45050 ) ( * 46750 )
+ NEW met2 ( 56810 42330 ) ( * 43180 )
+ NEW met3 ( 49910 43180 ) ( 56810 * )
+ NEW met2 ( 49910 43180 ) ( * 45050 )
+ NEW met1 ( 56810 42330 ) ( 57730 * )
+ NEW met1 ( 27830 45050 ) ( 49910 * )
+ NEW met1 ( 27830 45050 ) M1M2_PR
+ NEW li1 ( 27830 46750 ) L1M1_PR_MR
+ NEW met1 ( 27830 46750 ) M1M2_PR
+ NEW li1 ( 56810 42330 ) L1M1_PR_MR
+ NEW met1 ( 56810 42330 ) M1M2_PR
+ NEW met2 ( 56810 43180 ) M2M3_PR_M
+ NEW met2 ( 49910 43180 ) M2M3_PR_M
+ NEW met1 ( 49910 45050 ) M1M2_PR
+ NEW li1 ( 57730 42330 ) L1M1_PR_MR
+ NEW met1 ( 27830 46750 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 56810 42330 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.trim\[1\] ( ringosc.dstage\[11\].id.delayenb1 TE_B ) ( ringosc.dstage\[11\].id.delayen1 TE ) ( _331_ X ) + USE SIGNAL
+ + ROUTED met1 ( 62100 39270 ) ( 63710 * )
+ NEW met1 ( 62100 38930 ) ( * 39270 )
+ NEW met1 ( 58650 38930 ) ( 62100 * )
+ NEW met1 ( 58650 38930 ) ( * 39270 )
+ NEW met2 ( 61410 37570 ) ( * 38930 )
+ NEW li1 ( 63710 39270 ) L1M1_PR_MR
+ NEW li1 ( 58650 39270 ) L1M1_PR_MR
+ NEW li1 ( 61410 37570 ) L1M1_PR_MR
+ NEW met1 ( 61410 37570 ) M1M2_PR
+ NEW met1 ( 61410 38930 ) M1M2_PR
+ NEW met1 ( 61410 37570 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 61410 38930 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[11\].id.ts ( ringosc.dstage\[11\].id.delayenb1 A ) ( ringosc.dstage\[11\].id.delayenb0 A ) ( ringosc.dstage\[11\].id.delaybuf1 A ) ( ringosc.dstage\[11\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 59110 39270 ) ( * 44030 )
+ NEW met1 ( 59110 36890 ) ( 60950 * )
+ NEW met2 ( 59110 36890 ) ( * 39270 )
+ NEW met1 ( 59110 42330 ) ( 62330 * )
+ NEW li1 ( 62330 42330 ) L1M1_PR_MR
+ NEW li1 ( 59110 39270 ) L1M1_PR_MR
+ NEW met1 ( 59110 39270 ) M1M2_PR
+ NEW li1 ( 59110 44030 ) L1M1_PR_MR
+ NEW met1 ( 59110 44030 ) M1M2_PR
+ NEW met1 ( 59110 42330 ) M1M2_PR
+ NEW li1 ( 60950 36890 ) L1M1_PR_MR
+ NEW met1 ( 59110 36890 ) M1M2_PR
+ NEW met1 ( 59110 39270 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 59110 44030 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 59110 42330 ) RECT ( -70 -485 70 0 ) ;
+ - ringosc.dstage\[1\].id.d0 ( ringosc.dstage\[1\].id.delayen1 A ) ( ringosc.dstage\[1\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met2 ( 19090 45050 ) ( * 46750 )
+ NEW met1 ( 19090 46750 ) ( 22310 * )
+ NEW li1 ( 19090 45050 ) L1M1_PR_MR
+ NEW met1 ( 19090 45050 ) M1M2_PR
+ NEW met1 ( 19090 46750 ) M1M2_PR
+ NEW li1 ( 22310 46750 ) L1M1_PR_MR
+ NEW met1 ( 19090 45050 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.d1 ( ringosc.dstage\[1\].id.delayint0 A ) ( ringosc.dstage\[1\].id.delayenb1 Z ) ( ringosc.dstage\[1\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 18630 47770 ) ( 19550 * )
+ NEW met2 ( 19550 45390 ) ( * 47770 )
+ NEW met1 ( 19090 50150 ) ( 19550 * )
+ NEW met2 ( 19550 47770 ) ( * 50150 )
+ NEW li1 ( 18630 47770 ) L1M1_PR_MR
+ NEW met1 ( 19550 47770 ) M1M2_PR
+ NEW li1 ( 19550 45390 ) L1M1_PR_MR
+ NEW met1 ( 19550 45390 ) M1M2_PR
+ NEW li1 ( 19090 50150 ) L1M1_PR_MR
+ NEW met1 ( 19550 50150 ) M1M2_PR
+ NEW met1 ( 19550 45390 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.d2 ( ringosc.dstage\[1\].id.delayint0 Y ) ( ringosc.dstage\[1\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 14950 44710 ) ( 16330 * )
+ NEW met2 ( 16330 44710 ) ( * 49470 )
+ NEW met1 ( 16330 49470 ) ( 19550 * )
+ NEW li1 ( 14950 44710 ) L1M1_PR_MR
+ NEW met1 ( 16330 44710 ) M1M2_PR
+ NEW met1 ( 16330 49470 ) M1M2_PR
+ NEW li1 ( 19550 49470 ) L1M1_PR_MR ;
+ - ringosc.dstage\[1\].id.out ( ringosc.dstage\[2\].id.delaybuf0 A ) ( ringosc.dstage\[1\].id.delayenb0 Z ) ( ringosc.dstage\[1\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 14950 47090 ) ( * 49810 )
+ NEW met1 ( 14490 45390 ) ( 14950 * )
+ NEW met2 ( 14950 45390 ) ( * 47090 )
+ NEW li1 ( 14950 47090 ) L1M1_PR_MR
+ NEW met1 ( 14950 47090 ) M1M2_PR
+ NEW li1 ( 14950 49810 ) L1M1_PR_MR
+ NEW met1 ( 14950 49810 ) M1M2_PR
+ NEW li1 ( 14490 45390 ) L1M1_PR_MR
+ NEW met1 ( 14950 45390 ) M1M2_PR
+ NEW met1 ( 14950 47090 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 14950 49810 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.trim\[0\] ( ringosc.dstage\[1\].id.delayenb0 TE_B ) ( ringosc.dstage\[1\].id.delayen0 TE ) ( _350_ X ) + USE SIGNAL
+ + ROUTED met2 ( 11270 45730 ) ( * 47770 )
+ NEW met1 ( 8510 47770 ) ( 11270 * )
+ NEW met1 ( 11270 45050 ) ( 12190 * )
+ NEW met1 ( 11270 45050 ) ( * 45730 )
+ NEW li1 ( 11270 45730 ) L1M1_PR_MR
+ NEW met1 ( 11270 45730 ) M1M2_PR
+ NEW met1 ( 11270 47770 ) M1M2_PR
+ NEW li1 ( 8510 47770 ) L1M1_PR_MR
+ NEW li1 ( 12190 45050 ) L1M1_PR_MR
+ NEW met1 ( 11270 45730 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.trim\[1\] ( ringosc.dstage\[1\].id.delayenb1 TE_B ) ( ringosc.dstage\[1\].id.delayen1 TE ) ( _351_ X ) + USE SIGNAL
+ + ROUTED met1 ( 21850 47090 ) ( * 47770 )
+ NEW met1 ( 21850 47090 ) ( 40250 * )
+ NEW met2 ( 40250 47090 ) ( * 49470 )
+ NEW met1 ( 21850 45050 ) ( 23230 * )
+ NEW met2 ( 23230 45050 ) ( * 47090 )
+ NEW li1 ( 21850 47770 ) L1M1_PR_MR
+ NEW met1 ( 40250 47090 ) M1M2_PR
+ NEW li1 ( 40250 49470 ) L1M1_PR_MR
+ NEW met1 ( 40250 49470 ) M1M2_PR
+ NEW li1 ( 21850 45050 ) L1M1_PR_MR
+ NEW met1 ( 23230 45050 ) M1M2_PR
+ NEW met1 ( 23230 47090 ) M1M2_PR
+ NEW met1 ( 40250 49470 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 23230 47090 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[1\].id.ts ( ringosc.dstage\[1\].id.delayenb1 A ) ( ringosc.dstage\[1\].id.delayenb0 A ) ( ringosc.dstage\[1\].id.delaybuf1 A ) ( ringosc.dstage\[1\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 17250 48110 ) ( 23230 * )
+ NEW met1 ( 23230 47770 ) ( * 48110 )
+ NEW met1 ( 15870 47770 ) ( * 48110 )
+ NEW met1 ( 15870 48110 ) ( 17250 * )
+ NEW met2 ( 16790 45390 ) ( * 48110 )
+ NEW li1 ( 17250 48110 ) L1M1_PR_MR
+ NEW li1 ( 23230 47770 ) L1M1_PR_MR
+ NEW li1 ( 15870 47770 ) L1M1_PR_MR
+ NEW li1 ( 16790 45390 ) L1M1_PR_MR
+ NEW met1 ( 16790 45390 ) M1M2_PR
+ NEW met1 ( 16790 48110 ) M1M2_PR
+ NEW met1 ( 16790 45390 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16790 48110 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.d0 ( ringosc.dstage\[2\].id.delayen1 A ) ( ringosc.dstage\[2\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 17250 53890 ) ( 18170 * )
+ NEW met2 ( 17250 53890 ) ( * 58310 )
+ NEW li1 ( 18170 53890 ) L1M1_PR_MR
+ NEW met1 ( 17250 53890 ) M1M2_PR
+ NEW li1 ( 17250 58310 ) L1M1_PR_MR
+ NEW met1 ( 17250 58310 ) M1M2_PR
+ NEW met1 ( 17250 58310 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.d1 ( ringosc.dstage\[2\].id.delayint0 A ) ( ringosc.dstage\[2\].id.delayenb1 Z ) ( ringosc.dstage\[2\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 16330 55590 ) ( 16790 * )
+ NEW met2 ( 16790 55590 ) ( * 57970 )
+ NEW met2 ( 16790 53550 ) ( * 55590 )
+ NEW li1 ( 16330 55590 ) L1M1_PR_MR
+ NEW met1 ( 16790 55590 ) M1M2_PR
+ NEW li1 ( 16790 57970 ) L1M1_PR_MR
+ NEW met1 ( 16790 57970 ) M1M2_PR
+ NEW li1 ( 16790 53550 ) L1M1_PR_MR
+ NEW met1 ( 16790 53550 ) M1M2_PR
+ NEW met1 ( 16790 57970 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 16790 53550 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.d2 ( ringosc.dstage\[2\].id.delayint0 Y ) ( ringosc.dstage\[2\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 14030 56610 ) ( 16790 * )
+ NEW met2 ( 14030 56610 ) ( * 58310 )
+ NEW li1 ( 16790 56610 ) L1M1_PR_MR
+ NEW met1 ( 14030 56610 ) M1M2_PR
+ NEW li1 ( 14030 58310 ) L1M1_PR_MR
+ NEW met1 ( 14030 58310 ) M1M2_PR
+ NEW met1 ( 14030 58310 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[2\].id.out ( ringosc.dstage\[3\].id.delaybuf0 A ) ( ringosc.dstage\[2\].id.delayenb0 Z ) ( ringosc.dstage\[2\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 16330 58990 ) ( * 60690 )
+ NEW met1 ( 15410 56270 ) ( 16330 * )
+ NEW met2 ( 16330 56270 ) ( * 58990 )
+ NEW met1 ( 13570 58990 ) ( 16330 * )
+ NEW li1 ( 13570 58990 ) L1M1_PR_MR
+ NEW met1 ( 16330 58990 ) M1M2_PR
+ NEW li1 ( 16330 60690 ) L1M1_PR_MR
+ NEW met1 ( 16330 60690 ) M1M2_PR
+ NEW li1 ( 15410 56270 ) L1M1_PR_MR
+ NEW met1 ( 16330 56270 ) M1M2_PR
+ NEW met1 ( 16330 60690 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.trim\[0\] ( ringosc.dstage\[2\].id.delayenb0 TE_B ) ( ringosc.dstage\[2\].id.delayen0 TE ) ( _348_ X ) + USE SIGNAL
+ + ROUTED met1 ( 10810 53890 ) ( 11270 * )
+ NEW met2 ( 11270 53890 ) ( * 58310 )
+ NEW met1 ( 8050 55590 ) ( 11270 * )
+ NEW li1 ( 10810 53890 ) L1M1_PR_MR
+ NEW met1 ( 11270 53890 ) M1M2_PR
+ NEW li1 ( 11270 58310 ) L1M1_PR_MR
+ NEW met1 ( 11270 58310 ) M1M2_PR
+ NEW li1 ( 8050 55590 ) L1M1_PR_MR
+ NEW met1 ( 11270 55590 ) M1M2_PR
+ NEW met1 ( 11270 58310 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 11270 55590 ) RECT ( -70 -485 70 0 ) ;
+ - ringosc.dstage\[2\].id.trim\[1\] ( ringosc.dstage\[2\].id.delayenb1 TE_B ) ( ringosc.dstage\[2\].id.delayen1 TE ) ( _349_ X ) + USE SIGNAL
+ + ROUTED met1 ( 14490 58650 ) ( 20470 * )
+ NEW met1 ( 20470 58650 ) ( * 58990 )
+ NEW met1 ( 20470 58990 ) ( 30130 * )
+ NEW met1 ( 30130 58990 ) ( * 59330 )
+ NEW met1 ( 30130 59330 ) ( 46230 * )
+ NEW met2 ( 14490 53210 ) ( * 58650 )
+ NEW met1 ( 13110 53210 ) ( 14490 * )
+ NEW li1 ( 13110 53210 ) L1M1_PR_MR
+ NEW li1 ( 14490 58650 ) L1M1_PR_MR
+ NEW li1 ( 46230 59330 ) L1M1_PR_MR
+ NEW met1 ( 14490 53210 ) M1M2_PR
+ NEW met1 ( 14490 58650 ) M1M2_PR
+ NEW met1 ( 14490 58650 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[2\].id.ts ( ringosc.dstage\[2\].id.delayenb1 A ) ( ringosc.dstage\[2\].id.delayenb0 A ) ( ringosc.dstage\[2\].id.delaybuf1 A ) ( ringosc.dstage\[2\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 15410 53210 ) ( 17710 * )
+ NEW met2 ( 15410 53210 ) ( * 55590 )
+ NEW met1 ( 17710 53210 ) ( 19090 * )
+ NEW met2 ( 15410 51170 ) ( * 53210 )
+ NEW li1 ( 17710 53210 ) L1M1_PR_MR
+ NEW met1 ( 15410 53210 ) M1M2_PR
+ NEW li1 ( 15410 55590 ) L1M1_PR_MR
+ NEW met1 ( 15410 55590 ) M1M2_PR
+ NEW li1 ( 19090 53210 ) L1M1_PR_MR
+ NEW li1 ( 15410 51170 ) L1M1_PR_MR
+ NEW met1 ( 15410 51170 ) M1M2_PR
+ NEW met1 ( 15410 55590 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 15410 51170 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.d0 ( ringosc.dstage\[3\].id.delayen1 A ) ( ringosc.dstage\[3\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 15410 65790 ) ( * 66130 )
+ NEW met1 ( 15410 65790 ) ( 15870 * )
+ NEW li1 ( 15410 66130 ) L1M1_PR_MR
+ NEW li1 ( 15870 65790 ) L1M1_PR_MR ;
+ - ringosc.dstage\[3\].id.d1 ( ringosc.dstage\[3\].id.delayint0 A ) ( ringosc.dstage\[3\].id.delayenb1 Z ) ( ringosc.dstage\[3\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 15870 66130 ) ( 17250 * )
+ NEW met1 ( 15870 66130 ) ( * 66470 )
+ NEW met1 ( 14950 66470 ) ( 15870 * )
+ NEW met1 ( 14490 61030 ) ( 14950 * )
+ NEW met2 ( 14950 61030 ) ( * 66470 )
+ NEW li1 ( 14950 66470 ) L1M1_PR_MR
+ NEW met1 ( 14950 66470 ) M1M2_PR
+ NEW li1 ( 17250 66130 ) L1M1_PR_MR
+ NEW li1 ( 14490 61030 ) L1M1_PR_MR
+ NEW met1 ( 14950 61030 ) M1M2_PR
+ NEW met1 ( 14950 66470 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.d2 ( ringosc.dstage\[3\].id.delayint0 Y ) ( ringosc.dstage\[3\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 12190 66810 ) ( 17710 * )
+ NEW li1 ( 12190 66810 ) L1M1_PR_MR
+ NEW li1 ( 17710 66810 ) L1M1_PR_MR ;
+ - ringosc.dstage\[3\].id.out ( ringosc.dstage\[4\].id.delaybuf0 A ) ( ringosc.dstage\[3\].id.delayenb0 Z ) ( ringosc.dstage\[3\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 14490 64430 ) ( 14950 * )
+ NEW met2 ( 14490 64430 ) ( * 66130 )
+ NEW met1 ( 11730 66130 ) ( 14490 * )
+ NEW met1 ( 14950 64430 ) ( 16330 * )
+ NEW li1 ( 14950 64430 ) L1M1_PR_MR
+ NEW met1 ( 14490 64430 ) M1M2_PR
+ NEW met1 ( 14490 66130 ) M1M2_PR
+ NEW li1 ( 11730 66130 ) L1M1_PR_MR
+ NEW li1 ( 16330 64430 ) L1M1_PR_MR ;
+ - ringosc.dstage\[3\].id.trim\[0\] ( ringosc.dstage\[3\].id.delayenb0 TE_B ) ( ringosc.dstage\[3\].id.delayen0 TE ) ( _346_ X ) + USE SIGNAL
+ + ROUTED met2 ( 7130 59330 ) ( * 64090 )
+ NEW met1 ( 7130 66470 ) ( 9430 * )
+ NEW met2 ( 7130 64090 ) ( * 66470 )
+ NEW li1 ( 7130 64090 ) L1M1_PR_MR
+ NEW met1 ( 7130 64090 ) M1M2_PR
+ NEW li1 ( 7130 59330 ) L1M1_PR_MR
+ NEW met1 ( 7130 59330 ) M1M2_PR
+ NEW li1 ( 9430 66470 ) L1M1_PR_MR
+ NEW met1 ( 7130 66470 ) M1M2_PR
+ NEW met1 ( 7130 64090 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 7130 59330 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.trim\[1\] ( ringosc.dstage\[3\].id.delayenb1 TE_B ) ( ringosc.dstage\[3\].id.delayen1 TE ) ( _347_ X ) + USE SIGNAL
+ + ROUTED met2 ( 12650 66470 ) ( * 68510 )
+ NEW met1 ( 10810 61030 ) ( 12650 * )
+ NEW met2 ( 12650 61030 ) ( * 66470 )
+ NEW met2 ( 57730 67150 ) ( * 68510 )
+ NEW met1 ( 12650 68510 ) ( 57730 * )
+ NEW li1 ( 12650 66470 ) L1M1_PR_MR
+ NEW met1 ( 12650 66470 ) M1M2_PR
+ NEW met1 ( 12650 68510 ) M1M2_PR
+ NEW li1 ( 10810 61030 ) L1M1_PR_MR
+ NEW met1 ( 12650 61030 ) M1M2_PR
+ NEW li1 ( 57730 67150 ) L1M1_PR_MR
+ NEW met1 ( 57730 67150 ) M1M2_PR
+ NEW met1 ( 57730 68510 ) M1M2_PR
+ NEW met1 ( 12650 66470 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 57730 67150 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[3\].id.ts ( ringosc.dstage\[3\].id.delayenb1 A ) ( ringosc.dstage\[3\].id.delayenb0 A ) ( ringosc.dstage\[3\].id.delaybuf1 A ) ( ringosc.dstage\[3\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 14030 64090 ) ( 16790 * )
+ NEW met1 ( 15410 61030 ) ( 16790 * )
+ NEW met2 ( 16790 61030 ) ( * 62050 )
+ NEW met2 ( 16790 62050 ) ( * 66470 )
+ NEW li1 ( 16790 66470 ) L1M1_PR_MR
+ NEW met1 ( 16790 66470 ) M1M2_PR
+ NEW li1 ( 14030 64090 ) L1M1_PR_MR
+ NEW met1 ( 16790 64090 ) M1M2_PR
+ NEW li1 ( 16790 62050 ) L1M1_PR_MR
+ NEW met1 ( 16790 62050 ) M1M2_PR
+ NEW li1 ( 15410 61030 ) L1M1_PR_MR
+ NEW met1 ( 16790 61030 ) M1M2_PR
+ NEW met1 ( 16790 66470 ) RECT ( -355 -70 0 70 )
+ NEW met2 ( 16790 64090 ) RECT ( -70 -485 70 0 )
+ NEW met1 ( 16790 62050 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.d0 ( ringosc.dstage\[4\].id.delayen1 A ) ( ringosc.dstage\[4\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 28750 64770 ) ( 31970 * )
+ NEW met2 ( 28750 64770 ) ( * 66130 )
+ NEW li1 ( 31970 64770 ) L1M1_PR_MR
+ NEW met1 ( 28750 64770 ) M1M2_PR
+ NEW li1 ( 28750 66130 ) L1M1_PR_MR
+ NEW met1 ( 28750 66130 ) M1M2_PR
+ NEW met1 ( 28750 66130 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.d1 ( ringosc.dstage\[4\].id.delayint0 A ) ( ringosc.dstage\[4\].id.delayenb1 Z ) ( ringosc.dstage\[4\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 28290 66470 ) ( 29210 * )
+ NEW met2 ( 29210 64430 ) ( * 66470 )
+ NEW li1 ( 29210 66470 ) L1M1_PR_MR
+ NEW li1 ( 28290 66470 ) L1M1_PR_MR
+ NEW li1 ( 29210 64430 ) L1M1_PR_MR
+ NEW met1 ( 29210 64430 ) M1M2_PR
+ NEW met1 ( 29210 66470 ) M1M2_PR
+ NEW met1 ( 29210 64430 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 29210 66470 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.d2 ( ringosc.dstage\[4\].id.delayint0 Y ) ( ringosc.dstage\[4\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 24610 66810 ) ( 29670 * )
+ NEW li1 ( 24610 66810 ) L1M1_PR_MR
+ NEW li1 ( 29670 66810 ) L1M1_PR_MR ;
+ - ringosc.dstage\[4\].id.out ( ringosc.dstage\[5\].id.delaybuf0 A ) ( ringosc.dstage\[4\].id.delayenb0 Z ) ( ringosc.dstage\[4\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met2 ( 24150 63410 ) ( * 66130 )
+ NEW met1 ( 24150 61030 ) ( 25070 * )
+ NEW met2 ( 24150 61030 ) ( * 63410 )
+ NEW li1 ( 24150 63410 ) L1M1_PR_MR
+ NEW met1 ( 24150 63410 ) M1M2_PR
+ NEW li1 ( 24150 66130 ) L1M1_PR_MR
+ NEW met1 ( 24150 66130 ) M1M2_PR
+ NEW met1 ( 24150 61030 ) M1M2_PR
+ NEW li1 ( 25070 61030 ) L1M1_PR_MR
+ NEW met1 ( 24150 63410 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 24150 66130 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.trim\[0\] ( ringosc.dstage\[4\].id.delayenb0 TE_B ) ( ringosc.dstage\[4\].id.delayen0 TE ) ( _344_ X ) + USE SIGNAL
+ + ROUTED met1 ( 17710 64090 ) ( 18170 * )
+ NEW met2 ( 18170 64090 ) ( * 66470 )
+ NEW met1 ( 18170 66470 ) ( 21850 * )
+ NEW met1 ( 18170 62050 ) ( 19090 * )
+ NEW met2 ( 18170 62050 ) ( * 64090 )
+ NEW li1 ( 17710 64090 ) L1M1_PR_MR
+ NEW met1 ( 18170 64090 ) M1M2_PR
+ NEW met1 ( 18170 66470 ) M1M2_PR
+ NEW li1 ( 21850 66470 ) L1M1_PR_MR
+ NEW li1 ( 19090 62050 ) L1M1_PR_MR
+ NEW met1 ( 18170 62050 ) M1M2_PR ;
+ - ringosc.dstage\[4\].id.trim\[1\] ( ringosc.dstage\[4\].id.delayenb1 TE_B ) ( ringosc.dstage\[4\].id.delayen1 TE ) ( _345_ X ) + USE SIGNAL
+ + ROUTED met1 ( 25990 64090 ) ( 29670 * )
+ NEW met1 ( 29670 64090 ) ( * 64430 )
+ NEW met2 ( 25990 64090 ) ( * 66470 )
+ NEW met2 ( 43010 64430 ) ( * 65790 )
+ NEW met1 ( 43010 65790 ) ( 51290 * )
+ NEW met1 ( 29670 64430 ) ( 43010 * )
+ NEW li1 ( 25990 64090 ) L1M1_PR_MR
+ NEW li1 ( 25990 66470 ) L1M1_PR_MR
+ NEW met1 ( 25990 66470 ) M1M2_PR
+ NEW met1 ( 25990 64090 ) M1M2_PR
+ NEW met1 ( 43010 64430 ) M1M2_PR
+ NEW met1 ( 43010 65790 ) M1M2_PR
+ NEW li1 ( 51290 65790 ) L1M1_PR_MR
+ NEW met1 ( 25990 66470 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 25990 64090 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[4\].id.ts ( ringosc.dstage\[4\].id.delayenb1 A ) ( ringosc.dstage\[4\].id.delayenb0 A ) ( ringosc.dstage\[4\].id.delaybuf1 A ) ( ringosc.dstage\[4\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 22310 63750 ) ( * 64090 )
+ NEW met1 ( 17250 63750 ) ( 22310 * )
+ NEW met1 ( 30590 63750 ) ( * 64090 )
+ NEW met1 ( 22310 63750 ) ( 30590 * )
+ NEW met1 ( 30590 64090 ) ( 32890 * )
+ NEW li1 ( 22310 64090 ) L1M1_PR_MR
+ NEW li1 ( 17250 63750 ) L1M1_PR_MR
+ NEW li1 ( 30590 64090 ) L1M1_PR_MR
+ NEW li1 ( 32890 64090 ) L1M1_PR_MR ;
+ - ringosc.dstage\[5\].id.d0 ( ringosc.dstage\[5\].id.delayen1 A ) ( ringosc.dstage\[5\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met2 ( 26450 58310 ) ( * 59330 )
+ NEW met1 ( 26450 59330 ) ( 29670 * )
+ NEW li1 ( 26450 58310 ) L1M1_PR_MR
+ NEW met1 ( 26450 58310 ) M1M2_PR
+ NEW met1 ( 26450 59330 ) M1M2_PR
+ NEW li1 ( 29670 59330 ) L1M1_PR_MR
+ NEW met1 ( 26450 58310 ) RECT ( 0 -70 355 70 ) ;
+ - ringosc.dstage\[5\].id.d1 ( ringosc.dstage\[5\].id.delayint0 A ) ( ringosc.dstage\[5\].id.delayenb1 Z ) ( ringosc.dstage\[5\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 24150 53550 ) ( 26910 * )
+ NEW met2 ( 26910 53550 ) ( * 57970 )
+ NEW met1 ( 22310 53550 ) ( 24150 * )
+ NEW li1 ( 24150 53550 ) L1M1_PR_MR
+ NEW met1 ( 26910 53550 ) M1M2_PR
+ NEW li1 ( 26910 57970 ) L1M1_PR_MR
+ NEW met1 ( 26910 57970 ) M1M2_PR
+ NEW li1 ( 22310 53550 ) L1M1_PR_MR
+ NEW met1 ( 26910 57970 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[5\].id.d2 ( ringosc.dstage\[5\].id.delayint0 Y ) ( ringosc.dstage\[5\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 21850 53890 ) ( 25990 * )
+ NEW met2 ( 25990 53890 ) ( * 58310 )
+ NEW li1 ( 21850 53890 ) L1M1_PR_MR
+ NEW met1 ( 25990 53890 ) M1M2_PR
+ NEW li1 ( 25990 58310 ) L1M1_PR_MR
+ NEW met1 ( 25990 58310 ) M1M2_PR
+ NEW met1 ( 25990 58310 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[5\].id.out ( ringosc.ibufp10 A ) ( ringosc.dstage\[6\].id.delaybuf0 A ) ( ringosc.dstage\[5\].id.delayenb0 Z ) ( ringosc.dstage\[5\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 14490 37230 ) ( 26450 * )
+ NEW met1 ( 14490 36890 ) ( * 37230 )
+ NEW met1 ( 25070 57970 ) ( 25530 * )
+ NEW met2 ( 25070 56270 ) ( * 57970 )
+ NEW met1 ( 25070 56270 ) ( 26450 * )
+ NEW met2 ( 35650 58140 ) ( * 58650 )
+ NEW met3 ( 25070 58140 ) ( 35650 * )
+ NEW met2 ( 25070 57970 ) ( * 58140 )
+ NEW met2 ( 26450 37230 ) ( * 56270 )
+ NEW met1 ( 26450 37230 ) M1M2_PR
+ NEW li1 ( 14490 36890 ) L1M1_PR_MR
+ NEW li1 ( 26450 56270 ) L1M1_PR_MR
+ NEW met1 ( 26450 56270 ) M1M2_PR
+ NEW li1 ( 25530 57970 ) L1M1_PR_MR
+ NEW met1 ( 25070 57970 ) M1M2_PR
+ NEW met1 ( 25070 56270 ) M1M2_PR
+ NEW li1 ( 35650 58650 ) L1M1_PR_MR
+ NEW met1 ( 35650 58650 ) M1M2_PR
+ NEW met2 ( 35650 58140 ) M2M3_PR_M
+ NEW met2 ( 25070 58140 ) M2M3_PR_M
+ NEW met1 ( 26450 56270 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 35650 58650 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[5\].id.trim\[0\] ( ringosc.dstage\[5\].id.delayenb0 TE_B ) ( ringosc.dstage\[5\].id.delayen0 TE ) ( _342_ X ) + USE SIGNAL
+ + ROUTED met1 ( 20010 57630 ) ( 22770 * )
+ NEW met2 ( 20010 55590 ) ( * 57630 )
+ NEW met1 ( 22770 58310 ) ( 23230 * )
+ NEW met1 ( 22770 57630 ) ( * 58310 )
+ NEW li1 ( 22770 57630 ) L1M1_PR_MR
+ NEW met1 ( 20010 57630 ) M1M2_PR
+ NEW li1 ( 20010 55590 ) L1M1_PR_MR
+ NEW met1 ( 20010 55590 ) M1M2_PR
+ NEW li1 ( 23230 58310 ) L1M1_PR_MR
+ NEW met1 ( 20010 55590 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[5\].id.trim\[1\] ( ringosc.dstage\[5\].id.delayenb1 TE_B ) ( ringosc.dstage\[5\].id.delayen1 TE ) ( _343_ X ) + USE SIGNAL
+ + ROUTED met1 ( 29210 58310 ) ( 32890 * )
+ NEW met1 ( 32890 57970 ) ( * 58310 )
+ NEW met1 ( 32890 57970 ) ( 44850 * )
+ NEW met2 ( 44850 56610 ) ( * 57970 )
+ NEW met1 ( 27370 53210 ) ( * 53550 )
+ NEW met1 ( 27370 53550 ) ( 29210 * )
+ NEW met1 ( 29210 53550 ) ( * 53890 )
+ NEW met2 ( 29210 53890 ) ( * 58310 )
+ NEW li1 ( 29210 58310 ) L1M1_PR_MR
+ NEW met1 ( 44850 57970 ) M1M2_PR
+ NEW li1 ( 44850 56610 ) L1M1_PR_MR
+ NEW met1 ( 44850 56610 ) M1M2_PR
+ NEW li1 ( 27370 53210 ) L1M1_PR_MR
+ NEW met1 ( 29210 53890 ) M1M2_PR
+ NEW met1 ( 29210 58310 ) M1M2_PR
+ NEW met1 ( 44850 56610 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 29210 58310 ) RECT ( 0 -70 595 70 ) ;
+ - ringosc.dstage\[5\].id.ts ( ringosc.dstage\[5\].id.delayenb1 A ) ( ringosc.dstage\[5\].id.delayenb0 A ) ( ringosc.dstage\[5\].id.delaybuf1 A ) ( ringosc.dstage\[5\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 22770 55590 ) ( 24610 * )
+ NEW met2 ( 22770 53210 ) ( * 55590 )
+ NEW met1 ( 24610 60350 ) ( 25530 * )
+ NEW met2 ( 24610 55590 ) ( * 60350 )
+ NEW met1 ( 28745 58650 ) ( 30590 * )
+ NEW met1 ( 28745 57630 ) ( * 58650 )
+ NEW met1 ( 24610 57630 ) ( 28745 * )
+ NEW li1 ( 24610 55590 ) L1M1_PR_MR
+ NEW met1 ( 22770 55590 ) M1M2_PR
+ NEW li1 ( 22770 53210 ) L1M1_PR_MR
+ NEW met1 ( 22770 53210 ) M1M2_PR
+ NEW li1 ( 25530 60350 ) L1M1_PR_MR
+ NEW met1 ( 24610 60350 ) M1M2_PR
+ NEW met1 ( 24610 55590 ) M1M2_PR
+ NEW li1 ( 30590 58650 ) L1M1_PR_MR
+ NEW met1 ( 24610 57630 ) M1M2_PR
+ NEW met1 ( 22770 53210 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 24610 55590 ) RECT ( -595 -70 0 70 )
+ NEW met2 ( 24610 57630 ) RECT ( -70 -485 70 0 ) ;
+ - ringosc.dstage\[6\].id.d0 ( ringosc.dstage\[6\].id.delayen1 A ) ( ringosc.dstage\[6\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met2 ( 41630 56610 ) ( * 58310 )
+ NEW met1 ( 41630 58310 ) ( 42550 * )
+ NEW li1 ( 41630 56610 ) L1M1_PR_MR
+ NEW met1 ( 41630 56610 ) M1M2_PR
+ NEW met1 ( 41630 58310 ) M1M2_PR
+ NEW li1 ( 42550 58310 ) L1M1_PR_MR
+ NEW met1 ( 41630 56610 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[6\].id.d1 ( ringosc.dstage\[6\].id.delayint0 A ) ( ringosc.dstage\[6\].id.delayenb1 Z ) ( ringosc.dstage\[6\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 38870 58990 ) ( 43010 * )
+ NEW met2 ( 43010 58990 ) ( * 60350 )
+ NEW li1 ( 43010 58990 ) L1M1_PR_MR
+ NEW li1 ( 38870 58990 ) L1M1_PR_MR
+ NEW li1 ( 43010 60350 ) L1M1_PR_MR
+ NEW met1 ( 43010 60350 ) M1M2_PR
+ NEW met1 ( 43010 58990 ) M1M2_PR
+ NEW met1 ( 43010 60350 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 43010 58990 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[6\].id.d2 ( ringosc.dstage\[6\].id.delayint0 Y ) ( ringosc.dstage\[6\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 42550 60690 ) ( 43470 * )
+ NEW li1 ( 42550 60690 ) L1M1_PR_MR
+ NEW li1 ( 43470 60690 ) L1M1_PR_MR ;
+ - ringosc.dstage\[6\].id.out ( ringosc.dstage\[7\].id.delaybuf0 A ) ( ringosc.dstage\[6\].id.delayenb0 Z ) ( ringosc.dstage\[6\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 38870 61710 ) ( 42090 * )
+ NEW met2 ( 38870 61710 ) ( * 64090 )
+ NEW li1 ( 38870 64090 ) L1M1_PR_MR
+ NEW met1 ( 38870 64090 ) M1M2_PR
+ NEW li1 ( 38870 61710 ) L1M1_PR_MR
+ NEW met1 ( 38870 61710 ) M1M2_PR
+ NEW li1 ( 42090 61710 ) L1M1_PR_MR
+ NEW met1 ( 38870 64090 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 38870 61710 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[6\].id.trim\[0\] ( ringosc.dstage\[6\].id.delayenb0 TE_B ) ( ringosc.dstage\[6\].id.delayen0 TE ) ( _340_ X ) + USE SIGNAL
+ + ROUTED met1 ( 31510 61030 ) ( * 61370 )
+ NEW met1 ( 31510 61370 ) ( 39790 * )
+ NEW met1 ( 31050 61370 ) ( * 61710 )
+ NEW met1 ( 31050 61370 ) ( 31510 * )
+ NEW li1 ( 31510 61030 ) L1M1_PR_MR
+ NEW li1 ( 39790 61370 ) L1M1_PR_MR
+ NEW li1 ( 31050 61710 ) L1M1_PR_MR ;
+ - ringosc.dstage\[6\].id.trim\[1\] ( ringosc.dstage\[6\].id.delayenb1 TE_B ) ( ringosc.dstage\[6\].id.delayen1 TE ) ( _341_ X ) + USE SIGNAL
+ + ROUTED met1 ( 45310 58310 ) ( 48070 * )
+ NEW met2 ( 48070 58310 ) ( * 60350 )
+ NEW met1 ( 48070 60350 ) ( 52210 * )
+ NEW met1 ( 42090 58650 ) ( 45310 * )
+ NEW met1 ( 45310 58310 ) ( * 58650 )
+ NEW li1 ( 45310 58310 ) L1M1_PR_MR
+ NEW met1 ( 48070 58310 ) M1M2_PR
+ NEW met1 ( 48070 60350 ) M1M2_PR
+ NEW li1 ( 52210 60350 ) L1M1_PR_MR
+ NEW li1 ( 42090 58650 ) L1M1_PR_MR ;
+ - ringosc.dstage\[6\].id.ts ( ringosc.dstage\[6\].id.delayenb1 A ) ( ringosc.dstage\[6\].id.delayenb0 A ) ( ringosc.dstage\[6\].id.delaybuf1 A ) ( ringosc.dstage\[6\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 37490 55590 ) ( * 58650 )
+ NEW met1 ( 37490 55590 ) ( 40710 * )
+ NEW met1 ( 36570 58650 ) ( 37490 * )
+ NEW met2 ( 37490 58650 ) ( * 61030 )
+ NEW li1 ( 37490 58650 ) L1M1_PR_MR
+ NEW met1 ( 37490 58650 ) M1M2_PR
+ NEW met1 ( 37490 55590 ) M1M2_PR
+ NEW li1 ( 40710 55590 ) L1M1_PR_MR
+ NEW li1 ( 36570 58650 ) L1M1_PR_MR
+ NEW li1 ( 37490 61030 ) L1M1_PR_MR
+ NEW met1 ( 37490 61030 ) M1M2_PR
+ NEW met1 ( 37490 58650 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 37490 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.d0 ( ringosc.dstage\[7\].id.delayen1 A ) ( ringosc.dstage\[7\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met2 ( 50830 62050 ) ( * 66130 )
+ NEW li1 ( 50830 66130 ) L1M1_PR_MR
+ NEW met1 ( 50830 66130 ) M1M2_PR
+ NEW li1 ( 50830 62050 ) L1M1_PR_MR
+ NEW met1 ( 50830 62050 ) M1M2_PR
+ NEW met1 ( 50830 66130 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 50830 62050 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.d1 ( ringosc.dstage\[7\].id.delayint0 A ) ( ringosc.dstage\[7\].id.delayenb1 Z ) ( ringosc.dstage\[7\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 50370 64430 ) ( 51750 * )
+ NEW met2 ( 50370 64430 ) ( * 66130 )
+ NEW met1 ( 50370 59330 ) ( 51290 * )
+ NEW met2 ( 50370 59330 ) ( * 64430 )
+ NEW li1 ( 51750 64430 ) L1M1_PR_MR
+ NEW met1 ( 50370 64430 ) M1M2_PR
+ NEW li1 ( 50370 66130 ) L1M1_PR_MR
+ NEW met1 ( 50370 66130 ) M1M2_PR
+ NEW li1 ( 51290 59330 ) L1M1_PR_MR
+ NEW met1 ( 50370 59330 ) M1M2_PR
+ NEW met1 ( 50370 66130 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.d2 ( ringosc.dstage\[7\].id.delayint0 Y ) ( ringosc.dstage\[7\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 47610 66130 ) ( 49450 * )
+ NEW met1 ( 49910 58650 ) ( 50370 * )
+ NEW met2 ( 49910 58650 ) ( * 58820 )
+ NEW met2 ( 49450 58820 ) ( 49910 * )
+ NEW met2 ( 49450 58820 ) ( * 66130 )
+ NEW met1 ( 49450 66130 ) M1M2_PR
+ NEW li1 ( 47610 66130 ) L1M1_PR_MR
+ NEW li1 ( 50370 58650 ) L1M1_PR_MR
+ NEW met1 ( 49910 58650 ) M1M2_PR ;
+ - ringosc.dstage\[7\].id.out ( ringosc.dstage\[8\].id.delaybuf0 A ) ( ringosc.dstage\[7\].id.delayenb0 Z ) ( ringosc.dstage\[7\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 48070 64430 ) ( * 64770 )
+ NEW met1 ( 48070 64770 ) ( 58190 * )
+ NEW met1 ( 58190 64430 ) ( * 64770 )
+ NEW met2 ( 47150 64770 ) ( * 66130 )
+ NEW met1 ( 47150 64770 ) ( 48070 * )
+ NEW li1 ( 48070 64430 ) L1M1_PR_MR
+ NEW li1 ( 58190 64430 ) L1M1_PR_MR
+ NEW li1 ( 47150 66130 ) L1M1_PR_MR
+ NEW met1 ( 47150 66130 ) M1M2_PR
+ NEW met1 ( 47150 64770 ) M1M2_PR
+ NEW met1 ( 47150 66130 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.trim\[0\] ( ringosc.dstage\[7\].id.delayenb0 TE_B ) ( ringosc.dstage\[7\].id.delayen0 TE ) ( _338_ X ) + USE SIGNAL
+ + ROUTED met1 ( 38410 66810 ) ( * 67150 )
+ NEW met1 ( 38410 66810 ) ( 44850 * )
+ NEW met2 ( 40250 64090 ) ( * 66810 )
+ NEW li1 ( 38410 67150 ) L1M1_PR_MR
+ NEW li1 ( 44850 66810 ) L1M1_PR_MR
+ NEW li1 ( 40250 64090 ) L1M1_PR_MR
+ NEW met1 ( 40250 64090 ) M1M2_PR
+ NEW met1 ( 40250 66810 ) M1M2_PR
+ NEW met1 ( 40250 64090 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 40250 66810 ) RECT ( -595 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.trim\[1\] ( ringosc.dstage\[7\].id.delayenb1 TE_B ) ( ringosc.dstage\[7\].id.delayen1 TE ) ( _339_ X ) + USE SIGNAL
+ + ROUTED met1 ( 48070 66470 ) ( 48530 * )
+ NEW met2 ( 48530 64090 ) ( * 66470 )
+ NEW met1 ( 48530 62050 ) ( 49450 * )
+ NEW met2 ( 48530 62050 ) ( * 64090 )
+ NEW li1 ( 48530 64090 ) L1M1_PR_MR
+ NEW met1 ( 48530 64090 ) M1M2_PR
+ NEW li1 ( 48070 66470 ) L1M1_PR_MR
+ NEW met1 ( 48530 66470 ) M1M2_PR
+ NEW li1 ( 49450 62050 ) L1M1_PR_MR
+ NEW met1 ( 48530 62050 ) M1M2_PR
+ NEW met1 ( 48530 64090 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[7\].id.ts ( ringosc.dstage\[7\].id.delayenb1 A ) ( ringosc.dstage\[7\].id.delayenb0 A ) ( ringosc.dstage\[7\].id.delaybuf1 A ) ( ringosc.dstage\[7\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 44850 63750 ) ( * 64090 )
+ NEW met1 ( 39790 63750 ) ( 44850 * )
+ NEW met1 ( 44850 63750 ) ( 49910 * )
+ NEW met1 ( 49910 64090 ) ( 53130 * )
+ NEW met1 ( 49910 63750 ) ( * 64090 )
+ NEW met2 ( 49910 61030 ) ( * 63750 )
+ NEW li1 ( 44850 64090 ) L1M1_PR_MR
+ NEW li1 ( 39790 63750 ) L1M1_PR_MR
+ NEW met1 ( 49910 63750 ) M1M2_PR
+ NEW li1 ( 53130 64090 ) L1M1_PR_MR
+ NEW li1 ( 49910 61030 ) L1M1_PR_MR
+ NEW met1 ( 49910 61030 ) M1M2_PR
+ NEW met1 ( 49910 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.d0 ( ringosc.dstage\[8\].id.delayen1 A ) ( ringosc.dstage\[8\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met2 ( 56810 62050 ) ( * 63750 )
+ NEW met1 ( 56810 62050 ) ( 62790 * )
+ NEW li1 ( 56810 63750 ) L1M1_PR_MR
+ NEW met1 ( 56810 63750 ) M1M2_PR
+ NEW li1 ( 62790 62050 ) L1M1_PR_MR
+ NEW met1 ( 56810 62050 ) M1M2_PR
+ NEW met1 ( 56810 63750 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.d1 ( ringosc.dstage\[8\].id.delayint0 A ) ( ringosc.dstage\[8\].id.delayenb1 Z ) ( ringosc.dstage\[8\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 56350 63410 ) ( 60490 * )
+ NEW met1 ( 60490 61030 ) ( 60950 * )
+ NEW met1 ( 60950 60690 ) ( * 61030 )
+ NEW met2 ( 60490 61030 ) ( * 63410 )
+ NEW met1 ( 60950 60690 ) ( 64170 * )
+ NEW met1 ( 60490 63410 ) M1M2_PR
+ NEW li1 ( 56350 63410 ) L1M1_PR_MR
+ NEW li1 ( 64170 60690 ) L1M1_PR_MR
+ NEW li1 ( 60950 61030 ) L1M1_PR_MR
+ NEW met1 ( 60490 61030 ) M1M2_PR ;
+ - ringosc.dstage\[8\].id.d2 ( ringosc.dstage\[8\].id.delayint0 Y ) ( ringosc.dstage\[8\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met2 ( 64630 62050 ) ( * 66130 )
+ NEW li1 ( 64630 66130 ) L1M1_PR_MR
+ NEW met1 ( 64630 66130 ) M1M2_PR
+ NEW li1 ( 64630 62050 ) L1M1_PR_MR
+ NEW met1 ( 64630 62050 ) M1M2_PR
+ NEW met1 ( 64630 66130 ) RECT ( 0 -70 355 70 )
+ NEW met1 ( 64630 62050 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.out ( ringosc.dstage\[9\].id.delaybuf0 A ) ( ringosc.dstage\[8\].id.delayenb0 Z ) ( ringosc.dstage\[8\].id.delayen0 Z ) + USE SIGNAL
+ + ROUTED met1 ( 64170 63410 ) ( 66010 * )
+ NEW met2 ( 64170 63410 ) ( * 66130 )
+ NEW met2 ( 66010 61030 ) ( * 63410 )
+ NEW li1 ( 66010 63410 ) L1M1_PR_MR
+ NEW met1 ( 64170 63410 ) M1M2_PR
+ NEW li1 ( 64170 66130 ) L1M1_PR_MR
+ NEW met1 ( 64170 66130 ) M1M2_PR
+ NEW met1 ( 66010 63410 ) M1M2_PR
+ NEW li1 ( 66010 61030 ) L1M1_PR_MR
+ NEW met1 ( 66010 61030 ) M1M2_PR
+ NEW met1 ( 64170 66130 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 66010 63410 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 66010 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.trim\[0\] ( ringosc.dstage\[8\].id.delayenb0 TE_B ) ( ringosc.dstage\[8\].id.delayen0 TE ) ( _336_ X ) + USE SIGNAL
+ + ROUTED met1 ( 57730 64090 ) ( 59570 * )
+ NEW met1 ( 57730 64090 ) ( * 64430 )
+ NEW met1 ( 53590 64430 ) ( 57730 * )
+ NEW met1 ( 53590 63410 ) ( * 64430 )
+ NEW met1 ( 37030 63410 ) ( 53590 * )
+ NEW met2 ( 61870 64090 ) ( * 66470 )
+ NEW met1 ( 59570 64090 ) ( 61870 * )
+ NEW li1 ( 59570 64090 ) L1M1_PR_MR
+ NEW li1 ( 37030 63410 ) L1M1_PR_MR
+ NEW li1 ( 61870 66470 ) L1M1_PR_MR
+ NEW met1 ( 61870 66470 ) M1M2_PR
+ NEW met1 ( 61870 64090 ) M1M2_PR
+ NEW met1 ( 61870 66470 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.trim\[1\] ( ringosc.dstage\[8\].id.delayenb1 TE_B ) ( ringosc.dstage\[8\].id.delayen1 TE ) ( _337_ X ) + USE SIGNAL
+ + ROUTED met1 ( 54050 64090 ) ( 57270 * )
+ NEW met2 ( 57270 62100 ) ( * 64090 )
+ NEW met2 ( 57730 53890 ) ( * 61030 )
+ NEW met2 ( 57270 62100 ) ( 57730 * )
+ NEW met2 ( 57730 61030 ) ( * 62100 )
+ NEW li1 ( 54050 64090 ) L1M1_PR_MR
+ NEW met1 ( 57270 64090 ) M1M2_PR
+ NEW li1 ( 57730 61030 ) L1M1_PR_MR
+ NEW met1 ( 57730 61030 ) M1M2_PR
+ NEW li1 ( 57730 53890 ) L1M1_PR_MR
+ NEW met1 ( 57730 53890 ) M1M2_PR
+ NEW met1 ( 57730 61030 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 57730 53890 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[8\].id.ts ( ringosc.dstage\[8\].id.delayenb1 A ) ( ringosc.dstage\[8\].id.delayenb0 A ) ( ringosc.dstage\[8\].id.delaybuf1 A ) ( ringosc.dstage\[8\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 63710 64090 ) ( 64170 * )
+ NEW met1 ( 59110 63750 ) ( 63710 * )
+ NEW met1 ( 63710 63750 ) ( * 64090 )
+ NEW met1 ( 62330 61030 ) ( 63710 * )
+ NEW met2 ( 63710 61030 ) ( * 64090 )
+ NEW met1 ( 63710 64090 ) M1M2_PR
+ NEW li1 ( 64170 64090 ) L1M1_PR_MR
+ NEW li1 ( 59110 63750 ) L1M1_PR_MR
+ NEW li1 ( 63710 61030 ) L1M1_PR_MR
+ NEW met1 ( 63710 61030 ) M1M2_PR
+ NEW li1 ( 62330 61030 ) L1M1_PR_MR
+ NEW met1 ( 63710 61030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.d0 ( ringosc.dstage\[9\].id.delayen1 A ) ( ringosc.dstage\[9\].id.delaybuf1 X ) + USE SIGNAL
+ + ROUTED met1 ( 66930 53550 ) ( 67850 * )
+ NEW met2 ( 66930 53550 ) ( * 54910 )
+ NEW li1 ( 67850 53550 ) L1M1_PR_MR
+ NEW met1 ( 66930 53550 ) M1M2_PR
+ NEW li1 ( 66930 54910 ) L1M1_PR_MR
+ NEW met1 ( 66930 54910 ) M1M2_PR
+ NEW met1 ( 66930 54910 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.d1 ( ringosc.dstage\[9\].id.delayint0 A ) ( ringosc.dstage\[9\].id.delayenb1 Z ) ( ringosc.dstage\[9\].id.delayen1 Z ) + USE SIGNAL
+ + ROUTED met2 ( 66470 53210 ) ( * 58650 )
+ NEW met1 ( 66470 53210 ) ( 67390 * )
+ NEW met1 ( 62330 58990 ) ( 66470 * )
+ NEW met1 ( 66470 58650 ) ( * 58990 )
+ NEW li1 ( 66470 58650 ) L1M1_PR_MR
+ NEW met1 ( 66470 58650 ) M1M2_PR
+ NEW met1 ( 66470 53210 ) M1M2_PR
+ NEW li1 ( 67390 53210 ) L1M1_PR_MR
+ NEW li1 ( 62330 58990 ) L1M1_PR_MR
+ NEW met1 ( 66470 58650 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.d2 ( ringosc.dstage\[9\].id.delayint0 Y ) ( ringosc.dstage\[9\].id.delayen0 A ) + USE SIGNAL
+ + ROUTED met2 ( 64630 53550 ) ( * 57630 )
+ NEW met1 ( 61870 57630 ) ( 64630 * )
+ NEW li1 ( 64630 53550 ) L1M1_PR_MR
+ NEW met1 ( 64630 53550 ) M1M2_PR
+ NEW met1 ( 64630 57630 ) M1M2_PR
+ NEW li1 ( 61870 57630 ) L1M1_PR_MR
+ NEW met1 ( 64630 53550 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.trim\[0\] ( ringosc.dstage\[9\].id.delayenb0 TE_B ) ( ringosc.dstage\[9\].id.delayen0 TE ) ( _334_ X ) + USE SIGNAL
+ + ROUTED met1 ( 55890 55590 ) ( 57730 * )
+ NEW met2 ( 55890 55590 ) ( * 56610 )
+ NEW met1 ( 52670 56610 ) ( 55890 * )
+ NEW met1 ( 52670 56270 ) ( * 56610 )
+ NEW met1 ( 36110 56270 ) ( 52670 * )
+ NEW met2 ( 61870 53210 ) ( * 55590 )
+ NEW met1 ( 57730 55590 ) ( 61870 * )
+ NEW li1 ( 57730 55590 ) L1M1_PR_MR
+ NEW met1 ( 55890 55590 ) M1M2_PR
+ NEW met1 ( 55890 56610 ) M1M2_PR
+ NEW li1 ( 36110 56270 ) L1M1_PR_MR
+ NEW li1 ( 61870 53210 ) L1M1_PR_MR
+ NEW met1 ( 61870 53210 ) M1M2_PR
+ NEW met1 ( 61870 55590 ) M1M2_PR
+ NEW met1 ( 61870 53210 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.trim\[1\] ( ringosc.dstage\[9\].id.delayenb1 TE_B ) ( ringosc.dstage\[9\].id.delayen1 TE ) ( _335_ X ) + USE SIGNAL
+ + ROUTED met2 ( 65090 53210 ) ( * 58650 )
+ NEW met1 ( 62790 58650 ) ( 65090 * )
+ NEW met1 ( 63710 51170 ) ( 65090 * )
+ NEW met2 ( 65090 51170 ) ( * 53210 )
+ NEW li1 ( 65090 53210 ) L1M1_PR_MR
+ NEW met1 ( 65090 53210 ) M1M2_PR
+ NEW met1 ( 65090 58650 ) M1M2_PR
+ NEW li1 ( 62790 58650 ) L1M1_PR_MR
+ NEW li1 ( 63710 51170 ) L1M1_PR_MR
+ NEW met1 ( 65090 51170 ) M1M2_PR
+ NEW met1 ( 65090 53210 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.dstage\[9\].id.ts ( ringosc.dstage\[9\].id.delayenb1 A ) ( ringosc.dstage\[9\].id.delayenb0 A ) ( ringosc.dstage\[9\].id.delaybuf1 A ) ( ringosc.dstage\[9\].id.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met2 ( 67390 58990 ) ( * 60350 )
+ NEW met1 ( 66470 60350 ) ( 67390 * )
+ NEW met1 ( 66010 55590 ) ( 67390 * )
+ NEW met2 ( 67390 55590 ) ( * 58990 )
+ NEW met1 ( 65090 55590 ) ( 66010 * )
+ NEW li1 ( 67390 58990 ) L1M1_PR_MR
+ NEW met1 ( 67390 58990 ) M1M2_PR
+ NEW met1 ( 67390 60350 ) M1M2_PR
+ NEW li1 ( 66470 60350 ) L1M1_PR_MR
+ NEW li1 ( 66010 55590 ) L1M1_PR_MR
+ NEW met1 ( 67390 55590 ) M1M2_PR
+ NEW li1 ( 65090 55590 ) L1M1_PR_MR
+ NEW met1 ( 67390 58990 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.ctrl0 ( ringosc.iss.delayenb0 TE_B ) ( ringosc.iss.ctrlen0 X ) + USE SIGNAL
+ + ROUTED met1 ( 21850 40290 ) ( 23230 * )
+ NEW met2 ( 23230 40290 ) ( * 42330 )
+ NEW met1 ( 22310 42330 ) ( 23230 * )
+ NEW li1 ( 21850 40290 ) L1M1_PR_MR
+ NEW met1 ( 23230 40290 ) M1M2_PR
+ NEW met1 ( 23230 42330 ) M1M2_PR
+ NEW li1 ( 22310 42330 ) L1M1_PR_MR ;
+ - ringosc.iss.d0 ( ringosc.iss.delayen1 A ) ( ringosc.iss.delaybuf0 X ) + USE SIGNAL
+ + ROUTED met1 ( 33350 37570 ) ( 33810 * )
+ NEW met2 ( 33810 37570 ) ( * 38930 )
+ NEW li1 ( 33350 37570 ) L1M1_PR_MR
+ NEW met1 ( 33810 37570 ) M1M2_PR
+ NEW li1 ( 33810 38930 ) L1M1_PR_MR
+ NEW met1 ( 33810 38930 ) M1M2_PR
+ NEW met1 ( 33810 38930 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.d1 ( ringosc.iss.delayint0 A ) ( ringosc.iss.delayenb1 Z ) ( ringosc.iss.delayen1 Z ) + USE SIGNAL
+ + ROUTED met1 ( 32890 39610 ) ( 34270 * )
+ NEW met1 ( 32890 39270 ) ( * 39610 )
+ NEW met1 ( 30130 39270 ) ( 32890 * )
+ NEW met2 ( 32890 39610 ) ( * 42330 )
+ NEW li1 ( 34270 39610 ) L1M1_PR_MR
+ NEW li1 ( 30130 39270 ) L1M1_PR_MR
+ NEW li1 ( 32890 42330 ) L1M1_PR_MR
+ NEW met1 ( 32890 42330 ) M1M2_PR
+ NEW met1 ( 32890 39610 ) M1M2_PR
+ NEW met1 ( 32890 42330 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 32890 39610 ) RECT ( 0 -70 595 70 ) ;
+ - ringosc.iss.d2 ( ringosc.iss.delayint0 Y ) ( ringosc.iss.delayen0 A ) + USE SIGNAL
+ + ROUTED met1 ( 27370 39610 ) ( 32430 * )
+ NEW met2 ( 32430 39610 ) ( * 41310 )
+ NEW li1 ( 27370 39610 ) L1M1_PR_MR
+ NEW met1 ( 32430 39610 ) M1M2_PR
+ NEW li1 ( 32430 41310 ) L1M1_PR_MR
+ NEW met1 ( 32430 41310 ) M1M2_PR
+ NEW met1 ( 32430 41310 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.one ( ringosc.iss.reseten0 A ) ( ringosc.iss.const1 HI ) + USE SIGNAL
+ + ROUTED met1 ( 20930 39950 ) ( 24150 * )
+ NEW met2 ( 24150 39950 ) ( * 44030 )
+ NEW li1 ( 20930 39950 ) L1M1_PR_MR
+ NEW met1 ( 24150 39950 ) M1M2_PR
+ NEW li1 ( 24150 44030 ) L1M1_PR_MR
+ NEW met1 ( 24150 44030 ) M1M2_PR
+ NEW met1 ( 24150 44030 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.reset ( ringosc.iss.reseten0 TE ) ( ringosc.iss.ctrlen0 A ) ( _182_ B ) ( _181_ Y ) + USE SIGNAL
+ + ROUTED met1 ( 19090 39270 ) ( 22310 * )
+ NEW met2 ( 19550 36890 ) ( * 39270 )
+ NEW met2 ( 19550 34850 ) ( * 36890 )
+ NEW li1 ( 19090 39270 ) L1M1_PR_MR
+ NEW li1 ( 22310 39270 ) L1M1_PR_MR
+ NEW li1 ( 19550 36890 ) L1M1_PR_MR
+ NEW met1 ( 19550 36890 ) M1M2_PR
+ NEW met1 ( 19550 39270 ) M1M2_PR
+ NEW li1 ( 19550 34850 ) L1M1_PR_MR
+ NEW met1 ( 19550 34850 ) M1M2_PR
+ NEW met1 ( 19550 36890 ) RECT ( -355 -70 0 70 )
+ NEW met1 ( 19550 39270 ) RECT ( -595 -70 0 70 )
+ NEW met1 ( 19550 34850 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.trim\[0\] ( ringosc.iss.delayen0 TE ) ( ringosc.iss.ctrlen0 B ) ( _354_ X ) + USE SIGNAL
+ + ROUTED met2 ( 24610 39610 ) ( * 49470 )
+ NEW met1 ( 24150 49470 ) ( 24610 * )
+ NEW met1 ( 23230 39270 ) ( * 39610 )
+ NEW met1 ( 23230 39610 ) ( 24610 * )
+ NEW li1 ( 24610 39610 ) L1M1_PR_MR
+ NEW met1 ( 24610 39610 ) M1M2_PR
+ NEW met1 ( 24610 49470 ) M1M2_PR
+ NEW li1 ( 24150 49470 ) L1M1_PR_MR
+ NEW li1 ( 23230 39270 ) L1M1_PR_MR
+ NEW met1 ( 24610 39610 ) RECT ( -355 -70 0 70 ) ;
+ - ringosc.iss.trim\[1\] ( ringosc.iss.delayenb1 TE_B ) ( ringosc.iss.delayen1 TE ) ( _355_ X ) + USE SIGNAL
+ + ROUTED met1 ( 36570 39610 ) ( * 39950 )
+ NEW met1 ( 36570 39950 ) ( 44850 * )
+ NEW met1 ( 33350 39270 ) ( 36570 * )
+ NEW met1 ( 36570 39270 ) ( * 39610 )
+ NEW li1 ( 36570 39610 ) L1M1_PR_MR
+ NEW li1 ( 44850 39950 ) L1M1_PR_MR
+ NEW li1 ( 33350 39270 ) L1M1_PR_MR ;
+END NETS
+END DESIGN
diff --git a/sdf/digital_pll.sdf b/sdf/digital_pll.sdf
index b3a04b1c..9869abeb 100644
--- a/sdf/digital_pll.sdf
+++ b/sdf/digital_pll.sdf
@@ -1,714 +1,766 @@
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "digital_pll")
- (DATE "Tue Dec 14 12:27:06 2021")
+ (DATE "Thu Oct 13 13:49:05 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
- (VOLTAGE 1.800:1.800:1.800)
- (PROCESS "1.000:1.000:1.000")
- (TEMPERATURE 25.000:25.000:25.000)
+ (VOLTAGE 1.800::1.800)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "digital_pll")
(INSTANCE)
(DELAY
(ABSOLUTE
- (INTERCONNECT dco ANTENNA__182__A.DIODE (0.928:0.928:0.928) (0.519:0.519:0.519))
- (INTERCONNECT dco ANTENNA__330__S.DIODE (0.934:0.934:0.934) (0.525:0.525:0.525))
- (INTERCONNECT dco ANTENNA__331__S.DIODE (0.945:0.945:0.945) (0.536:0.536:0.536))
- (INTERCONNECT dco ANTENNA__332__S.DIODE (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco ANTENNA__333__S.DIODE (0.946:0.946:0.946) (0.536:0.536:0.536))
- (INTERCONNECT dco ANTENNA__334__S.DIODE (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco ANTENNA__335__S.DIODE (0.945:0.945:0.945) (0.535:0.535:0.535))
- (INTERCONNECT dco ANTENNA__336__S.DIODE (0.939:0.939:0.939) (0.530:0.530:0.530))
- (INTERCONNECT dco ANTENNA__337__S.DIODE (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco ANTENNA__338__S.DIODE (0.939:0.939:0.939) (0.529:0.529:0.529))
- (INTERCONNECT dco ANTENNA__339__S.DIODE (0.942:0.942:0.942) (0.532:0.532:0.532))
- (INTERCONNECT dco ANTENNA__340__S.DIODE (0.935:0.935:0.935) (0.526:0.526:0.526))
- (INTERCONNECT dco ANTENNA__341__S.DIODE (0.944:0.944:0.944) (0.534:0.534:0.534))
- (INTERCONNECT dco ANTENNA__342__S.DIODE (0.933:0.933:0.933) (0.524:0.524:0.524))
- (INTERCONNECT dco ANTENNA__343__S.DIODE (0.944:0.944:0.944) (0.534:0.534:0.534))
- (INTERCONNECT dco ANTENNA__344__S.DIODE (0.935:0.935:0.935) (0.526:0.526:0.526))
- (INTERCONNECT dco ANTENNA__345__S.DIODE (0.944:0.944:0.944) (0.534:0.534:0.534))
- (INTERCONNECT dco ANTENNA__346__S.DIODE (0.931:0.931:0.931) (0.522:0.522:0.522))
- (INTERCONNECT dco ANTENNA__347__S.DIODE (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco ANTENNA__348__S.DIODE (0.930:0.930:0.930) (0.521:0.521:0.521))
- (INTERCONNECT dco ANTENNA__349__S.DIODE (0.944:0.944:0.944) (0.534:0.534:0.534))
- (INTERCONNECT dco ANTENNA__350__S.DIODE (0.929:0.929:0.929) (0.520:0.520:0.520))
- (INTERCONNECT dco ANTENNA__351__S.DIODE (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco ANTENNA__352__S.DIODE (0.928:0.928:0.928) (0.519:0.519:0.519))
- (INTERCONNECT dco ANTENNA__353__S.DIODE (0.946:0.946:0.946) (0.536:0.536:0.536))
- (INTERCONNECT dco ANTENNA__354__S.DIODE (0.934:0.934:0.934) (0.525:0.525:0.525))
- (INTERCONNECT dco ANTENNA__355__S.DIODE (0.946:0.946:0.946) (0.536:0.536:0.536))
- (INTERCONNECT dco _182_.A (0.928:0.928:0.928) (0.519:0.519:0.519))
- (INTERCONNECT dco _330_.S (0.934:0.934:0.934) (0.525:0.525:0.525))
- (INTERCONNECT dco _331_.S (0.946:0.946:0.946) (0.536:0.536:0.536))
- (INTERCONNECT dco _332_.S (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco _333_.S (0.946:0.946:0.946) (0.536:0.536:0.536))
- (INTERCONNECT dco _334_.S (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco _335_.S (0.945:0.945:0.945) (0.535:0.535:0.535))
- (INTERCONNECT dco _336_.S (0.940:0.940:0.940) (0.530:0.530:0.530))
- (INTERCONNECT dco _337_.S (0.945:0.945:0.945) (0.535:0.535:0.535))
- (INTERCONNECT dco _338_.S (0.939:0.939:0.939) (0.530:0.530:0.530))
- (INTERCONNECT dco _339_.S (0.942:0.942:0.942) (0.532:0.532:0.532))
- (INTERCONNECT dco _340_.S (0.937:0.937:0.937) (0.527:0.527:0.527))
- (INTERCONNECT dco _341_.S (0.944:0.944:0.944) (0.534:0.534:0.534))
- (INTERCONNECT dco _342_.S (0.934:0.934:0.934) (0.525:0.525:0.525))
- (INTERCONNECT dco _343_.S (0.944:0.944:0.944) (0.534:0.534:0.534))
- (INTERCONNECT dco _344_.S (0.936:0.936:0.936) (0.527:0.527:0.527))
- (INTERCONNECT dco _345_.S (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco _346_.S (0.931:0.931:0.931) (0.522:0.522:0.522))
- (INTERCONNECT dco _347_.S (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco _348_.S (0.930:0.930:0.930) (0.521:0.521:0.521))
- (INTERCONNECT dco _349_.S (0.943:0.943:0.943) (0.534:0.534:0.534))
- (INTERCONNECT dco _350_.S (0.929:0.929:0.929) (0.520:0.520:0.520))
- (INTERCONNECT dco _351_.S (0.944:0.944:0.944) (0.535:0.535:0.535))
- (INTERCONNECT dco _352_.S (0.928:0.928:0.928) (0.519:0.519:0.519))
- (INTERCONNECT dco _353_.S (0.946:0.946:0.946) (0.536:0.536:0.536))
- (INTERCONNECT dco _354_.S (0.934:0.934:0.934) (0.525:0.525:0.525))
- (INTERCONNECT dco _355_.S (0.946:0.946:0.946) (0.536:0.536:0.536))
- (INTERCONNECT div[0] ANTENNA__177__A.DIODE (0.071:0.071:0.071) (0.040:0.040:0.040))
- (INTERCONNECT div[0] ANTENNA__210__B1.DIODE (0.071:0.071:0.071) (0.040:0.040:0.040))
- (INTERCONNECT div[0] _177_.A (0.072:0.072:0.072) (0.040:0.040:0.040))
- (INTERCONNECT div[0] _210_.B1 (0.071:0.071:0.071) (0.040:0.040:0.040))
- (INTERCONNECT div[1] ANTENNA__207__A.DIODE (0.108:0.108:0.108) (0.060:0.060:0.060))
- (INTERCONNECT div[1] ANTENNA__210__A1.DIODE (0.108:0.108:0.108) (0.060:0.060:0.060))
- (INTERCONNECT div[1] ANTENNA__211__A1.DIODE (0.108:0.108:0.108) (0.060:0.060:0.060))
- (INTERCONNECT div[1] _207_.A (0.108:0.108:0.108) (0.060:0.060:0.060))
- (INTERCONNECT div[1] _210_.A1 (0.108:0.108:0.108) (0.060:0.060:0.060))
- (INTERCONNECT div[1] _211_.A1 (0.108:0.108:0.108) (0.061:0.061:0.061))
- (INTERCONNECT div[2] ANTENNA__201__B1.DIODE (0.062:0.062:0.062) (0.034:0.034:0.034))
- (INTERCONNECT div[2] ANTENNA__204__A1.DIODE (0.062:0.062:0.062) (0.034:0.034:0.034))
- (INTERCONNECT div[2] _201_.B1 (0.062:0.062:0.062) (0.034:0.034:0.034))
- (INTERCONNECT div[2] _204_.A1 (0.062:0.062:0.062) (0.034:0.034:0.034))
- (INTERCONNECT div[3] ANTENNA__201__A1.DIODE (0.055:0.055:0.055) (0.031:0.031:0.031))
- (INTERCONNECT div[3] ANTENNA__202__A.DIODE (0.055:0.055:0.055) (0.031:0.031:0.031))
- (INTERCONNECT div[3] _201_.A1 (0.056:0.056:0.056) (0.031:0.031:0.031))
- (INTERCONNECT div[3] _202_.A (0.055:0.055:0.055) (0.031:0.031:0.031))
- (INTERCONNECT div[4] ANTENNA__216__A.DIODE (0.078:0.078:0.078) (0.044:0.044:0.044))
- (INTERCONNECT div[4] ANTENNA__218__B1.DIODE (0.079:0.079:0.079) (0.044:0.044:0.044))
- (INTERCONNECT div[4] _216_.A (0.079:0.079:0.079) (0.044:0.044:0.044))
- (INTERCONNECT div[4] _218_.B1 (0.079:0.079:0.079) (0.044:0.044:0.044))
- (INTERCONNECT enable ANTENNA__181__A.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026))
- (INTERCONNECT enable _181_.A (0.045:0.045:0.045) (0.026:0.026:0.026))
- (INTERCONNECT ext_trim[0] ANTENNA__352__A1.DIODE (0.029:0.029:0.029) (0.016:0.016:0.016))
- (INTERCONNECT ext_trim[0] _352_.A1 (0.029:0.029:0.029) (0.016:0.016:0.016))
- (INTERCONNECT ext_trim[10] ANTENNA__332__A1.DIODE (0.056:0.056:0.056) (0.032:0.032:0.032))
- (INTERCONNECT ext_trim[10] _332_.A1 (0.056:0.056:0.056) (0.033:0.033:0.033))
- (INTERCONNECT ext_trim[11] ANTENNA__330__A1.DIODE (0.039:0.039:0.039) (0.022:0.022:0.022))
- (INTERCONNECT ext_trim[11] _330_.A1 (0.039:0.039:0.039) (0.022:0.022:0.022))
- (INTERCONNECT ext_trim[12] ANTENNA__354__A1.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025))
- (INTERCONNECT ext_trim[12] _354_.A1 (0.044:0.044:0.044) (0.025:0.025:0.025))
- (INTERCONNECT ext_trim[13] ANTENNA__353__A1.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028))
- (INTERCONNECT ext_trim[13] _353_.A1 (0.049:0.049:0.049) (0.028:0.028:0.028))
- (INTERCONNECT ext_trim[14] ANTENNA__351__A1.DIODE (0.039:0.039:0.039) (0.022:0.022:0.022))
- (INTERCONNECT ext_trim[14] _351_.A1 (0.039:0.039:0.039) (0.022:0.022:0.022))
- (INTERCONNECT ext_trim[15] ANTENNA__349__A1.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024))
- (INTERCONNECT ext_trim[15] _349_.A1 (0.042:0.042:0.042) (0.024:0.024:0.024))
- (INTERCONNECT ext_trim[16] ANTENNA__347__A1.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019))
- (INTERCONNECT ext_trim[16] _347_.A1 (0.033:0.033:0.033) (0.019:0.019:0.019))
- (INTERCONNECT ext_trim[17] ANTENNA__345__A1.DIODE (0.028:0.028:0.028) (0.016:0.016:0.016))
- (INTERCONNECT ext_trim[17] _345_.A1 (0.028:0.028:0.028) (0.016:0.016:0.016))
- (INTERCONNECT ext_trim[18] ANTENNA__343__A1.DIODE (0.052:0.052:0.052) (0.030:0.030:0.030))
- (INTERCONNECT ext_trim[18] _343_.A1 (0.052:0.052:0.052) (0.030:0.030:0.030))
- (INTERCONNECT ext_trim[19] ANTENNA__341__A1.DIODE (0.035:0.035:0.035) (0.020:0.020:0.020))
- (INTERCONNECT ext_trim[19] _341_.A1 (0.035:0.035:0.035) (0.020:0.020:0.020))
- (INTERCONNECT ext_trim[1] ANTENNA__350__A1.DIODE (0.027:0.027:0.027) (0.015:0.015:0.015))
- (INTERCONNECT ext_trim[1] _350_.A1 (0.027:0.027:0.027) (0.015:0.015:0.015))
- (INTERCONNECT ext_trim[20] ANTENNA__339__A1.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024))
- (INTERCONNECT ext_trim[20] _339_.A1 (0.042:0.042:0.042) (0.024:0.024:0.024))
- (INTERCONNECT ext_trim[21] ANTENNA__337__A1.DIODE (0.030:0.030:0.030) (0.017:0.017:0.017))
- (INTERCONNECT ext_trim[21] _337_.A1 (0.030:0.030:0.030) (0.017:0.017:0.017))
- (INTERCONNECT ext_trim[22] ANTENNA__335__A1.DIODE (0.026:0.026:0.026) (0.014:0.014:0.014))
- (INTERCONNECT ext_trim[22] _335_.A1 (0.026:0.026:0.026) (0.014:0.014:0.014))
- (INTERCONNECT ext_trim[23] ANTENNA__333__A1.DIODE (0.029:0.029:0.029) (0.016:0.016:0.016))
- (INTERCONNECT ext_trim[23] _333_.A1 (0.029:0.029:0.029) (0.016:0.016:0.016))
- (INTERCONNECT ext_trim[24] ANTENNA__331__A1.DIODE (0.034:0.034:0.034) (0.019:0.019:0.019))
- (INTERCONNECT ext_trim[24] _331_.A1 (0.034:0.034:0.034) (0.019:0.019:0.019))
- (INTERCONNECT ext_trim[25] ANTENNA__355__A1.DIODE (0.064:0.064:0.064) (0.037:0.037:0.037))
- (INTERCONNECT ext_trim[25] _355_.A1 (0.064:0.064:0.064) (0.037:0.037:0.037))
- (INTERCONNECT ext_trim[2] ANTENNA__348__A1.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013))
- (INTERCONNECT ext_trim[2] _348_.A1 (0.024:0.024:0.024) (0.013:0.013:0.013))
- (INTERCONNECT ext_trim[3] ANTENNA__346__A1.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013))
- (INTERCONNECT ext_trim[3] _346_.A1 (0.024:0.024:0.024) (0.013:0.013:0.013))
- (INTERCONNECT ext_trim[4] ANTENNA__344__A1.DIODE (0.032:0.032:0.032) (0.018:0.018:0.018))
- (INTERCONNECT ext_trim[4] _344_.A1 (0.032:0.032:0.032) (0.018:0.018:0.018))
- (INTERCONNECT ext_trim[5] ANTENNA__342__A1.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023))
- (INTERCONNECT ext_trim[5] _342_.A1 (0.040:0.040:0.040) (0.023:0.023:0.023))
- (INTERCONNECT ext_trim[6] ANTENNA__340__A1.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025))
- (INTERCONNECT ext_trim[6] _340_.A1 (0.044:0.044:0.044) (0.025:0.025:0.025))
- (INTERCONNECT ext_trim[7] ANTENNA__338__A1.DIODE (0.055:0.055:0.055) (0.032:0.032:0.032))
- (INTERCONNECT ext_trim[7] _338_.A1 (0.056:0.056:0.056) (0.032:0.032:0.032))
- (INTERCONNECT ext_trim[8] ANTENNA__336__A1.DIODE (0.059:0.059:0.059) (0.034:0.034:0.034))
- (INTERCONNECT ext_trim[8] _336_.A1 (0.059:0.059:0.059) (0.035:0.035:0.035))
- (INTERCONNECT ext_trim[9] ANTENNA__334__A1.DIODE (0.055:0.055:0.055) (0.032:0.032:0.032))
- (INTERCONNECT ext_trim[9] _334_.A1 (0.055:0.055:0.055) (0.032:0.032:0.032))
- (INTERCONNECT osc ANTENNA__356__D.DIODE (0.025:0.025:0.025) (0.014:0.014:0.014))
- (INTERCONNECT osc _356_.D (0.025:0.025:0.025) (0.014:0.014:0.014))
- (INTERCONNECT resetb ANTENNA__181__B.DIODE (0.062:0.062:0.062) (0.035:0.035:0.035))
- (INTERCONNECT resetb _181_.B (0.063:0.063:0.063) (0.036:0.036:0.036))
- (INTERCONNECT _164_.Y _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _164_.Y _218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _164_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _165_.Y _212_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _165_.Y _218_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _166_.Y _267_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _166_.Y _273_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _167_.Y _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _167_.Y _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _167_.Y _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _168_.Y _193_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _168_.Y _208_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _168_.Y _267_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _168_.Y _273_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _168_.Y _275_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _168_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _169_.Y _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _169_.Y _208_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _235_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _247_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _250_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _294_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _295_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _296_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _297_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _298_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _300_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _170_.Y _301_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _223_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _171_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _255_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _285_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _286_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _287_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _289_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _171_.Y _303_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _172_.Y _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _172_.Y _242_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _172_.Y _252_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _172_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _173_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _173_.Y _295_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _173_.Y _298_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _173_.Y _300_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _174_.Y _221_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _174_.Y _240_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _174_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _174_.Y _293_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _174_.Y _296_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _175_.Y _224_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _175_.Y _237_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _175_.Y _238_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _175_.Y _265_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _176_.Y _224_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _176_.Y _238_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _176_.Y _264_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _176_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _176_.Y _266_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _177_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _178_.X _179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _178_.X _180_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _178_.X _183_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _178_.X _184_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _178_.X _185_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _178_.X _186_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _178_.X _187_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
- (INTERCONNECT _178_.X _188_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _178_.X _271_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _178_.X _272_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _178_.X _274_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _178_.X _275_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _179_.Y _180_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
- (INTERCONNECT _179_.Y _183_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
- (INTERCONNECT _179_.Y _184_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
- (INTERCONNECT _179_.Y _185_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _179_.Y _186_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _179_.Y _187_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _179_.Y _188_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _179_.Y _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _179_.Y _226_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _179_.Y _270_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
- (INTERCONNECT _179_.Y _277_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _180_.X _378_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _181_.Y _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _181_.Y ringosc\.iss\.ctrlen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _181_.Y ringosc\.iss\.reseten0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _182_.Y _305_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _182_.Y _306_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
- (INTERCONNECT _182_.Y _307_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _182_.Y _308_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
- (INTERCONNECT _182_.Y _309_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
- (INTERCONNECT _182_.Y _310_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
- (INTERCONNECT _182_.Y _311_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
- (INTERCONNECT _182_.Y _312_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _182_.Y _313_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _182_.Y _314_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
- (INTERCONNECT _182_.Y _315_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
- (INTERCONNECT _182_.Y _316_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
- (INTERCONNECT _182_.Y _317_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _182_.Y _318_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _182_.Y _319_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT _182_.Y _320_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
- (INTERCONNECT _182_.Y _321_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
- (INTERCONNECT _182_.Y _322_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _182_.Y _323_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
- (INTERCONNECT _182_.Y _324_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
- (INTERCONNECT _182_.Y _325_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
- (INTERCONNECT _182_.Y _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _182_.Y _377_.RESET_B (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _183_.X _377_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _184_.X _376_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _185_.X _375_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _186_.X _374_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _187_.X _373_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _188_.X _372_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _189_.X _371_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _190_.Y _191_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _190_.Y _213_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _191_.X _198_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _191_.X _198_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _192_.Y _197_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _192_.Y _199_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _193_.Y _195_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _193_.Y _206_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _193_.Y _206_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _193_.Y _208_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _194_.X _195_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _194_.X _206_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _194_.X _206_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _195_.X _196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _195_.X _200_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _195_.X _200_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _196_.Y _197_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _197_.X _198_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _197_.X _198_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _197_.X _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _198_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _198_.X _202_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _199_.Y _200_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _199_.Y _200_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _200_.X _201_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _200_.X _204_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _201_.Y _204_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _201_.Y _217_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _202_.X _203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _202_.X _204_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _203_.Y _217_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _204_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _204_.X _225_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _205_.Y _217_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _206_.Y _207_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _206_.Y _210_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _206_.Y _211_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _207_.Y _210_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _208_.Y _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _208_.Y _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _209_.Y _210_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _210_.X _211_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _210_.X _225_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _211_.Y _217_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _212_.X _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _212_.X _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _213_.X _214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _213_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _214_.X _215_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _214_.X _218_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _215_.X _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _215_.X _218_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _216_.Y _217_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _216_.Y _225_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _217_.X _219_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _218_.Y _219_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _218_.Y _234_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _219_.X _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _219_.X _234_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _219_.X _237_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _219_.X _238_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _219_.X _240_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT _219_.X _241_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT _219_.X _242_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _219_.X _243_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _219_.X _245_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _219_.X _247_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _219_.X _252_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _219_.X _260_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT _220_.Y _235_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _220_.Y _237_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _220_.Y _240_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _220_.Y _242_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _220_.Y _243_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _220_.Y _247_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _220_.Y _259_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _221_.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _221_.X _223_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _222_.Y _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _222_.Y _283_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _222_.Y _287_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _222_.Y _288_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _222_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _223_.X _224_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _223_.X _292_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
- (INTERCONNECT _223_.X _329_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _224_.X _235_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _225_.Y _234_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _226_.X _234_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _227_.X _228_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _227_.X _231_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _227_.X _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _227_.X _285_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _227_.X _290_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _227_.X _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _227_.X _297_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _228_.Y _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _228_.Y _241_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _228_.Y _304_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _229_.X _230_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _229_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _229_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _229_.X _293_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _229_.X _295_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _229_.X _302_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _229_.X _304_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _230_.Y _245_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _230_.Y _301_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _231_.X _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _231_.X _293_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _231_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _231_.X _329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _232_.X _233_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _232_.X _352_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _233_.X _234_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _234_.X _235_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _235_.X _236_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _235_.X _250_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _235_.X _255_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _235_.X _257_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _235_.X _260_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _235_.X _263_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _235_.X _265_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _235_.X _266_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _236_.Y _249_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _236_.Y _254_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _236_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _236_.Y _263_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _236_.Y _265_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _236_.Y _266_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _237_.X _238_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _237_.X _264_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _237_.X _264_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _238_.X _241_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _238_.X _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _238_.X _259_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _238_.X _262_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _239_.Y _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _240_.X _241_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _240_.X _258_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _240_.X _262_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _241_.X _245_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _241_.X _251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _241_.X _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _242_.X _245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _242_.X _251_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _242_.X _256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _243_.X _244_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _243_.X _245_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _243_.X _254_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _244_.Y _254_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _245_.X _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _245_.X _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _246_.Y _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _247_.X _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _247_.X _249_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _248_.Y _249_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _249_.X _250_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _250_.Y _370_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _251_.X _252_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _251_.X _257_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _252_.Y _253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _252_.Y _254_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _253_.Y _254_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _254_.X _255_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _255_.Y _369_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _256_.Y _257_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _257_.X _368_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _258_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _259_.X _260_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _260_.X _261_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _260_.X _261_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _261_.X _367_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _262_.Y _263_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _263_.X _366_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _264_.X _265_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _265_.Y _365_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _266_.X _364_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _267_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _267_.X _274_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _268_.Y _269_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _268_.Y _271_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _268_.Y _272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _268_.Y _277_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _269_.Y _270_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _269_.Y _271_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _270_.Y _363_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _271_.X _362_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _272_.X _274_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _272_.X _276_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _273_.Y _274_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _274_.X _361_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _275_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _276_.X _360_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _277_.X _359_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _278_.X _279_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _278_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _278_.X _282_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _278_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _278_.X _284_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _278_.X _288_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _278_.X _346_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _279_.X _340_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _280_.X _281_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _282_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _283_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _284_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _294_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _296_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _298_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _300_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _280_.X _302_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _281_.X _336_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _282_.X _354_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _283_.X _342_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _284_.X _285_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _284_.X _286_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _284_.X _287_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _284_.X _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _284_.X _348_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _285_.X _334_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _286_.X _344_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _287_.X _330_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _288_.X _332_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _289_.X _350_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _290_.X _338_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _291_.X _353_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _292_.Y _299_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _292_.Y _341_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _293_.X _295_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _293_.X _337_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dco _288_.A (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT dco _334_.B2 (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco _296_.B (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco _351_.A1 (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco _354_.A1 (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco _337_.A1 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _348_.A1 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _350_.B2 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _341_.B2 (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco _342_.A1 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _326_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _343_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _346_.B2 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _312_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _319_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _289_.A2 (0.985:0.985:0.985) (0.482:0.482:0.482))
+ (INTERCONNECT dco _295_.A1 (0.985:0.985:0.985) (0.482:0.482:0.482))
+ (INTERCONNECT dco _314_.A1 (0.991:0.991:0.991) (0.487:0.487:0.487))
+ (INTERCONNECT dco _317_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _316_.B2 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _293_.A_N (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _306_.A1 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _310_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _309_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _305_.A1 (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco _300_.A1 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _302_.A1 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _299_.A1 (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco _313_.B (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _353_.A1 (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco _366_.A (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco _369_.A (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco _368_.A (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco _352_.A_N (1.008:1.008:1.008) (0.504:0.504:0.504))
+ (INTERCONNECT dco _367_.A (1.010:1.010:1.010) (0.505:0.505:0.505))
+ (INTERCONNECT dco _371_.A (1.003:1.003:1.003) (0.499:0.499:0.499))
+ (INTERCONNECT dco _358_.A (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco _373_.A (1.005:1.005:1.005) (0.501:0.501:0.501))
+ (INTERCONNECT dco _372_.A (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco _360_.A (1.000:1.000:1.000) (0.496:0.496:0.496))
+ (INTERCONNECT dco _361_.A (1.002:1.002:1.002) (0.498:0.498:0.498))
+ (INTERCONNECT dco _365_.A (0.993:0.993:0.993) (0.490:0.490:0.490))
+ (INTERCONNECT dco _364_.A (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco _357_.A (1.001:1.001:1.001) (0.497:0.497:0.497))
+ (INTERCONNECT dco _356_.A (1.003:1.003:1.003) (0.499:0.499:0.499))
+ (INTERCONNECT dco _362_.A (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco _370_.A (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT dco _363_.A (0.989:0.989:0.989) (0.486:0.486:0.486))
+ (INTERCONNECT dco _377_.A (0.987:0.987:0.987) (0.484:0.484:0.484))
+ (INTERCONNECT dco _378_.A (0.982:0.982:0.982) (0.479:0.479:0.479))
+ (INTERCONNECT dco _359_.A (0.997:0.997:0.997) (0.494:0.494:0.494))
+ (INTERCONNECT dco _375_.A (0.995:0.995:0.995) (0.491:0.491:0.491))
+ (INTERCONNECT dco _374_.A (0.995:0.995:0.995) (0.491:0.491:0.491))
+ (INTERCONNECT dco _376_.A (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (1.000:1.000:1.000) (0.497:0.497:0.497))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (0.997:0.997:0.997) (0.494:0.494:0.494))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (0.983:0.983:0.983) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (0.987:0.987:0.987) (0.484:0.484:0.484))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (0.989:0.989:0.989) (0.486:0.486:0.486))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (1.000:1.000:1.000) (0.496:0.496:0.496))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (1.000:1.000:1.000) (0.497:0.497:0.497))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (0.995:0.995:0.995) (0.492:0.492:0.492))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (1.002:1.002:1.002) (0.498:0.498:0.498))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (1.000:1.000:1.000) (0.496:0.496:0.496))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (1.005:1.005:1.005) (0.501:0.501:0.501))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (1.003:1.003:1.003) (0.499:0.499:0.499))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (1.008:1.008:1.008) (0.504:0.504:0.504))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (0.983:0.983:0.983) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (0.980:0.980:0.980) (0.477:0.477:0.477))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (0.989:0.989:0.989) (0.486:0.486:0.486))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT div[0] _222_.B2 (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[0] _235_.A (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[1] _219_.A (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[1] _222_.A1 (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[2] _213_.B1 (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] _216_.A (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] _213_.A1 (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] _214_.B1 (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] _215_.B1 (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.062:0.062:0.062) (0.028:0.028:0.028))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[4] _207_.A1 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] _225_.B1 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT enable _355_.A (0.047:0.047:0.047) (0.022:0.022:0.022))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.017:0.017:0.017) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.028:0.028:0.028) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.025:0.025:0.025) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.020:0.020:0.020) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.020:0.020:0.020) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.038:0.038:0.038) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.038:0.038:0.038) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.015:0.015:0.015) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.039:0.039:0.039) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.032:0.032:0.032) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT osc _394_.D (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT resetb _355_.B (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT _176_.Y _242_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _320_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _264_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _298_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _285_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _275_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _184_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _183_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _277_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _186_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _187_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _185_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _182_.X _234_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _287_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _201_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _203_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _233_.C_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _269_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _244_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _249_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _254_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _250_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _252_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _263_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _251_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _253_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _246_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _245_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _335_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _327_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _320_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _252_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _248_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _231_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _230_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _253_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _298_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _233_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _267_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _314_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _321_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _311_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _329_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _331_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _338_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _267_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _271_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _260_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _257_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _270_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _256_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _244_.Y _253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _247_.Y _258_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _252_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _339_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _252_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _283_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _301_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _315_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _299_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _331_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _311_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _304_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _297_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _316_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _294_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _301_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _294_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _301_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _304_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _299_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _300_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _294_.X _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _295_.X _296_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _295_.X _349_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _296_.X _298_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _296_.X _300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _296_.X _335_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _297_.X _298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _298_.X _351_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _299_.X _347_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _300_.X _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _301_.Y _343_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _302_.X _303_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _302_.X _331_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _303_.X _339_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _304_.X _355_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _305_.X _376_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _306_.X _375_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _307_.X _374_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _308_.X _373_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _309_.X _372_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _310_.X _371_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _311_.X _370_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _312_.X _369_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _313_.X _368_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _314_.X _367_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _315_.X _366_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _316_.X _365_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _317_.X _364_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _318_.X _363_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _319_.X _362_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _320_.X _361_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _321_.X _360_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _322_.X _359_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _323_.X _358_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _324_.X _357_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _325_.X _356_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _326_.X _333_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _327_.X _378_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _328_.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _329_.X _299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _330_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _330_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _331_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _331_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _332_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _332_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _333_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _333_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _334_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _334_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _335_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _335_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _336_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _336_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _337_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _337_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _338_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _338_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _339_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _339_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _340_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _340_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _341_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _341_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _343_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _343_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _344_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _344_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _345_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _345_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _346_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _346_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _347_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.001:0.001:0.001))
- (INTERCONNECT _347_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _348_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _348_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _349_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _349_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _350_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _350_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _351_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _351_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _352_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _352_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _353_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _353_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _354_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _354_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _355_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _355_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _356_.Q _357_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _357_.Q _178_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _357_.Q _178_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _357_.Q _358_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _358_.Q _178_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _358_.Q _178_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _359_.Q _168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _359_.Q _186_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _359_.Q _275_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _360_.Q _167_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _360_.Q _185_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _360_.Q _194_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _360_.Q _194_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _360_.Q _195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _360_.Q _275_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _361_.Q _166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _361_.Q _184_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _361_.Q _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _361_.Q _197_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _361_.Q _199_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _362_.Q _183_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _362_.Q _190_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _362_.Q _191_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _362_.Q _213_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _362_.Q _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _362_.Q _271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _362_.Q _272_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _362_.Q _277_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _363_.Q _164_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _363_.Q _180_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _363_.Q _212_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _363_.Q _271_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _363_.Q _272_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _363_.Q _277_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _364_.Q _176_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _364_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _364_.Q _266_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _365_.Q _175_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _365_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _365_.Q _237_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _366_.Q _174_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _366_.Q _227_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _366_.Q _240_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _366_.Q _259_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _366_.Q _263_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _366_.Q _300_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _367_.Q _173_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _367_.Q _227_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _367_.Q _261_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _367_.Q _261_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _367_.Q _279_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _367_.Q _282_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _367_.Q _286_.A4 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _367_.Q _291_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _367_.Q _293_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _367_.Q _296_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _367_.Q _303_.A3 (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT _368_.Q _172_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _229_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _242_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _257_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT _368_.Q _285_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _286_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _287_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _289_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _290_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _291_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _297_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _368_.Q _303_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _369_.Q _171_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _369_.Q _229_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _369_.Q _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _369_.Q _280_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _369_.Q _290_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _369_.Q _291_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
- (INTERCONNECT _370_.Q _170_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT _370_.Q _232_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _247_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _278_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _281_.A3 (0.005:0.005:0.005) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _282_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
- (INTERCONNECT _370_.Q _283_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
- (INTERCONNECT _370_.Q _284_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
- (INTERCONNECT _370_.Q _285_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _286_.A3 (0.005:0.005:0.005) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _287_.A3 (0.005:0.005:0.005) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _289_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _290_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _291_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _293_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _302_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _304_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _370_.Q _326_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT _370_.Q _329_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT _371_.Q _188_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _371_.Q _189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _371_.Q _226_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _372_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _372_.Q _188_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _372_.Q _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _373_.Q _187_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _373_.Q _226_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _374_.Q _169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _374_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _375_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _375_.Q _194_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _375_.Q _194_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _375_.Q _195_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _376_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _376_.Q _192_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _376_.Q _197_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _376_.Q _199_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _377_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _377_.Q _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _377_.Q _191_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _377_.Q _213_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _378_.Q _165_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _378_.Q _180_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT _378_.Q _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _343_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _298_.X _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _328_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _313_.Y _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _338_.X _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _368_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _366_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _367_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _371_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _358_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _372_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _373_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _369_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _360_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _357_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _355_.Y _365_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _356_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _364_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _377_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _363_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _378_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _370_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _375_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _374_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _355_.Y _362_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _359_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _376_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _361_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _279_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _273_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _186_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _197_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _200_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _273_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _282_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _185_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _194_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _191_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _183_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _188_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _271_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _228_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _241_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _267_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _241_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _228_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _347_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _349_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _344_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _298_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _179_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _318_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _301_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _297_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _290_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _178_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _229_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _344_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _320_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _260_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _393_.Q _323_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _345_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _352_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _176_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _290_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _322_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _307_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _257_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _182_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
@@ -731,13 +783,13 @@
(INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
- (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
@@ -749,16 +801,16 @@
(INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
@@ -767,9 +819,9 @@
(INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
@@ -780,16 +832,16 @@
(INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
@@ -805,7 +857,7 @@
(INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
@@ -814,7 +866,7 @@
(INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
@@ -824,152 +876,44 @@
(INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.ibufp01.Y _328_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _356_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT ringosc\.ibufp01.Y _357_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT ringosc\.ibufp01.Y _358_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT ringosc\.ibufp01.Y _359_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _360_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _361_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _362_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _363_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.ibufp01.Y _364_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _365_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT ringosc\.ibufp01.Y _366_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _367_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT ringosc\.ibufp01.Y _368_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.ibufp01.Y _369_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.ibufp01.Y _370_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT ringosc\.ibufp01.Y _371_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _372_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _373_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
- (INTERCONNECT ringosc\.ibufp01.Y _374_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _375_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _376_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _377_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
- (INTERCONNECT ringosc\.ibufp01.Y _378_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.ibufp11.Y clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
(INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
- (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.000:0.000:0.000) (0.000:0.000:0.000))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _164_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.094:0.094:0.094) (0.069:0.069:0.069))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _165_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.068:0.068:0.068) (0.045:0.045:0.045))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _166_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.068:0.068:0.068) (0.049:0.049:0.049))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _167_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.084:0.084:0.084) (0.061:0.061:0.061))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _168_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.121:0.121:0.121) (0.075:0.075:0.075))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _169_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.076:0.076:0.076) (0.049:0.049:0.049))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _170_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.241:0.241:0.241) (0.190:0.190:0.190))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _171_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.149:0.149:0.149) (0.096:0.096:0.096))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _172_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.113:0.113:0.113) (0.086:0.086:0.086))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _173_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.108:0.108:0.108) (0.082:0.082:0.082))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _174_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.103:0.103:0.103) (0.074:0.074:0.074))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _175_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.082:0.082:0.082) (0.056:0.056:0.056))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
)
)
)
@@ -978,7 +922,7 @@
(INSTANCE _176_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.085:0.085:0.085) (0.056:0.056:0.056))
+ (IOPATH A Y (0.139:0.139:0.139) (0.109:0.109:0.109))
)
)
)
@@ -987,19 +931,16 @@
(INSTANCE _177_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.052:0.052:0.052) (0.042:0.042:0.042))
+ (IOPATH A Y (0.144:0.144:0.144) (0.103:0.103:0.103))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2")
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _178_)
(DELAY
(ABSOLUTE
- (IOPATH A1_N X (0.396:0.396:0.396) (0.381:0.381:0.381))
- (IOPATH A2_N X (0.377:0.377:0.377) (0.370:0.370:0.370))
- (IOPATH B1 X (0.316:0.316:0.316) (0.368:0.368:0.368))
- (IOPATH B2 X (0.309:0.309:0.309) (0.337:0.337:0.337))
+ (IOPATH A Y (0.092:0.092:0.092) (0.066:0.066:0.066))
)
)
)
@@ -1008,111 +949,110 @@
(INSTANCE _179_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.209:0.210:0.210) (0.160:0.161:0.161))
+ (IOPATH A Y (0.154:0.154:0.154) (0.116:0.116:0.116))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _180_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.141:0.141:0.141) (0.238:0.238:0.238))
- (IOPATH A2 X (0.191:0.192:0.192) (0.289:0.290:0.290))
- (IOPATH B1 X (0.145:0.145:0.145) (0.217:0.217:0.217))
- (IOPATH B2 X (0.167:0.167:0.167) (0.249:0.249:0.250))
+ (IOPATH A Y (0.135:0.135:0.135) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.276:0.276:0.276))
+ (IOPATH A Y (0.522:0.522:0.522) (0.214:0.214:0.214))
+ (IOPATH B Y (0.263:0.263:0.263) (0.265:0.265:0.265))
+ (IOPATH B Y (0.492:0.492:0.492) (0.198:0.198:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.303:0.303:0.303) (0.132:0.132:0.132))
+ (IOPATH A X (0.280:0.280:0.280) (0.223:0.223:0.223))
+ (IOPATH B X (0.273:0.273:0.273) (0.121:0.121:0.121))
+ (IOPATH B X (0.282:0.282:0.282) (0.191:0.191:0.191))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.137:0.137:0.137) (0.285:0.285:0.285))
+ (IOPATH A1 X (0.124:0.124:0.124) (0.287:0.287:0.287))
+ (IOPATH S X (0.256:0.258:0.260) (0.343:0.358:0.372))
+ (IOPATH S X (0.171:0.198:0.225) (0.358:0.359:0.361))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.135:0.135:0.135) (0.288:0.288:0.288))
+ (IOPATH A1 X (0.128:0.128:0.128) (0.293:0.293:0.293))
+ (IOPATH S X (0.261:0.263:0.265) (0.349:0.364:0.379))
+ (IOPATH S X (0.177:0.204:0.231) (0.364:0.366:0.367))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.134:0.134:0.134) (0.284:0.284:0.284))
+ (IOPATH A1 X (0.126:0.126:0.126) (0.289:0.289:0.289))
+ (IOPATH S X (0.257:0.258:0.260) (0.343:0.358:0.373))
+ (IOPATH S X (0.172:0.199:0.226) (0.358:0.360:0.361))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.155:0.155:0.155) (0.301:0.301:0.301))
+ (IOPATH A1 X (0.134:0.134:0.134) (0.297:0.297:0.297))
+ (IOPATH S X (0.263:0.265:0.266) (0.351:0.366:0.380))
+ (IOPATH S X (0.178:0.205:0.232) (0.366:0.367:0.369))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.155:0.155:0.155) (0.301:0.301:0.301))
+ (IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
+ (IOPATH S X (0.258:0.260:0.262) (0.346:0.360:0.375))
+ (IOPATH S X (0.174:0.201:0.228) (0.360:0.362:0.364))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
- (INSTANCE _181_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.069:0.069:0.069) (0.063:0.063:0.063))
- (IOPATH B Y (0.084:0.084:0.084) (0.075:0.075:0.075))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nor2_2")
- (INSTANCE _182_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.911:0.911:0.911) (0.447:0.447:0.447))
- (IOPATH B Y (0.639:0.639:0.640) (0.153:0.154:0.156))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _183_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.142:0.142:0.142) (0.238:0.238:0.238))
- (IOPATH A2 X (0.191:0.191:0.191) (0.288:0.289:0.289))
- (IOPATH B1 X (0.151:0.151:0.151) (0.221:0.221:0.221))
- (IOPATH B2 X (0.166:0.166:0.166) (0.248:0.248:0.249))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _184_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.148:0.148:0.148) (0.241:0.241:0.241))
- (IOPATH A2 X (0.192:0.192:0.193) (0.289:0.290:0.291))
- (IOPATH B1 X (0.144:0.144:0.144) (0.217:0.217:0.217))
- (IOPATH B2 X (0.167:0.167:0.167) (0.250:0.250:0.250))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _185_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.134:0.134:0.134) (0.232:0.232:0.232))
- (IOPATH A2 X (0.187:0.187:0.187) (0.284:0.284:0.285))
- (IOPATH B1 X (0.139:0.139:0.139) (0.212:0.212:0.212))
- (IOPATH B2 X (0.161:0.161:0.161) (0.244:0.244:0.244))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _186_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.129:0.129:0.129) (0.228:0.228:0.228))
- (IOPATH A2 X (0.185:0.186:0.186) (0.282:0.283:0.284))
- (IOPATH B1 X (0.125:0.125:0.125) (0.204:0.204:0.204))
- (IOPATH B2 X (0.160:0.160:0.160) (0.243:0.243:0.243))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _187_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.130:0.130:0.130) (0.229:0.229:0.229))
- (IOPATH A2 X (0.174:0.174:0.174) (0.277:0.277:0.277))
- (IOPATH B1 X (0.116:0.116:0.116) (0.199:0.199:0.199))
- (IOPATH B2 X (0.172:0.173:0.173) (0.249:0.250:0.251))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _188_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.129:0.129:0.129) (0.228:0.228:0.228))
- (IOPATH A2 X (0.185:0.185:0.186) (0.282:0.283:0.283))
- (IOPATH B1 X (0.118:0.118:0.118) (0.200:0.200:0.200))
- (IOPATH B2 X (0.159:0.159:0.159) (0.242:0.242:0.243))
+ (IOPATH A Y (0.079:0.079:0.079) (0.070:0.070:0.070))
+ (IOPATH B Y (0.079:0.079:0.079) (0.063:0.063:0.063))
)
)
)
@@ -1121,432 +1061,426 @@
(INSTANCE _189_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.101:0.101:0.101) (0.287:0.287:0.287))
- (IOPATH B X (0.142:0.142:0.142) (0.292:0.292:0.292))
+ (IOPATH A X (0.123:0.123:0.123) (0.299:0.299:0.299))
+ (IOPATH B X (0.104:0.104:0.104) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.169:0.169:0.170) (0.192:0.194:0.196))
+ (IOPATH B X (0.166:0.166:0.166) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
- (INSTANCE _190_)
+ (INSTANCE _191_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.130:0.130:0.130) (0.061:0.061:0.061))
- (IOPATH B Y (0.095:0.095:0.095) (0.042:0.042:0.042))
+ (IOPATH A Y (0.142:0.142:0.142) (0.059:0.059:0.059))
+ (IOPATH B Y (0.114:0.114:0.114) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.035:0.037:0.039) (0.036:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.150:0.150:0.150) (0.178:0.178:0.178))
+ (IOPATH B X (0.144:0.144:0.144) (0.192:0.192:0.192))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.131:0.131:0.131) (0.058:0.058:0.058))
+ (IOPATH B Y (0.102:0.102:0.102) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.151:0.151:0.151) (0.334:0.334:0.334))
+ (IOPATH B X (0.138:0.138:0.138) (0.308:0.308:0.308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.171:0.171:0.171) (0.193:0.193:0.193))
+ (IOPATH B X (0.163:0.163:0.163) (0.207:0.207:0.207))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.182:0.182:0.182) (0.201:0.201:0.201))
+ (IOPATH B X (0.158:0.158:0.158) (0.204:0.204:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.224:0.224:0.224) (0.231:0.231:0.231))
+ (IOPATH B X (0.193:0.193:0.193) (0.229:0.229:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.085:0.085:0.085) (0.069:0.069:0.069))
+ (IOPATH B Y (0.073:0.073:0.073) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.257:0.257:0.257) (0.124:0.124:0.124))
+ (IOPATH A X (0.232:0.232:0.232) (0.216:0.216:0.216))
+ (IOPATH B X (0.214:0.214:0.214) (0.097:0.097:0.097))
+ (IOPATH B X (0.224:0.224:0.224) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_2")
- (INSTANCE _191_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.144:0.144:0.144) (0.206:0.206:0.206))
- (IOPATH A2 X (0.130:0.130:0.130) (0.216:0.216:0.216))
- (IOPATH B1 X (0.099:0.099:0.099) (0.180:0.182:0.184))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nor2_2")
- (INSTANCE _192_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.147:0.147:0.147) (0.064:0.064:0.064))
- (IOPATH B Y (0.120:0.120:0.120) (0.052:0.052:0.052))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nor2_2")
- (INSTANCE _193_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.222:0.222:0.222) (0.087:0.087:0.087))
- (IOPATH B Y (0.190:0.190:0.190) (0.062:0.062:0.062))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
- (INSTANCE _194_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N X (0.299:0.299:0.299) (0.251:0.251:0.251))
- (IOPATH A2_N X (0.278:0.278:0.278) (0.238:0.238:0.238))
- (IOPATH B1 X (0.227:0.227:0.227) (0.301:0.301:0.301))
- (IOPATH B2 X (0.197:0.197:0.197) (0.281:0.281:0.281))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _195_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.190:0.190:0.190) (0.274:0.274:0.274))
- (IOPATH A2 X (0.178:0.178:0.178) (0.288:0.288:0.288))
- (IOPATH B1 X (0.211:0.211:0.211) (0.235:0.237:0.239))
- (IOPATH B2 X (0.171:0.171:0.171) (0.255:0.257:0.260))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _196_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.044:0.044:0.045) (0.028:0.028:0.029))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
- (INSTANCE _197_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N X (0.281:0.281:0.281) (0.239:0.239:0.239))
- (IOPATH A2_N X (0.265:0.265:0.265) (0.234:0.234:0.234))
- (IOPATH B1 X (0.208:0.208:0.208) (0.271:0.272:0.273))
- (IOPATH B2 X (0.165:0.165:0.165) (0.254:0.254:0.254))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2")
- (INSTANCE _198_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N X (0.245:0.246:0.246) (0.274:0.275:0.276))
- (IOPATH A2_N X (0.234:0.236:0.238) (0.278:0.278:0.278))
- (IOPATH B1 X (0.159:0.160:0.161) (0.264:0.264:0.265))
- (IOPATH B2 X (0.167:0.167:0.167) (0.244:0.247:0.249))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
- (INSTANCE _199_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.131:0.131:0.131) (0.066:0.066:0.066))
- (IOPATH A2 Y (0.143:0.143:0.143) (0.064:0.064:0.064))
- (IOPATH B1 Y (0.101:0.102:0.103) (0.043:0.043:0.043))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2")
- (INSTANCE _200_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N X (0.267:0.267:0.267) (0.298:0.298:0.298))
- (IOPATH A2_N X (0.242:0.244:0.245) (0.293:0.294:0.295))
- (IOPATH B1 X (0.185:0.185:0.186) (0.284:0.285:0.285))
- (IOPATH B2 X (0.186:0.188:0.190) (0.250:0.251:0.253))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22oi_2")
(INSTANCE _201_)
(DELAY
(ABSOLUTE
- (IOPATH A1 Y (0.165:0.165:0.165) (0.082:0.082:0.082))
- (IOPATH A2 Y (0.192:0.192:0.193) (0.077:0.077:0.078))
- (IOPATH B1 Y (0.133:0.133:0.133) (0.063:0.063:0.063))
- (IOPATH B2 Y (0.161:0.162:0.163) (0.060:0.061:0.062))
+ (IOPATH A1 X (0.149:0.149:0.149) (0.208:0.208:0.208))
+ (IOPATH A2 X (0.180:0.182:0.185) (0.229:0.234:0.240))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.200:0.200:0.201))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
(INSTANCE _202_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.136:0.136:0.136) (0.316:0.316:0.316))
- (IOPATH B X (0.122:0.123:0.124) (0.297:0.298:0.299))
+ (IOPATH A1 X (0.163:0.163:0.163) (0.326:0.327:0.327))
+ (IOPATH A2 X (0.192:0.195:0.197) (0.362:0.367:0.373))
+ (IOPATH B1 X (0.126:0.126:0.126) (0.334:0.334:0.334))
+ (IOPATH C1 X (0.121:0.121:0.121) (0.295:0.295:0.295))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
(INSTANCE _203_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.051:0.051:0.051) (0.029:0.029:0.029))
+ (IOPATH A1 X (0.174:0.174:0.174) (0.219:0.219:0.219))
+ (IOPATH A2 X (0.194:0.194:0.194) (0.271:0.271:0.271))
+ (IOPATH A3 X (0.197:0.197:0.198) (0.281:0.281:0.282))
+ (IOPATH B1 X (0.134:0.134:0.134) (0.239:0.240:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.122:0.122:0.123) (0.050:0.050:0.050))
+ (IOPATH B Y (0.099:0.100:0.101) (0.044:0.045:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.167:0.168:0.168))
+ (IOPATH B X (0.142:0.143:0.144) (0.188:0.189:0.190))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.055:0.055:0.055) (0.047:0.047:0.047))
+ (IOPATH B Y (0.064:0.065:0.066) (0.054:0.055:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_2")
- (INSTANCE _204_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.220:0.220:0.220) (0.283:0.283:0.283))
- (IOPATH A2 X (0.203:0.203:0.204) (0.267:0.267:0.268))
- (IOPATH B1 X (0.207:0.207:0.207) (0.164:0.164:0.164))
- (IOPATH C1 X (0.221:0.225:0.228) (0.136:0.137:0.139))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _205_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.042:0.045:0.048) (0.033:0.033:0.033))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o2bb2ai_2")
- (INSTANCE _206_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N Y (0.200:0.200:0.200) (0.153:0.156:0.159))
- (IOPATH A2_N Y (0.166:0.166:0.167) (0.158:0.161:0.164))
- (IOPATH B1 Y (0.248:0.250:0.252) (0.141:0.142:0.142))
- (IOPATH B2 Y (0.230:0.232:0.235) (0.099:0.099:0.100))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _207_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.063:0.063:0.063) (0.054:0.054:0.054))
- (IOPATH B Y (0.072:0.075:0.079) (0.060:0.066:0.071))
+ (IOPATH A1 X (0.185:0.185:0.185) (0.254:0.254:0.254))
+ (IOPATH A2 X (0.185:0.185:0.185) (0.238:0.239:0.239))
+ (IOPATH B1 X (0.175:0.176:0.177) (0.131:0.132:0.132))
+ (IOPATH C1 X (0.173:0.173:0.174) (0.119:0.121:0.124))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _208_)
(DELAY
(ABSOLUTE
- (IOPATH A1 Y (0.198:0.198:0.198) (0.092:0.092:0.092))
- (IOPATH A2 Y (0.197:0.197:0.197) (0.077:0.077:0.077))
- (IOPATH B1 Y (0.173:0.176:0.178) (0.082:0.082:0.083))
+ (IOPATH A Y (0.147:0.149:0.151) (0.064:0.064:0.064))
+ (IOPATH B Y (0.132:0.133:0.133) (0.050:0.050:0.050))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
(INSTANCE _209_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.045:0.048:0.050) (0.039:0.039:0.039))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o221a_2")
- (INSTANCE _210_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.243:0.243:0.243) (0.320:0.320:0.320))
- (IOPATH A2 X (0.234:0.246:0.259) (0.299:0.302:0.305))
- (IOPATH B1 X (0.227:0.227:0.227) (0.270:0.270:0.270))
- (IOPATH B2 X (0.198:0.198:0.199) (0.243:0.243:0.243))
- (IOPATH C1 X (0.193:0.194:0.195) (0.132:0.135:0.138))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
- (INSTANCE _211_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.124:0.124:0.124) (0.069:0.069:0.069))
- (IOPATH A2 Y (0.139:0.142:0.145) (0.070:0.078:0.086))
- (IOPATH B1 Y (0.095:0.098:0.101) (0.035:0.035:0.035))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _212_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.164:0.164:0.164) (0.252:0.252:0.252))
- (IOPATH A2 X (0.154:0.154:0.154) (0.267:0.267:0.267))
- (IOPATH B1 X (0.138:0.138:0.138) (0.213:0.213:0.213))
- (IOPATH B2 X (0.133:0.133:0.133) (0.224:0.224:0.224))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
- (INSTANCE _213_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N X (0.280:0.280:0.280) (0.240:0.240:0.240))
- (IOPATH A2_N X (0.255:0.255:0.255) (0.225:0.225:0.225))
- (IOPATH B1 X (0.191:0.191:0.192) (0.261:0.264:0.267))
- (IOPATH B2 X (0.169:0.170:0.170) (0.257:0.259:0.261))
+ (IOPATH A Y (0.125:0.125:0.126) (0.143:0.144:0.144))
+ (IOPATH A Y (0.187:0.187:0.188) (0.079:0.080:0.080))
+ (IOPATH B Y (0.142:0.142:0.142) (0.133:0.135:0.137))
+ (IOPATH B Y (0.157:0.159:0.160) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
- (INSTANCE _214_)
+ (INSTANCE _210_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.114:0.114:0.115) (0.308:0.308:0.309))
- (IOPATH B X (0.116:0.117:0.117) (0.287:0.289:0.291))
+ (IOPATH A X (0.144:0.144:0.144) (0.306:0.308:0.309))
+ (IOPATH B X (0.116:0.116:0.116) (0.289:0.290:0.290))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.095:0.095:0.095) (0.088:0.088:0.088))
+ (IOPATH B Y (0.103:0.104:0.105) (0.099:0.100:0.101))
+ (IOPATH C Y (0.104:0.104:0.104) (0.099:0.099:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.147:0.147:0.147) (0.220:0.220:0.220))
+ (IOPATH A2 X (0.150:0.151:0.152) (0.239:0.240:0.240))
+ (IOPATH B1 X (0.114:0.114:0.114) (0.215:0.215:0.215))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.191:0.191:0.191) (0.251:0.251:0.251))
+ (IOPATH A2 X (0.194:0.195:0.197) (0.292:0.293:0.293))
+ (IOPATH A3 X (0.194:0.194:0.195) (0.278:0.278:0.279))
+ (IOPATH B1 X (0.144:0.144:0.144) (0.226:0.226:0.226))
+ (IOPATH B2 X (0.148:0.161:0.175) (0.248:0.250:0.252))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.158:0.158:0.158) (0.061:0.062:0.064))
+ (IOPATH A2 Y (0.159:0.160:0.160) (0.062:0.063:0.063))
+ (IOPATH B1 Y (0.126:0.126:0.126) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
(INSTANCE _215_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.141:0.141:0.142) (0.206:0.207:0.207))
- (IOPATH A2 X (0.149:0.149:0.149) (0.226:0.229:0.232))
- (IOPATH B1_N X (0.218:0.218:0.218) (0.234:0.234:0.234))
+ (IOPATH A1 X (0.116:0.118:0.119) (0.195:0.196:0.196))
+ (IOPATH A2 X (0.117:0.118:0.118) (0.205:0.206:0.206))
+ (IOPATH B1 X (0.095:0.095:0.095) (0.178:0.178:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.124:0.124:0.124) (0.047:0.047:0.047))
+ (IOPATH B Y (0.111:0.113:0.114) (0.042:0.051:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.109:0.110:0.111) (0.461:0.461:0.462))
+ (IOPATH B X (0.124:0.126:0.127) (0.432:0.433:0.435))
+ (IOPATH C X (0.120:0.120:0.120) (0.392:0.395:0.397))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.135:0.135:0.136) (0.150:0.151:0.151))
+ (IOPATH A Y (0.192:0.192:0.192) (0.090:0.090:0.090))
+ (IOPATH B Y (0.151:0.153:0.154) (0.143:0.149:0.155))
+ (IOPATH B Y (0.164:0.169:0.175) (0.099:0.100:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
- (INSTANCE _216_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.076:0.076:0.076) (0.074:0.074:0.074))
- (IOPATH B Y (0.079:0.080:0.080) (0.065:0.065:0.065))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o221a_2")
- (INSTANCE _217_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.217:0.220:0.222) (0.292:0.294:0.296))
- (IOPATH A2 X (0.185:0.185:0.185) (0.264:0.264:0.264))
- (IOPATH B1 X (0.182:0.183:0.183) (0.242:0.242:0.242))
- (IOPATH B2 X (0.193:0.195:0.196) (0.228:0.232:0.237))
- (IOPATH C1 X (0.180:0.181:0.182) (0.126:0.129:0.131))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o221ai_2")
- (INSTANCE _218_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.245:0.245:0.245) (0.139:0.139:0.139))
- (IOPATH A2 Y (0.219:0.219:0.219) (0.122:0.122:0.122))
- (IOPATH B1 Y (0.226:0.226:0.226) (0.144:0.144:0.144))
- (IOPATH B2 Y (0.197:0.198:0.198) (0.120:0.120:0.121))
- (IOPATH C1 Y (0.096:0.096:0.096) (0.111:0.111:0.111))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _219_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.251:0.251:0.251) (0.403:0.406:0.409))
- (IOPATH B X (0.268:0.286:0.305) (0.407:0.408:0.410))
+ (IOPATH A Y (0.073:0.073:0.073) (0.069:0.069:0.069))
+ (IOPATH B Y (0.090:0.093:0.095) (0.070:0.083:0.095))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _220_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.158:0.158:0.158) (0.117:0.117:0.117))
+ (IOPATH A X (0.154:0.154:0.154) (0.327:0.327:0.327))
+ (IOPATH B X (0.120:0.120:0.120) (0.288:0.288:0.288))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _221_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.123:0.123:0.123) (0.312:0.312:0.312))
- (IOPATH B X (0.126:0.126:0.126) (0.288:0.288:0.288))
+ (IOPATH A Y (0.050:0.054:0.059) (0.043:0.044:0.044))
+ (IOPATH B Y (0.072:0.072:0.072) (0.048:0.048:0.048))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
(INSTANCE _222_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.113:0.113:0.114) (0.061:0.061:0.061))
+ (IOPATH A1 X (0.154:0.154:0.154) (0.232:0.232:0.232))
+ (IOPATH A2 X (0.138:0.152:0.165) (0.223:0.225:0.228))
+ (IOPATH B1 X (0.133:0.134:0.136) (0.194:0.194:0.195))
+ (IOPATH B2 X (0.124:0.124:0.124) (0.174:0.174:0.174))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _223_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.188:0.188:0.188) (0.543:0.543:0.543))
- (IOPATH B X (0.160:0.160:0.160) (0.514:0.514:0.514))
- (IOPATH C X (0.146:0.146:0.146) (0.475:0.475:0.475))
+ (IOPATH A Y (0.068:0.071:0.073) (0.057:0.058:0.059))
+ (IOPATH B Y (0.073:0.073:0.073) (0.055:0.055:0.055))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
(INSTANCE _224_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.109:0.109:0.109) (0.459:0.459:0.459))
- (IOPATH B X (0.110:0.110:0.110) (0.435:0.435:0.435))
- (IOPATH C X (0.113:0.113:0.113) (0.420:0.420:0.420))
+ (IOPATH A1 Y (0.287:0.289:0.291) (0.060:0.062:0.063))
+ (IOPATH A2 Y (0.340:0.341:0.342) (0.058:0.059:0.060))
+ (IOPATH B1 Y (0.322:0.322:0.323) (0.050:0.051:0.051))
+ (IOPATH C1 Y (0.267:0.268:0.269) (0.058:0.059:0.060))
+ (IOPATH D1 Y (0.194:0.196:0.198) (0.047:0.047:0.047))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o2111ai_2")
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
(INSTANCE _225_)
(DELAY
(ABSOLUTE
- (IOPATH A1 Y (0.231:0.231:0.231) (0.154:0.154:0.154))
- (IOPATH A2 Y (0.220:0.223:0.226) (0.159:0.159:0.159))
- (IOPATH B1 Y (0.106:0.109:0.113) (0.158:0.158:0.158))
- (IOPATH C1 Y (0.103:0.106:0.110) (0.154:0.154:0.154))
- (IOPATH D1 Y (0.084:0.086:0.089) (0.126:0.127:0.128))
+ (IOPATH A1 X (0.148:0.148:0.148) (0.207:0.207:0.208))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.194:0.194:0.194))
+ (IOPATH B1 X (0.129:0.129:0.129) (0.108:0.108:0.108))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _226_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.195:0.195:0.195) (0.177:0.177:0.177))
- (IOPATH B X (0.245:0.246:0.246) (0.234:0.234:0.234))
- (IOPATH C X (0.209:0.209:0.209) (0.217:0.217:0.217))
- (IOPATH D X (0.217:0.217:0.217) (0.229:0.229:0.229))
+ (IOPATH A X (0.136:0.137:0.138) (0.166:0.166:0.167))
+ (IOPATH B X (0.126:0.126:0.127) (0.178:0.178:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.453:0.458:0.464) (0.502:0.504:0.506))
+ (IOPATH A2 X (0.406:0.407:0.407) (0.480:0.482:0.483))
+ (IOPATH A3 X (0.387:0.387:0.387) (0.444:0.445:0.445))
+ (IOPATH B1 X (0.409:0.409:0.409) (0.236:0.240:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
- (INSTANCE _227_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.228:0.228:0.228) (0.389:0.389:0.389))
- (IOPATH B X (0.202:0.202:0.202) (0.354:0.354:0.354))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _228_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.096:0.096:0.096) (0.064:0.064:0.064))
+ (IOPATH A X (0.270:0.270:0.270) (0.420:0.420:0.420))
+ (IOPATH B X (0.242:0.242:0.242) (0.384:0.384:0.384))
)
)
)
@@ -1555,27 +1489,28 @@
(INSTANCE _229_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.197:0.197:0.197) (0.370:0.370:0.370))
- (IOPATH B X (0.224:0.224:0.224) (0.367:0.367:0.367))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _230_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.094:0.094:0.094) (0.063:0.063:0.063))
+ (IOPATH A X (0.209:0.209:0.209) (0.379:0.379:0.379))
+ (IOPATH B X (0.206:0.206:0.206) (0.357:0.357:0.357))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.221:0.221:0.221) (0.381:0.381:0.381))
+ (IOPATH B X (0.183:0.183:0.183) (0.346:0.347:0.347))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _231_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.165:0.165:0.165) (0.351:0.352:0.352))
- (IOPATH B X (0.163:0.163:0.163) (0.331:0.331:0.331))
+ (IOPATH A Y (0.200:0.200:0.200) (0.088:0.088:0.088))
+ (IOPATH B Y (0.161:0.161:0.161) (0.064:0.064:0.065))
)
)
)
@@ -1584,539 +1519,533 @@
(INSTANCE _232_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.214:0.214:0.214) (0.379:0.379:0.379))
- (IOPATH B X (0.143:0.143:0.143) (0.324:0.324:0.324))
+ (IOPATH A X (0.157:0.157:0.157) (0.345:0.345:0.345))
+ (IOPATH B X (0.167:0.167:0.167) (0.329:0.329:0.329))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
(INSTANCE _233_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.115:0.115:0.115) (0.468:0.468:0.468))
- (IOPATH B X (0.108:0.108:0.108) (0.441:0.441:0.441))
- (IOPATH C X (0.108:0.109:0.109) (0.411:0.411:0.411))
+ (IOPATH A X (0.111:0.111:0.111) (0.612:0.612:0.612))
+ (IOPATH B X (0.122:0.122:0.122) (0.591:0.591:0.591))
+ (IOPATH C_N X (0.225:0.230:0.235) (0.634:0.634:0.634))
+ (IOPATH D_N X (0.159:0.163:0.167) (0.521:0.521:0.521))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
(INSTANCE _234_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.196:0.210:0.224) (0.298:0.300:0.301))
- (IOPATH A2 X (0.185:0.201:0.217) (0.286:0.290:0.294))
- (IOPATH B1 X (0.228:0.228:0.229) (0.276:0.276:0.276))
- (IOPATH B2 X (0.163:0.163:0.163) (0.231:0.231:0.231))
- (IOPATH C1 X (0.162:0.162:0.163) (0.112:0.113:0.114))
+ (IOPATH A X (0.149:0.149:0.149) (0.162:0.162:0.162))
+ (IOPATH B X (0.159:0.159:0.159) (0.185:0.185:0.185))
+ (IOPATH C X (0.210:0.211:0.213) (0.207:0.215:0.222))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _235_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.314:0.314:0.314) (0.473:0.473:0.473))
- (IOPATH A2 X (0.287:0.287:0.287) (0.434:0.434:0.434))
- (IOPATH A3 X (0.243:0.243:0.243) (0.388:0.388:0.388))
- (IOPATH B1 X (0.261:0.261:0.261) (0.173:0.177:0.180))
+ (IOPATH A X (0.121:0.121:0.121) (0.149:0.149:0.149))
+ (IOPATH B X (0.120:0.122:0.123) (0.170:0.170:0.171))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
(INSTANCE _236_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.135:0.141:0.147) (0.110:0.110:0.110))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _237_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.164:0.164:0.164) (0.255:0.255:0.255))
- (IOPATH A2 X (0.181:0.181:0.181) (0.289:0.289:0.289))
- (IOPATH B1 X (0.148:0.148:0.148) (0.220:0.220:0.220))
- (IOPATH B2 X (0.197:0.197:0.197) (0.277:0.277:0.277))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o22a_2")
- (INSTANCE _238_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.194:0.194:0.194) (0.265:0.265:0.265))
- (IOPATH A2 X (0.224:0.224:0.224) (0.293:0.293:0.293))
- (IOPATH B1 X (0.188:0.188:0.188) (0.235:0.235:0.235))
- (IOPATH B2 X (0.168:0.168:0.169) (0.219:0.220:0.220))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nor2_2")
- (INSTANCE _239_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.094:0.094:0.094) (0.049:0.049:0.049))
- (IOPATH B Y (0.070:0.070:0.070) (0.032:0.032:0.032))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _240_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.184:0.184:0.184) (0.268:0.268:0.268))
- (IOPATH A2 X (0.188:0.188:0.188) (0.295:0.295:0.295))
- (IOPATH B1 X (0.164:0.164:0.164) (0.230:0.230:0.230))
- (IOPATH B2 X (0.205:0.205:0.205) (0.283:0.283:0.283))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o32a_2")
- (INSTANCE _241_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.175:0.175:0.175) (0.394:0.396:0.399))
- (IOPATH A2 X (0.173:0.174:0.174) (0.389:0.389:0.390))
- (IOPATH A3 X (0.168:0.169:0.169) (0.351:0.352:0.354))
- (IOPATH B1 X (0.222:0.222:0.222) (0.246:0.246:0.246))
- (IOPATH B2 X (0.154:0.154:0.154) (0.193:0.193:0.193))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a22o_2")
- (INSTANCE _242_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.208:0.208:0.208) (0.280:0.280:0.280))
- (IOPATH A2 X (0.186:0.186:0.186) (0.293:0.293:0.293))
- (IOPATH B1 X (0.158:0.158:0.158) (0.233:0.233:0.233))
- (IOPATH B2 X (0.203:0.203:0.203) (0.281:0.281:0.281))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o22a_2")
- (INSTANCE _243_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.207:0.207:0.207) (0.272:0.272:0.272))
- (IOPATH A2 X (0.186:0.186:0.186) (0.262:0.262:0.262))
- (IOPATH B1 X (0.187:0.187:0.187) (0.238:0.238:0.238))
- (IOPATH B2 X (0.207:0.207:0.207) (0.248:0.248:0.248))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _244_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.046:0.047:0.048) (0.031:0.031:0.031))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o32a_2")
- (INSTANCE _245_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.173:0.174:0.174) (0.405:0.405:0.405))
- (IOPATH A2 X (0.172:0.172:0.172) (0.387:0.388:0.389))
- (IOPATH A3 X (0.158:0.159:0.159) (0.345:0.348:0.351))
- (IOPATH B1 X (0.219:0.219:0.219) (0.244:0.244:0.244))
- (IOPATH B2 X (0.150:0.150:0.150) (0.191:0.191:0.191))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _246_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.047:0.050:0.053) (0.032:0.032:0.032))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o22a_2")
- (INSTANCE _247_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.236:0.236:0.236) (0.297:0.297:0.297))
- (IOPATH A2 X (0.172:0.172:0.172) (0.251:0.251:0.251))
- (IOPATH B1 X (0.209:0.209:0.209) (0.253:0.253:0.253))
- (IOPATH B2 X (0.193:0.193:0.193) (0.235:0.235:0.235))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _248_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.044:0.045:0.046) (0.030:0.030:0.030))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a221o_2")
- (INSTANCE _249_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.137:0.138:0.138) (0.345:0.345:0.345))
- (IOPATH A2 X (0.151:0.151:0.152) (0.387:0.388:0.389))
- (IOPATH B1 X (0.144:0.144:0.144) (0.338:0.341:0.344))
- (IOPATH B2 X (0.135:0.135:0.135) (0.350:0.350:0.350))
- (IOPATH C1 X (0.132:0.133:0.133) (0.315:0.315:0.315))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
- (INSTANCE _250_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.176:0.176:0.176) (0.096:0.096:0.096))
- (IOPATH A2 Y (0.137:0.142:0.148) (0.078:0.078:0.079))
- (IOPATH B1 Y (0.062:0.063:0.063) (0.049:0.050:0.051))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
- (INSTANCE _251_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.126:0.126:0.127) (0.316:0.319:0.322))
- (IOPATH B X (0.124:0.125:0.125) (0.296:0.296:0.296))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
- (INSTANCE _252_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.179:0.179:0.179) (0.079:0.079:0.079))
- (IOPATH A2 Y (0.191:0.191:0.191) (0.099:0.099:0.099))
- (IOPATH B1 Y (0.085:0.085:0.085) (0.064:0.064:0.064))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _253_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.045:0.048:0.051) (0.033:0.037:0.041))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a221o_2")
- (INSTANCE _254_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.136:0.136:0.136) (0.344:0.344:0.344))
- (IOPATH A2 X (0.155:0.167:0.178) (0.386:0.389:0.393))
- (IOPATH B1 X (0.147:0.147:0.148) (0.339:0.340:0.342))
- (IOPATH B2 X (0.135:0.135:0.136) (0.350:0.352:0.354))
- (IOPATH C1 X (0.132:0.132:0.132) (0.315:0.315:0.315))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
- (INSTANCE _255_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.133:0.133:0.133) (0.076:0.076:0.076))
- (IOPATH A2 Y (0.120:0.125:0.130) (0.067:0.068:0.068))
- (IOPATH B1 Y (0.053:0.054:0.054) (0.042:0.043:0.044))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nand2_2")
- (INSTANCE _256_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.056:0.059:0.063) (0.046:0.046:0.046))
- (IOPATH B Y (0.065:0.066:0.066) (0.051:0.052:0.052))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a32o_2")
- (INSTANCE _257_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.203:0.204:0.204) (0.260:0.266:0.271))
- (IOPATH A2 X (0.165:0.165:0.165) (0.273:0.273:0.273))
- (IOPATH A3 X (0.162:0.163:0.164) (0.254:0.255:0.255))
- (IOPATH B1 X (0.160:0.160:0.160) (0.246:0.246:0.246))
- (IOPATH B2 X (0.143:0.143:0.143) (0.247:0.247:0.247))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
- (INSTANCE _258_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.113:0.113:0.114) (0.294:0.295:0.297))
- (IOPATH B X (0.103:0.104:0.104) (0.271:0.271:0.271))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
- (INSTANCE _259_)
- (DELAY
- (ABSOLUTE
- (IOPATH A0 X (0.142:0.142:0.142) (0.291:0.291:0.291))
- (IOPATH A1 X (0.148:0.148:0.148) (0.305:0.305:0.305))
- (IOPATH S X (0.200:0.201:0.203) (0.323:0.323:0.324))
- (IOPATH S X (0.139:0.139:0.139) (0.312:0.314:0.315))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o211a_2")
- (INSTANCE _260_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.188:0.188:0.188) (0.263:0.263:0.263))
- (IOPATH A2 X (0.209:0.209:0.209) (0.280:0.280:0.280))
- (IOPATH B1 X (0.222:0.222:0.222) (0.157:0.163:0.169))
- (IOPATH C1 X (0.164:0.164:0.165) (0.122:0.122:0.122))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
- (INSTANCE _261_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N X (0.269:0.269:0.269) (0.236:0.236:0.236))
- (IOPATH A2_N X (0.216:0.220:0.224) (0.199:0.199:0.199))
- (IOPATH B1 X (0.202:0.202:0.202) (0.277:0.277:0.277))
- (IOPATH B2 X (0.144:0.144:0.144) (0.231:0.234:0.237))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nand2_2")
- (INSTANCE _262_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.057:0.058:0.060) (0.047:0.047:0.048))
- (IOPATH B Y (0.063:0.064:0.064) (0.049:0.050:0.050))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a32o_2")
- (INSTANCE _263_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.191:0.191:0.191) (0.251:0.256:0.262))
- (IOPATH A2 X (0.145:0.145:0.145) (0.257:0.257:0.257))
- (IOPATH A3 X (0.149:0.150:0.151) (0.243:0.245:0.246))
- (IOPATH B1 X (0.128:0.128:0.128) (0.222:0.222:0.222))
- (IOPATH B2 X (0.131:0.131:0.131) (0.237:0.237:0.237))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a2bb2o_2")
- (INSTANCE _264_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1_N X (0.241:0.241:0.241) (0.283:0.283:0.283))
- (IOPATH A2_N X (0.230:0.230:0.230) (0.271:0.271:0.271))
- (IOPATH B1 X (0.166:0.166:0.166) (0.260:0.260:0.260))
- (IOPATH B2 X (0.158:0.158:0.159) (0.241:0.241:0.242))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o22ai_2")
- (INSTANCE _265_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.149:0.149:0.149) (0.080:0.080:0.080))
- (IOPATH A2 Y (0.148:0.153:0.158) (0.098:0.098:0.098))
- (IOPATH B1 Y (0.119:0.119:0.119) (0.089:0.089:0.089))
- (IOPATH B2 Y (0.081:0.082:0.082) (0.050:0.051:0.052))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o22a_2")
- (INSTANCE _266_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.143:0.143:0.143) (0.233:0.233:0.233))
- (IOPATH A2 X (0.167:0.168:0.168) (0.233:0.238:0.243))
- (IOPATH B1 X (0.137:0.137:0.137) (0.190:0.190:0.190))
- (IOPATH B2 X (0.139:0.139:0.139) (0.189:0.189:0.189))
+ (IOPATH A X (0.093:0.093:0.093) (0.444:0.444:0.444))
+ (IOPATH B X (0.099:0.100:0.102) (0.422:0.423:0.424))
+ (IOPATH C_N X (0.173:0.177:0.180) (0.427:0.427:0.427))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
- (INSTANCE _267_)
+ (INSTANCE _237_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.131:0.131:0.131) (0.499:0.499:0.499))
- (IOPATH B X (0.152:0.152:0.152) (0.480:0.480:0.480))
- (IOPATH C X (0.120:0.120:0.120) (0.435:0.435:0.435))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__inv_2")
- (INSTANCE _268_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.101:0.101:0.101) (0.051:0.051:0.051))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nand2_2")
- (INSTANCE _269_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.089:0.089:0.089) (0.077:0.077:0.077))
- (IOPATH B Y (0.073:0.073:0.073) (0.066:0.066:0.066))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
- (INSTANCE _270_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 Y (0.100:0.100:0.100) (0.048:0.048:0.048))
- (IOPATH A2 Y (0.115:0.118:0.121) (0.049:0.049:0.049))
- (IOPATH B1 Y (0.117:0.117:0.117) (0.040:0.040:0.040))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o221a_2")
- (INSTANCE _271_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.207:0.207:0.207) (0.300:0.300:0.300))
- (IOPATH A2 X (0.185:0.185:0.185) (0.259:0.259:0.259))
- (IOPATH B1 X (0.198:0.198:0.198) (0.254:0.254:0.254))
- (IOPATH B2 X (0.175:0.176:0.176) (0.222:0.225:0.227))
- (IOPATH C1 X (0.228:0.229:0.229) (0.158:0.159:0.159))
+ (IOPATH A X (0.119:0.119:0.119) (0.513:0.513:0.513))
+ (IOPATH B X (0.124:0.124:0.125) (0.475:0.477:0.478))
+ (IOPATH C X (0.115:0.115:0.115) (0.449:0.449:0.449))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
- (INSTANCE _272_)
+ (INSTANCE _238_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.243:0.243:0.243) (0.207:0.207:0.207))
- (IOPATH B X (0.232:0.232:0.232) (0.209:0.209:0.209))
- (IOPATH C X (0.247:0.247:0.247) (0.246:0.246:0.246))
- (IOPATH D X (0.275:0.276:0.276) (0.280:0.281:0.282))
+ (IOPATH A X (0.231:0.231:0.231) (0.197:0.197:0.197))
+ (IOPATH B X (0.232:0.233:0.233) (0.242:0.242:0.242))
+ (IOPATH C X (0.242:0.243:0.243) (0.233:0.234:0.234))
+ (IOPATH D X (0.253:0.253:0.253) (0.273:0.273:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.088:0.088:0.088) (0.073:0.073:0.073))
+ (IOPATH B Y (0.104:0.104:0.104) (0.086:0.086:0.086))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.264:0.264:0.264) (0.098:0.098:0.098))
+ (IOPATH B Y (0.232:0.232:0.232) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.170:0.170:0.170) (0.154:0.154:0.154))
+ (IOPATH B Y (0.159:0.159:0.159) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.685:0.685:0.685))
+ (IOPATH B X (0.122:0.122:0.122) (0.656:0.656:0.656))
+ (IOPATH C X (0.124:0.125:0.127) (0.624:0.624:0.624))
+ (IOPATH D X (0.148:0.148:0.149) (0.570:0.573:0.576))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.283:0.283:0.283) (0.447:0.447:0.447))
+ (IOPATH A2 X (0.334:0.334:0.334) (0.463:0.468:0.473))
+ (IOPATH A3 X (0.239:0.239:0.239) (0.399:0.399:0.399))
+ (IOPATH B1 X (0.269:0.269:0.269) (0.182:0.183:0.184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.159:0.159:0.159) (0.173:0.173:0.173))
+ (IOPATH A Y (0.237:0.237:0.237) (0.112:0.112:0.112))
+ (IOPATH B Y (0.192:0.192:0.192) (0.204:0.209:0.214))
+ (IOPATH B Y (0.247:0.252:0.258) (0.144:0.144:0.144))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.132:0.132:0.132) (0.062:0.062:0.062))
+ (IOPATH B Y (0.133:0.138:0.142) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.201:0.201:0.201) (0.214:0.214:0.214))
+ (IOPATH B X (0.250:0.250:0.250) (0.267:0.273:0.279))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.213:0.218:0.224) (0.072:0.075:0.078))
+ (IOPATH B Y (0.193:0.193:0.193) (0.066:0.067:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.145:0.145:0.145) (0.123:0.123:0.123))
+ (IOPATH B Y (0.143:0.148:0.152) (0.116:0.117:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.122:0.122:0.122) (0.056:0.056:0.056))
+ (IOPATH B Y (0.133:0.137:0.142) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.156:0.156:0.156) (0.166:0.166:0.166))
+ (IOPATH A Y (0.226:0.226:0.226) (0.109:0.109:0.109))
+ (IOPATH B Y (0.189:0.189:0.189) (0.201:0.206:0.211))
+ (IOPATH B Y (0.240:0.245:0.250) (0.140:0.140:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.248:0.248:0.248) (0.271:0.276:0.281))
+ (IOPATH A2 X (0.179:0.179:0.179) (0.259:0.259:0.259))
+ (IOPATH B1_N X (0.233:0.233:0.233) (0.255:0.256:0.257))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.239:0.239:0.240) (0.295:0.298:0.300))
+ (IOPATH A2 X (0.236:0.254:0.272) (0.311:0.318:0.326))
+ (IOPATH A3 X (0.241:0.242:0.242) (0.305:0.306:0.306))
+ (IOPATH B1 X (0.219:0.219:0.219) (0.291:0.291:0.291))
+ (IOPATH B2 X (0.256:0.257:0.257) (0.320:0.326:0.331))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.180:0.200:0.221) (0.259:0.266:0.273))
+ (IOPATH A2 X (0.226:0.226:0.227) (0.274:0.276:0.277))
+ (IOPATH A3 X (0.200:0.201:0.201) (0.281:0.282:0.282))
+ (IOPATH B1 X (0.155:0.155:0.155) (0.249:0.249:0.249))
+ (IOPATH B2 X (0.208:0.208:0.208) (0.291:0.297:0.302))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.163:0.163:0.163) (0.182:0.182:0.182))
+ (IOPATH A Y (0.215:0.215:0.215) (0.118:0.118:0.118))
+ (IOPATH B Y (0.173:0.173:0.173) (0.187:0.192:0.197))
+ (IOPATH B Y (0.203:0.208:0.214) (0.118:0.118:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.137:0.138) (0.168:0.168:0.168))
+ (IOPATH B X (0.138:0.152:0.167) (0.189:0.197:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.124:0.124:0.124) (0.057:0.058:0.059))
+ (IOPATH A2 Y (0.104:0.111:0.118) (0.048:0.056:0.064))
+ (IOPATH B1 Y (0.070:0.075:0.080) (0.076:0.076:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.198:0.198:0.198) (0.268:0.268:0.268))
+ (IOPATH A2 X (0.169:0.169:0.170) (0.237:0.242:0.247))
+ (IOPATH B1 X (0.130:0.130:0.130) (0.194:0.194:0.195))
+ (IOPATH B2 X (0.119:0.125:0.132) (0.171:0.176:0.181))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.158:0.159:0.160) (0.101:0.101:0.101))
+ (IOPATH A2 Y (0.179:0.179:0.180) (0.084:0.085:0.085))
+ (IOPATH B1 Y (0.141:0.142:0.142) (0.048:0.048:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.107:0.120:0.134) (0.132:0.140:0.148))
+ (IOPATH A Y (0.141:0.147:0.154) (0.066:0.077:0.089))
+ (IOPATH B Y (0.115:0.116:0.116) (0.114:0.122:0.130))
+ (IOPATH B Y (0.102:0.109:0.116) (0.065:0.065:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.154:0.154:0.154) (0.300:0.300:0.300))
+ (IOPATH A1 X (0.113:0.124:0.135) (0.283:0.286:0.289))
+ (IOPATH S X (0.211:0.217:0.223) (0.340:0.341:0.341))
+ (IOPATH S X (0.164:0.165:0.165) (0.320:0.325:0.330))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.137:0.138) (0.093:0.093:0.094))
+ (IOPATH A X (0.142:0.142:0.142) (0.162:0.163:0.165))
+ (IOPATH B X (0.117:0.118:0.118) (0.071:0.071:0.071))
+ (IOPATH B X (0.133:0.133:0.134) (0.142:0.143:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.149:0.149:0.149) (0.295:0.295:0.295))
+ (IOPATH A1 X (0.122:0.126:0.130) (0.279:0.283:0.286))
+ (IOPATH S X (0.208:0.214:0.221) (0.337:0.337:0.337))
+ (IOPATH S X (0.161:0.162:0.162) (0.317:0.322:0.326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.162:0.166:0.171) (0.093:0.093:0.093))
+ (IOPATH A2 Y (0.145:0.145:0.145) (0.061:0.061:0.061))
+ (IOPATH B1 Y (0.096:0.102:0.109) (0.037:0.038:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.215:0.215:0.215) (0.283:0.283:0.283))
+ (IOPATH A2 X (0.196:0.197:0.197) (0.259:0.259:0.259))
+ (IOPATH B1 X (0.202:0.203:0.204) (0.138:0.150:0.162))
+ (IOPATH C1 X (0.231:0.232:0.232) (0.150:0.155:0.161))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.126:0.126:0.126) (0.149:0.149:0.149))
+ (IOPATH A Y (0.164:0.164:0.164) (0.084:0.084:0.084))
+ (IOPATH B Y (0.106:0.106:0.107) (0.122:0.125:0.129))
+ (IOPATH B Y (0.118:0.121:0.124) (0.061:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.112:0.125:0.139) (0.137:0.144:0.152))
+ (IOPATH A Y (0.152:0.159:0.166) (0.070:0.083:0.095))
+ (IOPATH B Y (0.110:0.111:0.112) (0.129:0.130:0.130))
+ (IOPATH B Y (0.123:0.123:0.124) (0.063:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.262:0.268:0.274) (0.239:0.239:0.240))
+ (IOPATH A2_N X (0.224:0.229:0.233) (0.198:0.210:0.222))
+ (IOPATH B1 X (0.185:0.185:0.185) (0.268:0.268:0.268))
+ (IOPATH B2 X (0.158:0.158:0.158) (0.244:0.245:0.246))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.090:0.090:0.090) (0.069:0.069:0.069))
+ (IOPATH B Y (0.086:0.086:0.087) (0.069:0.070:0.071))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.156:0.156:0.156) (0.176:0.181:0.186))
+ (IOPATH A Y (0.178:0.183:0.187) (0.107:0.107:0.107))
+ (IOPATH B Y (0.096:0.097:0.098) (0.119:0.120:0.121))
+ (IOPATH B Y (0.105:0.106:0.106) (0.052:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.127:0.127:0.127) (0.283:0.283:0.283))
+ (IOPATH A1 X (0.114:0.126:0.137) (0.283:0.290:0.297))
+ (IOPATH S X (0.212:0.218:0.224) (0.342:0.342:0.342))
+ (IOPATH S X (0.165:0.166:0.166) (0.322:0.326:0.331))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.059:0.059) (0.049:0.049:0.049))
+ (IOPATH B Y (0.078:0.084:0.089) (0.066:0.066:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.135:0.135:0.135) (0.210:0.210:0.210))
+ (IOPATH A2 X (0.113:0.113:0.113) (0.185:0.186:0.187))
+ (IOPATH B1 X (0.107:0.109:0.111) (0.102:0.104:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
(INSTANCE _273_)
(DELAY
(ABSOLUTE
- (IOPATH A1 Y (0.122:0.122:0.122) (0.056:0.056:0.056))
- (IOPATH A2 Y (0.108:0.108:0.108) (0.060:0.060:0.060))
- (IOPATH B1 Y (0.045:0.045:0.045) (0.044:0.044:0.044))
+ (IOPATH A X (0.210:0.210:0.210) (0.204:0.204:0.204))
+ (IOPATH B X (0.229:0.229:0.229) (0.237:0.237:0.237))
+ (IOPATH C X (0.232:0.232:0.232) (0.257:0.257:0.257))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
(INSTANCE _274_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.196:0.196:0.197) (0.233:0.234:0.235))
- (IOPATH A2 X (0.147:0.147:0.147) (0.248:0.248:0.248))
- (IOPATH A3 X (0.147:0.153:0.159) (0.234:0.234:0.234))
- (IOPATH B1 X (0.102:0.102:0.102) (0.210:0.211:0.212))
+ (IOPATH A X (0.185:0.185:0.185) (0.204:0.204:0.204))
+ (IOPATH B X (0.179:0.179:0.179) (0.215:0.216:0.217))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
(INSTANCE _275_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.181:0.181:0.181) (0.273:0.273:0.273))
- (IOPATH A2 X (0.190:0.190:0.190) (0.261:0.261:0.261))
- (IOPATH B1 X (0.190:0.190:0.190) (0.247:0.247:0.247))
- (IOPATH B2 X (0.174:0.174:0.174) (0.222:0.222:0.222))
- (IOPATH C1 X (0.221:0.222:0.222) (0.153:0.154:0.154))
+ (IOPATH A1 X (0.140:0.140:0.140) (0.215:0.215:0.215))
+ (IOPATH A2 X (0.114:0.114:0.114) (0.188:0.189:0.189))
+ (IOPATH B1 X (0.172:0.200:0.228) (0.180:0.182:0.184))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
(INSTANCE _276_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.103:0.103:0.103) (0.282:0.283:0.284))
- (IOPATH B X (0.088:0.088:0.088) (0.256:0.259:0.261))
+ (IOPATH A_N Y (0.128:0.128:0.128) (0.180:0.180:0.180))
+ (IOPATH B Y (0.061:0.062:0.062) (0.054:0.054:0.054))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a311o_2")
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
(INSTANCE _277_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.177:0.177:0.177) (0.324:0.324:0.324))
- (IOPATH A2 X (0.162:0.162:0.162) (0.346:0.346:0.346))
- (IOPATH A3 X (0.175:0.175:0.175) (0.387:0.387:0.387))
- (IOPATH B1 X (0.123:0.123:0.123) (0.347:0.347:0.347))
- (IOPATH C1 X (0.138:0.138:0.138) (0.322:0.322:0.322))
+ (IOPATH A1 X (0.183:0.183:0.183) (0.265:0.265:0.265))
+ (IOPATH A2 X (0.167:0.167:0.167) (0.236:0.237:0.237))
+ (IOPATH B1 X (0.162:0.162:0.163) (0.123:0.125:0.128))
+ (IOPATH C1 X (0.219:0.256:0.293) (0.183:0.185:0.187))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _278_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.253:0.253:0.253) (0.408:0.408:0.408))
- (IOPATH B X (0.202:0.203:0.203) (0.362:0.362:0.362))
+ (IOPATH A Y (0.118:0.118:0.118) (0.102:0.102:0.102))
+ (IOPATH B Y (0.115:0.115:0.116) (0.094:0.094:0.095))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _279_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.149:0.149:0.149) (0.316:0.316:0.316))
- (IOPATH B X (0.127:0.127:0.127) (0.291:0.291:0.292))
+ (IOPATH A1 Y (0.124:0.124:0.124) (0.065:0.065:0.065))
+ (IOPATH A2 Y (0.146:0.146:0.146) (0.072:0.072:0.072))
+ (IOPATH B1 Y (0.100:0.100:0.100) (0.036:0.036:0.036))
)
)
)
@@ -2125,140 +2054,129 @@
(INSTANCE _280_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.217:0.217:0.217) (0.385:0.385:0.385))
- (IOPATH B X (0.207:0.207:0.207) (0.361:0.361:0.361))
+ (IOPATH A X (0.119:0.119:0.119) (0.303:0.304:0.304))
+ (IOPATH B X (0.113:0.116:0.118) (0.278:0.280:0.281))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _281_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.155:0.155:0.155) (0.356:0.356:0.356))
- (IOPATH A2 X (0.157:0.157:0.157) (0.342:0.342:0.342))
- (IOPATH A3 X (0.175:0.175:0.175) (0.324:0.324:0.324))
- (IOPATH B1 X (0.153:0.153:0.154) (0.134:0.134:0.134))
+ (IOPATH A1 Y (0.121:0.123:0.124) (0.060:0.060:0.061))
+ (IOPATH A2 Y (0.132:0.132:0.132) (0.047:0.047:0.047))
+ (IOPATH B1 Y (0.106:0.112:0.119) (0.050:0.050:0.051))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
(INSTANCE _282_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.195:0.195:0.195) (0.379:0.379:0.379))
- (IOPATH A2 X (0.155:0.155:0.155) (0.339:0.339:0.340))
- (IOPATH A3 X (0.150:0.150:0.150) (0.299:0.299:0.299))
- (IOPATH B1 X (0.151:0.151:0.152) (0.133:0.133:0.133))
+ (IOPATH A Y (0.138:0.138:0.138) (0.159:0.159:0.159))
+ (IOPATH A Y (0.182:0.182:0.182) (0.093:0.093:0.093))
+ (IOPATH B Y (0.137:0.137:0.137) (0.158:0.158:0.158))
+ (IOPATH B Y (0.160:0.160:0.160) (0.084:0.084:0.084))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _283_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.200:0.200:0.200) (0.385:0.385:0.385))
- (IOPATH A2 X (0.160:0.160:0.160) (0.345:0.345:0.346))
- (IOPATH A3 X (0.134:0.134:0.134) (0.281:0.281:0.281))
- (IOPATH B1 X (0.156:0.157:0.157) (0.136:0.136:0.137))
+ (IOPATH A1 Y (0.114:0.115:0.116) (0.056:0.057:0.057))
+ (IOPATH A2 Y (0.116:0.119:0.122) (0.046:0.056:0.066))
+ (IOPATH B1 Y (0.098:0.105:0.111) (0.044:0.044:0.044))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
(INSTANCE _284_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.249:0.249:0.249) (0.294:0.294:0.294))
- (IOPATH A2 X (0.193:0.193:0.193) (0.259:0.259:0.259))
- (IOPATH B1 X (0.201:0.202:0.202) (0.174:0.174:0.175))
+ (IOPATH A Y (0.077:0.077:0.077) (0.073:0.073:0.073))
+ (IOPATH B Y (0.122:0.123:0.125) (0.094:0.105:0.116))
+ (IOPATH C Y (0.076:0.078:0.079) (0.073:0.073:0.074))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o41a_2")
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _285_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.163:0.163:0.163) (0.479:0.479:0.479))
- (IOPATH A2 X (0.170:0.170:0.170) (0.477:0.477:0.477))
- (IOPATH A3 X (0.188:0.188:0.188) (0.463:0.463:0.463))
- (IOPATH A4 X (0.134:0.134:0.134) (0.378:0.378:0.378))
- (IOPATH B1 X (0.138:0.139:0.140) (0.113:0.115:0.117))
+ (IOPATH A0 X (0.117:0.117:0.117) (0.276:0.276:0.276))
+ (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285))
+ (IOPATH S X (0.258:0.260:0.262) (0.345:0.360:0.374))
+ (IOPATH S X (0.173:0.200:0.227) (0.360:0.361:0.363))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o41a_2")
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _286_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.174:0.174:0.174) (0.494:0.494:0.494))
- (IOPATH A2 X (0.180:0.180:0.180) (0.492:0.492:0.492))
- (IOPATH A3 X (0.199:0.199:0.199) (0.478:0.478:0.478))
- (IOPATH A4 X (0.159:0.159:0.159) (0.397:0.397:0.397))
- (IOPATH B1 X (0.149:0.149:0.150) (0.120:0.122:0.124))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o41a_2")
- (INSTANCE _287_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.165:0.165:0.165) (0.482:0.482:0.482))
- (IOPATH A2 X (0.172:0.172:0.172) (0.480:0.480:0.480))
- (IOPATH A3 X (0.190:0.190:0.190) (0.466:0.466:0.466))
- (IOPATH A4 X (0.130:0.130:0.130) (0.363:0.363:0.363))
- (IOPATH B1 X (0.141:0.141:0.142) (0.115:0.116:0.118))
+ (IOPATH A0 X (0.116:0.116:0.116) (0.274:0.274:0.274))
+ (IOPATH A1 X (0.120:0.120:0.120) (0.286:0.286:0.286))
+ (IOPATH S X (0.198:0.206:0.213) (0.348:0.349:0.350))
+ (IOPATH S X (0.179:0.181:0.183) (0.309:0.315:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.100:0.100:0.100) (0.286:0.286:0.286))
+ (IOPATH B X (0.159:0.161:0.163) (0.272:0.278:0.284))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _288_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.118:0.118:0.118) (0.285:0.285:0.285))
- (IOPATH B X (0.122:0.123:0.123) (0.285:0.285:0.285))
+ (IOPATH A Y (0.398:0.398:0.398) (0.170:0.170:0.170))
+ (IOPATH B Y (0.000:0.000:0.000))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
(INSTANCE _289_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.171:0.171:0.171) (0.358:0.358:0.358))
- (IOPATH A2 X (0.178:0.178:0.178) (0.354:0.354:0.354))
- (IOPATH A3 X (0.187:0.187:0.187) (0.336:0.336:0.336))
- (IOPATH B1 X (0.155:0.155:0.156) (0.121:0.123:0.124))
+ (IOPATH A1 X (0.152:0.152:0.152) (0.205:0.205:0.205))
+ (IOPATH A2 X (0.338:0.338:0.338) (0.446:0.446:0.446))
+ (IOPATH B1 X (0.175:0.175:0.175) (0.288:0.288:0.288))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _290_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.161:0.161:0.161) (0.213:0.213:0.213))
- (IOPATH A2 X (0.192:0.192:0.192) (0.262:0.262:0.262))
- (IOPATH A3 X (0.175:0.175:0.175) (0.270:0.271:0.271))
- (IOPATH B1 X (0.169:0.169:0.169) (0.267:0.267:0.267))
+ (IOPATH A X (0.237:0.237:0.237) (0.581:0.581:0.581))
+ (IOPATH B X (0.197:0.197:0.197) (0.537:0.537:0.537))
+ (IOPATH C X (0.207:0.207:0.207) (0.506:0.506:0.506))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _291_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.145:0.145:0.145) (0.200:0.200:0.200))
- (IOPATH A2 X (0.176:0.176:0.176) (0.248:0.248:0.248))
- (IOPATH A3 X (0.173:0.173:0.173) (0.262:0.262:0.262))
- (IOPATH B1 X (0.152:0.152:0.152) (0.253:0.253:0.253))
+ (IOPATH A Y (0.241:0.241:0.241) (0.100:0.100:0.100))
+ (IOPATH B Y (0.194:0.194:0.194) (0.062:0.062:0.062))
)
)
)
@@ -2267,1107 +2185,1380 @@
(INSTANCE _292_)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.093:0.093:0.093) (0.071:0.071:0.071))
- (IOPATH B Y (0.096:0.096:0.096) (0.059:0.059:0.059))
+ (IOPATH A Y (0.171:0.171:0.171) (0.137:0.137:0.137))
+ (IOPATH B Y (0.163:0.168:0.173) (0.173:0.174:0.174))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__o311a_2")
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
(INSTANCE _293_)
(DELAY
(ABSOLUTE
- (IOPATH A1 X (0.216:0.216:0.216) (0.424:0.424:0.424))
- (IOPATH A2 X (0.186:0.186:0.186) (0.379:0.379:0.379))
- (IOPATH A3 X (0.177:0.177:0.178) (0.357:0.357:0.357))
- (IOPATH B1 X (0.249:0.249:0.249) (0.195:0.195:0.195))
- (IOPATH C1 X (0.175:0.175:0.175) (0.133:0.133:0.134))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__or3_2")
- (INSTANCE _294_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.140:0.140:0.140) (0.493:0.493:0.494))
- (IOPATH B X (0.145:0.145:0.145) (0.473:0.473:0.473))
- (IOPATH C X (0.160:0.160:0.160) (0.443:0.443:0.443))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o311a_2")
- (INSTANCE _295_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.221:0.221:0.221) (0.435:0.435:0.435))
- (IOPATH A2 X (0.198:0.198:0.198) (0.401:0.401:0.401))
- (IOPATH A3 X (0.165:0.165:0.165) (0.344:0.344:0.344))
- (IOPATH B1 X (0.172:0.172:0.172) (0.152:0.152:0.152))
- (IOPATH C1 X (0.169:0.170:0.170) (0.112:0.119:0.127))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o41a_2")
- (INSTANCE _296_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.211:0.211:0.211) (0.535:0.535:0.535))
- (IOPATH A2 X (0.171:0.171:0.171) (0.494:0.494:0.494))
- (IOPATH A3 X (0.185:0.185:0.185) (0.483:0.483:0.483))
- (IOPATH A4 X (0.186:0.186:0.186) (0.432:0.432:0.432))
- (IOPATH B1 X (0.155:0.155:0.156) (0.123:0.130:0.138))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__or4_2")
- (INSTANCE _297_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.145:0.145:0.145) (0.665:0.665:0.665))
- (IOPATH B X (0.160:0.160:0.160) (0.658:0.658:0.658))
- (IOPATH C X (0.137:0.137:0.137) (0.620:0.620:0.620))
- (IOPATH D X (0.159:0.159:0.159) (0.563:0.563:0.563))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o311a_2")
- (INSTANCE _298_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.201:0.201:0.201) (0.414:0.414:0.414))
- (IOPATH A2 X (0.184:0.184:0.184) (0.385:0.385:0.385))
- (IOPATH A3 X (0.146:0.146:0.146) (0.323:0.323:0.323))
- (IOPATH B1 X (0.150:0.151:0.151) (0.148:0.148:0.148))
- (IOPATH C1 X (0.153:0.154:0.154) (0.105:0.114:0.123))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__and2_2")
- (INSTANCE _299_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.123:0.123:0.124) (0.166:0.166:0.167))
- (IOPATH B X (0.133:0.133:0.133) (0.179:0.185:0.191))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o41a_2")
- (INSTANCE _300_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.149:0.149:0.149) (0.488:0.488:0.488))
- (IOPATH A2 X (0.157:0.157:0.157) (0.477:0.477:0.477))
- (IOPATH A3 X (0.177:0.177:0.177) (0.466:0.466:0.466))
- (IOPATH A4 X (0.149:0.149:0.149) (0.396:0.396:0.396))
- (IOPATH B1 X (0.135:0.135:0.136) (0.113:0.122:0.131))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__nor2_2")
- (INSTANCE _301_)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.125:0.125:0.125) (0.059:0.059:0.059))
- (IOPATH B Y (0.065:0.065:0.065) (0.031:0.031:0.031))
+ (IOPATH A_N X (0.507:0.507:0.507) (0.385:0.385:0.385))
+ (IOPATH B X (0.242:0.242:0.242) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.257:0.257:0.257) (0.255:0.255:0.255))
+ (IOPATH B X (0.278:0.278:0.279) (0.278:0.281:0.284))
+ (IOPATH C X (0.270:0.270:0.270) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.156:0.156:0.156) (0.227:0.227:0.227))
+ (IOPATH B1 X (0.151:0.151:0.151) (0.226:0.227:0.228))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.172:0.172:0.172) (0.068:0.068:0.068))
+ (IOPATH B Y (0.351:0.351:0.351) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.149:0.149:0.149) (0.313:0.313:0.313))
+ (IOPATH B X (0.135:0.136:0.137) (0.295:0.298:0.300))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.195:0.195:0.195) (0.544:0.544:0.544))
+ (IOPATH B X (0.165:0.165:0.165) (0.501:0.501:0.501))
+ (IOPATH C X (0.156:0.156:0.157) (0.470:0.470:0.470))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.394:0.394:0.394) (0.477:0.477:0.477))
+ (IOPATH A2 X (0.178:0.178:0.178) (0.275:0.275:0.275))
+ (IOPATH B1 X (0.210:0.211:0.212) (0.272:0.275:0.277))
+ (IOPATH B2 X (0.203:0.203:0.203) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.311:0.311:0.311) (0.434:0.434:0.434))
+ (IOPATH A2 X (0.158:0.158:0.158) (0.229:0.229:0.229))
+ (IOPATH B1 X (0.158:0.158:0.158) (0.234:0.234:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.257:0.257:0.257) (0.322:0.322:0.322))
+ (IOPATH A2 X (0.226:0.226:0.226) (0.304:0.304:0.304))
+ (IOPATH B1 X (0.253:0.254:0.254) (0.198:0.201:0.204))
+ (IOPATH C1 X (0.239:0.239:0.239) (0.161:0.161:0.161))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
(INSTANCE _302_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.270:0.270:0.270) (0.246:0.246:0.246))
- (IOPATH B X (0.215:0.215:0.215) (0.235:0.235:0.235))
- (IOPATH C X (0.222:0.222:0.222) (0.255:0.255:0.255))
+ (IOPATH A1 X (0.308:0.308:0.308) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.161:0.161:0.161) (0.230:0.230:0.230))
+ (IOPATH B1 X (0.142:0.142:0.142) (0.217:0.220:0.223))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.170:0.170:0.170) (0.350:0.350:0.351))
+ (IOPATH B X (0.165:0.165:0.166) (0.330:0.333:0.335))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.237:0.237:0.238) (0.307:0.309:0.312))
+ (IOPATH A2 X (0.240:0.241:0.241) (0.305:0.307:0.310))
+ (IOPATH B1 X (0.247:0.247:0.247) (0.178:0.178:0.178))
+ (IOPATH C1 X (0.213:0.213:0.213) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.160:0.160:0.160) (0.230:0.230:0.230))
+ (IOPATH B1 X (0.143:0.143:0.143) (0.218:0.221:0.223))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.399:0.399:0.399) (0.481:0.481:0.481))
+ (IOPATH A2 X (0.187:0.187:0.187) (0.281:0.281:0.281))
+ (IOPATH B1 X (0.233:0.233:0.233) (0.313:0.313:0.313))
+ (IOPATH B2 X (0.185:0.185:0.185) (0.284:0.284:0.284))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.225:0.225:0.225) (0.569:0.569:0.569))
+ (IOPATH B X (0.185:0.185:0.185) (0.525:0.525:0.525))
+ (IOPATH C X (0.159:0.159:0.159) (0.477:0.477:0.477))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.142:0.142:0.142) (0.316:0.316:0.317))
+ (IOPATH B X (0.113:0.113:0.114) (0.292:0.292:0.292))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.403:0.403:0.403) (0.483:0.483:0.483))
+ (IOPATH A2 X (0.192:0.192:0.192) (0.285:0.285:0.285))
+ (IOPATH B1 X (0.205:0.205:0.205) (0.257:0.258:0.258))
+ (IOPATH B2 X (0.176:0.176:0.176) (0.267:0.267:0.267))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.412:0.412:0.412) (0.489:0.489:0.489))
+ (IOPATH A2 X (0.201:0.201:0.201) (0.291:0.291:0.291))
+ (IOPATH B1 X (0.220:0.220:0.220) (0.268:0.268:0.268))
+ (IOPATH B2 X (0.195:0.195:0.195) (0.280:0.280:0.280))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.177:0.177:0.177) (0.260:0.260:0.260))
+ (IOPATH A2 X (0.144:0.144:0.144) (0.244:0.244:0.245))
+ (IOPATH B1 X (0.169:0.170:0.171) (0.224:0.226:0.228))
+ (IOPATH B2 X (0.145:0.146:0.146) (0.196:0.200:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.409:0.409:0.409) (0.487:0.487:0.487))
+ (IOPATH A2 X (0.197:0.197:0.197) (0.289:0.289:0.289))
+ (IOPATH B1 X (0.202:0.202:0.202) (0.252:0.255:0.258))
+ (IOPATH B2 X (0.182:0.182:0.182) (0.264:0.265:0.265))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.106:0.106:0.106) (0.165:0.165:0.165))
+ (IOPATH B Y (0.264:0.264:0.264) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_2")
- (INSTANCE _303_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.163:0.163:0.163) (0.350:0.350:0.350))
- (IOPATH A2 X (0.170:0.170:0.170) (0.346:0.346:0.346))
- (IOPATH A3 X (0.156:0.156:0.156) (0.306:0.306:0.306))
- (IOPATH B1 X (0.135:0.136:0.136) (0.111:0.112:0.113))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__o311a_2")
- (INSTANCE _304_)
- (DELAY
- (ABSOLUTE
- (IOPATH A1 X (0.176:0.176:0.176) (0.377:0.377:0.377))
- (IOPATH A2 X (0.161:0.161:0.161) (0.356:0.356:0.356))
- (IOPATH A3 X (0.159:0.159:0.159) (0.336:0.336:0.336))
- (IOPATH B1 X (0.229:0.229:0.229) (0.182:0.182:0.182))
- (IOPATH C1 X (0.155:0.155:0.155) (0.121:0.122:0.122))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _305_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.162:0.162:0.162) (0.144:0.175:0.205))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _306_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.162:0.162:0.162) (0.144:0.175:0.205))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _307_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.162:0.162:0.162) (0.144:0.175:0.205))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _308_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.163:0.164:0.164) (0.145:0.176:0.206))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _309_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.160:0.161:0.161) (0.144:0.174:0.205))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _310_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.163:0.163:0.163) (0.145:0.175:0.206))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _311_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.160:0.160:0.160) (0.143:0.174:0.204))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _312_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.163:0.164:0.164) (0.145:0.176:0.206))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
- (INSTANCE _313_)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.160:0.160:0.160) (0.143:0.174:0.204))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
(INSTANCE _314_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.160:0.160:0.160) (0.144:0.174:0.204))
+ (IOPATH A1 X (0.370:0.370:0.370) (0.605:0.605:0.605))
+ (IOPATH A2 X (0.218:0.219:0.219) (0.392:0.392:0.393))
+ (IOPATH A3 X (0.240:0.240:0.240) (0.342:0.343:0.343))
+ (IOPATH B1 X (0.200:0.217:0.235) (0.151:0.178:0.205))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _315_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.161:0.161:0.161) (0.144:0.175:0.205))
+ (IOPATH A X (0.177:0.177:0.177) (0.310:0.310:0.310))
+ (IOPATH B X (0.133:0.134:0.134) (0.314:0.314:0.314))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
(INSTANCE _316_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.162:0.162:0.163) (0.145:0.175:0.206))
+ (IOPATH A1 X (0.289:0.289:0.290) (0.329:0.331:0.334))
+ (IOPATH A2 X (0.287:0.287:0.287) (0.336:0.336:0.336))
+ (IOPATH A3 X (0.260:0.261:0.261) (0.323:0.323:0.323))
+ (IOPATH B1 X (0.207:0.207:0.207) (0.263:0.263:0.263))
+ (IOPATH B2 X (0.357:0.357:0.357) (0.533:0.533:0.533))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _317_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.161:0.161:0.161) (0.144:0.174:0.205))
+ (IOPATH A1 X (0.382:0.382:0.382) (0.474:0.474:0.474))
+ (IOPATH A2 X (0.171:0.171:0.171) (0.275:0.275:0.275))
+ (IOPATH B1 X (0.192:0.192:0.192) (0.253:0.253:0.253))
+ (IOPATH B2 X (0.156:0.156:0.156) (0.258:0.258:0.258))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _318_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.161:0.161:0.161) (0.144:0.175:0.205))
+ (IOPATH A X (0.156:0.156:0.156) (0.322:0.322:0.322))
+ (IOPATH B X (0.119:0.119:0.120) (0.301:0.301:0.301))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _319_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.161:0.161:0.161) (0.144:0.175:0.205))
+ (IOPATH A1 X (0.381:0.381:0.381) (0.469:0.469:0.469))
+ (IOPATH A2 X (0.170:0.170:0.170) (0.270:0.270:0.270))
+ (IOPATH B1 X (0.184:0.184:0.184) (0.243:0.244:0.245))
+ (IOPATH B2 X (0.157:0.157:0.157) (0.255:0.255:0.255))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
(INSTANCE _320_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.166:0.166:0.166) (0.147:0.178:0.208))
+ (IOPATH A1 X (0.154:0.154:0.154) (0.311:0.311:0.311))
+ (IOPATH A2 X (0.156:0.156:0.156) (0.354:0.354:0.354))
+ (IOPATH B1 X (0.128:0.128:0.128) (0.320:0.320:0.320))
+ (IOPATH C1 X (0.108:0.108:0.108) (0.272:0.272:0.272))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
(INSTANCE _321_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.162:0.162:0.162) (0.144:0.175:0.205))
+ (IOPATH A1 Y (0.157:0.157:0.157) (0.090:0.090:0.090))
+ (IOPATH A2 Y (0.107:0.108:0.108) (0.072:0.072:0.072))
+ (IOPATH B1 Y (0.050:0.054:0.059) (0.078:0.078:0.078))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
(INSTANCE _322_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.167:0.167:0.167) (0.147:0.178:0.209))
+ (IOPATH A1 X (0.210:0.210:0.210) (0.287:0.287:0.287))
+ (IOPATH A2 X (0.175:0.175:0.175) (0.243:0.243:0.243))
+ (IOPATH B1 X (0.156:0.156:0.157) (0.126:0.126:0.127))
+ (IOPATH C1 X (0.151:0.159:0.166) (0.111:0.113:0.115))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _323_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.161:0.161:0.161) (0.144:0.174:0.205))
+ (IOPATH A Y (0.093:0.093:0.093) (0.076:0.076:0.076))
+ (IOPATH B Y (0.066:0.071:0.076) (0.080:0.080:0.080))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _324_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.164:0.164:0.164) (0.146:0.176:0.207))
+ (IOPATH A X (0.146:0.146:0.146) (0.331:0.331:0.331))
+ (IOPATH B X (0.149:0.149:0.149) (0.317:0.317:0.317))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _325_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.168:0.168:0.168) (0.148:0.179:0.209))
+ (IOPATH A X (0.163:0.163:0.163) (0.293:0.293:0.294))
+ (IOPATH B X (0.094:0.096:0.097) (0.268:0.270:0.273))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _326_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.121:0.121:0.121) (0.133:0.133:0.133))
+ (IOPATH A1 X (0.388:0.388:0.388) (0.475:0.475:0.475))
+ (IOPATH A2 X (0.177:0.177:0.177) (0.277:0.277:0.277))
+ (IOPATH B1 X (0.182:0.182:0.182) (0.240:0.243:0.246))
+ (IOPATH B2 X (0.165:0.165:0.166) (0.249:0.252:0.255))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_1")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _327_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.160:0.160:0.160) (0.143:0.174:0.204))
+ (IOPATH A X (0.139:0.139:0.139) (0.310:0.310:0.310))
+ (IOPATH B X (0.141:0.141:0.141) (0.300:0.300:0.300))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__buf_2")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _328_)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.215:0.215:0.215) (0.198:0.198:0.198))
+ (IOPATH A X (0.201:0.201:0.201) (0.336:0.337:0.337))
+ (IOPATH B X (0.151:0.151:0.152) (0.337:0.337:0.337))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
(INSTANCE _329_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.123:0.123:0.124) (0.295:0.295:0.295))
- (IOPATH A1 X (0.121:0.121:0.121) (0.292:0.292:0.292))
- (IOPATH S X (0.242:0.242:0.242) (0.349:0.349:0.349))
- (IOPATH S X (0.185:0.185:0.185) (0.344:0.344:0.344))
+ (IOPATH A1 X (0.126:0.126:0.127) (0.211:0.211:0.211))
+ (IOPATH A2 X (0.144:0.145:0.146) (0.232:0.234:0.236))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.200:0.204:0.207))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
(INSTANCE _330_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.000:0.000:0.000))
- (IOPATH A1 X (0.233:0.233:0.233) (0.371:0.371:0.371))
- (IOPATH S X (0.524:0.524:0.524) (0.476:0.476:0.476))
- (IOPATH S X (0.392:0.392:0.392) (0.632:0.632:0.632))
+ (IOPATH A1 X (0.185:0.185:0.185) (0.268:0.268:0.268))
+ (IOPATH A2 X (0.170:0.170:0.170) (0.249:0.249:0.249))
+ (IOPATH B1 X (0.166:0.166:0.166) (0.139:0.139:0.140))
+ (IOPATH C1 X (0.152:0.152:0.153) (0.119:0.119:0.119))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
(INSTANCE _331_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.183:0.184:0.184) (0.330:0.330:0.331))
- (IOPATH A1 X (0.181:0.181:0.181) (0.336:0.336:0.336))
- (IOPATH S X (0.474:0.474:0.474) (0.441:0.441:0.441))
- (IOPATH S X (0.341:0.341:0.341) (0.598:0.598:0.598))
+ (IOPATH A1 X (0.150:0.151:0.151) (0.238:0.241:0.243))
+ (IOPATH A2 X (0.153:0.153:0.154) (0.241:0.243:0.246))
+ (IOPATH B1 X (0.139:0.139:0.139) (0.215:0.215:0.215))
+ (IOPATH B2 X (0.140:0.140:0.141) (0.192:0.196:0.200))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
(INSTANCE _332_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.212:0.212:0.212) (0.359:0.359:0.359))
- (IOPATH A1 X (0.234:0.234:0.234) (0.370:0.370:0.370))
- (IOPATH S X (0.517:0.517:0.517) (0.470:0.470:0.470))
- (IOPATH S X (0.384:0.384:0.384) (0.627:0.627:0.627))
+ (IOPATH A X (0.214:0.214:0.214) (0.247:0.247:0.247))
+ (IOPATH B X (0.168:0.168:0.168) (0.202:0.202:0.202))
+ (IOPATH C X (0.160:0.160:0.160) (0.193:0.193:0.194))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
(INSTANCE _333_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.175:0.175:0.175) (0.324:0.324:0.324))
- (IOPATH A1 X (0.181:0.181:0.181) (0.337:0.337:0.337))
- (IOPATH S X (0.476:0.476:0.476) (0.443:0.443:0.443))
- (IOPATH S X (0.343:0.343:0.343) (0.599:0.599:0.599))
+ (IOPATH A X (0.152:0.152:0.152) (0.158:0.161:0.164))
+ (IOPATH B X (0.155:0.155:0.155) (0.181:0.181:0.181))
+ (IOPATH C X (0.165:0.166:0.166) (0.196:0.196:0.197))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
(INSTANCE _334_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.217:0.218:0.219) (0.354:0.360:0.366))
- (IOPATH A1 X (0.239:0.239:0.239) (0.373:0.373:0.373))
- (IOPATH S X (0.522:0.522:0.522) (0.474:0.474:0.474))
- (IOPATH S X (0.389:0.389:0.389) (0.631:0.631:0.631))
+ (IOPATH A1 X (0.244:0.244:0.244) (0.302:0.302:0.302))
+ (IOPATH A2 X (0.257:0.269:0.280) (0.303:0.337:0.370))
+ (IOPATH A3 X (0.228:0.228:0.228) (0.305:0.305:0.305))
+ (IOPATH B1 X (0.178:0.178:0.178) (0.249:0.249:0.249))
+ (IOPATH B2 X (0.327:0.327:0.327) (0.520:0.520:0.520))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
(INSTANCE _335_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.186:0.187:0.188) (0.331:0.339:0.346))
- (IOPATH A1 X (0.182:0.182:0.182) (0.338:0.338:0.338))
- (IOPATH S X (0.479:0.479:0.479) (0.445:0.445:0.445))
- (IOPATH S X (0.345:0.345:0.345) (0.601:0.601:0.601))
+ (IOPATH A1 X (0.179:0.179:0.180) (0.264:0.267:0.271))
+ (IOPATH A2 X (0.152:0.152:0.152) (0.254:0.254:0.254))
+ (IOPATH B1 X (0.144:0.144:0.144) (0.215:0.215:0.215))
+ (IOPATH B2 X (0.168:0.168:0.168) (0.220:0.220:0.221))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
(INSTANCE _336_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.219:0.219:0.219) (0.354:0.358:0.362))
- (IOPATH A1 X (0.240:0.240:0.240) (0.373:0.373:0.373))
- (IOPATH S X (0.520:0.520:0.520) (0.473:0.473:0.473))
- (IOPATH S X (0.388:0.388:0.388) (0.630:0.630:0.630))
+ (IOPATH A X (0.230:0.230:0.230) (0.213:0.213:0.214))
+ (IOPATH B X (0.218:0.219:0.219) (0.238:0.238:0.238))
+ (IOPATH C X (0.215:0.216:0.216) (0.235:0.235:0.236))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
(INSTANCE _337_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.182:0.183:0.183) (0.328:0.333:0.339))
- (IOPATH A1 X (0.184:0.184:0.184) (0.339:0.339:0.339))
- (IOPATH S X (0.479:0.479:0.479) (0.445:0.445:0.445))
- (IOPATH S X (0.346:0.346:0.346) (0.602:0.602:0.602))
+ (IOPATH A1 X (0.323:0.323:0.323) (0.444:0.444:0.444))
+ (IOPATH A2 X (0.173:0.173:0.173) (0.240:0.240:0.240))
+ (IOPATH B1 X (0.153:0.153:0.153) (0.231:0.232:0.232))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
(INSTANCE _338_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.200:0.200:0.200) (0.340:0.341:0.342))
- (IOPATH A1 X (0.215:0.215:0.215) (0.354:0.354:0.354))
- (IOPATH S X (0.497:0.497:0.497) (0.455:0.455:0.455))
- (IOPATH S X (0.364:0.364:0.364) (0.612:0.612:0.612))
+ (IOPATH A1 X (0.226:0.226:0.226) (0.316:0.316:0.316))
+ (IOPATH A2 X (0.218:0.218:0.218) (0.312:0.312:0.312))
+ (IOPATH B1 X (0.202:0.202:0.202) (0.269:0.269:0.269))
+ (IOPATH B2 X (0.224:0.224:0.225) (0.266:0.270:0.274))
+ (IOPATH C1 X (0.194:0.195:0.195) (0.148:0.148:0.148))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _339_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.172:0.172:0.173) (0.322:0.326:0.330))
- (IOPATH A1 X (0.184:0.184:0.184) (0.337:0.337:0.337))
- (IOPATH S X (0.473:0.473:0.473) (0.440:0.440:0.440))
- (IOPATH S X (0.340:0.340:0.340) (0.597:0.597:0.597))
+ (IOPATH A X (0.137:0.138:0.139) (0.322:0.324:0.326))
+ (IOPATH B X (0.117:0.117:0.117) (0.296:0.296:0.296))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
(INSTANCE _340_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.195:0.195:0.196) (0.346:0.346:0.346))
- (IOPATH A1 X (0.210:0.210:0.210) (0.353:0.353:0.353))
- (IOPATH S X (0.498:0.498:0.498) (0.456:0.456:0.456))
- (IOPATH S X (0.365:0.365:0.365) (0.612:0.612:0.612))
+ (IOPATH A X (0.189:0.189:0.190) (0.181:0.185:0.188))
+ (IOPATH B X (0.178:0.178:0.178) (0.199:0.199:0.200))
+ (IOPATH C X (0.185:0.185:0.185) (0.223:0.223:0.223))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
(INSTANCE _341_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.184:0.184:0.185) (0.330:0.334:0.338))
- (IOPATH A1 X (0.188:0.188:0.188) (0.341:0.341:0.341))
- (IOPATH S X (0.480:0.480:0.480) (0.446:0.446:0.446))
- (IOPATH S X (0.347:0.347:0.347) (0.603:0.603:0.603))
+ (IOPATH A1 X (0.217:0.218:0.219) (0.284:0.284:0.284))
+ (IOPATH A2 X (0.237:0.237:0.237) (0.303:0.306:0.309))
+ (IOPATH A3 X (0.238:0.238:0.238) (0.301:0.302:0.303))
+ (IOPATH B1 X (0.182:0.182:0.182) (0.251:0.251:0.251))
+ (IOPATH B2 X (0.330:0.330:0.330) (0.521:0.521:0.521))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _342_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.196:0.197:0.198) (0.337:0.341:0.345))
- (IOPATH A1 X (0.207:0.207:0.207) (0.351:0.351:0.351))
- (IOPATH S X (0.497:0.497:0.497) (0.455:0.455:0.455))
- (IOPATH S X (0.364:0.364:0.364) (0.612:0.612:0.612))
+ (IOPATH A1 X (0.405:0.405:0.405) (0.487:0.487:0.487))
+ (IOPATH A2 X (0.191:0.191:0.191) (0.286:0.286:0.286))
+ (IOPATH B1 X (0.205:0.205:0.205) (0.270:0.270:0.270))
+ (IOPATH B2 X (0.211:0.223:0.234) (0.267:0.299:0.330))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _343_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.194:0.195:0.196) (0.333:0.336:0.340))
- (IOPATH A1 X (0.205:0.205:0.205) (0.352:0.352:0.352))
- (IOPATH S X (0.489:0.489:0.489) (0.453:0.453:0.453))
- (IOPATH S X (0.356:0.356:0.356) (0.609:0.609:0.609))
+ (IOPATH A1 X (0.391:0.391:0.391) (0.477:0.477:0.477))
+ (IOPATH A2 X (0.181:0.181:0.181) (0.279:0.279:0.279))
+ (IOPATH B1 X (0.193:0.193:0.193) (0.251:0.252:0.252))
+ (IOPATH B2 X (0.178:0.178:0.179) (0.271:0.271:0.272))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
(INSTANCE _344_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.198:0.199:0.199) (0.339:0.345:0.352))
- (IOPATH A1 X (0.205:0.205:0.205) (0.351:0.351:0.351))
- (IOPATH S X (0.499:0.499:0.499) (0.457:0.457:0.457))
- (IOPATH S X (0.366:0.366:0.366) (0.613:0.613:0.613))
+ (IOPATH A1 Y (0.159:0.159:0.159) (0.084:0.084:0.084))
+ (IOPATH A2 Y (0.147:0.147:0.147) (0.076:0.076:0.076))
+ (IOPATH B1 Y (0.084:0.084:0.084) (0.079:0.079:0.079))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _345_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.196:0.196:0.197) (0.341:0.348:0.354))
- (IOPATH A1 X (0.201:0.201:0.201) (0.353:0.353:0.353))
- (IOPATH S X (0.497:0.497:0.497) (0.459:0.459:0.459))
- (IOPATH S X (0.364:0.364:0.364) (0.616:0.616:0.616))
+ (IOPATH A Y (0.104:0.104:0.104) (0.090:0.090:0.090))
+ (IOPATH B Y (0.075:0.076:0.078) (0.060:0.066:0.072))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
(INSTANCE _346_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.227:0.227:0.228) (0.364:0.364:0.365))
- (IOPATH A1 X (0.200:0.200:0.200) (0.348:0.348:0.348))
- (IOPATH S X (0.497:0.497:0.497) (0.455:0.455:0.455))
- (IOPATH S X (0.365:0.365:0.365) (0.612:0.612:0.612))
+ (IOPATH A1 X (0.200:0.200:0.200) (0.289:0.289:0.289))
+ (IOPATH A2 X (0.200:0.200:0.200) (0.282:0.283:0.284))
+ (IOPATH A3 X (0.207:0.208:0.209) (0.283:0.290:0.298))
+ (IOPATH B1 X (0.154:0.154:0.154) (0.234:0.234:0.234))
+ (IOPATH B2 X (0.298:0.298:0.298) (0.503:0.503:0.503))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _347_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.228:0.228:0.228) (0.367:0.368:0.368))
- (IOPATH A1 X (0.235:0.235:0.235) (0.378:0.378:0.378))
- (IOPATH S X (0.528:0.528:0.528) (0.483:0.483:0.483))
- (IOPATH S X (0.396:0.396:0.396) (0.640:0.640:0.640))
+ (IOPATH A X (0.159:0.159:0.159) (0.488:0.488:0.488))
+ (IOPATH B X (0.130:0.130:0.130) (0.445:0.445:0.445))
+ (IOPATH C X (0.103:0.103:0.103) (0.407:0.407:0.407))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _348_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.218:0.219:0.219) (0.347:0.349:0.350))
- (IOPATH A1 X (0.200:0.200:0.200) (0.348:0.348:0.348))
- (IOPATH S X (0.498:0.498:0.498) (0.456:0.456:0.456))
- (IOPATH S X (0.365:0.365:0.365) (0.612:0.612:0.612))
+ (IOPATH A1 X (0.387:0.387:0.387) (0.475:0.475:0.475))
+ (IOPATH A2 X (0.173:0.173:0.173) (0.274:0.274:0.274))
+ (IOPATH B1 X (0.177:0.177:0.177) (0.242:0.243:0.244))
+ (IOPATH B2 X (0.160:0.161:0.161) (0.266:0.266:0.266))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _349_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.213:0.214:0.215) (0.352:0.357:0.362))
- (IOPATH A1 X (0.221:0.221:0.221) (0.365:0.365:0.365))
- (IOPATH S X (0.510:0.510:0.510) (0.469:0.469:0.469))
- (IOPATH S X (0.377:0.377:0.377) (0.625:0.625:0.625))
+ (IOPATH A X (0.153:0.153:0.153) (0.319:0.319:0.319))
+ (IOPATH B X (0.097:0.098:0.100) (0.271:0.274:0.276))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
(INSTANCE _350_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.198:0.198:0.199) (0.337:0.341:0.345))
- (IOPATH A1 X (0.199:0.199:0.199) (0.347:0.347:0.347))
- (IOPATH S X (0.495:0.495:0.495) (0.454:0.454:0.454))
- (IOPATH S X (0.363:0.363:0.363) (0.610:0.610:0.610))
+ (IOPATH A1 X (0.196:0.196:0.196) (0.258:0.262:0.265))
+ (IOPATH A2 X (0.202:0.202:0.202) (0.284:0.284:0.285))
+ (IOPATH A3 X (0.198:0.198:0.198) (0.287:0.287:0.288))
+ (IOPATH B1 X (0.154:0.154:0.154) (0.234:0.234:0.234))
+ (IOPATH B2 X (0.295:0.295:0.295) (0.501:0.501:0.501))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
(INSTANCE _351_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.189:0.189:0.190) (0.335:0.340:0.344))
- (IOPATH A1 X (0.201:0.201:0.201) (0.350:0.350:0.350))
- (IOPATH S X (0.491:0.491:0.491) (0.455:0.455:0.455))
- (IOPATH S X (0.358:0.358:0.358) (0.611:0.611:0.611))
+ (IOPATH A1 X (0.295:0.295:0.295) (0.425:0.425:0.425))
+ (IOPATH A2 X (0.144:0.144:0.144) (0.220:0.220:0.220))
+ (IOPATH B1 X (0.143:0.152:0.160) (0.208:0.240:0.272))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
(INSTANCE _352_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.208:0.209:0.210) (0.355:0.355:0.356))
- (IOPATH A1 X (0.202:0.202:0.202) (0.349:0.349:0.349))
- (IOPATH S X (0.497:0.497:0.497) (0.455:0.455:0.455))
- (IOPATH S X (0.364:0.364:0.364) (0.611:0.611:0.611))
+ (IOPATH A_N X (0.404:0.404:0.404) (0.308:0.308:0.308))
+ (IOPATH B X (0.203:0.203:0.203) (0.218:0.218:0.218))
+ (IOPATH C X (0.218:0.218:0.218) (0.246:0.246:0.246))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
(INSTANCE _353_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.179:0.180:0.181) (0.331:0.331:0.332))
- (IOPATH A1 X (0.196:0.196:0.196) (0.346:0.346:0.346))
- (IOPATH S X (0.482:0.482:0.482) (0.448:0.448:0.448))
- (IOPATH S X (0.349:0.349:0.349) (0.604:0.604:0.604))
+ (IOPATH A1 X (0.293:0.293:0.293) (0.423:0.423:0.423))
+ (IOPATH A2 X (0.139:0.139:0.139) (0.216:0.216:0.216))
+ (IOPATH B1 X (0.112:0.112:0.112) (0.204:0.205:0.205))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _354_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.137:0.138:0.139) (0.297:0.301:0.305))
- (IOPATH A1 X (0.152:0.152:0.152) (0.313:0.313:0.313))
- (IOPATH S X (0.440:0.440:0.440) (0.416:0.416:0.416))
- (IOPATH S X (0.304:0.304:0.304) (0.571:0.571:0.571))
+ (IOPATH A1 X (0.378:0.378:0.378) (0.468:0.468:0.468))
+ (IOPATH A2 X (0.173:0.173:0.173) (0.272:0.272:0.272))
+ (IOPATH B1 X (0.169:0.169:0.169) (0.235:0.236:0.237))
+ (IOPATH B2 X (0.159:0.159:0.159) (0.256:0.256:0.256))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _355_)
(DELAY
(ABSOLUTE
- (IOPATH A0 X (0.177:0.177:0.178) (0.326:0.330:0.335))
- (IOPATH A1 X (0.201:0.201:0.201) (0.347:0.347:0.347))
- (IOPATH S X (0.479:0.479:0.479) (0.446:0.446:0.446))
- (IOPATH S X (0.346:0.346:0.346) (0.602:0.602:0.602))
+ (IOPATH A Y (0.528:0.528:0.528) (0.393:0.393:0.393))
+ (IOPATH B Y (0.524:0.524:0.524) (0.391:0.391:0.391))
)
)
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _356_)
(DELAY
(ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.351:0.351:0.351) (0.398:0.398:0.398))
+ (IOPATH A Y (0.275:0.275:0.275) (0.076:0.076:0.076))
+ (IOPATH B Y (0.216:0.216:0.216) (0.055:0.055:0.055))
)
)
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.341:0.341:0.341))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225))
- (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
- (HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
- (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
- (SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
- )
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _357_)
(DELAY
(ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.369:0.369:0.369) (0.413:0.413:0.413))
+ (IOPATH A Y (0.276:0.276:0.276) (0.077:0.077:0.077))
+ (IOPATH B Y (0.218:0.218:0.218) (0.056:0.056:0.056))
)
)
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226))
- (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
- (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
- (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
- (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
- )
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _358_)
(DELAY
(ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.356:0.356:0.356) (0.401:0.401:0.401))
+ (IOPATH A Y (0.280:0.280:0.280) (0.080:0.080:0.080))
+ (IOPATH B Y (0.222:0.222:0.222) (0.059:0.059:0.059))
)
)
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
- (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
- (SETUP (posedge D) (posedge CLK) (0.059:0.059:0.059))
- (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
- )
)
(CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _359_)
(DELAY
(ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.392:0.392:0.392) (0.431:0.431:0.431))
+ (IOPATH A Y (0.276:0.276:0.276) (0.077:0.077:0.077))
+ (IOPATH B Y (0.217:0.218:0.218) (0.056:0.056:0.056))
)
)
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.340:0.340))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225))
- (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.027))
- (HOLD (negedge D) (posedge CLK) (-0.032:-0.033:-0.034))
- (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.055))
- (SETUP (negedge D) (posedge CLK) (0.105:0.106:0.108))
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.076:0.076:0.076))
+ (IOPATH B Y (0.216:0.216:0.217) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.081:0.081:0.081))
+ (IOPATH B Y (0.223:0.223:0.223) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.276:0.276:0.276) (0.077:0.077:0.077))
+ (IOPATH B Y (0.217:0.217:0.218) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.080:0.080:0.080))
+ (IOPATH B Y (0.221:0.221:0.221) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.079:0.079:0.079))
+ (IOPATH B Y (0.220:0.220:0.220) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.080:0.080:0.080))
+ (IOPATH B Y (0.222:0.222:0.222) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.287:0.287:0.287) (0.086:0.086:0.086))
+ (IOPATH B Y (0.229:0.229:0.229) (0.064:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.074:0.074:0.074))
+ (IOPATH B Y (0.214:0.215:0.215) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.278:0.278:0.278) (0.079:0.079:0.079))
+ (IOPATH B Y (0.219:0.220:0.220) (0.058:0.058:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.283:0.283:0.283) (0.083:0.083:0.083))
+ (IOPATH B Y (0.224:0.225:0.225) (0.061:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.272:0.272:0.272) (0.073:0.073:0.073))
+ (IOPATH B Y (0.213:0.213:0.213) (0.053:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.076:0.076:0.076))
+ (IOPATH B Y (0.216:0.216:0.216) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.285:0.285:0.285) (0.084:0.084:0.084))
+ (IOPATH B Y (0.226:0.226:0.227) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.285:0.285:0.285) (0.084:0.084:0.084))
+ (IOPATH B Y (0.226:0.226:0.226) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.274:0.274:0.274) (0.075:0.075:0.075))
+ (IOPATH B Y (0.215:0.215:0.215) (0.055:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.274:0.274:0.274) (0.075:0.075:0.075))
+ (IOPATH B Y (0.215:0.215:0.216) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.074:0.074:0.074))
+ (IOPATH B Y (0.214:0.214:0.214) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.278:0.278:0.278) (0.078:0.078:0.078))
+ (IOPATH B Y (0.219:0.219:0.220) (0.058:0.057:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.081:0.081:0.081))
+ (IOPATH B Y (0.222:0.223:0.223) (0.060:0.060:0.059))
+ )
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _360_)
+ (INSTANCE _379_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.374:0.374:0.374) (0.417:0.417:0.417))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.422:0.422:0.422) (0.452:0.452:0.452))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
- (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.035))
- (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _361_)
+ (INSTANCE _380_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.370:0.370:0.370) (0.414:0.414:0.414))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.421:0.421:0.421) (0.451:0.451:0.451))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.340:0.340:0.340))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.225:-0.225:-0.225))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.205))
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.029:-0.029))
- (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.056))
- (SETUP (negedge D) (posedge CLK) (0.101:0.102:0.103))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _362_)
+ (INSTANCE _381_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.363:0.363:0.363) (0.408:0.408:0.408))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.444:0.444:0.444) (0.466:0.466:0.466))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.475:0.475:0.475) (0.489:0.489:0.489))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.031:-0.033))
+ (HOLD (negedge D) (posedge CLK) (-0.030:-0.043:-0.056))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.059:0.062))
+ (SETUP (negedge D) (posedge CLK) (0.103:0.117:0.132))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.459:0.459:0.459) (0.477:0.477:0.477))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.032:-0.034:-0.035))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.032:-0.039))
+ (SETUP (posedge D) (posedge CLK) (0.061:0.062:0.064))
+ (SETUP (negedge D) (posedge CLK) (0.097:0.105:0.113))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.418:0.418:0.418) (0.449:0.449:0.449))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.034:-0.036:-0.037))
+ (HOLD (negedge D) (posedge CLK) (-0.025:-0.033:-0.040))
+ (SETUP (posedge D) (posedge CLK) (0.063:0.065:0.066))
+ (SETUP (negedge D) (posedge CLK) (0.098:0.106:0.114))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.408:0.408:0.408) (0.443:0.443:0.443))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.025:-0.028:-0.031))
- (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
(SETUP (negedge D) (posedge CLK) (0.097:0.101:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _363_)
+ (INSTANCE _386_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.425:0.425:0.425) (0.455:0.455:0.455))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.423:0.423:0.423) (0.453:0.453:0.453))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.035))
- (HOLD (negedge D) (posedge CLK) (-0.025:-0.029:-0.034))
- (SETUP (posedge D) (posedge CLK) (0.060:0.062:0.064))
- (SETUP (negedge D) (posedge CLK) (0.097:0.102:0.107))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.025:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _364_)
+ (INSTANCE _387_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.415:0.415:0.415) (0.447:0.447:0.447))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.380:0.380:0.380) (0.421:0.421:0.421))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
- (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
- (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
+ (SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _365_)
+ (INSTANCE _388_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.390:0.390:0.390) (0.430:0.430:0.430))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.394:0.394:0.394) (0.434:0.434:0.434))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.032:-0.036))
- (SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072))
- (SETUP (negedge D) (posedge CLK) (0.101:0.105:0.109))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _366_)
+ (INSTANCE _389_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.427:0.427:0.427) (0.455:0.455:0.455))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.422:0.422:0.422) (0.452:0.452:0.452))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.203:-0.203))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.026:-0.029:-0.032))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
- (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.102))
+ (SETUP (negedge D) (posedge CLK) (0.099:0.102:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _367_)
+ (INSTANCE _390_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.501:0.501:0.501) (0.503:0.503:0.503))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.490:0.490:0.490) (0.496:0.496:0.496))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
- (HOLD (negedge D) (posedge CLK) (-0.025:-0.028:-0.031))
- (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
- (SETUP (negedge D) (posedge CLK) (0.098:0.101:0.104))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.035:-0.043))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.030:-0.033))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.064:0.073))
+ (SETUP (negedge D) (posedge CLK) (0.100:0.104:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _368_)
+ (INSTANCE _391_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.463:0.463:0.463) (0.479:0.479:0.479))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.490:0.490:0.490) (0.496:0.496:0.496))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.228:-0.228:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
- (HOLD (negedge D) (posedge CLK) (-0.030:-0.031:-0.031))
- (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058))
- (SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _369_)
- (DELAY
- (ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.413:0.413:0.413) (0.446:0.446:0.446))
- )
- )
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226))
- (HOLD (posedge D) (posedge CLK) (-0.027:-0.032:-0.037))
- (HOLD (negedge D) (posedge CLK) (-0.025:-0.028:-0.030))
- (SETUP (posedge D) (posedge CLK) (0.055:0.061:0.066))
- (SETUP (negedge D) (posedge CLK) (0.098:0.101:0.103))
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _370_)
- (DELAY
- (ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.580:0.580:0.580) (0.543:0.543:0.543))
- )
- )
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.030:-0.036:-0.042))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.032:-0.036))
- (SETUP (posedge D) (posedge CLK) (0.058:0.065:0.071))
- (SETUP (negedge D) (posedge CLK) (0.101:0.105:0.109))
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _371_)
- (DELAY
- (ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.374:0.374:0.374) (0.417:0.417:0.417))
- )
- )
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.026))
- (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
- (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _372_)
+ (INSTANCE _392_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.467:0.467:0.467) (0.483:0.483:0.483))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.376:0.376:0.376) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.523:0.523:0.523) (0.514:0.514:0.514))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.346:0.346:0.346) (0.393:0.393:0.393))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
- (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.102))
+ (SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _373_)
+ (INSTANCE _395_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.426:0.426:0.426) (0.455:0.455:0.455))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.364:0.364:0.364) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.226:-0.226:-0.226))
- (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.029))
- (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
- (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.102))
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _374_)
- (DELAY
- (ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.375:0.375:0.375) (0.417:0.417:0.417))
- )
- )
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.029))
- (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
- (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.102))
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _375_)
- (DELAY
- (ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.385:0.385:0.385) (0.425:0.425:0.425))
- )
- )
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
- (HOLD (negedge D) (posedge CLK) (-0.028:-0.029:-0.029))
- (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
- (SETUP (negedge D) (posedge CLK) (0.101:0.102:0.102))
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _376_)
- (DELAY
- (ABSOLUTE
- (IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.406:0.406:0.406) (0.440:0.440:0.440))
- )
- )
- (TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.339:0.339:0.339))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
- (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
- (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.104))
+ (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _377_)
+ (INSTANCE _396_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.410:0.410:0.410) (0.444:0.444:0.444))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.397:0.397:0.397) (0.435:0.435:0.435))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.548:0.549:0.550))
- (RECOVERY (posedge RESET_B) (posedge CLK) (0.019:0.021:0.022))
- (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
- (HOLD (negedge D) (posedge CLK) (-0.029:-0.030:-0.030))
- (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
- (SETUP (negedge D) (posedge CLK) (0.102:0.103:0.103))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044))
+ (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+ (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074))
+ (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
- (INSTANCE _378_)
+ (INSTANCE _397_)
(DELAY
(ABSOLUTE
+ (IOPATH CLK Q (0.393:0.393:0.393) (0.432:0.432:0.432))
(IOPATH RESET_B Q () (0.000:0.000:0.000))
- (IOPATH CLK Q (0.391:0.391:0.391) (0.430:0.430:0.430))
)
)
(TIMINGCHECK
- (REMOVAL (posedge RESET_B) (posedge CLK) (0.338:0.338:0.338))
- (RECOVERY (posedge RESET_B) (posedge CLK) (-0.227:-0.227:-0.227))
- (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
- (HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
- (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
- (SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.396:0.396:0.396) (0.434:0.434:0.434))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.037))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.392:0.392:0.392) (0.430:0.430:0.430))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.383:0.383:0.383) (0.423:0.423:0.423))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.385:0.385:0.385) (0.426:0.426:0.426))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.154:0.154:0.154) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150))
+ )
)
)
(CELL
@@ -3375,7 +3566,7 @@
(INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.174:0.174:0.174) (0.148:0.148:0.148))
+ (IOPATH A X (0.175:0.175:0.175) (0.149:0.149:0.149))
)
)
)
@@ -3384,7 +3575,7 @@
(INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.131:0.131:0.131) (0.126:0.126:0.126))
+ (IOPATH A X (0.123:0.123:0.123) (0.122:0.122:0.122))
)
)
)
@@ -3393,8 +3584,8 @@
(INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
- (IOPATH TE Z (0.000:0.000:0.000))
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.091:0.094:0.096) (0.022:0.022:0.023))
)
)
)
@@ -3403,8 +3594,8 @@
(INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
- (IOPATH TE Z (0.000:0.000:0.000))
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.087:0.091:0.095) (0.021:0.022:0.023))
)
)
)
@@ -3414,7 +3605,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.069:0.069:0.070) (0.134:0.135:0.135))
+ (IOPATH TE_B Z (0.053:0.054:0.056) (0.114:0.116:0.118))
)
)
)
@@ -3423,8 +3614,8 @@
(INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.061:0.061:0.062) (0.104:0.105:0.106))
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.049:0.050:0.050) (0.088:0.089:0.090))
)
)
)
@@ -3433,7 +3624,7 @@
(INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.031:0.031:0.031) (0.047:0.047:0.047))
+ (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048))
)
)
)
@@ -3442,7 +3633,7 @@
(INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150))
+ (IOPATH A X (0.181:0.181:0.181) (0.154:0.154:0.154))
)
)
)
@@ -3451,7 +3642,7 @@
(INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.124:0.124:0.124) (0.122:0.122:0.122))
+ (IOPATH A X (0.145:0.145:0.145) (0.136:0.136:0.136))
)
)
)
@@ -3460,7 +3651,7 @@
(INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3470,7 +3661,7 @@
(INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3480,8 +3671,8 @@
(INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.074:0.074:0.075) (0.140:0.141:0.142))
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.054:0.059:0.064) (0.116:0.122:0.128))
)
)
)
@@ -3490,8 +3681,8 @@
(INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.059:0.060:0.060) (0.102:0.103:0.104))
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.047:0.048:0.049) (0.085:0.086:0.088))
)
)
)
@@ -3500,7 +3691,7 @@
(INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.033:0.033:0.033) (0.048:0.048:0.048))
+ (IOPATH A Y (0.038:0.038:0.038) (0.056:0.056:0.056))
)
)
)
@@ -3509,7 +3700,7 @@
(INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.176:0.176:0.176) (0.151:0.151:0.151))
+ (IOPATH A X (0.180:0.180:0.180) (0.153:0.153:0.153))
)
)
)
@@ -3518,7 +3709,7 @@
(INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.132:0.132:0.132) (0.128:0.128:0.128))
+ (IOPATH A X (0.124:0.124:0.124) (0.124:0.124:0.124))
)
)
)
@@ -3527,8 +3718,8 @@
(INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.000:0.000:0.000))
- (IOPATH TE Z (0.116:0.117:0.117) (0.021:0.021:0.021))
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
)
)
)
@@ -3537,8 +3728,8 @@
(INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
- (IOPATH TE Z (0.101:0.102:0.104) (0.023:0.024:0.024))
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
)
)
)
@@ -3547,8 +3738,8 @@
(INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.075:0.076:0.076) (0.143:0.143:0.144))
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.062:0.063:0.064) (0.126:0.127:0.128))
)
)
)
@@ -3558,7 +3749,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.059:0.059:0.060) (0.101:0.102:0.103))
+ (IOPATH TE_B Z (0.046:0.047:0.048) (0.084:0.086:0.087))
)
)
)
@@ -3567,7 +3758,7 @@
(INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.032:0.032:0.032) (0.047:0.047:0.047))
+ (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045))
)
)
)
@@ -3576,7 +3767,7 @@
(INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.175:0.175:0.175) (0.149:0.149:0.149))
+ (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151))
)
)
)
@@ -3585,7 +3776,7 @@
(INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.129:0.129:0.129) (0.125:0.125:0.125))
+ (IOPATH A X (0.127:0.127:0.127) (0.125:0.125:0.125))
)
)
)
@@ -3594,7 +3785,7 @@
(INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013))
+ (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3604,7 +3795,7 @@
(INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3614,8 +3805,8 @@
(INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.068:0.069:0.069) (0.134:0.134:0.135))
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.117))
)
)
)
@@ -3625,7 +3816,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.063:0.063:0.064) (0.107:0.108:0.108))
+ (IOPATH TE_B Z (0.050:0.050:0.051) (0.089:0.090:0.091))
)
)
)
@@ -3634,7 +3825,7 @@
(INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.035:0.035:0.035) (0.052:0.052:0.052))
+ (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049))
)
)
)
@@ -3652,7 +3843,7 @@
(INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.128:0.128:0.128) (0.124:0.124:0.124))
+ (IOPATH A X (0.130:0.130:0.130) (0.125:0.125:0.125))
)
)
)
@@ -3661,7 +3852,7 @@
(INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3671,7 +3862,7 @@
(INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3682,7 +3873,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.069:0.069:0.070) (0.134:0.135:0.136))
+ (IOPATH TE_B Z (0.055:0.056:0.057) (0.117:0.118:0.120))
)
)
)
@@ -3692,7 +3883,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.067:0.068:0.068) (0.113:0.114:0.114))
+ (IOPATH TE_B Z (0.052:0.053:0.054) (0.092:0.094:0.095))
)
)
)
@@ -3701,7 +3892,7 @@
(INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049))
+ (IOPATH A Y (0.037:0.037:0.037) (0.055:0.055:0.055))
)
)
)
@@ -3710,7 +3901,7 @@
(INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.175:0.175:0.175) (0.149:0.149:0.149))
+ (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
)
)
)
@@ -3719,7 +3910,7 @@
(INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.123:0.123:0.123) (0.122:0.122:0.122))
+ (IOPATH A X (0.129:0.129:0.129) (0.125:0.125:0.125))
)
)
)
@@ -3728,7 +3919,7 @@
(INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3738,7 +3929,7 @@
(INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3749,7 +3940,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.069:0.069:0.070) (0.134:0.135:0.136))
+ (IOPATH TE_B Z (0.054:0.055:0.056) (0.115:0.117:0.118))
)
)
)
@@ -3758,8 +3949,8 @@
(INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.071:0.071:0.071) (0.119:0.119:0.119))
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.052:0.053:0.054) (0.092:0.093:0.094))
)
)
)
@@ -3768,7 +3959,7 @@
(INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.034:0.034:0.034) (0.050:0.050:0.050))
+ (IOPATH A Y (0.030:0.030:0.030) (0.044:0.044:0.044))
)
)
)
@@ -3777,7 +3968,7 @@
(INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152))
+ (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150))
)
)
)
@@ -3786,7 +3977,7 @@
(INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.130:0.130:0.130) (0.127:0.127:0.127))
+ (IOPATH A X (0.124:0.124:0.124) (0.122:0.122:0.122))
)
)
)
@@ -3795,7 +3986,7 @@
(INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3805,7 +3996,7 @@
(INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3815,8 +4006,8 @@
(INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.069:0.070:0.070) (0.135:0.135:0.136))
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.114:0.116:0.117))
)
)
)
@@ -3826,7 +4017,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.064:0.065:0.065) (0.109:0.110:0.110))
+ (IOPATH TE_B Z (0.053:0.053:0.054) (0.093:0.094:0.095))
)
)
)
@@ -3835,7 +4026,7 @@
(INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049))
+ (IOPATH A Y (0.034:0.034:0.034) (0.050:0.050:0.050))
)
)
)
@@ -3853,7 +4044,7 @@
(INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.131:0.131:0.131) (0.128:0.128:0.128))
+ (IOPATH A X (0.136:0.136:0.136) (0.131:0.131:0.131))
)
)
)
@@ -3862,7 +4053,7 @@
(INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3872,7 +4063,7 @@
(INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -3883,7 +4074,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.069:0.069:0.070) (0.134:0.135:0.135))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.117))
)
)
)
@@ -3893,7 +4084,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.062:0.063:0.063) (0.106:0.107:0.108))
+ (IOPATH TE_B Z (0.053:0.054:0.054) (0.093:0.094:0.095))
)
)
)
@@ -3902,7 +4093,7 @@
(INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.036:0.036:0.036) (0.054:0.054:0.054))
+ (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046))
)
)
)
@@ -3911,7 +4102,7 @@
(INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.175:0.175:0.175) (0.149:0.149:0.149))
+ (IOPATH A X (0.181:0.181:0.181) (0.154:0.154:0.154))
)
)
)
@@ -3920,80 +4111,13 @@
(INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.125:0.125:0.125) (0.123:0.123:0.123))
+ (IOPATH A X (0.130:0.130:0.130) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__einvp_2")
(INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
- (DELAY
- (ABSOLUTE
- (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
- (IOPATH TE Z (0.000:0.000:0.000))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__einvp_2")
- (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
- (DELAY
- (ABSOLUTE
- (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
- (IOPATH TE Z (0.000:0.000:0.000))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__einvn_8")
- (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
- (DELAY
- (ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.069:0.069:0.070) (0.134:0.135:0.136))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__einvn_4")
- (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
- (DELAY
- (ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.060:0.061:0.061) (0.103:0.104:0.105))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
- (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
- (DELAY
- (ABSOLUTE
- (IOPATH A Y (0.030:0.030:0.030) (0.044:0.044:0.044))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
- (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.180:0.180:0.180) (0.154:0.154:0.154))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
- (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
- (DELAY
- (ABSOLUTE
- (IOPATH A X (0.128:0.128:0.128) (0.127:0.127:0.127))
- )
- )
- )
- (CELL
- (CELLTYPE "sky130_fd_sc_hd__einvp_2")
- (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
(DELAY
(ABSOLUTE
(IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
@@ -4003,7 +4127,7 @@
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__einvp_2")
- (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
(DELAY
(ABSOLUTE
(IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
@@ -4013,11 +4137,78 @@
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__einvn_8")
- (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
(DELAY
(ABSOLUTE
(IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
- (IOPATH TE_B Z (0.069:0.069:0.070) (0.134:0.135:0.135))
+ (IOPATH TE_B Z (0.056:0.057:0.058) (0.119:0.120:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.050:0.051:0.052) (0.090:0.091:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.036:0.036) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.187:0.187:0.187) (0.159:0.159:0.159))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.134:0.134) (0.132:0.132:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004))
+ (IOPATH TE_B Z (0.057:0.058:0.059) (0.120:0.121:0.122))
)
)
)
@@ -4026,8 +4217,8 @@
(INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.058:0.059:0.060) (0.101:0.102:0.103))
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.048:0.049:0.050) (0.086:0.088:0.089))
)
)
)
@@ -4036,7 +4227,7 @@
(INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.037:0.037:0.037) (0.054:0.054:0.054))
+ (IOPATH A Y (0.033:0.033:0.033) (0.048:0.048:0.048))
)
)
)
@@ -4045,7 +4236,7 @@
(INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.176:0.176:0.176) (0.150:0.150:0.150))
+ (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152))
)
)
)
@@ -4054,7 +4245,7 @@
(INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.133:0.133:0.133) (0.128:0.128:0.128))
+ (IOPATH A X (0.124:0.124:0.124) (0.124:0.124:0.124))
)
)
)
@@ -4063,7 +4254,7 @@
(INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -4073,7 +4264,7 @@
(INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.019:0.019:0.019) (0.014:0.014:0.014))
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -4083,8 +4274,8 @@
(INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.075:0.075:0.075) (0.141:0.142:0.143))
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.059:0.060:0.061) (0.122:0.123:0.124))
)
)
)
@@ -4094,7 +4285,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.060:0.060:0.061) (0.103:0.104:0.105))
+ (IOPATH TE_B Z (0.050:0.050:0.051) (0.089:0.090:0.091))
)
)
)
@@ -4103,7 +4294,7 @@
(INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.032:0.032:0.032) (0.047:0.047:0.047))
+ (IOPATH A Y (0.037:0.037:0.037) (0.055:0.055:0.055))
)
)
)
@@ -4112,7 +4303,7 @@
(INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
+ (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151))
)
)
)
@@ -4121,7 +4312,7 @@
(INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.125:0.125:0.125) (0.122:0.122:0.122))
+ (IOPATH A X (0.133:0.133:0.133) (0.128:0.128:0.128))
)
)
)
@@ -4130,7 +4321,7 @@
(INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013))
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -4140,7 +4331,7 @@
(INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -4150,8 +4341,8 @@
(INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.075:0.075:0.076) (0.142:0.143:0.143))
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.058:0.059:0.060) (0.121:0.122:0.123))
)
)
)
@@ -4160,8 +4351,8 @@
(INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
- (IOPATH TE_B Z (0.060:0.060:0.061) (0.103:0.104:0.104))
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.047:0.048:0.049) (0.086:0.087:0.089))
)
)
)
@@ -4170,7 +4361,7 @@
(INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.034:0.034:0.034) (0.051:0.051:0.051))
+ (IOPATH A Y (0.034:0.034:0.034) (0.050:0.050:0.050))
)
)
)
@@ -4179,7 +4370,7 @@
(INSTANCE ringosc\.ibufp00)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.066:0.066:0.066) (0.071:0.071:0.071))
+ (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070))
)
)
)
@@ -4188,7 +4379,7 @@
(INSTANCE ringosc\.ibufp01)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.104:0.104:0.104) (0.098:0.098:0.098))
+ (IOPATH A Y (0.104:0.104:0.104) (0.096:0.096:0.096))
)
)
)
@@ -4206,7 +4397,7 @@
(INSTANCE ringosc\.ibufp11)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.077:0.077:0.077) (0.072:0.072:0.072))
+ (IOPATH A Y (0.051:0.051:0.051) (0.043:0.043:0.043))
)
)
)
@@ -4215,8 +4406,8 @@
(INSTANCE ringosc\.iss\.ctrlen0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.137:0.138:0.139) (0.322:0.322:0.322))
- (IOPATH B X (0.139:0.142:0.145) (0.311:0.311:0.312))
+ (IOPATH A X (0.261:0.261:0.262) (0.486:0.487:0.487))
+ (IOPATH B X (0.138:0.143:0.147) (0.304:0.305:0.306))
)
)
)
@@ -4225,7 +4416,7 @@
(INSTANCE ringosc\.iss\.delaybuf0)
(DELAY
(ABSOLUTE
- (IOPATH A X (0.081:0.081:0.081) (0.076:0.076:0.076))
+ (IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
)
)
)
@@ -4234,7 +4425,7 @@
(INSTANCE ringosc\.iss\.delayen0)
(DELAY
(ABSOLUTE
- (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
(IOPATH TE Z (0.000:0.000:0.000))
)
)
@@ -4255,7 +4446,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005))
- (IOPATH TE_B Z (0.000:0.000:0.000))
+ (IOPATH TE_B Z (0.057:0.058:0.058) (0.120:0.121:0.121))
)
)
)
@@ -4265,7 +4456,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006))
- (IOPATH TE_B Z (0.060:0.060:0.061) (0.103:0.104:0.105))
+ (IOPATH TE_B Z (0.048:0.049:0.050) (0.087:0.088:0.089))
)
)
)
@@ -4274,7 +4465,7 @@
(INSTANCE ringosc\.iss\.delayint0)
(DELAY
(ABSOLUTE
- (IOPATH A Y (0.034:0.034:0.034) (0.050:0.050:0.050))
+ (IOPATH A Y (0.036:0.036:0.036) (0.054:0.054:0.054))
)
)
)
@@ -4284,7 +4475,7 @@
(DELAY
(ABSOLUTE
(IOPATH A Z (0.000:0.000:0.000))
- (IOPATH TE Z (0.070:0.071:0.072) (0.022:0.023:0.023))
+ (IOPATH TE Z (0.139:0.139:0.139) (-0.011:-0.011:-0.011))
)
)
)
diff --git a/signoff/digital_pll/OPENLANE_VERSION b/signoff/digital_pll/OPENLANE_VERSION
index 5f444177..c185049e 100644
--- a/signoff/digital_pll/OPENLANE_VERSION
+++ b/signoff/digital_pll/OPENLANE_VERSION
@@ -1 +1 @@
-openlane 2021.11.23_01.42.34-11-g0c24fcf
+OpenLane e3a5189a1b0fc4290686fcf2ae46cd6d7947cf9f
diff --git a/signoff/digital_pll/PDK_SOURCES b/signoff/digital_pll/PDK_SOURCES
index 83a9aa4f..64834074 100644
--- a/signoff/digital_pll/PDK_SOURCES
+++ b/signoff/digital_pll/PDK_SOURCES
@@ -1,3 +1 @@
-openlane cbb562bd43c5c410b1b498604803c3dd88a44856
-skywater-pdk c094b6e83a4f9298e47f696ec5a7fd53535ec5eb
-open_pdks c5730b574461889c82858b08d12ba42423d9c2cb
+open_pdks de752ec0ba4da0ecb1fbcd309eeec4993d88f5bc
diff --git a/signoff/digital_pll/metrics.csv b/signoff/digital_pll/metrics.csv
new file mode 100644
index 00000000..1d4aaa7b
--- /dev/null
+++ b/signoff/digital_pll/metrics.csv
@@ -0,0 +1,2 @@
+design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY
+/home/kareem_farid/caravel/openlane/digital_pll,digital_pll,22_10_13_06_47,flow completed,0h1m38s0ms,0h1m17s0ms,-2.0,0.005625,-1,88.0,568.4,-1,0,0,0,0,0,0,0,-1,-1,-1,-1,6490,2398,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,4113715.0,0.0,27.14,26.98,0.0,0.0,0.0,580,776,121,305,0,0,0,614,5,3,17,11,297,19,12,27,56,70,11,46,50,0,96,4000.0864,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10.0,AREA 0,6,50,1,40,40,0.9,0,sky130_fd_sc_hd,4
diff --git a/signoff/digital_pll/openlane-signoff/15-parasitics_extraction.min.log b/signoff/digital_pll/openlane-signoff/15-parasitics_extraction.min.log
new file mode 100644
index 00000000..c5d08d32
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/15-parasitics_extraction.min.log
@@ -0,0 +1,40 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.min.lef
+[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
+The LEF parser will ignore this statement.
+To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.min.lef at line 930.
+
+[INFO ODB-0223] Created 13 technology layers
+[INFO ODB-0224] Created 25 technology vias
+[INFO ODB-0225] Created 441 library cells
+[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.min.lef
+[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+[INFO ODB-0128] Design: digital_pll
+[INFO ODB-0130] Created 39 pins.
+[INFO ODB-0131] Created 617 components and 3559 component-terminals.
+[INFO ODB-0132] Created 2 special nets and 2368 connections.
+[INFO ODB-0133] Created 333 nets and 1190 connections.
+[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre'...
+[INFO RCX-0431] Defined process_corner X with ext_model_index 0
+[INFO RCX-0029] Defined extraction corner X
+[INFO RCX-0008] extracting parasitics of digital_pll ...
+[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre ...
+[INFO RCX-0436] RC segment generation digital_pll (max_merge_res 50.0) ...
+[INFO RCX-0040] Final 1448 rc segments
+[INFO RCX-0439] Coupling Cap extraction digital_pll ...
+[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
+[INFO RCX-0043] 2492 wires to be extracted
+[INFO RCX-0442] 52% completion -- 1308 wires have been extracted
+[INFO RCX-0442] 100% completion -- 2492 wires have been extracted
+[INFO RCX-0045] Extract 333 nets, 1781 rsegs, 1781 caps, 2746 ccs
+[INFO RCX-0015] Finished extracting digital_pll.
+Writing result to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_min/digital_pll.spef...
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing extracted parasitics to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_min/digital_pll.spef...
+[INFO RCX-0016] Writing SPEF ...
+[INFO RCX-0443] 333 nets finished
+[INFO RCX-0017] Finished writing SPEF ...
diff --git a/signoff/digital_pll/openlane-signoff/16-rcx_mcsta.min.log b/signoff/digital_pll/openlane-signoff/16-rcx_mcsta.min.log
new file mode 100644
index 00000000..2608f7ed
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/16-rcx_mcsta.min.log
@@ -0,0 +1,6247 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.odb
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.66 0.66 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.07 0.00 0.66 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.66 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.66 data arrival time
+-----------------------------------------------------------------------------
+ 0.48 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.80 0.80 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.20 0.00 0.80 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.80 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.10 0.16 library hold time
+ 0.16 data required time
+-----------------------------------------------------------------------------
+ 0.16 data required time
+ -0.80 data arrival time
+-----------------------------------------------------------------------------
+ 0.64 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.71 0.71 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.10 0.00 0.71 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.04 0.18 0.89 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.04 0.00 0.89 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.89 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.89 data arrival time
+-----------------------------------------------------------------------------
+ 0.69 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.69 0.69 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.09 0.00 0.69 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.22 0.91 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.07 0.00 0.91 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.91 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.91 data arrival time
+-----------------------------------------------------------------------------
+ 0.73 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.70 0.70 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.10 0.00 0.70 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.22 0.92 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.07 0.00 0.92 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.92 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.92 data arrival time
+-----------------------------------------------------------------------------
+ 0.74 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.73 0.73 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.12 0.00 0.73 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.23 0.96 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.07 0.00 0.96 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.96 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -0.96 data arrival time
+-----------------------------------------------------------------------------
+ 0.77 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.72 0.72 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.12 0.00 0.72 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.96 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.07 0.00 0.96 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.96 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -0.96 data arrival time
+-----------------------------------------------------------------------------
+ 0.78 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.73 0.73 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.13 0.00 0.73 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.97 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.07 0.00 0.97 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.97 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -0.97 data arrival time
+-----------------------------------------------------------------------------
+ 0.78 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.74 0.74 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.13 0.00 0.74 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.97 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.07 0.00 0.97 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.97 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.97 data arrival time
+-----------------------------------------------------------------------------
+ 0.79 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.74 0.74 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.13 0.00 0.74 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.98 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.07 0.00 0.98 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.98 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.98 data arrival time
+-----------------------------------------------------------------------------
+ 0.79 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.74 0.75 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.14 0.00 0.75 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.25 1.00 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.07 0.00 1.00 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.00 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.00 data arrival time
+-----------------------------------------------------------------------------
+ 0.81 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.29 0.87 0.87 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.29 0.00 0.87 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.08 0.16 1.03 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.08 0.00 1.03 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.03 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.08 0.17 library hold time
+ 0.17 data required time
+-----------------------------------------------------------------------------
+ 0.17 data required time
+ -1.03 data arrival time
+-----------------------------------------------------------------------------
+ 0.86 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.78 0.78 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.17 0.00 0.78 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.27 1.05 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.05 0.00 1.05 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.05 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.05 data arrival time
+-----------------------------------------------------------------------------
+ 0.86 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.19 0.79 0.79 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.19 0.00 0.79 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.28 1.07 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.05 0.00 1.07 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.07 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.07 data arrival time
+-----------------------------------------------------------------------------
+ 0.88 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.26 0.84 0.85 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.26 0.00 0.85 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.28 1.13 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.07 0.00 1.13 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.13 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.13 data arrival time
+-----------------------------------------------------------------------------
+ 0.94 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.27 0.85 0.85 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[3] (net)
+ 0.27 0.00 0.85 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.29 1.14 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.07 0.00 1.14 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.14 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.14 data arrival time
+-----------------------------------------------------------------------------
+ 0.95 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.80 0.80 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.20 0.00 0.80 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.07 0.36 1.15 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.07 0.00 1.15 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.15 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.15 data arrival time
+-----------------------------------------------------------------------------
+ 0.97 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.76 0.77 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.16 0.00 0.77 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.08 0.39 1.16 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.08 0.00 1.16 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.16 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.16 data arrival time
+-----------------------------------------------------------------------------
+ 0.97 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.77 0.78 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.17 0.00 0.78 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.12 0.24 1.02 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.12 0.00 1.02 v _283_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.10 0.16 1.18 ^ _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.10 0.00 1.18 ^ _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.18 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.18 data arrival time
+-----------------------------------------------------------------------------
+ 1.00 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.77 0.78 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.17 0.00 0.78 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.12 0.24 1.02 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.12 0.00 1.02 v _281_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.12 0.17 1.19 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.12 0.00 1.19 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.19 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.08 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.19 data arrival time
+-----------------------------------------------------------------------------
+ 1.01 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.39 0.94 0.94 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.39 0.00 0.94 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.06 0.39 1.33 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.06 0.00 1.33 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.33 data arrival time
+-----------------------------------------------------------------------------
+ 1.15 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.34 0.90 0.90 ^ _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.04 pll_control.tint[1] (net)
+ 0.34 0.00 0.90 ^ _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.14 0.23 1.13 v _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.02 _046_ (net)
+ 0.14 0.00 1.13 v _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.07 0.25 1.38 ^ _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.07 0.00 1.38 ^ _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.38 data arrival time
+-----------------------------------------------------------------------------
+ 1.19 slack (MET)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.33 0.33 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.33 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.40 0.41 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.12 0.00 0.41 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.41 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.04 0.21 library hold time
+ 0.21 data required time
+-----------------------------------------------------------------------------
+ 0.21 data required time
+ -0.41 data arrival time
+-----------------------------------------------------------------------------
+ 0.20 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.35 0.36 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.06 0.00 0.36 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.03 0.09 0.45 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.03 0.00 0.45 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.45 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.45 data arrival time
+-----------------------------------------------------------------------------
+ 0.22 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.34 0.35 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.05 0.00 0.35 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.46 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.46 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.46 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.46 data arrival time
+-----------------------------------------------------------------------------
+ 0.23 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.35 0.35 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.06 0.00 0.35 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.46 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.46 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.46 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.46 data arrival time
+-----------------------------------------------------------------------------
+ 0.24 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.36 0.36 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.07 0.00 0.36 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.48 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.04 0.00 0.48 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.48 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.48 data arrival time
+-----------------------------------------------------------------------------
+ 0.26 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.36 0.36 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.07 0.00 0.36 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.48 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.04 0.00 0.48 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.48 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.48 data arrival time
+-----------------------------------------------------------------------------
+ 0.26 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.37 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.08 0.00 0.37 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.49 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 0.49 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.37 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.08 0.00 0.37 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.49 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.04 0.00 0.49 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.37 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.08 0.00 0.37 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.50 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.04 0.00 0.50 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.50 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.50 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.38 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.08 0.00 0.38 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.13 0.50 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.04 0.00 0.50 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.50 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.50 data arrival time
+-----------------------------------------------------------------------------
+ 0.28 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.39 0.39 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.11 0.00 0.39 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.13 0.52 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.03 0.00 0.52 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.52 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.52 data arrival time
+-----------------------------------------------------------------------------
+ 0.29 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.18 0.45 0.45 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.18 0.00 0.45 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.04 0.07 0.52 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.04 0.00 0.52 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.52 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.52 data arrival time
+-----------------------------------------------------------------------------
+ 0.30 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.40 0.40 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.12 0.00 0.40 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.13 0.53 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.03 0.00 0.53 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.53 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.53 data arrival time
+-----------------------------------------------------------------------------
+ 0.31 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.38 0.39 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.10 0.00 0.39 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.17 0.56 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.04 0.00 0.56 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.56 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.56 data arrival time
+-----------------------------------------------------------------------------
+ 0.34 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.44 0.44 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.16 0.00 0.44 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.14 0.58 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.04 0.00 0.58 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.58 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.58 data arrival time
+-----------------------------------------------------------------------------
+ 0.35 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.40 0.41 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.12 0.00 0.41 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.04 0.17 0.58 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.04 0.00 0.58 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.58 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.58 data arrival time
+-----------------------------------------------------------------------------
+ 0.35 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.44 0.44 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[3] (net)
+ 0.17 0.00 0.44 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.14 0.59 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.04 0.00 0.59 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.59 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.59 data arrival time
+-----------------------------------------------------------------------------
+ 0.36 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.43 0.43 v _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.08 0.00 0.43 v _278_/A (sky130_fd_sc_hd__nand2_2)
+ 0.10 0.11 0.54 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.10 0.00 0.54 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.03 0.05 0.59 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.03 0.00 0.59 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.59 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.59 data arrival time
+-----------------------------------------------------------------------------
+ 0.37 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.43 0.43 v _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.08 0.00 0.43 v _278_/A (sky130_fd_sc_hd__nand2_2)
+ 0.10 0.11 0.54 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.10 0.00 0.54 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.03 0.06 0.60 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.03 0.00 0.60 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.60 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.60 data arrival time
+-----------------------------------------------------------------------------
+ 0.37 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.49 0.49 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.25 0.00 0.49 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.03 0.19 0.68 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.03 0.00 0.68 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.68 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.68 data arrival time
+-----------------------------------------------------------------------------
+ 0.46 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.47 0.48 v _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.04 pll_control.tint[1] (net)
+ 0.12 0.00 0.48 v _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.13 0.14 0.62 ^ _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.03 _046_ (net)
+ 0.13 0.00 0.62 ^ _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.03 0.08 0.70 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.03 0.00 0.70 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.70 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.70 data arrival time
+-----------------------------------------------------------------------------
+ 0.47 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.03 0.20 0.21 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.03 0.00 0.21 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.21 data arrival time
+-----------------------------------------------------------------------------
+ -0.03 slack (VIOLATED)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.26 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.09 0.00 0.26 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.26 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.26 data arrival time
+-----------------------------------------------------------------------------
+ 0.03 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.23 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.04 0.00 0.23 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.02 0.06 0.29 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.02 0.00 0.29 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.29 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.29 data arrival time
+-----------------------------------------------------------------------------
+ 0.05 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.22 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.04 0.00 0.22 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.29 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.03 0.00 0.29 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.29 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.29 data arrival time
+-----------------------------------------------------------------------------
+ 0.05 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.22 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.04 0.00 0.22 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.29 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.03 0.00 0.29 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.29 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.29 data arrival time
+-----------------------------------------------------------------------------
+ 0.06 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.23 0.23 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.05 0.00 0.23 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.30 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.03 0.00 0.30 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.30 data arrival time
+-----------------------------------------------------------------------------
+ 0.07 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.23 0.23 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.05 0.00 0.23 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.30 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.03 0.00 0.30 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.30 data arrival time
+-----------------------------------------------------------------------------
+ 0.07 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.23 0.23 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.06 0.00 0.23 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.31 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.03 0.00 0.31 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.23 0.24 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.06 0.00 0.24 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.31 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.03 0.00 0.31 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.24 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.06 0.00 0.24 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.31 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.03 0.00 0.31 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.24 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.06 0.00 0.24 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.32 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.03 0.00 0.32 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.32 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.32 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.25 0.25 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.08 0.00 0.25 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.08 0.33 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.02 0.00 0.33 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.09 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.29 0.29 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.14 0.00 0.29 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.03 0.03 0.33 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.03 0.00 0.33 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.26 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.09 0.00 0.26 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.08 0.34 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.02 0.00 0.34 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.34 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.34 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.25 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.07 0.00 0.25 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.03 0.10 0.35 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.03 0.00 0.35 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.35 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.35 data arrival time
+-----------------------------------------------------------------------------
+ 0.11 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.25 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.07 0.00 0.25 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.05 0.07 0.32 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.05 0.00 0.32 v _283_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.03 0.05 0.37 ^ _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.03 0.00 0.37 ^ _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.37 data arrival time
+-----------------------------------------------------------------------------
+ 0.13 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.26 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.09 0.00 0.26 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.03 0.11 0.37 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.03 0.00 0.37 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.37 data arrival time
+-----------------------------------------------------------------------------
+ 0.13 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.25 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.07 0.00 0.25 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.05 0.07 0.32 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.05 0.00 0.32 v _281_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.05 0.37 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.04 0.00 0.37 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.37 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.28 0.28 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.12 0.00 0.28 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.09 0.37 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.03 0.00 0.37 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.37 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.28 0.29 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[3] (net)
+ 0.13 0.00 0.29 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.09 0.38 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.03 0.00 0.38 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.38 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.19 0.33 0.33 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.19 0.00 0.33 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.02 0.10 0.42 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.02 0.00 0.42 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.42 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.42 data arrival time
+-----------------------------------------------------------------------------
+ 0.19 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.30 0.31 v _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.04 pll_control.tint[1] (net)
+ 0.09 0.00 0.31 v _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.10 0.10 0.41 ^ _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.03 _046_ (net)
+ 0.10 0.00 0.41 ^ _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.02 0.04 0.45 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.02 0.00 0.45 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.45 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.45 data arrival time
+-----------------------------------------------------------------------------
+ 0.22 slack (MET)
+
+
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.01 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.01 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.01 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.01 data arrival time
+-----------------------------------------------------------------------------
+ -1.87 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.01 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.11 0.00 8.01 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.01 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.01 data arrival time
+-----------------------------------------------------------------------------
+ -1.87 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.77 8.01 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.11 0.00 8.01 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.01 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.01 data arrival time
+-----------------------------------------------------------------------------
+ -1.86 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.10 0.32 7.55 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.10 0.00 7.55 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.09 0.25 7.81 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.09 0.00 7.81 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.81 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -7.81 data arrival time
+-----------------------------------------------------------------------------
+ -1.66 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.07 0.48 7.71 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.07 0.00 7.71 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.71 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.26 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -7.71 data arrival time
+-----------------------------------------------------------------------------
+ -1.56 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.07 0.56 7.80 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.07 0.00 7.80 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.80 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -7.80 data arrival time
+-----------------------------------------------------------------------------
+ -1.50 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.17 7.40 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.08 0.00 7.40 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.24 7.64 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.05 0.00 7.64 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.64 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.12 6.30 library setup time
+ 6.30 data required time
+-----------------------------------------------------------------------------
+ 6.30 data required time
+ -7.64 data arrival time
+-----------------------------------------------------------------------------
+ -1.35 slack (VIOLATED)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.91 0.87 1.85 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.91 0.00 1.85 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.96 2.81 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.11 0.00 2.81 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.81 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.81 data arrival time
+-----------------------------------------------------------------------------
+ 3.33 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.91 0.87 1.85 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.91 0.00 1.85 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.96 2.81 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.11 0.00 2.81 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.81 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.81 data arrival time
+-----------------------------------------------------------------------------
+ 3.33 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.91 0.87 1.85 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.91 0.00 1.85 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.95 2.80 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.11 0.00 2.80 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.80 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.80 data arrival time
+-----------------------------------------------------------------------------
+ 3.34 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.91 0.87 1.85 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.91 0.00 1.85 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.95 2.80 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.11 0.00 2.80 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.80 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.80 data arrival time
+-----------------------------------------------------------------------------
+ 3.34 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.91 0.87 1.85 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.91 0.00 1.85 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.94 2.80 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.11 0.00 2.80 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.80 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.80 data arrival time
+-----------------------------------------------------------------------------
+ 3.34 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.91 0.87 1.85 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.91 0.00 1.85 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.94 2.80 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.11 0.00 2.80 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.80 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.80 data arrival time
+-----------------------------------------------------------------------------
+ 3.34 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.56 1.61 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.61 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.09 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.09 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.17 0.21 2.29 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.17 0.00 2.29 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.17 0.18 2.47 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.17 0.00 2.47 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.47 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.30 6.12 library setup time
+ 6.12 data required time
+-----------------------------------------------------------------------------
+ 6.12 data required time
+ -2.47 data arrival time
+-----------------------------------------------------------------------------
+ 3.65 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.56 1.61 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.61 v _280_/A (sky130_fd_sc_hd__or2_2)
+ 0.13 0.73 2.34 v _280_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.01 _133_ (net)
+ 0.13 0.00 2.34 v _281_/A2 (sky130_fd_sc_hd__a21oi_2)
+ 0.13 0.24 2.58 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.13 0.00 2.58 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.58 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.14 6.28 library setup time
+ 6.28 data required time
+-----------------------------------------------------------------------------
+ 6.28 data required time
+ -2.58 data arrival time
+-----------------------------------------------------------------------------
+ 3.69 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.56 1.61 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.61 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.09 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.09 v _275_/A2 (sky130_fd_sc_hd__o21a_2)
+ 0.06 0.38 2.47 v _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.06 0.00 2.47 v _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.47 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.25 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -2.47 data arrival time
+-----------------------------------------------------------------------------
+ 3.69 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.56 1.61 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.61 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.09 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.09 v _276_/B (sky130_fd_sc_hd__nand2b_2)
+ 0.06 0.11 2.20 ^ _276_/Y (sky130_fd_sc_hd__nand2b_2)
+ 1 0.00 _130_ (net)
+ 0.06 0.00 2.20 ^ _277_/B1 (sky130_fd_sc_hd__o211a_2)
+ 0.09 0.38 2.58 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.09 0.00 2.58 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.58 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -2.58 data arrival time
+-----------------------------------------------------------------------------
+ 3.71 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.56 1.61 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.61 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.09 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.09 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.17 0.21 2.29 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.17 0.00 2.29 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.10 0.13 2.43 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.10 0.00 2.43 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.43 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.43 data arrival time
+-----------------------------------------------------------------------------
+ 3.72 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.44 0.51 1.50 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.44 0.00 1.50 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.84 2.35 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.11 0.00 2.35 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.35 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.35 data arrival time
+-----------------------------------------------------------------------------
+ 3.80 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.21 0.43 1.42 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.21 0.00 1.42 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.11 0.69 2.11 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.11 0.00 2.11 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.11 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.11 data arrival time
+-----------------------------------------------------------------------------
+ 4.04 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.98 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.99 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.30 6.12 library setup time
+ 6.12 data required time
+-----------------------------------------------------------------------------
+ 6.12 data required time
+ -0.99 data arrival time
+-----------------------------------------------------------------------------
+ 5.14 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.86 0.86 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.07 0.00 0.86 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.86 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.26 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -0.86 data arrival time
+-----------------------------------------------------------------------------
+ 5.30 slack (MET)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.35 3.94 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.05 0.00 3.94 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.94 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.94 data arrival time
+-----------------------------------------------------------------------------
+ 2.37 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.35 3.94 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.05 0.00 3.94 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.94 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.94 data arrival time
+-----------------------------------------------------------------------------
+ 2.37 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.34 3.94 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.05 0.00 3.94 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.94 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.94 data arrival time
+-----------------------------------------------------------------------------
+ 2.37 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.17 3.76 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.05 0.00 3.76 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.05 0.13 3.90 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.05 0.00 3.90 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.90 data arrival time
+-----------------------------------------------------------------------------
+ 2.41 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.04 0.26 3.85 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.04 0.00 3.85 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.85 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.10 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.85 data arrival time
+-----------------------------------------------------------------------------
+ 2.46 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.04 0.29 3.88 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.04 0.00 3.88 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.88 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -3.88 data arrival time
+-----------------------------------------------------------------------------
+ 2.48 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.05 0.09 3.69 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.05 0.00 3.69 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.12 3.80 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.03 0.00 3.80 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.80 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.05 6.37 library setup time
+ 6.37 data required time
+-----------------------------------------------------------------------------
+ 6.37 data required time
+ -3.80 data arrival time
+-----------------------------------------------------------------------------
+ 2.56 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.02 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.40 1.41 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.06 0.00 1.41 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.41 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.41 data arrival time
+-----------------------------------------------------------------------------
+ 4.90 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.02 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.40 1.41 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.06 0.00 1.41 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.41 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.41 data arrival time
+-----------------------------------------------------------------------------
+ 4.90 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.02 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.41 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.05 0.00 1.41 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.41 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.41 data arrival time
+-----------------------------------------------------------------------------
+ 4.90 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.02 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.40 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.05 0.00 1.40 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.40 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.40 data arrival time
+-----------------------------------------------------------------------------
+ 4.90 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.02 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.40 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.05 0.00 1.40 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.40 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.40 data arrival time
+-----------------------------------------------------------------------------
+ 4.90 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.01 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.41 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.05 0.00 1.41 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.41 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.41 data arrival time
+-----------------------------------------------------------------------------
+ 4.90 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.02 ^ _277_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.30 1.32 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.05 0.00 1.32 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.32 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -1.32 data arrival time
+-----------------------------------------------------------------------------
+ 5.04 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.51 0.51 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.11 0.00 0.51 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.78 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.78 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.01 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.01 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.13 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.13 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.10 0.08 1.20 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.10 0.00 1.20 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.20 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -1.20 data arrival time
+-----------------------------------------------------------------------------
+ 5.08 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.59 0.54 1.01 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.59 0.00 1.02 ^ _275_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.04 0.24 1.25 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.04 0.00 1.25 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.25 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -1.25 data arrival time
+-----------------------------------------------------------------------------
+ 5.11 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.51 0.51 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.11 0.00 0.51 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.78 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.78 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.01 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.01 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.13 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.13 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.07 0.06 1.19 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.07 0.00 1.19 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.19 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.30 library setup time
+ 6.30 data required time
+-----------------------------------------------------------------------------
+ 6.30 data required time
+ -1.19 data arrival time
+-----------------------------------------------------------------------------
+ 5.11 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.51 0.51 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.11 0.00 0.51 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.78 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.78 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.01 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.01 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.13 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.13 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.06 0.06 1.19 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.06 0.00 1.19 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.19 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.19 data arrival time
+-----------------------------------------------------------------------------
+ 5.12 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.29 0.31 0.79 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.29 0.00 0.79 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.37 1.16 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.05 0.00 1.16 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.16 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.16 data arrival time
+-----------------------------------------------------------------------------
+ 5.15 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.10 0.23 0.71 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.10 0.00 0.71 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.05 0.30 1.01 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.05 0.00 1.01 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.01 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.01 data arrival time
+-----------------------------------------------------------------------------
+ 5.30 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.48 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.12 6.30 library setup time
+ 6.30 data required time
+-----------------------------------------------------------------------------
+ 6.30 data required time
+ -0.48 data arrival time
+-----------------------------------------------------------------------------
+ 5.82 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.41 0.41 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.41 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.41 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.10 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -0.41 data arrival time
+-----------------------------------------------------------------------------
+ 5.90 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.35 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 2.35 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.35 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.35 data arrival time
+-----------------------------------------------------------------------------
+ 3.99 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.35 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.04 0.00 2.35 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.35 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.35 data arrival time
+-----------------------------------------------------------------------------
+ 4.00 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 2.35 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.04 0.00 2.35 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.35 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.35 data arrival time
+-----------------------------------------------------------------------------
+ 4.00 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.11 2.25 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.04 0.00 2.25 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.04 0.09 2.34 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.04 0.00 2.34 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.34 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.34 data arrival time
+-----------------------------------------------------------------------------
+ 4.01 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.03 0.16 2.30 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.03 0.00 2.30 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.30 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.30 data arrival time
+-----------------------------------------------------------------------------
+ 4.05 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.03 0.17 2.31 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.03 0.00 2.31 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.31 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.03 6.38 library setup time
+ 6.38 data required time
+-----------------------------------------------------------------------------
+ 6.38 data required time
+ -2.31 data arrival time
+-----------------------------------------------------------------------------
+ 4.07 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.04 0.06 2.21 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.04 0.00 2.21 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.06 2.27 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.02 0.00 2.27 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.27 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.03 6.39 library setup time
+ 6.39 data required time
+-----------------------------------------------------------------------------
+ 6.39 data required time
+ -2.27 data arrival time
+-----------------------------------------------------------------------------
+ 4.12 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.45 0.39 0.70 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.45 0.00 0.70 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.90 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.04 0.00 0.90 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.34 library setup time
+ 6.34 data required time
+-----------------------------------------------------------------------------
+ 6.34 data required time
+ -0.90 data arrival time
+-----------------------------------------------------------------------------
+ 5.45 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.45 0.39 0.70 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.45 0.00 0.70 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.90 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.04 0.00 0.90 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.90 data arrival time
+-----------------------------------------------------------------------------
+ 5.45 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.45 0.39 0.70 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.45 0.00 0.70 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.89 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.04 0.00 0.89 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.89 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.89 data arrival time
+-----------------------------------------------------------------------------
+ 5.45 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.45 0.39 0.70 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.45 0.00 0.70 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.89 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.04 0.00 0.89 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.89 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.89 data arrival time
+-----------------------------------------------------------------------------
+ 5.45 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.45 0.39 0.70 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.45 0.00 0.70 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.89 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.04 0.00 0.89 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.89 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.89 data arrival time
+-----------------------------------------------------------------------------
+ 5.45 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.45 0.39 0.70 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.45 0.00 0.70 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.89 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.89 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.89 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.89 data arrival time
+-----------------------------------------------------------------------------
+ 5.46 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.32 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.64 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.64 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.73 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.73 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.08 0.04 0.77 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.08 0.00 0.77 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.77 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.09 6.33 library setup time
+ 6.33 data required time
+-----------------------------------------------------------------------------
+ 6.33 data required time
+ -0.77 data arrival time
+-----------------------------------------------------------------------------
+ 5.56 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.45 0.39 0.70 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.45 0.00 0.70 ^ _277_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.12 0.82 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.04 0.00 0.82 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.82 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.04 6.38 library setup time
+ 6.38 data required time
+-----------------------------------------------------------------------------
+ 6.38 data required time
+ -0.82 data arrival time
+-----------------------------------------------------------------------------
+ 5.57 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.32 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.64 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.64 v _275_/A2 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.12 0.77 v _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.02 0.00 0.77 v _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.77 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.77 data arrival time
+-----------------------------------------------------------------------------
+ 5.58 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.32 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.64 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.64 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.73 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.73 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.03 0.76 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.04 0.00 0.76 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.76 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.76 data arrival time
+-----------------------------------------------------------------------------
+ 5.59 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.32 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.64 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.64 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.73 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.73 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.03 0.76 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.04 0.00 0.76 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.76 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.76 data arrival time
+-----------------------------------------------------------------------------
+ 5.59 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.22 0.23 0.53 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.22 0.00 0.53 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.73 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.73 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.73 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.73 data arrival time
+-----------------------------------------------------------------------------
+ 5.62 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.07 0.15 0.46 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.07 0.00 0.46 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.04 0.17 0.63 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.04 0.00 0.63 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.63 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.63 data arrival time
+-----------------------------------------------------------------------------
+ 5.72 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.30 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.30 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.08 6.34 library setup time
+ 6.34 data required time
+-----------------------------------------------------------------------------
+ 6.34 data required time
+ -0.30 data arrival time
+-----------------------------------------------------------------------------
+ 6.04 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.03 0.26 0.26 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.03 0.00 0.26 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.26 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.26 data arrival time
+-----------------------------------------------------------------------------
+ 6.09 slack (MET)
+
+
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.01 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.01 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.01 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.01 data arrival time
+-----------------------------------------------------------------------------
+ -1.87 slack (VIOLATED)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.50 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.08 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.08 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.37 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.37 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.66 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.66 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.00 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.00 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.41 0.48 2.48 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.41 0.00 2.48 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.15 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.15 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.05 0.25 3.40 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.05 0.00 3.40 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.59 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.59 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.35 3.94 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.05 0.00 3.94 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.94 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.94 data arrival time
+-----------------------------------------------------------------------------
+ 2.37 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.71 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.71 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.87 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.87 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.02 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.02 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.18 0.17 1.19 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.18 0.00 1.19 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.51 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.87 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.87 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.02 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.02 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.14 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.09 0.00 2.15 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.35 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 2.35 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.35 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.35 data arrival time
+-----------------------------------------------------------------------------
+ 3.99 slack (MET)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.13 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.04 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.count0[0] (net)
+ 0.21 0.00 1.04 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.55 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.55 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.22 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.22 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.68 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.68 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.21 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.21 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.80 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.80 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.66 0.90 4.70 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.66 0.00 4.70 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.32 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.32 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.10 0.55 6.87 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.10 0.00 6.87 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.23 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.23 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.01 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.01 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.01 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.13 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.13 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.01 data arrival time
+-----------------------------------------------------------------------------
+ -1.87 slack (VIOLATED)
+
+
+
+======================= Typical Corner ===================================
+
+No paths found.
+
+======================= Fastest Corner ===================================
+
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+======================= Slowest Corner ===================================
+
+max slew
+
+Pin Limit Slew Slack
+------------------------------------------------------------
+dco 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__341__B2/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__350__B2/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__343__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_341_/B2 1.50 2.26 -0.76 (VIOLATED)
+_337_/A1 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__337__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__342__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__348__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_342_/A1 1.50 2.26 -0.76 (VIOLATED)
+_350_/B2 1.50 2.26 -0.76 (VIOLATED)
+_348_/A1 1.50 2.26 -0.76 (VIOLATED)
+_296_/B 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__334__B2/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_334_/B2 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__296__B/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__354__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_351_/A1 1.50 2.26 -0.76 (VIOLATED)
+_354_/A1 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__351__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_367_/A 1.50 2.26 -0.76 (VIOLATED)
+_368_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__367__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__368__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__366__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_366_/A 1.50 2.26 -0.76 (VIOLATED)
+_352_/A_N 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__352__A_N/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__364__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__369__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_369_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__353__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_353_/A1 1.50 2.26 -0.76 (VIOLATED)
+_364_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__358__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__372__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_358_/A 1.50 2.26 -0.76 (VIOLATED)
+_372_/A 1.50 2.26 -0.76 (VIOLATED)
+_373_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__373__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__371__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_371_/A 1.50 2.26 -0.76 (VIOLATED)
+_356_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__361__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_361_/A 1.50 2.26 -0.76 (VIOLATED)
+_357_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__357__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__374__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__356__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__360__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_360_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__359__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_359_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__365__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_374_/A 1.50 2.26 -0.76 (VIOLATED)
+_375_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__375__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__376__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_376_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__362__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_362_/A 1.50 2.26 -0.76 (VIOLATED)
+_365_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__370__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__310__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_309_/A1 1.50 2.26 -0.76 (VIOLATED)
+_310_/A1 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__312__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_312_/A1 1.50 2.26 -0.76 (VIOLATED)
+_343_/A1 1.50 2.26 -0.76 (VIOLATED)
+_346_/B2 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__293__A_N/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__314__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__346__B2/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_293_/A_N 1.50 2.26 -0.76 (VIOLATED)
+_326_/A1 1.50 2.26 -0.76 (VIOLATED)
+_319_/A1 1.50 2.26 -0.76 (VIOLATED)
+_314_/A1 1.50 2.26 -0.76 (VIOLATED)
+_317_/A1 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__326__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_288_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__288__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_370_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__319__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__363__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_363_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__377__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_377_/A 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__300__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__305__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__306__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__309__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__313__B/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__316__B2/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__317__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_302_/A1 1.50 2.26 -0.76 (VIOLATED)
+_306_/A1 1.50 2.26 -0.76 (VIOLATED)
+_313_/B 1.50 2.26 -0.76 (VIOLATED)
+_316_/B2 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__295__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__299__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_299_/A1 1.50 2.26 -0.76 (VIOLATED)
+_300_/A1 1.50 2.26 -0.76 (VIOLATED)
+_305_/A1 1.50 2.26 -0.76 (VIOLATED)
+_295_/A1 1.50 2.26 -0.76 (VIOLATED)
+_289_/A2 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__302__A1/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__378__A/DIODE 1.50 2.26 -0.76 (VIOLATED)
+ANTENNA__289__A2/DIODE 1.50 2.26 -0.76 (VIOLATED)
+_378_/A 1.50 2.26 -0.76 (VIOLATED)
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+max capacitance
+
+Pin Limit Cap Slack
+------------------------------------------------------------
+dco 0.21 0.32 -0.11 (VIOLATED)
+
+
+======================= Typical Corner ===================================
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+
+======================= Fastest Corner ===================================
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+
+===========================================================================
+max slew violation count 109
+max fanout violation count 15
+max cap violation count 1
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns -11.67
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns -1.87
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack -1.87
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack -0.03
+worst_slack_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+
+
+======================= Slowest Corner =================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.14e-04 1.52e-05 3.37e-07 1.29e-04 32.5%
+Combinational 1.21e-04 1.45e-04 1.51e-06 2.68e-04 67.5%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.35e-04 1.60e-04 1.85e-06 3.97e-04 100.0%
+ 59.2% 40.4% 0.5%
+
+======================= Typical Corner ===================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.48e-04 1.95e-05 1.84e-10 1.68e-04 33.5%
+Combinational 1.48e-04 1.85e-04 1.24e-09 3.34e-04 66.5%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.96e-04 2.05e-04 1.42e-09 5.01e-04 100.0%
+ 59.1% 40.9% 0.0%
+
+
+======================= Fastest Corner =================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.72e-04 2.31e-05 3.12e-10 1.95e-04 33.5%
+Combinational 1.67e-04 2.20e-04 3.12e-09 3.87e-04 66.5%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 3.39e-04 2.43e-04 3.43e-09 5.82e-04 100.0%
+ 58.3% 41.7% 0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 3611 u^2 90% utilization.
+area_report_end
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing SDF files for all corners...
+Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_min/digital_pll.ff.sdf...
+Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_min/digital_pll.ss.sdf...
+Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_min/digital_pll.tt.sdf...
diff --git a/signoff/digital_pll/openlane-signoff/17-parasitics_extraction.max.log b/signoff/digital_pll/openlane-signoff/17-parasitics_extraction.max.log
new file mode 100644
index 00000000..8d21a6c9
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/17-parasitics_extraction.max.log
@@ -0,0 +1,40 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.max.lef
+[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
+The LEF parser will ignore this statement.
+To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.max.lef at line 930.
+
+[INFO ODB-0223] Created 13 technology layers
+[INFO ODB-0224] Created 25 technology vias
+[INFO ODB-0225] Created 441 library cells
+[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.max.lef
+[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+[INFO ODB-0128] Design: digital_pll
+[INFO ODB-0130] Created 39 pins.
+[INFO ODB-0131] Created 617 components and 3559 component-terminals.
+[INFO ODB-0132] Created 2 special nets and 2368 connections.
+[INFO ODB-0133] Created 333 nets and 1190 connections.
+[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre'...
+[INFO RCX-0431] Defined process_corner X with ext_model_index 0
+[INFO RCX-0029] Defined extraction corner X
+[INFO RCX-0008] extracting parasitics of digital_pll ...
+[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre ...
+[INFO RCX-0436] RC segment generation digital_pll (max_merge_res 50.0) ...
+[INFO RCX-0040] Final 1752 rc segments
+[INFO RCX-0439] Coupling Cap extraction digital_pll ...
+[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
+[INFO RCX-0043] 2492 wires to be extracted
+[INFO RCX-0442] 52% completion -- 1308 wires have been extracted
+[INFO RCX-0442] 100% completion -- 2492 wires have been extracted
+[INFO RCX-0045] Extract 333 nets, 2085 rsegs, 2085 caps, 2773 ccs
+[INFO RCX-0015] Finished extracting digital_pll.
+Writing result to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_max/digital_pll.spef...
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing extracted parasitics to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_max/digital_pll.spef...
+[INFO RCX-0016] Writing SPEF ...
+[INFO RCX-0443] 333 nets finished
+[INFO RCX-0017] Finished writing SPEF ...
diff --git a/signoff/digital_pll/openlane-signoff/18-rcx_mcsta.max.log b/signoff/digital_pll/openlane-signoff/18-rcx_mcsta.max.log
new file mode 100644
index 00000000..337efc39
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/18-rcx_mcsta.max.log
@@ -0,0 +1,6259 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.odb
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.67 0.68 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.07 0.00 0.68 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.68 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.20 library hold time
+ 0.20 data required time
+-----------------------------------------------------------------------------
+ 0.20 data required time
+ -0.68 data arrival time
+-----------------------------------------------------------------------------
+ 0.48 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.80 0.81 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.20 0.00 0.81 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.81 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.09 0.16 library hold time
+ 0.16 data required time
+-----------------------------------------------------------------------------
+ 0.16 data required time
+ -0.81 data arrival time
+-----------------------------------------------------------------------------
+ 0.65 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.72 0.72 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.11 0.00 0.73 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.05 0.18 0.90 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.05 0.00 0.90 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.90 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.20 library hold time
+ 0.20 data required time
+-----------------------------------------------------------------------------
+ 0.20 data required time
+ -0.90 data arrival time
+-----------------------------------------------------------------------------
+ 0.70 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.70 0.71 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.09 0.00 0.71 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.22 0.93 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.07 0.00 0.93 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.93 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.20 library hold time
+ 0.20 data required time
+-----------------------------------------------------------------------------
+ 0.20 data required time
+ -0.93 data arrival time
+-----------------------------------------------------------------------------
+ 0.74 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.71 0.72 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.10 0.00 0.72 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.22 0.94 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.07 0.00 0.94 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.94 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.94 data arrival time
+-----------------------------------------------------------------------------
+ 0.74 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.73 0.74 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.13 0.00 0.74 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.23 0.97 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.07 0.00 0.97 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.97 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.97 data arrival time
+-----------------------------------------------------------------------------
+ 0.78 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.73 0.73 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.12 0.00 0.73 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.08 0.24 0.97 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.08 0.00 0.97 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.97 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.97 data arrival time
+-----------------------------------------------------------------------------
+ 0.79 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.74 0.75 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.13 0.00 0.75 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.99 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.07 0.00 0.99 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.99 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.99 data arrival time
+-----------------------------------------------------------------------------
+ 0.80 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.74 0.75 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.14 0.00 0.75 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.99 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.07 0.00 0.99 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.99 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.99 data arrival time
+-----------------------------------------------------------------------------
+ 0.80 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.75 0.76 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.14 0.00 0.76 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 1.00 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.07 0.00 1.00 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.00 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.20 library hold time
+ 0.20 data required time
+-----------------------------------------------------------------------------
+ 0.20 data required time
+ -1.00 data arrival time
+-----------------------------------------------------------------------------
+ 0.80 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.75 0.76 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.14 0.00 0.76 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.08 0.25 1.01 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.08 0.00 1.01 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.01 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.01 data arrival time
+-----------------------------------------------------------------------------
+ 0.82 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.18 0.79 0.79 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.18 0.00 0.80 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.27 1.07 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.05 0.00 1.07 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.07 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.20 library hold time
+ 0.20 data required time
+-----------------------------------------------------------------------------
+ 0.20 data required time
+ -1.07 data arrival time
+-----------------------------------------------------------------------------
+ 0.87 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 0.88 0.89 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.30 0.00 0.89 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.08 0.17 1.05 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.08 0.00 1.05 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.05 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.08 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.05 data arrival time
+-----------------------------------------------------------------------------
+ 0.87 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.80 0.80 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.20 0.00 0.80 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.28 1.09 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.05 0.00 1.09 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.09 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.09 data arrival time
+-----------------------------------------------------------------------------
+ 0.90 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.28 0.86 0.87 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.28 0.00 0.87 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.29 1.16 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.07 0.00 1.16 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.16 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.20 library hold time
+ 0.20 data required time
+-----------------------------------------------------------------------------
+ 0.20 data required time
+ -1.16 data arrival time
+-----------------------------------------------------------------------------
+ 0.96 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.29 0.87 0.88 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.tint[3] (net)
+ 0.29 0.00 0.88 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.30 1.17 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.07 0.00 1.17 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.17 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.17 data arrival time
+-----------------------------------------------------------------------------
+ 0.98 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 0.81 0.81 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.21 0.00 0.82 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.07 0.36 1.18 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.07 0.00 1.18 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.18 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.18 data arrival time
+-----------------------------------------------------------------------------
+ 0.98 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.77 0.78 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.17 0.00 0.78 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.08 0.40 1.18 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.08 0.00 1.18 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.18 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.18 data arrival time
+-----------------------------------------------------------------------------
+ 0.99 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.78 0.79 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.17 0.00 0.79 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.13 0.25 1.03 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.13 0.00 1.04 v _283_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.10 0.16 1.20 ^ _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.10 0.00 1.20 ^ _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.20 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.20 data arrival time
+-----------------------------------------------------------------------------
+ 1.01 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.78 0.79 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.17 0.00 0.79 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.13 0.25 1.03 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.13 0.00 1.04 v _281_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.12 0.18 1.21 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.12 0.00 1.21 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.21 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.08 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.21 data arrival time
+-----------------------------------------------------------------------------
+ 1.03 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.42 0.96 0.96 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.42 0.00 0.96 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.06 0.40 1.36 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.06 0.00 1.36 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.36 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.36 data arrival time
+-----------------------------------------------------------------------------
+ 1.18 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 0.92 0.93 ^ _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.05 pll_control.tint[1] (net)
+ 0.37 0.00 0.93 ^ _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.15 0.24 1.18 v _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.03 _046_ (net)
+ 0.15 0.00 1.18 v _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.07 0.26 1.44 ^ _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.07 0.00 1.44 ^ _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.44 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.44 data arrival time
+-----------------------------------------------------------------------------
+ 1.25 slack (MET)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.33 0.34 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.34 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.34 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.34 data arrival time
+-----------------------------------------------------------------------------
+ 0.11 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.41 0.42 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.12 0.00 0.42 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.42 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.04 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.42 data arrival time
+-----------------------------------------------------------------------------
+ 0.20 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.36 0.37 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.06 0.00 0.37 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.03 0.10 0.46 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.03 0.00 0.46 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.46 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.46 data arrival time
+-----------------------------------------------------------------------------
+ 0.23 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.35 0.36 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.05 0.00 0.36 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.47 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.47 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.47 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.47 data arrival time
+-----------------------------------------------------------------------------
+ 0.24 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.35 0.36 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.06 0.00 0.36 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.47 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.47 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.47 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.47 data arrival time
+-----------------------------------------------------------------------------
+ 0.24 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.37 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.08 0.00 0.37 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.49 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.04 0.00 0.49 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 0.26 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.37 0.37 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.07 0.00 0.37 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.49 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.04 0.00 0.49 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.38 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.08 0.00 0.38 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.50 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 0.50 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.50 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.01 0.01 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.50 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.38 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.08 0.00 0.38 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.50 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.04 0.00 0.50 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.50 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.50 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.38 0.39 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.08 0.00 0.39 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.51 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.04 0.00 0.51 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.51 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.51 data arrival time
+-----------------------------------------------------------------------------
+ 0.28 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.38 0.39 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.08 0.00 0.39 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.13 0.52 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.05 0.00 0.52 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.52 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.52 data arrival time
+-----------------------------------------------------------------------------
+ 0.28 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.40 0.40 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.11 0.00 0.41 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.13 0.53 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.03 0.00 0.53 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.53 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.53 data arrival time
+-----------------------------------------------------------------------------
+ 0.30 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.19 0.45 0.46 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.19 0.00 0.46 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.04 0.07 0.54 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.04 0.00 0.54 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.54 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.54 data arrival time
+-----------------------------------------------------------------------------
+ 0.30 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.41 0.41 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.12 0.00 0.41 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.13 0.54 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.03 0.00 0.54 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.54 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.54 data arrival time
+-----------------------------------------------------------------------------
+ 0.32 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.39 0.40 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.10 0.00 0.40 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.18 0.57 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.05 0.00 0.57 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.57 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.57 data arrival time
+-----------------------------------------------------------------------------
+ 0.34 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.41 0.42 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.13 0.00 0.42 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.04 0.18 0.60 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.04 0.00 0.60 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.60 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.60 data arrival time
+-----------------------------------------------------------------------------
+ 0.36 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.44 0.45 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.17 0.00 0.45 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.14 0.60 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.04 0.00 0.60 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.60 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.60 data arrival time
+-----------------------------------------------------------------------------
+ 0.36 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.43 0.44 v _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.08 0.00 0.44 v _278_/A (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.11 0.55 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 0.55 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.03 0.05 0.61 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.03 0.00 0.61 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.61 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.61 data arrival time
+-----------------------------------------------------------------------------
+ 0.37 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.43 0.44 v _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.08 0.00 0.44 v _278_/A (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.11 0.55 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 0.55 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.03 0.06 0.61 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.03 0.00 0.61 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.61 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.61 data arrival time
+-----------------------------------------------------------------------------
+ 0.38 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.18 0.45 0.46 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.tint[3] (net)
+ 0.18 0.00 0.46 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.15 0.61 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.04 0.00 0.61 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.61 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.61 data arrival time
+-----------------------------------------------------------------------------
+ 0.38 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.26 0.50 0.50 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.26 0.00 0.51 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.04 0.19 0.70 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.04 0.00 0.70 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.70 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.70 data arrival time
+-----------------------------------------------------------------------------
+ 0.47 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.48 0.49 v _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.04 pll_control.tint[1] (net)
+ 0.13 0.00 0.49 v _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.14 0.15 0.64 ^ _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.03 _046_ (net)
+ 0.14 0.00 0.65 ^ _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.04 0.08 0.73 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.04 0.00 0.73 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.73 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.73 data arrival time
+-----------------------------------------------------------------------------
+ 0.50 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.03 0.21 0.22 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.03 0.00 0.22 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.22 data arrival time
+-----------------------------------------------------------------------------
+ -0.03 slack (VIOLATED)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.27 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.09 0.00 0.27 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.27 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.27 data arrival time
+-----------------------------------------------------------------------------
+ 0.03 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.23 0.23 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.05 0.00 0.24 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.02 0.06 0.30 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.02 0.00 0.30 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.30 data arrival time
+-----------------------------------------------------------------------------
+ 0.06 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.23 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.04 0.00 0.23 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.30 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.03 0.00 0.30 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.30 data arrival time
+-----------------------------------------------------------------------------
+ 0.06 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.23 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.04 0.00 0.23 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.30 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.03 0.00 0.30 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.30 data arrival time
+-----------------------------------------------------------------------------
+ 0.06 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.23 0.23 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.05 0.00 0.23 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.31 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.03 0.00 0.31 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.07 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.23 0.23 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.05 0.00 0.23 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.31 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.03 0.00 0.31 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.24 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.06 0.00 0.24 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.32 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.03 0.00 0.32 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.32 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.32 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.24 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.06 0.00 0.24 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.32 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.03 0.00 0.32 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.32 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.32 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.25 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.06 0.00 0.25 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.33 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.03 0.00 0.33 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.25 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.06 0.00 0.25 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.33 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.03 0.00 0.33 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.09 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.25 0.26 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.08 0.00 0.26 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.08 0.34 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.02 0.00 0.34 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.34 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.34 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.30 0.30 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.14 0.00 0.31 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.03 0.03 0.34 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.03 0.00 0.34 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.34 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.34 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.26 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.09 0.00 0.26 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.08 0.34 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.02 0.00 0.34 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.34 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.34 data arrival time
+-----------------------------------------------------------------------------
+ 0.11 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.26 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.07 0.00 0.26 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.03 0.10 0.36 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.03 0.00 0.36 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.36 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.36 data arrival time
+-----------------------------------------------------------------------------
+ 0.12 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.26 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.07 0.00 0.26 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.05 0.07 0.33 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.05 0.00 0.33 v _283_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.05 0.38 ^ _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.04 0.00 0.38 ^ _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.38 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.27 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.09 0.00 0.27 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.03 0.11 0.38 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.03 0.00 0.38 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.38 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.26 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.07 0.00 0.26 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.05 0.07 0.33 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.05 0.00 0.33 v _281_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.05 0.38 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.04 0.00 0.38 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.38 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.29 0.30 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.13 0.00 0.30 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.09 0.38 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.03 0.00 0.38 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.38 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.29 0.30 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.tint[3] (net)
+ 0.14 0.00 0.30 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.09 0.39 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.03 0.00 0.39 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.39 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.39 data arrival time
+-----------------------------------------------------------------------------
+ 0.15 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.33 0.33 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.20 0.00 0.34 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.02 0.10 0.43 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.02 0.00 0.43 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.43 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.43 data arrival time
+-----------------------------------------------------------------------------
+ 0.20 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.27 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.09 0.00 0.27 ^ _249_/A (sky130_fd_sc_hd__nor2_2)
+ 0.03 0.03 0.30 v _249_/Y (sky130_fd_sc_hd__nor2_2)
+ 1 0.00 _111_ (net)
+ 0.03 0.00 0.30 v _263_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.04 0.34 ^ _263_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _122_ (net)
+ 0.04 0.00 0.34 ^ _264_/B1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.10 0.44 ^ _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.05 0.00 0.44 ^ _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.02 0.03 0.47 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.02 0.00 0.47 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.47 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.47 data arrival time
+-----------------------------------------------------------------------------
+ 0.24 slack (MET)
+
+
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.79 8.10 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.10 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.10 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -8.10 data arrival time
+-----------------------------------------------------------------------------
+ -1.96 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.79 8.10 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.11 0.00 8.10 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.10 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -8.10 data arrival time
+-----------------------------------------------------------------------------
+ -1.95 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.09 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.11 0.00 8.09 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.09 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -8.09 data arrival time
+-----------------------------------------------------------------------------
+ -1.94 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.10 0.33 7.64 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.10 0.00 7.64 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.10 0.26 7.90 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.10 0.00 7.90 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.27 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -7.90 data arrival time
+-----------------------------------------------------------------------------
+ -1.74 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.08 0.49 7.80 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.08 0.00 7.80 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.80 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.26 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -7.80 data arrival time
+-----------------------------------------------------------------------------
+ -1.65 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.07 0.57 7.89 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.07 0.00 7.89 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.89 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.13 6.30 library setup time
+ 6.30 data required time
+-----------------------------------------------------------------------------
+ 6.30 data required time
+ -7.89 data arrival time
+-----------------------------------------------------------------------------
+ -1.59 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.17 7.49 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.08 0.00 7.49 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.24 7.73 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.05 0.00 7.73 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.73 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.67 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.12 6.30 library setup time
+ 6.30 data required time
+-----------------------------------------------------------------------------
+ 6.30 data required time
+ -7.73 data arrival time
+-----------------------------------------------------------------------------
+ -1.43 slack (VIOLATED)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.96 0.91 1.91 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.96 0.00 1.91 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.97 2.88 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.11 0.00 2.88 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.88 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.88 data arrival time
+-----------------------------------------------------------------------------
+ 3.26 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.96 0.91 1.91 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.96 0.00 1.91 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.97 2.88 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.11 0.00 2.88 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.88 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.28 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.88 data arrival time
+-----------------------------------------------------------------------------
+ 3.27 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.96 0.91 1.91 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.96 0.00 1.91 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.10 0.95 2.87 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.10 0.00 2.87 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.87 data arrival time
+-----------------------------------------------------------------------------
+ 3.28 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.96 0.91 1.91 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.96 0.00 1.91 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.96 2.87 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.11 0.00 2.87 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.87 data arrival time
+-----------------------------------------------------------------------------
+ 3.28 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.96 0.91 1.91 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.96 0.00 1.91 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.96 2.87 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.11 0.00 2.87 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.87 data arrival time
+-----------------------------------------------------------------------------
+ 3.28 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.96 0.91 1.91 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.96 0.00 1.91 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.96 2.87 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.11 0.00 2.87 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.87 data arrival time
+-----------------------------------------------------------------------------
+ 3.29 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.63 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.63 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.12 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.12 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.18 0.21 2.33 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.18 0.00 2.33 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.17 0.18 2.51 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.17 0.00 2.51 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.51 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.30 6.13 library setup time
+ 6.13 data required time
+-----------------------------------------------------------------------------
+ 6.13 data required time
+ -2.51 data arrival time
+-----------------------------------------------------------------------------
+ 3.61 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.63 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.63 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.12 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.12 v _275_/A2 (sky130_fd_sc_hd__o21a_2)
+ 0.06 0.38 2.50 v _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.06 0.00 2.50 v _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.50 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.25 6.17 library setup time
+ 6.17 data required time
+-----------------------------------------------------------------------------
+ 6.17 data required time
+ -2.50 data arrival time
+-----------------------------------------------------------------------------
+ 3.66 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.63 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.63 v _280_/A (sky130_fd_sc_hd__or2_2)
+ 0.13 0.74 2.37 v _280_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.01 _133_ (net)
+ 0.13 0.00 2.37 v _281_/A2 (sky130_fd_sc_hd__a21oi_2)
+ 0.14 0.25 2.62 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.14 0.00 2.62 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.62 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.14 6.28 library setup time
+ 6.28 data required time
+-----------------------------------------------------------------------------
+ 6.28 data required time
+ -2.62 data arrival time
+-----------------------------------------------------------------------------
+ 3.66 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.63 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.63 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.12 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.12 v _276_/B (sky130_fd_sc_hd__nand2b_2)
+ 0.06 0.11 2.23 ^ _276_/Y (sky130_fd_sc_hd__nand2b_2)
+ 1 0.00 _130_ (net)
+ 0.06 0.00 2.23 ^ _277_/B1 (sky130_fd_sc_hd__o211a_2)
+ 0.09 0.38 2.62 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.09 0.00 2.62 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.62 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -2.62 data arrival time
+-----------------------------------------------------------------------------
+ 3.68 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.63 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.63 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.12 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.12 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.18 0.21 2.33 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.18 0.00 2.33 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.10 0.14 2.47 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.10 0.00 2.47 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.47 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.47 data arrival time
+-----------------------------------------------------------------------------
+ 3.69 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.46 0.53 1.53 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.46 0.00 1.53 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.85 2.38 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.11 0.00 2.38 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.38 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.67 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.38 data arrival time
+-----------------------------------------------------------------------------
+ 3.77 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.22 0.44 1.44 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.22 0.00 1.44 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.11 0.70 2.13 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.11 0.00 2.13 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.13 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.13 data arrival time
+-----------------------------------------------------------------------------
+ 4.02 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 1.00 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 1.00 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.00 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.29 6.13 library setup time
+ 6.13 data required time
+-----------------------------------------------------------------------------
+ 6.13 data required time
+ -1.00 data arrival time
+-----------------------------------------------------------------------------
+ 5.13 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.86 0.87 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.07 0.00 0.87 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 6.68 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.26 6.17 library setup time
+ 6.17 data required time
+-----------------------------------------------------------------------------
+ 6.17 data required time
+ -0.87 data arrival time
+-----------------------------------------------------------------------------
+ 5.30 slack (MET)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.99 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.99 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.99 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.99 data arrival time
+-----------------------------------------------------------------------------
+ 2.32 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.99 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.06 0.00 3.99 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.99 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -3.99 data arrival time
+-----------------------------------------------------------------------------
+ 2.33 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.34 3.99 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.05 0.00 3.99 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.99 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -3.99 data arrival time
+-----------------------------------------------------------------------------
+ 2.33 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.17 3.81 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.05 0.00 3.81 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.05 0.14 3.95 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.05 0.00 3.95 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.95 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -3.95 data arrival time
+-----------------------------------------------------------------------------
+ 2.37 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.04 0.26 3.90 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.04 0.00 3.90 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.10 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -3.90 data arrival time
+-----------------------------------------------------------------------------
+ 2.41 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.04 0.29 3.93 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.04 0.00 3.93 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.93 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.06 6.37 library setup time
+ 6.37 data required time
+-----------------------------------------------------------------------------
+ 6.37 data required time
+ -3.93 data arrival time
+-----------------------------------------------------------------------------
+ 2.44 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.05 0.09 3.74 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.05 0.00 3.74 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.12 3.85 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.03 0.00 3.86 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.86 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.05 6.37 library setup time
+ 6.37 data required time
+-----------------------------------------------------------------------------
+ 6.37 data required time
+ -3.86 data arrival time
+-----------------------------------------------------------------------------
+ 2.52 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.40 1.45 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.06 0.00 1.45 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.45 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.45 data arrival time
+-----------------------------------------------------------------------------
+ 4.85 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.40 1.46 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.06 0.00 1.46 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.46 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -1.46 data arrival time
+-----------------------------------------------------------------------------
+ 4.86 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.45 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.05 0.00 1.45 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.45 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.45 data arrival time
+-----------------------------------------------------------------------------
+ 4.86 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.45 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.05 0.00 1.45 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.45 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.45 data arrival time
+-----------------------------------------------------------------------------
+ 4.87 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.40 1.45 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.05 0.00 1.45 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.45 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -1.45 data arrival time
+-----------------------------------------------------------------------------
+ 4.87 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.45 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.05 0.00 1.45 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.45 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -1.45 data arrival time
+-----------------------------------------------------------------------------
+ 4.87 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _277_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.31 1.37 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.05 0.00 1.37 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.37 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.37 library setup time
+ 6.37 data required time
+-----------------------------------------------------------------------------
+ 6.37 data required time
+ -1.37 data arrival time
+-----------------------------------------------------------------------------
+ 5.00 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.52 0.53 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.12 0.00 0.53 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.80 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.80 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.03 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.03 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.15 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.15 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.11 0.08 1.23 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.11 0.00 1.23 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.23 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -1.23 data arrival time
+-----------------------------------------------------------------------------
+ 5.06 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.62 0.56 1.05 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.62 0.00 1.05 ^ _275_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.04 0.24 1.30 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.04 0.00 1.30 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.30 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -1.30 data arrival time
+-----------------------------------------------------------------------------
+ 5.07 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.52 0.53 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.12 0.00 0.53 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.80 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.80 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.03 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.03 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.15 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.15 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.07 0.07 1.22 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.07 0.00 1.22 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.22 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.22 data arrival time
+-----------------------------------------------------------------------------
+ 5.09 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.52 0.53 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.12 0.00 0.53 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.80 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.80 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.03 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.03 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.15 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.15 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.07 0.06 1.21 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.07 0.00 1.22 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.22 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.22 data arrival time
+-----------------------------------------------------------------------------
+ 5.10 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.30 0.32 0.81 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.30 0.00 0.81 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.37 1.18 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.05 0.00 1.18 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.18 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -1.18 data arrival time
+-----------------------------------------------------------------------------
+ 5.13 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.10 0.24 0.73 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.10 0.00 0.73 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.05 0.30 1.03 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.05 0.00 1.03 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.03 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.11 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -1.03 data arrival time
+-----------------------------------------------------------------------------
+ 5.29 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.49 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.49 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.12 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 5.82 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.41 0.42 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.42 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.42 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 6.68 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.10 6.33 library setup time
+ 6.33 data required time
+-----------------------------------------------------------------------------
+ 6.33 data required time
+ -0.42 data arrival time
+-----------------------------------------------------------------------------
+ 5.90 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.39 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 2.39 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.39 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.39 data arrival time
+-----------------------------------------------------------------------------
+ 3.96 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.39 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.04 0.00 2.39 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.39 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.39 data arrival time
+-----------------------------------------------------------------------------
+ 3.96 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.39 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.04 0.00 2.39 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.39 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 6.68 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.39 data arrival time
+-----------------------------------------------------------------------------
+ 3.97 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.11 2.29 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.04 0.00 2.29 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.04 0.09 2.38 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.04 0.00 2.38 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.38 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.38 data arrival time
+-----------------------------------------------------------------------------
+ 3.97 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.03 0.16 2.34 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.03 0.00 2.34 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.34 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.34 data arrival time
+-----------------------------------------------------------------------------
+ 4.01 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.03 0.17 2.35 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.03 0.00 2.35 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.35 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.03 6.39 library setup time
+ 6.39 data required time
+-----------------------------------------------------------------------------
+ 6.39 data required time
+ -2.35 data arrival time
+-----------------------------------------------------------------------------
+ 4.04 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.04 0.07 2.25 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.04 0.00 2.25 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.06 2.31 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.02 0.00 2.31 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.31 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.03 6.39 library setup time
+ 6.39 data required time
+-----------------------------------------------------------------------------
+ 6.39 data required time
+ -2.31 data arrival time
+-----------------------------------------------------------------------------
+ 4.09 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.48 0.41 0.72 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.48 0.00 0.73 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.93 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.04 0.00 0.93 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.93 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.93 data arrival time
+-----------------------------------------------------------------------------
+ 5.42 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.48 0.41 0.72 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.48 0.00 0.73 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.92 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.04 0.00 0.92 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.92 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.92 data arrival time
+-----------------------------------------------------------------------------
+ 5.43 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.48 0.41 0.72 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.48 0.00 0.73 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.93 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.04 0.00 0.93 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.93 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 6.68 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.93 data arrival time
+-----------------------------------------------------------------------------
+ 5.43 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.48 0.41 0.72 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.48 0.00 0.73 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.92 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.04 0.00 0.92 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.92 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.92 data arrival time
+-----------------------------------------------------------------------------
+ 5.43 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.48 0.41 0.72 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.48 0.00 0.73 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.92 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.04 0.00 0.92 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.92 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 6.68 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.92 data arrival time
+-----------------------------------------------------------------------------
+ 5.43 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.48 0.41 0.72 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.48 0.00 0.73 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.92 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.92 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.92 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 6.68 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.92 data arrival time
+-----------------------------------------------------------------------------
+ 5.43 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.48 0.41 0.72 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.48 0.00 0.73 ^ _277_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.12 0.85 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.04 0.00 0.85 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.85 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.04 6.39 library setup time
+ 6.39 data required time
+-----------------------------------------------------------------------------
+ 6.39 data required time
+ -0.85 data arrival time
+-----------------------------------------------------------------------------
+ 5.54 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.34 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.34 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.51 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.51 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.15 0.66 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.66 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.75 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.75 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.08 0.04 0.79 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.08 0.00 0.79 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.79 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 6.68 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.09 6.34 library setup time
+ 6.34 data required time
+-----------------------------------------------------------------------------
+ 6.34 data required time
+ -0.79 data arrival time
+-----------------------------------------------------------------------------
+ 5.55 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.34 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.34 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.51 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.51 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.15 0.66 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.66 v _275_/A2 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.12 0.78 v _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.02 0.00 0.78 v _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.78 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.78 data arrival time
+-----------------------------------------------------------------------------
+ 5.57 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.34 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.34 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.51 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.51 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.15 0.66 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.66 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.75 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.75 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.03 0.78 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.04 0.00 0.78 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.78 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.78 data arrival time
+-----------------------------------------------------------------------------
+ 5.57 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.34 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.34 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.51 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.51 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.15 0.66 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.66 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.75 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.75 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.03 0.78 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.04 0.00 0.78 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.78 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.78 data arrival time
+-----------------------------------------------------------------------------
+ 5.57 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.23 0.23 0.55 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.23 0.00 0.55 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.75 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.75 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.75 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.75 data arrival time
+-----------------------------------------------------------------------------
+ 5.60 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.07 0.16 0.47 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.07 0.00 0.47 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.04 0.18 0.65 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.04 0.00 0.65 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.65 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.68 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.65 data arrival time
+-----------------------------------------------------------------------------
+ 5.71 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 6.68 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.08 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 6.03 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 0.01 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.03 0.26 0.27 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.03 0.00 0.27 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.27 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.08 0.01 6.68 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.43 clock uncertainty
+ 0.00 6.43 clock reconvergence pessimism
+ -0.07 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -0.27 data arrival time
+-----------------------------------------------------------------------------
+ 6.09 slack (MET)
+
+
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.79 8.10 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.10 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.10 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -8.10 data arrival time
+-----------------------------------------------------------------------------
+ -1.96 slack (VIOLATED)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.10 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.50 0.51 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.11 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.74 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.74 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.14 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.14 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.39 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.39 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.68 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.68 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.02 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.02 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.43 0.49 2.51 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.43 0.01 2.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.19 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.19 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.26 3.45 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.45 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.20 3.64 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.12 0.00 3.64 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.99 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.99 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.99 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.99 data arrival time
+-----------------------------------------------------------------------------
+ 2.32 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.33 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.33 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.48 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.48 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.73 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.89 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.89 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.04 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.04 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.21 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.21 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.32 0.32 1.53 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.32 0.01 1.54 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.90 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.90 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.06 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.06 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.18 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.18 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.39 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 2.39 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.39 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.39 data arrival time
+-----------------------------------------------------------------------------
+ 3.96 slack (MET)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.01 0.01 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.22 1.05 1.06 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.22 0.00 1.06 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.13 0.51 1.57 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.13 0.00 1.57 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.13 0.67 2.25 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.13 0.00 2.25 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.47 2.72 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.72 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.25 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.25 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.84 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.84 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.69 0.91 4.75 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.69 0.01 4.76 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.63 6.39 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.39 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.56 6.95 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.95 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.37 7.31 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.22 0.00 7.32 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.79 8.10 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.10 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.10 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -8.10 data arrival time
+-----------------------------------------------------------------------------
+ -1.96 slack (VIOLATED)
+
+
+
+======================= Typical Corner ===================================
+
+No paths found.
+
+======================= Fastest Corner ===================================
+
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+======================= Slowest Corner ===================================
+
+max slew
+
+Pin Limit Slew Slack
+------------------------------------------------------------
+ANTENNA__350__B2/DIODE 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__341__B2/DIODE 1.50 2.39 -0.89 (VIOLATED)
+_341_/B2 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__343__A1/DIODE 1.50 2.39 -0.89 (VIOLATED)
+_337_/A1 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__337__A1/DIODE 1.50 2.39 -0.89 (VIOLATED)
+_342_/A1 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__342__A1/DIODE 1.50 2.39 -0.89 (VIOLATED)
+_350_/B2 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__348__A1/DIODE 1.50 2.39 -0.89 (VIOLATED)
+_348_/A1 1.50 2.39 -0.89 (VIOLATED)
+_296_/B 1.50 2.39 -0.89 (VIOLATED)
+_334_/B2 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__334__B2/DIODE 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__296__B/DIODE 1.50 2.39 -0.89 (VIOLATED)
+_351_/A1 1.50 2.39 -0.89 (VIOLATED)
+_354_/A1 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__354__A1/DIODE 1.50 2.39 -0.89 (VIOLATED)
+ANTENNA__351__A1/DIODE 1.50 2.39 -0.89 (VIOLATED)
+_367_/A 1.50 2.39 -0.89 (VIOLATED)
+_368_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__368__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__367__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_366_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__366__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_352_/A_N 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__352__A_N/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__364__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__369__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_369_/A 1.50 2.38 -0.88 (VIOLATED)
+_364_/A 1.50 2.38 -0.88 (VIOLATED)
+_353_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__353__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_358_/A 1.50 2.38 -0.88 (VIOLATED)
+_372_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__358__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__372__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_373_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__373__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_371_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__371__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+dco 1.50 2.38 -0.88 (VIOLATED)
+_356_/A 1.50 2.38 -0.88 (VIOLATED)
+_361_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__361__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_357_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__357__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__374__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_360_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__356__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__360__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_359_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__359__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__365__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_375_/A 1.50 2.38 -0.88 (VIOLATED)
+_374_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__375__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_376_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__376__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_362_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__362__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_365_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__310__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_309_/A1 1.50 2.38 -0.88 (VIOLATED)
+_310_/A1 1.50 2.38 -0.88 (VIOLATED)
+_343_/A1 1.50 2.38 -0.88 (VIOLATED)
+_346_/B2 1.50 2.38 -0.88 (VIOLATED)
+_312_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__312__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__314__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__293__A_N/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__370__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_326_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__346__B2/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_293_/A_N 1.50 2.38 -0.88 (VIOLATED)
+_319_/A1 1.50 2.38 -0.88 (VIOLATED)
+_317_/A1 1.50 2.38 -0.88 (VIOLATED)
+_314_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__326__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_288_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__288__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__319__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_370_/A 1.50 2.38 -0.88 (VIOLATED)
+_363_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__363__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_377_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__377__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_313_/B 1.50 2.38 -0.88 (VIOLATED)
+_316_/B2 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__309__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_306_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__317__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__316__B2/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_302_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__313__B/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__305__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__306__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__300__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_300_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__299__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_299_/A1 1.50 2.38 -0.88 (VIOLATED)
+_305_/A1 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__295__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_295_/A1 1.50 2.38 -0.88 (VIOLATED)
+_289_/A2 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__302__A1/DIODE 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__378__A/DIODE 1.50 2.38 -0.88 (VIOLATED)
+_378_/A 1.50 2.38 -0.88 (VIOLATED)
+ANTENNA__289__A2/DIODE 1.50 2.38 -0.88 (VIOLATED)
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+max capacitance
+
+Pin Limit Cap Slack
+------------------------------------------------------------
+dco 0.21 0.34 -0.13 (VIOLATED)
+
+
+======================= Typical Corner ===================================
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+max capacitance
+
+Pin Limit Cap Slack
+------------------------------------------------------------
+dco 0.33 0.33 -0.00 (VIOLATED)
+
+
+======================= Fastest Corner ===================================
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+
+===========================================================================
+max slew violation count 109
+max fanout violation count 15
+max cap violation count 1
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns -12.25
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns -1.96
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack -1.96
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack -0.03
+worst_slack_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+
+
+======================= Slowest Corner =================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.13e-04 1.62e-05 3.37e-07 1.30e-04 32.0%
+Combinational 1.21e-04 1.53e-04 1.51e-06 2.76e-04 68.0%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.35e-04 1.69e-04 1.85e-06 4.06e-04 100.0%
+ 57.9% 41.6% 0.5%
+
+======================= Typical Corner ===================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.48e-04 2.07e-05 1.84e-10 1.69e-04 32.9%
+Combinational 1.48e-04 1.95e-04 1.24e-09 3.43e-04 67.1%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.96e-04 2.16e-04 1.42e-09 5.12e-04 100.0%
+ 57.9% 42.1% 0.0%
+
+
+======================= Fastest Corner =================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.72e-04 2.45e-05 3.12e-10 1.96e-04 33.0%
+Combinational 1.67e-04 2.31e-04 3.12e-09 3.98e-04 67.0%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 3.39e-04 2.56e-04 3.43e-09 5.95e-04 100.0%
+ 57.0% 43.0% 0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 3611 u^2 90% utilization.
+area_report_end
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing SDF files for all corners...
+Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_max/digital_pll.ff.sdf...
+Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_max/digital_pll.ss.sdf...
+Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_max/digital_pll.tt.sdf...
diff --git a/signoff/digital_pll/openlane-signoff/19-parasitics_extraction.nom.log b/signoff/digital_pll/openlane-signoff/19-parasitics_extraction.nom.log
new file mode 100644
index 00000000..8c36b5f6
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/19-parasitics_extraction.nom.log
@@ -0,0 +1,40 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef
+[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
+The LEF parser will ignore this statement.
+To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef at line 930.
+
+[INFO ODB-0223] Created 13 technology layers
+[INFO ODB-0224] Created 25 technology vias
+[INFO ODB-0225] Created 441 library cells
+[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef
+[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+[INFO ODB-0128] Design: digital_pll
+[INFO ODB-0130] Created 39 pins.
+[INFO ODB-0131] Created 617 components and 3559 component-terminals.
+[INFO ODB-0132] Created 2 special nets and 2368 connections.
+[INFO ODB-0133] Created 333 nets and 1190 connections.
+[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre'...
+[INFO RCX-0431] Defined process_corner X with ext_model_index 0
+[INFO RCX-0029] Defined extraction corner X
+[INFO RCX-0008] extracting parasitics of digital_pll ...
+[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre ...
+[INFO RCX-0436] RC segment generation digital_pll (max_merge_res 50.0) ...
+[INFO RCX-0040] Final 1456 rc segments
+[INFO RCX-0439] Coupling Cap extraction digital_pll ...
+[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
+[INFO RCX-0043] 2492 wires to be extracted
+[INFO RCX-0442] 52% completion -- 1308 wires have been extracted
+[INFO RCX-0442] 100% completion -- 2492 wires have been extracted
+[INFO RCX-0045] Extract 333 nets, 1789 rsegs, 1789 caps, 2747 ccs
+[INFO RCX-0015] Finished extracting digital_pll.
+Writing result to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_nom/digital_pll.spef...
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing extracted parasitics to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_nom/digital_pll.spef...
+[INFO RCX-0016] Writing SPEF ...
+[INFO RCX-0443] 333 nets finished
+[INFO RCX-0017] Finished writing SPEF ...
diff --git a/signoff/digital_pll/openlane-signoff/20-rcx_mcsta.nom.log b/signoff/digital_pll/openlane-signoff/20-rcx_mcsta.nom.log
new file mode 100644
index 00000000..16a851de
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/20-rcx_mcsta.nom.log
@@ -0,0 +1,6247 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.odb
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.67 0.67 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.07 0.00 0.67 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.67 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.67 data arrival time
+-----------------------------------------------------------------------------
+ 0.48 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.80 0.80 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.20 0.00 0.80 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.80 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.10 0.16 library hold time
+ 0.16 data required time
+-----------------------------------------------------------------------------
+ 0.16 data required time
+ -0.80 data arrival time
+-----------------------------------------------------------------------------
+ 0.65 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.71 0.72 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.11 0.00 0.72 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.04 0.18 0.89 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.04 0.00 0.89 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.89 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.89 data arrival time
+-----------------------------------------------------------------------------
+ 0.70 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.70 0.70 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.09 0.00 0.70 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.22 0.92 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.07 0.00 0.92 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.92 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.92 data arrival time
+-----------------------------------------------------------------------------
+ 0.73 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.71 0.71 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.10 0.00 0.71 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.22 0.93 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.07 0.00 0.93 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.93 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.93 data arrival time
+-----------------------------------------------------------------------------
+ 0.74 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.73 0.73 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.12 0.00 0.73 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.23 0.96 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.07 0.00 0.96 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.96 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.96 data arrival time
+-----------------------------------------------------------------------------
+ 0.78 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.73 0.73 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.12 0.00 0.73 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.97 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.07 0.00 0.97 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.97 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -0.97 data arrival time
+-----------------------------------------------------------------------------
+ 0.78 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.74 0.74 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.13 0.00 0.74 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.98 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.07 0.00 0.98 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.98 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.98 data arrival time
+-----------------------------------------------------------------------------
+ 0.79 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.74 0.74 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.13 0.00 0.74 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.98 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.07 0.00 0.98 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.98 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.98 data arrival time
+-----------------------------------------------------------------------------
+ 0.79 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.74 0.75 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.14 0.00 0.75 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.24 0.99 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.07 0.00 0.99 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.99 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -0.99 data arrival time
+-----------------------------------------------------------------------------
+ 0.80 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.75 0.75 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.14 0.00 0.75 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.08 0.25 1.00 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.08 0.00 1.00 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.00 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.00 data arrival time
+-----------------------------------------------------------------------------
+ 0.82 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.18 0.78 0.79 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.18 0.00 0.79 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.27 1.06 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.05 0.00 1.06 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.06 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.06 data arrival time
+-----------------------------------------------------------------------------
+ 0.86 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 0.87 0.88 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.30 0.00 0.88 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.08 0.17 1.04 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.08 0.00 1.04 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.04 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.08 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.04 data arrival time
+-----------------------------------------------------------------------------
+ 0.87 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.80 0.80 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.20 0.00 0.80 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.28 1.08 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.05 0.00 1.08 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.08 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.08 data arrival time
+-----------------------------------------------------------------------------
+ 0.89 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.27 0.85 0.86 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.27 0.00 0.86 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.28 1.14 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.07 0.00 1.14 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.14 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.14 data arrival time
+-----------------------------------------------------------------------------
+ 0.95 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.28 0.86 0.86 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[3] (net)
+ 0.28 0.00 0.86 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.07 0.29 1.16 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.07 0.00 1.16 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.16 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.16 data arrival time
+-----------------------------------------------------------------------------
+ 0.97 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.20 0.80 0.80 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.20 0.00 0.81 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.07 0.36 1.16 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.07 0.00 1.16 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.16 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.16 data arrival time
+-----------------------------------------------------------------------------
+ 0.97 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.77 0.77 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.17 0.00 0.77 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.08 0.39 1.17 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.08 0.00 1.17 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.17 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.17 data arrival time
+-----------------------------------------------------------------------------
+ 0.98 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.78 0.78 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.17 0.00 0.78 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.13 0.24 1.02 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.13 0.00 1.02 v _283_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.10 0.16 1.19 ^ _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.10 0.00 1.19 ^ _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.19 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.19 data arrival time
+-----------------------------------------------------------------------------
+ 1.00 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.78 0.78 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.17 0.00 0.78 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.13 0.24 1.02 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.13 0.00 1.02 v _281_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.12 0.17 1.20 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.12 0.00 1.20 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.20 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.08 0.18 library hold time
+ 0.18 data required time
+-----------------------------------------------------------------------------
+ 0.18 data required time
+ -1.20 data arrival time
+-----------------------------------------------------------------------------
+ 1.02 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.40 0.95 0.95 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.40 0.00 0.95 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.06 0.40 1.35 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.06 0.00 1.35 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.35 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.06 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.35 data arrival time
+-----------------------------------------------------------------------------
+ 1.16 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.35 0.91 0.91 ^ _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.04 pll_control.tint[1] (net)
+ 0.35 0.00 0.92 ^ _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.15 0.23 1.15 v _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.03 _046_ (net)
+ 0.15 0.00 1.15 v _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.07 0.26 1.41 ^ _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.07 0.00 1.41 ^ _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.41 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.07 0.19 library hold time
+ 0.19 data required time
+-----------------------------------------------------------------------------
+ 0.19 data required time
+ -1.41 data arrival time
+-----------------------------------------------------------------------------
+ 1.22 slack (MET)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.33 0.33 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.33 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.41 0.41 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.12 0.00 0.41 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.41 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.04 0.21 library hold time
+ 0.21 data required time
+-----------------------------------------------------------------------------
+ 0.21 data required time
+ -0.41 data arrival time
+-----------------------------------------------------------------------------
+ 0.20 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.36 0.36 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.06 0.00 0.36 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.03 0.09 0.45 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.03 0.00 0.45 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.45 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.45 data arrival time
+-----------------------------------------------------------------------------
+ 0.22 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.34 0.35 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.05 0.00 0.35 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.46 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.46 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.46 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.46 data arrival time
+-----------------------------------------------------------------------------
+ 0.23 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.35 0.36 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.06 0.00 0.36 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.47 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.47 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.47 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.47 data arrival time
+-----------------------------------------------------------------------------
+ 0.24 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.37 0.37 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.07 0.00 0.37 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.48 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.04 0.00 0.48 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.48 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.48 data arrival time
+-----------------------------------------------------------------------------
+ 0.26 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.36 0.36 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.07 0.00 0.37 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.49 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.04 0.00 0.49 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 0.26 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.37 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.08 0.00 0.37 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.49 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 0.49 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.37 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.08 0.00 0.37 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.49 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.04 0.00 0.49 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.49 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.49 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.37 0.38 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.08 0.00 0.38 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.12 0.50 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.04 0.00 0.50 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.50 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.50 data arrival time
+-----------------------------------------------------------------------------
+ 0.27 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.38 0.38 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.08 0.00 0.38 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.13 0.51 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.04 0.00 0.51 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.51 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.51 data arrival time
+-----------------------------------------------------------------------------
+ 0.28 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.11 0.39 0.40 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.11 0.00 0.40 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.13 0.53 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.03 0.00 0.53 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.53 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.53 data arrival time
+-----------------------------------------------------------------------------
+ 0.30 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.18 0.45 0.46 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.18 0.00 0.46 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.04 0.07 0.53 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.04 0.00 0.53 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.53 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.53 data arrival time
+-----------------------------------------------------------------------------
+ 0.30 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.40 0.40 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.12 0.00 0.40 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.13 0.54 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.03 0.00 0.54 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.54 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.54 data arrival time
+-----------------------------------------------------------------------------
+ 0.31 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.39 0.39 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.10 0.00 0.39 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.17 0.57 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.04 0.00 0.57 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.57 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.57 data arrival time
+-----------------------------------------------------------------------------
+ 0.34 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.17 0.44 0.44 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.17 0.00 0.44 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.14 0.59 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.04 0.00 0.59 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.59 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.59 data arrival time
+-----------------------------------------------------------------------------
+ 0.36 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.41 0.41 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.12 0.00 0.41 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.04 0.18 0.59 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.04 0.00 0.59 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.59 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.59 data arrival time
+-----------------------------------------------------------------------------
+ 0.36 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.18 0.44 0.45 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[3] (net)
+ 0.18 0.00 0.45 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.15 0.59 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.04 0.00 0.59 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.59 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.59 data arrival time
+-----------------------------------------------------------------------------
+ 0.37 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.43 0.43 v _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.08 0.00 0.43 v _278_/A (sky130_fd_sc_hd__nand2_2)
+ 0.10 0.11 0.55 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.10 0.00 0.55 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.03 0.05 0.60 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.03 0.00 0.60 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.60 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.60 data arrival time
+-----------------------------------------------------------------------------
+ 0.37 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.43 0.43 v _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.08 0.00 0.43 v _278_/A (sky130_fd_sc_hd__nand2_2)
+ 0.10 0.11 0.55 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.10 0.00 0.55 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.03 0.06 0.60 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.03 0.00 0.60 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.60 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.60 data arrival time
+-----------------------------------------------------------------------------
+ 0.37 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.50 0.50 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.25 0.00 0.50 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.04 0.19 0.69 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.04 0.00 0.69 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.69 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.22 library hold time
+ 0.22 data required time
+-----------------------------------------------------------------------------
+ 0.22 data required time
+ -0.69 data arrival time
+-----------------------------------------------------------------------------
+ 0.46 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.48 0.48 v _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.04 pll_control.tint[1] (net)
+ 0.13 0.00 0.48 v _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.13 0.15 0.63 ^ _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.03 _046_ (net)
+ 0.13 0.00 0.63 ^ _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.03 0.08 0.71 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.03 0.00 0.71 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.71 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.71 data arrival time
+-----------------------------------------------------------------------------
+ 0.48 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.03 0.21 0.21 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.03 0.00 0.21 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.21 data arrival time
+-----------------------------------------------------------------------------
+ -0.03 slack (VIOLATED)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.26 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.09 0.00 0.26 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.26 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.26 data arrival time
+-----------------------------------------------------------------------------
+ 0.03 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.23 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.04 0.00 0.23 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.02 0.06 0.29 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.02 0.00 0.29 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.29 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.29 data arrival time
+-----------------------------------------------------------------------------
+ 0.05 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.22 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.04 0.00 0.22 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.29 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.03 0.00 0.29 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.29 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.29 data arrival time
+-----------------------------------------------------------------------------
+ 0.05 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.22 0.23 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.04 0.00 0.23 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.30 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.03 0.00 0.30 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.30 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.30 data arrival time
+-----------------------------------------------------------------------------
+ 0.06 slack (MET)
+
+
+Startpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.23 0.23 ^ _401_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[4] (net)
+ 0.05 0.00 0.23 ^ _183_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.31 ^ _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.03 0.00 0.31 ^ _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.07 slack (MET)
+
+
+Startpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.23 0.23 ^ _400_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[3] (net)
+ 0.05 0.00 0.23 ^ _184_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.31 ^ _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.03 0.00 0.31 ^ _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.23 0.24 ^ _388_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.tval[1] (net)
+ 0.06 0.00 0.24 ^ _270_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.31 ^ _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.03 0.00 0.31 ^ _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.24 ^ _399_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[2] (net)
+ 0.06 0.00 0.24 ^ _185_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.07 0.31 ^ _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.03 0.00 0.31 ^ _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.24 ^ _397_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 4 0.01 pll_control.count1[0] (net)
+ 0.06 0.00 0.24 ^ _187_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.32 ^ _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.03 0.00 0.32 ^ _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.32 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.32 data arrival time
+-----------------------------------------------------------------------------
+ 0.08 slack (MET)
+
+
+Startpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.24 0.24 ^ _398_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.count1[1] (net)
+ 0.06 0.00 0.24 ^ _186_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.08 0.32 ^ _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.03 0.00 0.32 ^ _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.32 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.01 0.01 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.26 clock uncertainty
+ 0.00 0.26 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.32 data arrival time
+-----------------------------------------------------------------------------
+ 0.09 slack (MET)
+
+
+Startpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.25 0.26 ^ _387_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tval[0] (net)
+ 0.08 0.00 0.26 ^ _272_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.08 0.33 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.02 0.00 0.33 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.14 0.29 0.30 ^ _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.14 0.00 0.30 ^ _284_/A (sky130_fd_sc_hd__nand3_2)
+ 0.03 0.03 0.33 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.03 0.00 0.33 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.26 ^ _386_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.02 pll_control.count0[4] (net)
+ 0.09 0.00 0.26 ^ _275_/A1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.08 0.34 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.02 0.00 0.34 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.34 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.34 data arrival time
+-----------------------------------------------------------------------------
+ 0.11 slack (MET)
+
+
+Startpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.25 ^ _385_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.count0[3] (net)
+ 0.07 0.00 0.25 ^ _277_/A1 (sky130_fd_sc_hd__o211a_2)
+ 0.03 0.10 0.35 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.03 0.00 0.35 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.35 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.35 data arrival time
+-----------------------------------------------------------------------------
+ 0.12 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.25 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.07 0.00 0.25 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.05 0.07 0.32 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.05 0.00 0.32 v _283_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.05 0.37 ^ _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.04 0.00 0.37 ^ _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.37 data arrival time
+-----------------------------------------------------------------------------
+ 0.13 slack (MET)
+
+
+Startpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.09 0.26 0.26 ^ _389_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 5 0.02 pll_control.tint[0] (net)
+ 0.09 0.00 0.26 ^ _267_/B1 (sky130_fd_sc_hd__o2bb2a_2)
+ 0.03 0.11 0.37 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.03 0.00 0.37 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.37 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.25 0.25 ^ _396_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.02 pll_control.oscbuf[2] (net)
+ 0.07 0.00 0.25 ^ _182_/B (sky130_fd_sc_hd__xor2_2)
+ 0.05 0.07 0.32 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.05 0.00 0.32 v _281_/B1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.05 0.37 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.04 0.00 0.37 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.37 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.37 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.29 0.29 ^ _391_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.03 pll_control.tint[2] (net)
+ 0.13 0.00 0.29 ^ _262_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.09 0.38 ^ _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.03 0.00 0.38 ^ _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.38 data arrival time
+-----------------------------------------------------------------------------
+ 0.14 slack (MET)
+
+
+Startpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.13 0.29 0.29 ^ _392_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.tint[3] (net)
+ 0.13 0.00 0.29 ^ _260_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.03 0.09 0.38 ^ _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.03 0.00 0.38 ^ _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.38 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.24 library hold time
+ 0.24 data required time
+-----------------------------------------------------------------------------
+ 0.24 data required time
+ -0.38 data arrival time
+-----------------------------------------------------------------------------
+ 0.15 slack (MET)
+
+
+Startpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.19 0.33 0.33 ^ _393_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 11 0.05 pll_control.tint[4] (net)
+ 0.19 0.00 0.33 ^ _257_/A1 (sky130_fd_sc_hd__o22a_2)
+ 0.02 0.10 0.43 ^ _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.02 0.00 0.43 ^ _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.43 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.43 data arrival time
+-----------------------------------------------------------------------------
+ 0.19 slack (MET)
+
+
+Startpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.31 0.31 v _390_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 10 0.04 pll_control.tint[1] (net)
+ 0.10 0.00 0.31 v _179_/A (sky130_fd_sc_hd__inv_2)
+ 0.10 0.11 0.42 ^ _179_/Y (sky130_fd_sc_hd__inv_2)
+ 4 0.03 _046_ (net)
+ 0.10 0.00 0.42 ^ _265_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.02 0.04 0.46 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.02 0.00 0.46 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.46 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.46 data arrival time
+-----------------------------------------------------------------------------
+ 0.23 slack (MET)
+
+
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.06 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.06 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.06 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.06 data arrival time
+-----------------------------------------------------------------------------
+ -1.91 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.05 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.11 0.00 8.05 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.05 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -8.05 data arrival time
+-----------------------------------------------------------------------------
+ -1.91 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.10 0.77 8.05 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.10 0.00 8.05 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.05 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -8.05 data arrival time
+-----------------------------------------------------------------------------
+ -1.90 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.10 0.32 7.60 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.10 0.00 7.60 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.09 0.25 7.85 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.09 0.00 7.85 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.85 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -7.85 data arrival time
+-----------------------------------------------------------------------------
+ -1.70 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.08 0.48 7.76 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.08 0.00 7.76 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.76 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.26 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -7.76 data arrival time
+-----------------------------------------------------------------------------
+ -1.60 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.07 0.57 7.84 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.07 0.00 7.84 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.84 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -7.84 data arrival time
+-----------------------------------------------------------------------------
+ -1.54 slack (VIOLATED)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.17 7.44 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.08 0.00 7.44 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.05 0.24 7.68 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.05 0.00 7.68 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 7.68 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.12 6.30 library setup time
+ 6.30 data required time
+-----------------------------------------------------------------------------
+ 6.30 data required time
+ -7.68 data arrival time
+-----------------------------------------------------------------------------
+ -1.38 slack (VIOLATED)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.94 0.89 1.88 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.94 0.00 1.88 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.96 2.84 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.11 0.00 2.84 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.84 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.84 data arrival time
+-----------------------------------------------------------------------------
+ 3.30 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.94 0.89 1.88 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.94 0.00 1.88 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.97 2.85 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.11 0.00 2.85 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.85 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.85 data arrival time
+-----------------------------------------------------------------------------
+ 3.30 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.94 0.89 1.88 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.94 0.00 1.88 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.95 2.83 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.11 0.00 2.83 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.83 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.83 data arrival time
+-----------------------------------------------------------------------------
+ 3.31 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.94 0.89 1.88 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.94 0.00 1.88 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.10 0.95 2.83 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.10 0.00 2.83 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.83 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -2.83 data arrival time
+-----------------------------------------------------------------------------
+ 3.31 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.94 0.89 1.88 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.94 0.00 1.88 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.95 2.83 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.11 0.00 2.83 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.83 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.83 data arrival time
+-----------------------------------------------------------------------------
+ 3.31 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.94 0.89 1.88 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.94 0.00 1.88 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.95 2.83 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.11 0.00 2.83 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.83 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.83 data arrival time
+-----------------------------------------------------------------------------
+ 3.32 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.62 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.62 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.10 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.10 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.17 0.21 2.31 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.17 0.00 2.31 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.17 0.18 2.49 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.17 0.00 2.49 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.49 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.30 6.12 library setup time
+ 6.12 data required time
+-----------------------------------------------------------------------------
+ 6.12 data required time
+ -2.49 data arrival time
+-----------------------------------------------------------------------------
+ 3.63 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.62 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.62 v _280_/A (sky130_fd_sc_hd__or2_2)
+ 0.13 0.73 2.35 v _280_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.01 _133_ (net)
+ 0.13 0.00 2.35 v _281_/A2 (sky130_fd_sc_hd__a21oi_2)
+ 0.14 0.25 2.60 ^ _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.14 0.00 2.60 ^ _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.60 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.14 6.28 library setup time
+ 6.28 data required time
+-----------------------------------------------------------------------------
+ 6.28 data required time
+ -2.60 data arrival time
+-----------------------------------------------------------------------------
+ 3.68 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.62 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.62 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.10 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.10 v _275_/A2 (sky130_fd_sc_hd__o21a_2)
+ 0.06 0.38 2.49 v _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.06 0.00 2.49 v _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.49 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.25 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -2.49 data arrival time
+-----------------------------------------------------------------------------
+ 3.68 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.62 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.62 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.10 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.10 v _276_/B (sky130_fd_sc_hd__nand2b_2)
+ 0.06 0.11 2.22 ^ _276_/Y (sky130_fd_sc_hd__nand2b_2)
+ 1 0.00 _130_ (net)
+ 0.06 0.00 2.22 ^ _277_/B1 (sky130_fd_sc_hd__o211a_2)
+ 0.09 0.38 2.60 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.09 0.00 2.60 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.60 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -2.60 data arrival time
+-----------------------------------------------------------------------------
+ 3.69 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.10 0.57 1.62 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.10 0.00 1.62 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.11 0.48 2.10 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.11 0.00 2.10 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.17 0.21 2.31 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.17 0.00 2.31 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.10 0.13 2.45 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.10 0.00 2.45 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.45 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.45 data arrival time
+-----------------------------------------------------------------------------
+ 3.70 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.45 0.52 1.51 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.45 0.00 1.51 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.85 2.36 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.11 0.00 2.36 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.36 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.36 data arrival time
+-----------------------------------------------------------------------------
+ 3.79 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.21 0.43 1.42 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.21 0.00 1.43 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.11 0.69 2.12 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.11 0.00 2.12 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.12 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.27 6.15 library setup time
+ 6.15 data required time
+-----------------------------------------------------------------------------
+ 6.15 data required time
+ -2.12 data arrival time
+-----------------------------------------------------------------------------
+ 4.03 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.16 0.99 0.99 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.16 0.00 0.99 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.99 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.29 6.13 library setup time
+ 6.13 data required time
+-----------------------------------------------------------------------------
+ 6.13 data required time
+ -0.99 data arrival time
+-----------------------------------------------------------------------------
+ 5.14 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.86 0.86 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.07 0.00 0.86 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.86 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.26 6.16 library setup time
+ 6.16 data required time
+-----------------------------------------------------------------------------
+ 6.16 data required time
+ -0.86 data arrival time
+-----------------------------------------------------------------------------
+ 5.30 slack (MET)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.96 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.96 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.35 3.96 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.05 0.00 3.96 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.34 3.96 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.05 0.00 3.96 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.36 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.17 3.78 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.05 0.00 3.78 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.05 0.14 3.92 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.05 0.00 3.92 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.92 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.92 data arrival time
+-----------------------------------------------------------------------------
+ 2.39 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.04 0.26 3.87 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.04 0.00 3.87 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.10 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -3.87 data arrival time
+-----------------------------------------------------------------------------
+ 2.44 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.04 0.29 3.90 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.04 0.00 3.90 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -3.90 data arrival time
+-----------------------------------------------------------------------------
+ 2.46 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.05 0.09 3.71 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.05 0.00 3.71 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.03 0.12 3.82 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.03 0.00 3.82 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.82 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.05 6.37 library setup time
+ 6.37 data required time
+-----------------------------------------------------------------------------
+ 6.37 data required time
+ -3.82 data arrival time
+-----------------------------------------------------------------------------
+ 2.54 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.40 1.43 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.06 0.00 1.43 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.43 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.43 data arrival time
+-----------------------------------------------------------------------------
+ 4.88 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.40 1.43 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.06 0.00 1.43 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.43 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.43 data arrival time
+-----------------------------------------------------------------------------
+ 4.88 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.42 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.05 0.00 1.42 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.42 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.42 data arrival time
+-----------------------------------------------------------------------------
+ 4.89 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.43 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.05 0.00 1.43 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.43 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.43 data arrival time
+-----------------------------------------------------------------------------
+ 4.89 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.42 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.05 0.00 1.42 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.42 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.42 data arrival time
+-----------------------------------------------------------------------------
+ 4.89 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.39 1.42 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.05 0.00 1.42 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.42 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.42 data arrival time
+-----------------------------------------------------------------------------
+ 4.89 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _277_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.31 1.34 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.05 0.00 1.34 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.34 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -1.34 data arrival time
+-----------------------------------------------------------------------------
+ 5.02 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.51 0.52 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.12 0.00 0.52 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.79 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.79 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.02 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.02 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.14 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.14 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.11 0.08 1.22 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.11 0.00 1.22 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.22 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.13 6.29 library setup time
+ 6.29 data required time
+-----------------------------------------------------------------------------
+ 6.29 data required time
+ -1.22 data arrival time
+-----------------------------------------------------------------------------
+ 5.07 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.61 0.55 1.03 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.61 0.00 1.03 ^ _275_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.04 0.24 1.27 ^ _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.04 0.00 1.27 ^ _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.27 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.06 6.36 library setup time
+ 6.36 data required time
+-----------------------------------------------------------------------------
+ 6.36 data required time
+ -1.27 data arrival time
+-----------------------------------------------------------------------------
+ 5.09 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.51 0.52 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.12 0.00 0.52 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.79 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.79 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.02 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.02 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.14 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.14 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.07 0.06 1.20 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.07 0.00 1.20 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.20 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.20 data arrival time
+-----------------------------------------------------------------------------
+ 5.10 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.51 0.52 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.12 0.00 0.52 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.05 0.27 0.79 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.05 0.00 0.79 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.06 0.23 1.02 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.06 0.00 1.02 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.11 0.12 1.14 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.11 0.00 1.14 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.06 0.06 1.20 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.06 0.00 1.20 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.20 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.20 data arrival time
+-----------------------------------------------------------------------------
+ 5.11 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.29 0.32 0.80 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.29 0.00 0.80 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.37 1.17 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.05 0.00 1.17 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.17 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.17 data arrival time
+-----------------------------------------------------------------------------
+ 5.14 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.10 0.23 0.72 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.10 0.00 0.72 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.05 0.30 1.02 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.05 0.00 1.02 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 1.02 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -1.02 data arrival time
+-----------------------------------------------------------------------------
+ 5.30 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.48 0.48 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.08 0.00 0.48 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.48 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.12 6.30 library setup time
+ 6.30 data required time
+-----------------------------------------------------------------------------
+ 6.30 data required time
+ -0.48 data arrival time
+-----------------------------------------------------------------------------
+ 5.82 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.41 0.42 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.42 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.42 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.10 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -0.42 data arrival time
+-----------------------------------------------------------------------------
+ 5.90 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.37 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 2.37 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.37 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.37 data arrival time
+-----------------------------------------------------------------------------
+ 3.98 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.37 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.04 0.00 2.37 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.37 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.37 data arrival time
+-----------------------------------------------------------------------------
+ 3.98 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 2.36 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.04 0.00 2.36 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.36 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.36 data arrival time
+-----------------------------------------------------------------------------
+ 3.98 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.11 2.27 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.04 0.00 2.27 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.04 0.09 2.36 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.04 0.00 2.36 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.36 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.36 data arrival time
+-----------------------------------------------------------------------------
+ 3.99 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.03 0.16 2.32 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.03 0.00 2.32 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.32 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.32 data arrival time
+-----------------------------------------------------------------------------
+ 4.03 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _389_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _267_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
+ 0.03 0.17 2.33 ^ _267_/X (sky130_fd_sc_hd__o2bb2a_2)
+ 1 0.00 _033_ (net)
+ 0.03 0.00 2.33 ^ _389_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.33 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _389_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.03 6.39 library setup time
+ 6.39 data required time
+-----------------------------------------------------------------------------
+ 6.39 data required time
+ -2.33 data arrival time
+-----------------------------------------------------------------------------
+ 4.06 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _387_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _271_/B (sky130_fd_sc_hd__nand2_2)
+ 0.04 0.07 2.23 ^ _271_/Y (sky130_fd_sc_hd__nand2_2)
+ 1 0.00 _127_ (net)
+ 0.04 0.00 2.23 ^ _272_/B1 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.06 2.28 ^ _272_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _031_ (net)
+ 0.02 0.00 2.28 ^ _387_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.28 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _387_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.03 6.39 library setup time
+ 6.39 data required time
+-----------------------------------------------------------------------------
+ 6.39 data required time
+ -2.28 data arrival time
+-----------------------------------------------------------------------------
+ 4.10 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _400_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.46 0.40 0.71 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.46 0.00 0.71 ^ _184_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.91 v _184_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _041_ (net)
+ 0.04 0.00 0.91 v _400_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.91 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _400_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.91 data arrival time
+-----------------------------------------------------------------------------
+ 5.44 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _398_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.46 0.40 0.71 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.46 0.00 0.71 ^ _186_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.91 v _186_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _039_ (net)
+ 0.04 0.00 0.91 v _398_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.91 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _398_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.91 data arrival time
+-----------------------------------------------------------------------------
+ 5.44 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _401_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.46 0.40 0.71 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.46 0.00 0.71 ^ _183_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.90 v _183_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _042_ (net)
+ 0.04 0.00 0.90 v _401_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _401_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.90 data arrival time
+-----------------------------------------------------------------------------
+ 5.44 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _399_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.46 0.40 0.71 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.46 0.00 0.71 ^ _185_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.90 v _185_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _040_ (net)
+ 0.04 0.00 0.90 v _399_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _399_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.90 data arrival time
+-----------------------------------------------------------------------------
+ 5.44 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _397_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.46 0.40 0.71 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.46 0.00 0.71 ^ _187_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.91 v _187_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _038_ (net)
+ 0.04 0.00 0.91 v _397_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.91 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _397_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.91 data arrival time
+-----------------------------------------------------------------------------
+ 5.44 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.46 0.40 0.71 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.46 0.00 0.71 ^ _285_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.19 0.90 v _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.90 v _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.90 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.90 data arrival time
+-----------------------------------------------------------------------------
+ 5.45 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _385_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _181_/A (sky130_fd_sc_hd__xnor2_2)
+ 0.46 0.40 0.71 ^ _181_/Y (sky130_fd_sc_hd__xnor2_2)
+ 9 0.05 _048_ (net)
+ 0.46 0.00 0.71 ^ _277_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.04 0.12 0.83 ^ _277_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.00 _029_ (net)
+ 0.04 0.00 0.83 ^ _385_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.83 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _385_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.04 6.38 library setup time
+ 6.38 data required time
+-----------------------------------------------------------------------------
+ 6.38 data required time
+ -0.83 data arrival time
+-----------------------------------------------------------------------------
+ 5.55 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.65 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.65 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.74 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.74 ^ _284_/C (sky130_fd_sc_hd__nand3_2)
+ 0.08 0.04 0.78 v _284_/Y (sky130_fd_sc_hd__nand3_2)
+ 1 0.00 _026_ (net)
+ 0.08 0.00 0.78 v _382_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.78 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.09 6.33 library setup time
+ 6.33 data required time
+-----------------------------------------------------------------------------
+ 6.33 data required time
+ -0.78 data arrival time
+-----------------------------------------------------------------------------
+ 5.56 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _384_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.65 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.65 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.74 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.74 ^ _281_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.03 0.77 v _281_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _028_ (net)
+ 0.04 0.00 0.77 v _384_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.77 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _384_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.77 data arrival time
+-----------------------------------------------------------------------------
+ 5.58 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _386_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.65 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.65 v _275_/A2 (sky130_fd_sc_hd__o21a_2)
+ 0.02 0.12 0.77 v _275_/X (sky130_fd_sc_hd__o21a_2)
+ 1 0.00 _030_ (net)
+ 0.02 0.00 0.77 v _386_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.77 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.77 data arrival time
+-----------------------------------------------------------------------------
+ 5.58 slack (MET)
+
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.08 0.33 0.33 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.08 0.00 0.33 v _273_/C (sky130_fd_sc_hd__and3_2)
+ 0.04 0.17 0.50 v _273_/X (sky130_fd_sc_hd__and3_2)
+ 3 0.01 _128_ (net)
+ 0.04 0.00 0.50 v _274_/B (sky130_fd_sc_hd__and2_2)
+ 0.04 0.14 0.65 v _274_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.01 _129_ (net)
+ 0.04 0.00 0.65 v _278_/B (sky130_fd_sc_hd__nand2_2)
+ 0.08 0.09 0.74 ^ _278_/Y (sky130_fd_sc_hd__nand2_2)
+ 3 0.02 _131_ (net)
+ 0.08 0.00 0.74 ^ _283_/A1 (sky130_fd_sc_hd__a21oi_2)
+ 0.04 0.03 0.77 v _283_/Y (sky130_fd_sc_hd__a21oi_2)
+ 1 0.00 _027_ (net)
+ 0.04 0.00 0.77 v _383_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.77 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.77 data arrival time
+-----------------------------------------------------------------------------
+ 5.58 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.22 0.23 0.54 ^ _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.22 0.00 0.54 ^ _286_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.20 0.74 v _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.74 v _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.74 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.74 data arrival time
+-----------------------------------------------------------------------------
+ 5.61 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _182_/A (sky130_fd_sc_hd__xor2_2)
+ 0.07 0.15 0.46 v _182_/X (sky130_fd_sc_hd__xor2_2)
+ 5 0.02 _049_ (net)
+ 0.07 0.00 0.46 v _287_/B (sky130_fd_sc_hd__or2_2)
+ 0.04 0.17 0.64 v _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.04 0.00 0.64 v _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.64 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.64 data arrival time
+-----------------------------------------------------------------------------
+ 5.71 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.30 0.31 v _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.06 0.00 0.31 v _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.31 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.08 6.34 library setup time
+ 6.34 data required time
+-----------------------------------------------------------------------------
+ 6.34 data required time
+ -0.31 data arrival time
+-----------------------------------------------------------------------------
+ 6.03 slack (MET)
+
+
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.03 0.26 0.26 v _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.03 0.00 0.26 v _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.26 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -0.26 data arrival time
+-----------------------------------------------------------------------------
+ 6.09 slack (MET)
+
+
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.06 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.06 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.06 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.06 data arrival time
+-----------------------------------------------------------------------------
+ -1.91 slack (VIOLATED)
+
+
+
+======================= Typical Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: tt
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.96 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.96 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+
+======================= Fastest Corner ===================================
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ff
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.07 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.07 0.32 0.32 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.07 0.00 0.32 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.06 0.15 0.47 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.06 0.00 0.47 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.05 0.24 0.72 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.05 0.00 0.72 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.04 0.16 0.88 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.04 0.00 0.88 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.03 0.15 1.03 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.03 0.00 1.03 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.19 0.17 1.20 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.19 0.00 1.20 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.31 0.32 1.52 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.31 0.00 1.52 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.06 0.36 1.88 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.06 0.00 1.88 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.04 0.16 2.04 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.04 0.00 2.04 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.09 0.12 2.16 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.04 _105_ (net)
+ 0.09 0.00 2.16 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.21 2.37 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.04 0.00 2.37 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 2.37 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.07 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.07 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.07 6.35 library setup time
+ 6.35 data required time
+-----------------------------------------------------------------------------
+ 6.35 data required time
+ -2.37 data arrival time
+-----------------------------------------------------------------------------
+ 3.98 slack (MET)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+
+======================= Slowest Corner ===================================
+
+Startpoint: _382_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+Corner: ss
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.14 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 0.00 ^ _382_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.21 1.04 1.05 v _382_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 8 0.04 pll_control.count0[0] (net)
+ 0.21 0.00 1.05 v _198_/A (sky130_fd_sc_hd__and2_2)
+ 0.12 0.51 1.56 v _198_/X (sky130_fd_sc_hd__and2_2)
+ 3 0.02 _060_ (net)
+ 0.12 0.00 1.56 v _202_/A1 (sky130_fd_sc_hd__a211o_2)
+ 0.12 0.67 2.23 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.12 0.00 2.23 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.09 0.46 2.70 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.09 0.00 2.70 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.09 0.53 3.23 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.09 0.00 3.23 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.42 0.59 3.82 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.42 0.00 3.82 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.67 0.90 4.72 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.67 0.00 4.73 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.19 1.62 6.35 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.19 0.00 6.35 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.11 0.55 6.91 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.11 0.00 6.91 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.22 0.36 7.27 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.22 0.00 7.27 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.11 0.78 8.06 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.11 0.00 8.06 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 8.06 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.14 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.07 pll_control.clock (net)
+ 0.14 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.28 6.14 library setup time
+ 6.14 data required time
+-----------------------------------------------------------------------------
+ 6.14 data required time
+ -8.06 data arrival time
+-----------------------------------------------------------------------------
+ -1.91 slack (VIOLATED)
+
+
+
+======================= Typical Corner ===================================
+
+No paths found.
+
+======================= Fastest Corner ===================================
+
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+
+======================= Slowest Corner ===================================
+
+max slew
+
+Pin Limit Slew Slack
+------------------------------------------------------------
+dco 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__350__B2/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__341__B2/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_341_/B2 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__343__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_337_/A1 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__337__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__342__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_342_/A1 1.50 2.33 -0.83 (VIOLATED)
+_350_/B2 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__348__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_348_/A1 1.50 2.33 -0.83 (VIOLATED)
+_296_/B 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__334__B2/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_334_/B2 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__296__B/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_351_/A1 1.50 2.33 -0.83 (VIOLATED)
+_354_/A1 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__354__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__351__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_367_/A 1.50 2.33 -0.83 (VIOLATED)
+_368_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__367__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__368__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_366_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__366__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_352_/A_N 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__352__A_N/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__364__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__369__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_369_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__353__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_353_/A1 1.50 2.33 -0.83 (VIOLATED)
+_364_/A 1.50 2.33 -0.83 (VIOLATED)
+_358_/A 1.50 2.33 -0.83 (VIOLATED)
+_372_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__358__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__372__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_373_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__373__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_371_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__371__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_356_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__361__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_361_/A 1.50 2.33 -0.83 (VIOLATED)
+_357_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__357__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__374__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_360_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__356__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__360__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_359_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__359__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__365__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_375_/A 1.50 2.33 -0.83 (VIOLATED)
+_374_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__375__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__376__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_376_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__362__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_362_/A 1.50 2.33 -0.83 (VIOLATED)
+_365_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__310__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_309_/A1 1.50 2.33 -0.83 (VIOLATED)
+_310_/A1 1.50 2.33 -0.83 (VIOLATED)
+_343_/A1 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__370__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_312_/A1 1.50 2.33 -0.83 (VIOLATED)
+_346_/B2 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__312__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__314__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__293__A_N/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__346__B2/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_326_/A1 1.50 2.33 -0.83 (VIOLATED)
+_293_/A_N 1.50 2.33 -0.83 (VIOLATED)
+_319_/A1 1.50 2.33 -0.83 (VIOLATED)
+_317_/A1 1.50 2.33 -0.83 (VIOLATED)
+_314_/A1 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__326__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_288_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__288__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_370_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__319__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__363__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_363_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__377__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_377_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__309__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_316_/B2 1.50 2.33 -0.83 (VIOLATED)
+_300_/A1 1.50 2.33 -0.83 (VIOLATED)
+_302_/A1 1.50 2.33 -0.83 (VIOLATED)
+_306_/A1 1.50 2.33 -0.83 (VIOLATED)
+_313_/B 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__300__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__305__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__306__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__313__B/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__316__B2/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__317__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__295__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__299__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_299_/A1 1.50 2.33 -0.83 (VIOLATED)
+_305_/A1 1.50 2.33 -0.83 (VIOLATED)
+_295_/A1 1.50 2.33 -0.83 (VIOLATED)
+_289_/A2 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__302__A1/DIODE 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__378__A/DIODE 1.50 2.33 -0.83 (VIOLATED)
+_378_/A 1.50 2.33 -0.83 (VIOLATED)
+ANTENNA__289__A2/DIODE 1.50 2.33 -0.83 (VIOLATED)
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+max capacitance
+
+Pin Limit Cap Slack
+------------------------------------------------------------
+dco 0.21 0.33 -0.12 (VIOLATED)
+
+
+======================= Typical Corner ===================================
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+
+======================= Fastest Corner ===================================
+
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+
+===========================================================================
+max slew violation count 109
+max fanout violation count 15
+max cap violation count 1
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns -11.94
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns -1.91
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack -1.91
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack -0.03
+worst_slack_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+
+
+======================= Slowest Corner =================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.14e-04 1.57e-05 3.37e-07 1.30e-04 32.3%
+Combinational 1.21e-04 1.49e-04 1.51e-06 2.72e-04 67.7%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.35e-04 1.65e-04 1.85e-06 4.01e-04 100.0%
+ 58.5% 41.0% 0.5%
+
+======================= Typical Corner ===================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.48e-04 2.01e-05 1.84e-10 1.68e-04 33.2%
+Combinational 1.48e-04 1.90e-04 1.24e-09 3.39e-04 66.8%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.96e-04 2.10e-04 1.42e-09 5.07e-04 100.0%
+ 58.5% 41.5% 0.0%
+
+
+======================= Fastest Corner =================================
+
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.72e-04 2.38e-05 3.12e-10 1.96e-04 33.3%
+Combinational 1.67e-04 2.25e-04 3.12e-09 3.92e-04 66.7%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 3.39e-04 2.49e-04 3.43e-09 5.88e-04 100.0%
+ 57.6% 42.4% 0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 3611 u^2 90% utilization.
+area_report_end
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing SDF files for all corners...
+Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_nom/digital_pll.ff.sdf...
+Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_nom/digital_pll.ss.sdf...
+Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_nom/digital_pll.tt.sdf...
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.area.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.area.rpt
new file mode 100644
index 00000000..5f48d435
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.area.rpt
@@ -0,0 +1,5 @@
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 3611 u^2 90% utilization.
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.clock_skew.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.clock_skew.rpt
new file mode 100644
index 00000000..ad1f1f89
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.clock_skew.rpt
@@ -0,0 +1 @@
+SKIPPED!
\ No newline at end of file
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.log b/signoff/digital_pll/openlane-signoff/21-rcx_sta.log
new file mode 100644
index 00000000..706e6110
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.log
@@ -0,0 +1,666 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.odb
+min_report
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.33 0.33 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.33 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.41 0.41 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.12 0.00 0.41 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.41 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.04 0.21 library hold time
+ 0.21 data required time
+-----------------------------------------------------------------------------
+ 0.21 data required time
+ -0.41 data arrival time
+-----------------------------------------------------------------------------
+ 0.20 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.36 0.36 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.06 0.00 0.36 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.03 0.09 0.45 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.03 0.00 0.45 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.45 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.45 data arrival time
+-----------------------------------------------------------------------------
+ 0.22 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.34 0.35 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.05 0.00 0.35 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.46 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.46 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.46 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.46 data arrival time
+-----------------------------------------------------------------------------
+ 0.23 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.35 0.36 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.06 0.00 0.36 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.47 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.47 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.47 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.47 data arrival time
+-----------------------------------------------------------------------------
+ 0.24 slack (MET)
+
+
+min_report_end
+max_report
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.96 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.96 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.35 3.96 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.05 0.00 3.96 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.34 3.96 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.05 0.00 3.96 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.36 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.17 3.78 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.05 0.00 3.78 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.05 0.14 3.92 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.05 0.00 3.92 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.92 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.92 data arrival time
+-----------------------------------------------------------------------------
+ 2.39 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.04 0.26 3.87 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.04 0.00 3.87 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.10 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -3.87 data arrival time
+-----------------------------------------------------------------------------
+ 2.44 slack (MET)
+
+
+max_report_end
+check_report
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.96 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.96 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+No paths found.
+check_report_end
+check_slew
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+
+===========================================================================
+max slew violation count 0
+max fanout violation count 15
+max cap violation count 0
+============================================================================
+check_slew_end
+tns_report
+
+===========================================================================
+ report_tns
+============================================================================
+tns 0.00
+tns_report_end
+wns_report
+
+===========================================================================
+ report_wns
+============================================================================
+wns 0.00
+wns_report_end
+worst_slack
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack 2.35
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack 0.10
+worst_slack_end
+power_report
+
+===========================================================================
+ report_power
+============================================================================
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.48e-04 2.01e-05 1.84e-10 1.68e-04 33.2%
+Combinational 1.48e-04 1.90e-04 1.25e-09 3.39e-04 66.8%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.96e-04 2.10e-04 1.43e-09 5.07e-04 100.0%
+ 58.5% 41.5% 0.0%
+power_report_end
+area_report
+
+===========================================================================
+ report_design_area
+============================================================================
+Design area 3611 u^2 90% utilization.
+area_report_end
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing SDF to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/mca/process_corner_nom/digital_pll.sdf...
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.max.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.max.rpt
new file mode 100644
index 00000000..67f1b332
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.max.rpt
@@ -0,0 +1,322 @@
+
+===========================================================================
+report_checks -path_delay max (Setup)
+============================================================================
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.96 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.96 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _392_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _260_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.35 3.96 v _260_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _036_ (net)
+ 0.05 0.00 3.96 v _392_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _392_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _391_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _262_/S (sky130_fd_sc_hd__mux2_1)
+ 0.05 0.34 3.96 v _262_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _035_ (net)
+ 0.05 0.00 3.96 v _391_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _391_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.36 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _390_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _264_/C1 (sky130_fd_sc_hd__o211a_2)
+ 0.05 0.17 3.78 v _264_/X (sky130_fd_sc_hd__o211a_2)
+ 1 0.01 _123_ (net)
+ 0.05 0.00 3.78 v _265_/B (sky130_fd_sc_hd__xnor2_2)
+ 0.05 0.14 3.92 v _265_/Y (sky130_fd_sc_hd__xnor2_2)
+ 1 0.00 _034_ (net)
+ 0.05 0.00 3.92 v _390_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.92 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _390_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.92 data arrival time
+-----------------------------------------------------------------------------
+ 2.39 slack (MET)
+
+
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _393_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _257_/A2 (sky130_fd_sc_hd__o22a_2)
+ 0.04 0.26 3.87 v _257_/X (sky130_fd_sc_hd__o22a_2)
+ 1 0.00 _037_ (net)
+ 0.04 0.00 3.87 v _393_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.87 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _393_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.10 6.32 library setup time
+ 6.32 data required time
+-----------------------------------------------------------------------------
+ 6.32 data required time
+ -3.87 data arrival time
+-----------------------------------------------------------------------------
+ 2.44 slack (MET)
+
+
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.min.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.min.rpt
new file mode 100644
index 00000000..44c99e5b
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.min.rpt
@@ -0,0 +1,178 @@
+
+===========================================================================
+report_checks -path_delay min (Hold)
+============================================================================
+Startpoint: _394_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _394_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.04 0.33 0.33 ^ _394_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 1 0.00 pll_control.oscbuf[0] (net)
+ 0.04 0.00 0.33 ^ _395_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.33 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.33 data arrival time
+-----------------------------------------------------------------------------
+ 0.10 slack (MET)
+
+
+Startpoint: _395_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _396_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _395_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.12 0.41 0.41 ^ _395_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.02 pll_control.oscbuf[1] (net)
+ 0.12 0.00 0.41 ^ _396_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.41 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _396_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.04 0.21 library hold time
+ 0.21 data required time
+-----------------------------------------------------------------------------
+ 0.21 data required time
+ -0.41 data arrival time
+-----------------------------------------------------------------------------
+ 0.20 slack (MET)
+
+
+Startpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _379_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.36 0.36 ^ _379_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[0] (net)
+ 0.06 0.00 0.36 ^ _287_/A (sky130_fd_sc_hd__or2_2)
+ 0.03 0.09 0.45 ^ _287_/X (sky130_fd_sc_hd__or2_2)
+ 1 0.00 _023_ (net)
+ 0.03 0.00 0.45 ^ _379_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.45 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _379_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.02 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.45 data arrival time
+-----------------------------------------------------------------------------
+ 0.22 slack (MET)
+
+
+Startpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _381_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.05 0.34 0.35 ^ _381_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 2 0.01 pll_control.prep[2] (net)
+ 0.05 0.00 0.35 ^ _285_/A1 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.46 ^ _285_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _025_ (net)
+ 0.04 0.00 0.46 ^ _381_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.46 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _381_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.46 data arrival time
+-----------------------------------------------------------------------------
+ 0.23 slack (MET)
+
+
+Startpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _380_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: min
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.06 0.35 0.36 ^ _380_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 3 0.01 pll_control.prep[1] (net)
+ 0.06 0.00 0.36 ^ _286_/A0 (sky130_fd_sc_hd__mux2_1)
+ 0.04 0.11 0.47 ^ _286_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _024_ (net)
+ 0.04 0.00 0.47 ^ _380_/D (sky130_fd_sc_hd__dfrtp_2)
+ 0.47 data arrival time
+
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _380_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.25 0.25 clock uncertainty
+ 0.00 0.25 clock reconvergence pessimism
+ -0.03 0.23 library hold time
+ 0.23 data required time
+-----------------------------------------------------------------------------
+ 0.23 data required time
+ -0.47 data arrival time
+-----------------------------------------------------------------------------
+ 0.24 slack (MET)
+
+
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.power.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.power.rpt
new file mode 100644
index 00000000..557baa67
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.power.rpt
@@ -0,0 +1,14 @@
+
+===========================================================================
+ report_power
+============================================================================
+Group Internal Switching Leakage Total
+ Power Power Power Power (Watts)
+----------------------------------------------------------------
+Sequential 1.48e-04 2.01e-05 1.84e-10 1.68e-04 33.2%
+Combinational 1.48e-04 1.90e-04 1.25e-09 3.39e-04 66.8%
+Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
+----------------------------------------------------------------
+Total 2.96e-04 2.10e-04 1.43e-09 5.07e-04 100.0%
+ 58.5% 41.5% 0.0%
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.rpt
new file mode 100644
index 00000000..60aa0cff
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.rpt
@@ -0,0 +1,72 @@
+
+===========================================================================
+report_checks -unconstrained
+============================================================================
+Startpoint: _383_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Endpoint: _388_ (rising edge-triggered flip-flop clocked by pll_control_clock)
+Path Group: pll_control_clock
+Path Type: max
+
+Fanout Cap Slew Delay Time Description
+-----------------------------------------------------------------------------
+ 0.00 0.00 clock pll_control_clock (rise edge)
+ 0.00 0.00 clock source latency
+ 0.09 0.00 0.00 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 0.00 ^ _383_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ 0.10 0.50 0.50 v _383_/Q (sky130_fd_sc_hd__dfrtp_2)
+ 6 0.03 pll_control.count0[1] (net)
+ 0.10 0.00 0.51 v _200_/A (sky130_fd_sc_hd__xor2_2)
+ 0.09 0.23 0.73 v _200_/X (sky130_fd_sc_hd__xor2_2)
+ 3 0.01 _062_ (net)
+ 0.09 0.00 0.73 v _202_/A2 (sky130_fd_sc_hd__a211o_2)
+ 0.07 0.39 1.12 v _202_/X (sky130_fd_sc_hd__a211o_2)
+ 3 0.01 _064_ (net)
+ 0.07 0.00 1.12 v _212_/A2 (sky130_fd_sc_hd__a21o_2)
+ 0.05 0.25 1.38 v _212_/X (sky130_fd_sc_hd__a21o_2)
+ 3 0.01 _074_ (net)
+ 0.05 0.00 1.38 v _213_/A3 (sky130_fd_sc_hd__a32o_2)
+ 0.05 0.29 1.67 v _213_/X (sky130_fd_sc_hd__a32o_2)
+ 3 0.01 _075_ (net)
+ 0.05 0.00 1.67 v _224_/B1 (sky130_fd_sc_hd__a2111oi_2)
+ 0.26 0.34 2.01 ^ _224_/Y (sky130_fd_sc_hd__a2111oi_2)
+ 1 0.00 _086_ (net)
+ 0.26 0.00 2.01 ^ _227_/A1 (sky130_fd_sc_hd__o31a_2)
+ 0.42 0.49 2.50 ^ _227_/X (sky130_fd_sc_hd__o31a_2)
+ 13 0.08 _089_ (net)
+ 0.42 0.00 2.50 ^ _233_/C_N (sky130_fd_sc_hd__or4bb_2)
+ 0.09 0.67 3.17 v _233_/X (sky130_fd_sc_hd__or4bb_2)
+ 1 0.00 _095_ (net)
+ 0.09 0.00 3.17 v _238_/B (sky130_fd_sc_hd__and4_2)
+ 0.06 0.25 3.42 v _238_/X (sky130_fd_sc_hd__and4_2)
+ 3 0.01 _100_ (net)
+ 0.06 0.00 3.42 v _243_/B1 (sky130_fd_sc_hd__o31a_2)
+ 0.12 0.19 3.61 v _243_/X (sky130_fd_sc_hd__o31a_2)
+ 8 0.03 _105_ (net)
+ 0.12 0.00 3.61 v _270_/S (sky130_fd_sc_hd__mux2_1)
+ 0.06 0.35 3.96 v _270_/X (sky130_fd_sc_hd__mux2_1)
+ 1 0.00 _032_ (net)
+ 0.06 0.00 3.96 v _388_/D (sky130_fd_sc_hd__dfrtp_2)
+ 3.96 data arrival time
+
+ 6.67 6.67 clock pll_control_clock (rise edge)
+ 0.00 6.67 clock source latency
+ 0.09 0.00 6.67 ^ ringosc.ibufp01/Y (sky130_fd_sc_hd__clkinv_8)
+ 24 0.08 pll_control.clock (net)
+ 0.09 0.00 6.67 ^ _388_/CLK (sky130_fd_sc_hd__dfrtp_2)
+ -0.25 6.42 clock uncertainty
+ 0.00 6.42 clock reconvergence pessimism
+ -0.11 6.31 library setup time
+ 6.31 data required time
+-----------------------------------------------------------------------------
+ 6.31 data required time
+ -3.96 data arrival time
+-----------------------------------------------------------------------------
+ 2.35 slack (MET)
+
+
+
+===========================================================================
+report_checks --slack_max -0.01
+============================================================================
+No paths found.
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.slew.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.slew.rpt
new file mode 100644
index 00000000..d8b32ba1
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.slew.rpt
@@ -0,0 +1,30 @@
+
+===========================================================================
+ report_check_types -max_slew -max_cap -max_fanout -violators
+============================================================================
+max fanout
+
+Pin Limit Fanout Slack
+---------------------------------------------------------
+dco 6 108 -102 (VIOLATED)
+_355_/Y 6 25 -19 (VIOLATED)
+ringosc.ibufp01/Y 6 24 -18 (VIOLATED)
+_227_/X 6 13 -7 (VIOLATED)
+_393_/Q 6 11 -5 (VIOLATED)
+_292_/Y 6 10 -4 (VIOLATED)
+_390_/Q 6 10 -4 (VIOLATED)
+_181_/Y 6 9 -3 (VIOLATED)
+_228_/X 6 9 -3 (VIOLATED)
+_243_/X 6 8 -2 (VIOLATED)
+_293_/X 6 8 -2 (VIOLATED)
+_382_/Q 6 8 -2 (VIOLATED)
+_391_/Q 6 8 -2 (VIOLATED)
+_392_/Q 6 8 -2 (VIOLATED)
+_241_/Y 6 7 (VIOLATED)
+
+
+===========================================================================
+max slew violation count 0
+max fanout violation count 15
+max cap violation count 0
+============================================================================
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.tns.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.tns.rpt
new file mode 100644
index 00000000..d3d84b63
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.tns.rpt
@@ -0,0 +1,5 @@
+
+===========================================================================
+ report_tns
+============================================================================
+tns 0.00
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.wns.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.wns.rpt
new file mode 100644
index 00000000..3b7f8643
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.wns.rpt
@@ -0,0 +1,5 @@
+
+===========================================================================
+ report_wns
+============================================================================
+wns 0.00
diff --git a/signoff/digital_pll/openlane-signoff/21-rcx_sta.worst_slack.rpt b/signoff/digital_pll/openlane-signoff/21-rcx_sta.worst_slack.rpt
new file mode 100644
index 00000000..fd10d64e
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/21-rcx_sta.worst_slack.rpt
@@ -0,0 +1,10 @@
+
+===========================================================================
+ report_worst_slack -max (Setup)
+============================================================================
+worst slack 2.35
+
+===========================================================================
+ report_worst_slack -min (Hold)
+============================================================================
+worst slack 0.10
diff --git a/signoff/digital_pll/openlane-signoff/22-irdrop.log b/signoff/digital_pll/openlane-signoff/22-irdrop.log
new file mode 100644
index 00000000..ff53ec5a
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/22-irdrop.log
@@ -0,0 +1,38 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef
+[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
+The LEF parser will ignore this statement.
+To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef at line 930.
+
+[INFO ODB-0223] Created 13 technology layers
+[INFO ODB-0224] Created 25 technology vias
+[INFO ODB-0225] Created 441 library cells
+[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef
+[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+[INFO ODB-0128] Design: digital_pll
+[INFO ODB-0130] Created 39 pins.
+[INFO ODB-0131] Created 617 components and 3559 component-terminals.
+[INFO ODB-0132] Created 2 special nets and 2368 connections.
+[INFO ODB-0133] Created 333 nets and 1190 connections.
+[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+[INFO]: Setting RC values...
+[INFO PSM-0002] Output voltage file is specified as: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/22-irdrop.rpt.
+[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
+[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
+[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
+[WARNING PSM-0019] Voltage on net VPWR is not explicitly set.
+[WARNING PSM-0022] Using voltage 1.800V for VDD network.
+[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 63.940 or core height of 62.560. Changing bump location to the center of the die at (37.490, 36.720).
+[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
+[INFO PSM-0076] Setting metal node density to be standard cell height times 5.
+[WARNING PSM-0030] VSRC location at (37.490um, 36.720um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (21.840um, 21.850um).
+[INFO PSM-0031] Number of PDN nodes on net VPWR = 268.
+[INFO PSM-0064] Number of voltage sources = 1.
+[INFO PSM-0040] All PDN stripes on net VPWR are connected.
+########## IR report #################
+Worstcase voltage: 1.80e+00 V
+Average IR drop : 7.47e-10 V
+Worstcase IR drop: 1.07e-09 V
+######################################
diff --git a/signoff/digital_pll/openlane-signoff/22-irdrop.rpt b/signoff/digital_pll/openlane-signoff/22-irdrop.rpt
new file mode 100644
index 00000000..40870b3b
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/22-irdrop.rpt
@@ -0,0 +1,483 @@
+Instance name, X location, Y location, Voltage
+ringosc.dstage\[8\].id.delaybuf1, 61.84, 68, 1.8
+ringosc.dstage\[8\].id.delayen0, 61.84, 68, 1.8
+ringosc.dstage\[8\].id.delayen1, 61.84, 68, 1.8
+ringosc.dstage\[8\].id.delayenb0, 61.84, 62.56, 1.8
+ringosc.dstage\[9\].id.delaybuf1, 61.84, 62.56, 1.8
+ringosc.dstage\[9\].id.delayenb0, 61.84, 62.56, 1.8
+ANTENNA__342__A1, 61.84, 57.12, 1.8
+ANTENNA__348__A1, 61.84, 57.12, 1.8
+_349_, 61.84, 57.12, 1.8
+_350_, 61.84, 57.12, 1.8
+ringosc.dstage\[9\].id.delayen0, 61.84, 57.12, 1.8
+ringosc.dstage\[9\].id.delayen1, 61.84, 57.12, 1.8
+ringosc.dstage\[9\].id.delayenb1, 61.84, 57.12, 1.8
+_176_, 61.84, 51.68, 1.8
+_323_, 61.84, 51.68, 1.8
+_342_, 61.84, 51.68, 1.8
+ringosc.dstage\[10\].id.delaybuf0, 61.84, 51.68, 1.8
+ringosc.dstage\[10\].id.delayen0, 61.84, 51.68, 1.8
+ringosc.dstage\[10\].id.delayen1, 61.84, 51.68, 1.8
+ringosc.dstage\[10\].id.delayenb0, 61.84, 51.68, 1.8
+ringosc.dstage\[10\].id.delayint0, 61.84, 51.68, 1.8
+ANTENNA__354__A1, 61.84, 46.24, 1.8
+_344_, 61.84, 46.24, 1.8
+_351_, 61.84, 46.24, 1.8
+_354_, 61.84, 46.24, 1.8
+ringosc.dstage\[10\].id.delayenb1, 61.84, 46.24, 1.8
+ANTENNA__351__A1, 61.84, 40.8, 1.8
+ANTENNA__354__A2, 61.84, 40.8, 1.8
+_229_, 61.84, 40.8, 1.8
+ringosc.dstage\[10\].id.delaybuf1, 61.84, 40.8, 1.8
+ringosc.iss.ctrlen0, 61.84, 40.8, 1.8
+ringosc.iss.delayen1, 61.84, 40.8, 1.8
+ringosc.iss.delayenb0, 61.84, 40.8, 1.8
+ringosc.iss.delayenb1, 61.84, 40.8, 1.8
+FILLER_11_113, 61.84, 35.36, 1.8
+ringosc.dstage\[11\].id.delayenb0, 61.84, 35.36, 1.8
+ringosc.iss.const1, 61.84, 35.36, 1.8
+ringosc.iss.delayen0, 61.84, 35.36, 1.8
+ringosc.iss.reseten0, 61.84, 35.36, 1.8
+ANTENNA__367__A, 61.84, 29.92, 1.8
+_366_, 61.84, 29.92, 1.8
+_367_, 61.84, 29.92, 1.8
+_368_, 61.84, 29.92, 1.8
+ringosc.dstage\[11\].id.delayen0, 61.84, 29.92, 1.8
+ANTENNA__352__A_N, 61.84, 24.48, 1.8
+ANTENNA__366__A, 61.84, 24.48, 1.8
+FILLER_6_120, 61.84, 24.48, 1.8
+FILLER_8_128, 61.84, 24.48, 1.8
+_352_, 61.84, 24.48, 1.8
+ringosc.dstage\[11\].id.delayenb1, 61.84, 24.48, 1.8
+ANTENNA__353__A1, 61.84, 19.04, 1.8
+ANTENNA__364__A, 61.84, 19.04, 1.8
+ANTENNA__369__A, 61.84, 19.04, 1.8
+_353_, 61.84, 19.04, 1.8
+_358_, 61.84, 19.04, 1.8
+_364_, 61.84, 19.04, 1.8
+_369_, 61.84, 19.04, 1.8
+ringosc.dstage\[11\].id.delayen1, 61.84, 19.04, 1.8
+ANTENNA__358__A, 61.84, 13.6, 1.8
+ANTENNA__372__A, 61.84, 13.6, 1.8
+ANTENNA__373__A, 61.84, 13.6, 1.8
+_181_, 61.84, 13.6, 1.8
+_182_, 61.84, 13.6, 1.8
+_372_, 61.84, 13.6, 1.8
+_373_, 61.84, 13.6, 1.8
+_395_, 61.84, 8.16, 1.8
+_396_, 61.84, 8.16, 1.8
+ringosc.dstage\[4\].id.delaybuf1, 21.84, 68, 1.8
+ringosc.dstage\[4\].id.delayint0, 21.84, 68, 1.8
+ANTENNA__309__A1, 21.84, 62.56, 1.8
+ANTENNA__310__A2, 21.84, 62.56, 1.8
+_306_, 21.84, 62.56, 1.8
+_313_, 21.84, 62.56, 1.8
+ringosc.dstage\[4\].id.delaybuf0, 21.84, 62.56, 1.8
+ringosc.dstage\[6\].id.delayen1, 21.84, 62.56, 1.8
+ringosc.dstage\[6\].id.delayenb0, 21.84, 62.56, 1.8
+ringosc.dstage\[6\].id.delayint0, 21.84, 62.56, 1.8
+ANTENNA__316__B2, 21.84, 57.12, 1.8
+ANTENNA__317__A1, 21.84, 57.12, 1.8
+_301_, 21.84, 57.12, 1.8
+ringosc.dstage\[5\].id.delaybuf0, 21.84, 57.12, 1.8
+ringosc.dstage\[5\].id.delayen1, 21.84, 57.12, 1.8
+ringosc.dstage\[5\].id.delayenb0, 21.84, 57.12, 1.8
+ringosc.dstage\[6\].id.delaybuf0, 21.84, 57.12, 1.8
+ringosc.dstage\[6\].id.delayenb1, 21.84, 57.12, 1.8
+ANTENNA__316__B1, 21.84, 51.68, 1.8
+_316_, 21.84, 51.68, 1.8
+ringosc.dstage\[1\].id.delayen0, 21.84, 51.68, 1.8
+ringosc.dstage\[1\].id.delayint0, 21.84, 51.68, 1.8
+ringosc.dstage\[2\].id.delayint0, 21.84, 51.68, 1.8
+ringosc.dstage\[5\].id.delaybuf1, 21.84, 51.68, 1.8
+ringosc.dstage\[5\].id.delayenb1, 21.84, 51.68, 1.8
+ringosc.dstage\[5\].id.delayint0, 21.84, 51.68, 1.8
+ANTENNA__317__A2, 21.84, 46.24, 1.8
+_289_, 21.84, 46.24, 1.8
+_297_, 21.84, 46.24, 1.8
+ringosc.dstage\[1\].id.delaybuf0, 21.84, 46.24, 1.8
+ringosc.dstage\[1\].id.delayen1, 21.84, 46.24, 1.8
+ringosc.dstage\[1\].id.delayenb0, 21.84, 46.24, 1.8
+ANTENNA__302__A1, 21.84, 40.8, 1.8
+ANTENNA__378__A, 21.84, 40.8, 1.8
+ringosc.dstage\[0\].id.delayen0, 21.84, 40.8, 1.8
+ringosc.dstage\[0\].id.delayenb0, 21.84, 40.8, 1.8
+ringosc.dstage\[0\].id.delayenb1, 21.84, 40.8, 1.8
+ringosc.dstage\[0\].id.delayint0, 21.84, 40.8, 1.8
+ringosc.dstage\[1\].id.delayenb1, 21.84, 40.8, 1.8
+ANTENNA__377__A, 21.84, 35.36, 1.8
+_188_, 21.84, 35.36, 1.8
+_363_, 21.84, 35.36, 1.8
+_377_, 21.84, 35.36, 1.8
+ringosc.dstage\[0\].id.delaybuf1, 21.84, 35.36, 1.8
+ringosc.dstage\[0\].id.delayen1, 21.84, 35.36, 1.8
+ringosc.ibufp00, 21.84, 35.36, 1.8
+_203_, 21.84, 29.92, 1.8
+_386_, 21.84, 29.92, 1.8
+_393_, 21.84, 29.92, 1.8
+ringosc.ibufp01, 21.84, 29.92, 1.8
+FILLER_8_42, 21.84, 24.48, 1.8
+_193_, 21.84, 24.48, 1.8
+_195_, 21.84, 24.48, 1.8
+_210_, 21.84, 24.48, 1.8
+_211_, 21.84, 24.48, 1.8
+_275_, 21.84, 24.48, 1.8
+_276_, 21.84, 24.48, 1.8
+_399_, 21.84, 24.48, 1.8
+ANTENNA__213__B1, 21.84, 19.04, 1.8
+ANTENNA__362__A, 21.84, 19.04, 1.8
+ANTENNA__376__A, 21.84, 19.04, 1.8
+_185_, 21.84, 19.04, 1.8
+_194_, 21.84, 19.04, 1.8
+_202_, 21.84, 19.04, 1.8
+_376_, 21.84, 19.04, 1.8
+ANTENNA__213__A1, 21.84, 13.6, 1.8
+ANTENNA__222__B2, 21.84, 13.6, 1.8
+_196_, 21.84, 13.6, 1.8
+_197_, 21.84, 13.6, 1.8
+_198_, 21.84, 13.6, 1.8
+_218_, 21.84, 13.6, 1.8
+ANTENNA__375__A, 21.84, 8.16, 1.8
+_186_, 21.84, 8.16, 1.8
+_200_, 21.84, 8.16, 1.8
+_284_, 21.84, 8.16, 1.8
+_355_, 21.84, 8.16, 1.8
+_375_, 21.84, 8.16, 1.8
+_382_, 21.84, 8.16, 1.8
+_398_, 21.84, 8.16, 1.8
+PHY_44, 5.52, 68, 1.8
+ANTENNA__337__A1, 69.46, 68, 1.8
+PHY_45, 69.46, 68, 1.8
+ringosc.dstage\[9\].id.delayint0, 69.46, 68, 1.8
+ANTENNA__309__A2, 13.68, 68, 1.8
+ringosc.dstage\[4\].id.delayen0, 13.68, 68, 1.8
+ANTENNA__310__A1, 35.173, 68, 1.8
+_309_, 35.173, 68, 1.8
+ringosc.dstage\[6\].id.delaybuf1, 35.173, 68, 1.8
+ringosc.dstage\[7\].id.delaybuf0, 35.173, 68, 1.8
+_336_, 48.506, 68, 1.8
+ringosc.dstage\[7\].id.delaybuf1, 48.506, 68, 1.8
+ANTENNA__306__A1, 5.52, 62.56, 1.8
+ANTENNA__306__A2, 5.52, 62.56, 1.8
+PHY_40, 5.52, 62.56, 1.8
+PHY_42, 5.52, 62.56, 1.8
+_302_, 5.52, 62.56, 1.8
+ringosc.dstage\[3\].id.delayen1, 5.52, 62.56, 1.8
+PHY_41, 69.46, 62.56, 1.8
+PHY_43, 69.46, 62.56, 1.8
+ringosc.dstage\[9\].id.delaybuf0, 69.46, 62.56, 1.8
+ringosc.dstage\[3\].id.delaybuf1, 13.68, 62.56, 1.8
+ringosc.dstage\[4\].id.delayen1, 13.68, 62.56, 1.8
+ringosc.dstage\[4\].id.delayenb0, 13.68, 62.56, 1.8
+ringosc.dstage\[4\].id.delayenb1, 13.68, 62.56, 1.8
+ANTENNA__312__A1, 35.173, 62.56, 1.8
+ANTENNA__312__A2, 35.173, 62.56, 1.8
+_308_, 35.173, 62.56, 1.8
+_310_, 35.173, 62.56, 1.8
+_312_, 35.173, 62.56, 1.8
+_343_, 35.173, 62.56, 1.8
+ringosc.dstage\[6\].id.delayen0, 35.173, 62.56, 1.8
+ringosc.dstage\[7\].id.delayen1, 35.173, 62.56, 1.8
+ringosc.dstage\[7\].id.delayenb1, 35.173, 62.56, 1.8
+ANTENNA__343__A1, 48.506, 62.56, 1.8
+ANTENNA__343__A2, 48.506, 62.56, 1.8
+ANTENNA__346__B1, 48.506, 62.56, 1.8
+_328_, 48.506, 62.56, 1.8
+_337_, 48.506, 62.56, 1.8
+ringosc.dstage\[7\].id.delayen0, 48.506, 62.56, 1.8
+ringosc.dstage\[7\].id.delayenb0, 48.506, 62.56, 1.8
+ringosc.dstage\[7\].id.delayint0, 48.506, 62.56, 1.8
+ringosc.dstage\[8\].id.delaybuf0, 48.506, 62.56, 1.8
+ringosc.dstage\[8\].id.delayint0, 48.506, 62.56, 1.8
+ANTENNA__300__A1, 5.52, 57.12, 1.8
+PHY_36, 5.52, 57.12, 1.8
+PHY_38, 5.52, 57.12, 1.8
+ringosc.dstage\[3\].id.delayen0, 5.52, 57.12, 1.8
+ringosc.dstage\[3\].id.delayenb1, 5.52, 57.12, 1.8
+ringosc.dstage\[3\].id.delayint0, 5.52, 57.12, 1.8
+ANTENNA__342__A2, 69.46, 57.12, 1.8
+ANTENNA__348__A2, 69.46, 57.12, 1.8
+PHY_37, 69.46, 57.12, 1.8
+PHY_39, 69.46, 57.12, 1.8
+ANTENNA__305__A1, 13.68, 57.12, 1.8
+ANTENNA__313__A_N, 13.68, 57.12, 1.8
+ANTENNA__313__B, 13.68, 57.12, 1.8
+_300_, 13.68, 57.12, 1.8
+ANTENNA__293__A_N, 35.173, 57.12, 1.8
+ANTENNA__314__A1, 35.173, 57.12, 1.8
+_303_, 35.173, 57.12, 1.8
+_304_, 35.173, 57.12, 1.8
+_311_, 35.173, 57.12, 1.8
+_329_, 35.173, 57.12, 1.8
+_330_, 35.173, 57.12, 1.8
+_332_, 35.173, 57.12, 1.8
+_346_, 35.173, 57.12, 1.8
+ANTENNA__341__B1, 48.506, 57.12, 1.8
+ANTENNA__341__B2, 48.506, 57.12, 1.8
+ANTENNA__350__B1, 48.506, 57.12, 1.8
+ANTENNA__350__B2, 48.506, 57.12, 1.8
+_325_, 48.506, 57.12, 1.8
+_333_, 48.506, 57.12, 1.8
+_335_, 48.506, 57.12, 1.8
+_338_, 48.506, 57.12, 1.8
+_340_, 48.506, 57.12, 1.8
+_341_, 48.506, 57.12, 1.8
+ringosc.dstage\[8\].id.delayenb1, 48.506, 57.12, 1.8
+PHY_32, 5.52, 51.68, 1.8
+PHY_34, 5.52, 51.68, 1.8
+_299_, 5.52, 51.68, 1.8
+ringosc.dstage\[3\].id.delayenb0, 5.52, 51.68, 1.8
+PHY_33, 69.46, 51.68, 1.8
+PHY_35, 69.46, 51.68, 1.8
+ANTENNA__295__A1, 13.68, 51.68, 1.8
+ANTENNA__295__A2, 13.68, 51.68, 1.8
+_305_, 13.68, 51.68, 1.8
+ringosc.dstage\[2\].id.delayen1, 13.68, 51.68, 1.8
+ringosc.dstage\[3\].id.delaybuf0, 13.68, 51.68, 1.8
+ringosc.dstage\[5\].id.delayen0, 13.68, 51.68, 1.8
+ANTENNA__346__B2, 35.173, 51.68, 1.8
+_293_, 35.173, 51.68, 1.8
+_294_, 35.173, 51.68, 1.8
+_298_, 35.173, 51.68, 1.8
+_314_, 35.173, 51.68, 1.8
+_315_, 35.173, 51.68, 1.8
+_317_, 35.173, 51.68, 1.8
+_326_, 35.173, 51.68, 1.8
+_331_, 35.173, 51.68, 1.8
+ANTENNA__326__A2, 48.506, 51.68, 1.8
+ANTENNA__334__B1, 48.506, 51.68, 1.8
+_177_, 48.506, 51.68, 1.8
+_290_, 48.506, 51.68, 1.8
+_291_, 48.506, 51.68, 1.8
+_292_, 48.506, 51.68, 1.8
+_324_, 48.506, 51.68, 1.8
+_339_, 48.506, 51.68, 1.8
+_347_, 48.506, 51.68, 1.8
+_348_, 48.506, 51.68, 1.8
+ANTENNA__299__A1, 5.52, 46.24, 1.8
+ANTENNA__300__A2, 5.52, 46.24, 1.8
+ANTENNA__305__A2, 5.52, 46.24, 1.8
+PHY_28, 5.52, 46.24, 1.8
+PHY_30, 5.52, 46.24, 1.8
+ringosc.dstage\[2\].id.delayen0, 5.52, 46.24, 1.8
+ringosc.dstage\[2\].id.delayenb0, 5.52, 46.24, 1.8
+PHY_29, 69.46, 46.24, 1.8
+PHY_31, 69.46, 46.24, 1.8
+ringosc.dstage\[11\].id.delaybuf0, 69.46, 46.24, 1.8
+ringosc.iss.delaybuf0, 69.46, 46.24, 1.8
+ANTENNA__289__A1, 13.68, 46.24, 1.8
+_295_, 13.68, 46.24, 1.8
+ringosc.dstage\[2\].id.delaybuf0, 13.68, 46.24, 1.8
+ringosc.dstage\[2\].id.delayenb1, 13.68, 46.24, 1.8
+ANTENNA__319__A1, 35.173, 46.24, 1.8
+ANTENNA__319__A2, 35.173, 46.24, 1.8
+ANTENNA__326__A1, 35.173, 46.24, 1.8
+_231_, 35.173, 46.24, 1.8
+_248_, 35.173, 46.24, 1.8
+_288_, 35.173, 46.24, 1.8
+_319_, 35.173, 46.24, 1.8
+_320_, 35.173, 46.24, 1.8
+_321_, 35.173, 46.24, 1.8
+_322_, 35.173, 46.24, 1.8
+ringosc.dstage\[1\].id.delaybuf1, 35.173, 46.24, 1.8
+ANTENNA__296__B, 48.506, 46.24, 1.8
+ANTENNA__334__B2, 48.506, 46.24, 1.8
+ANTENNA__337__A2, 48.506, 46.24, 1.8
+FILLER_14_79, 48.506, 46.24, 1.8
+_178_, 48.506, 46.24, 1.8
+_240_, 48.506, 46.24, 1.8
+_242_, 48.506, 46.24, 1.8
+_296_, 48.506, 46.24, 1.8
+_307_, 48.506, 46.24, 1.8
+_327_, 48.506, 46.24, 1.8
+_334_, 48.506, 46.24, 1.8
+_345_, 48.506, 46.24, 1.8
+ANTENNA__299__A2, 5.52, 40.8, 1.8
+PHY_24, 5.52, 40.8, 1.8
+PHY_26, 5.52, 40.8, 1.8
+clockp_buffer_1, 5.52, 40.8, 1.8
+ringosc.ibufp10, 5.52, 40.8, 1.8
+ANTENNA__351__A2, 69.46, 40.8, 1.8
+PHY_25, 69.46, 40.8, 1.8
+PHY_27, 69.46, 40.8, 1.8
+ringosc.iss.delayint0, 69.46, 40.8, 1.8
+ANTENNA__289__A2, 13.68, 40.8, 1.8
+_378_, 13.68, 40.8, 1.8
+ringosc.dstage\[2\].id.delaybuf1, 13.68, 40.8, 1.8
+ANTENNA__288__A, 35.173, 40.8, 1.8
+FILLER_13_69, 35.173, 40.8, 1.8
+_179_, 35.173, 40.8, 1.8
+_230_, 35.173, 40.8, 1.8
+_250_, 35.173, 40.8, 1.8
+_254_, 35.173, 40.8, 1.8
+_256_, 35.173, 40.8, 1.8
+_318_, 35.173, 40.8, 1.8
+ringosc.dstage\[0\].id.delaybuf0, 35.173, 40.8, 1.8
+_180_, 48.506, 40.8, 1.8
+_228_, 48.506, 40.8, 1.8
+_241_, 48.506, 40.8, 1.8
+_252_, 48.506, 40.8, 1.8
+_265_, 48.506, 40.8, 1.8
+_266_, 48.506, 40.8, 1.8
+PHY_20, 5.52, 35.36, 1.8
+PHY_22, 5.52, 35.36, 1.8
+_190_, 5.52, 35.36, 1.8
+ringosc.ibufp11, 5.52, 35.36, 1.8
+FILLER_11_131, 69.46, 35.36, 1.8
+PHY_21, 69.46, 35.36, 1.8
+PHY_23, 69.46, 35.36, 1.8
+_183_, 13.68, 35.36, 1.8
+_189_, 13.68, 35.36, 1.8
+_400_, 13.68, 35.36, 1.8
+ANTENNA__363__A, 35.173, 35.36, 1.8
+_251_, 35.173, 35.36, 1.8
+_253_, 35.173, 35.36, 1.8
+_255_, 35.173, 35.36, 1.8
+_257_, 35.173, 35.36, 1.8
+_261_, 35.173, 35.36, 1.8
+FILLER_10_85, 48.506, 35.36, 1.8
+FILLER_11_85, 48.506, 35.36, 1.8
+FILLER_12_107, 48.506, 35.36, 1.8
+_249_, 48.506, 35.36, 1.8
+_262_, 48.506, 35.36, 1.8
+_263_, 48.506, 35.36, 1.8
+_264_, 48.506, 35.36, 1.8
+ANTENNA__207__A1, 5.52, 29.92, 1.8
+ANTENNA__225__B1, 5.52, 29.92, 1.8
+PHY_16, 5.52, 29.92, 1.8
+PHY_18, 5.52, 29.92, 1.8
+_207_, 5.52, 29.92, 1.8
+_401_, 5.52, 29.92, 1.8
+ANTENNA__368__A, 69.46, 29.92, 1.8
+PHY_17, 69.46, 29.92, 1.8
+PHY_19, 69.46, 29.92, 1.8
+ringosc.dstage\[11\].id.delayint0, 69.46, 29.92, 1.8
+ANTENNA__215__B1, 13.68, 29.92, 1.8
+ANTENNA__302__A2, 13.68, 29.92, 1.8
+_184_, 13.68, 29.92, 1.8
+_191_, 13.68, 29.92, 1.8
+_205_, 13.68, 29.92, 1.8
+_215_, 13.68, 29.92, 1.8
+ANTENNA__370__A, 35.173, 29.92, 1.8
+FILLER_9_78, 35.173, 29.92, 1.8
+_244_, 35.173, 29.92, 1.8
+_246_, 35.173, 29.92, 1.8
+_258_, 35.173, 29.92, 1.8
+_274_, 35.173, 29.92, 1.8
+_370_, 35.173, 29.92, 1.8
+_243_, 48.506, 29.92, 1.8
+_245_, 48.506, 29.92, 1.8
+_247_, 48.506, 29.92, 1.8
+_259_, 48.506, 29.92, 1.8
+_260_, 48.506, 29.92, 1.8
+_267_, 48.506, 29.92, 1.8
+_391_, 48.506, 29.92, 1.8
+PHY_12, 5.52, 24.48, 1.8
+PHY_14, 5.52, 24.48, 1.8
+_204_, 5.52, 24.48, 1.8
+_206_, 5.52, 24.48, 1.8
+_217_, 5.52, 24.48, 1.8
+_225_, 5.52, 24.48, 1.8
+PHY_13, 69.46, 24.48, 1.8
+PHY_15, 69.46, 24.48, 1.8
+ringosc.dstage\[11\].id.delaybuf1, 69.46, 24.48, 1.8
+_192_, 13.68, 24.48, 1.8
+_212_, 13.68, 24.48, 1.8
+_213_, 13.68, 24.48, 1.8
+_214_, 13.68, 24.48, 1.8
+FILLER_8_70, 35.173, 24.48, 1.8
+_232_, 35.173, 24.48, 1.8
+_269_, 35.173, 24.48, 1.8
+_270_, 35.173, 24.48, 1.8
+_277_, 35.173, 24.48, 1.8
+_278_, 35.173, 24.48, 1.8
+_365_, 35.173, 24.48, 1.8
+_388_, 35.173, 24.48, 1.8
+_233_, 48.506, 24.48, 1.8
+_268_, 48.506, 24.48, 1.8
+_390_, 48.506, 24.48, 1.8
+_392_, 48.506, 24.48, 1.8
+ANTENNA__214__B1, 5.52, 19.04, 1.8
+PHY_10, 5.52, 19.04, 1.8
+PHY_8, 5.52, 19.04, 1.8
+_224_, 5.52, 19.04, 1.8
+_237_, 5.52, 19.04, 1.8
+ANTENNA__353__A2, 69.46, 19.04, 1.8
+FILLER_4_132, 69.46, 19.04, 1.8
+PHY_11, 69.46, 19.04, 1.8
+PHY_9, 69.46, 19.04, 1.8
+ANTENNA__216__A, 13.68, 19.04, 1.8
+_209_, 13.68, 19.04, 1.8
+_216_, 13.68, 19.04, 1.8
+_226_, 13.68, 19.04, 1.8
+_227_, 13.68, 19.04, 1.8
+ANTENNA__219__A, 35.173, 19.04, 1.8
+ANTENNA__222__A1, 35.173, 19.04, 1.8
+ANTENNA__365__A, 35.173, 19.04, 1.8
+_208_, 35.173, 19.04, 1.8
+_362_, 35.173, 19.04, 1.8
+_384_, 35.173, 19.04, 1.8
+_385_, 35.173, 19.04, 1.8
+ANTENNA__361__A, 48.506, 19.04, 1.8
+FILLER_6_95, 48.506, 19.04, 1.8
+_234_, 48.506, 19.04, 1.8
+_238_, 48.506, 19.04, 1.8
+_239_, 48.506, 19.04, 1.8
+_271_, 48.506, 19.04, 1.8
+_272_, 48.506, 19.04, 1.8
+_361_, 48.506, 19.04, 1.8
+_389_, 48.506, 19.04, 1.8
+PHY_4, 5.52, 13.6, 1.8
+PHY_6, 5.52, 13.6, 1.8
+_222_, 5.52, 13.6, 1.8
+_223_, 5.52, 13.6, 1.8
+_236_, 5.52, 13.6, 1.8
+FILLER_3_132, 69.46, 13.6, 1.8
+PHY_5, 69.46, 13.6, 1.8
+PHY_7, 69.46, 13.6, 1.8
+_199_, 13.68, 13.6, 1.8
+_201_, 13.68, 13.6, 1.8
+_219_, 13.68, 13.6, 1.8
+_221_, 13.68, 13.6, 1.8
+_235_, 13.68, 13.6, 1.8
+_273_, 35.173, 13.6, 1.8
+_279_, 35.173, 13.6, 1.8
+_280_, 35.173, 13.6, 1.8
+_281_, 35.173, 13.6, 1.8
+_380_, 35.173, 13.6, 1.8
+ANTENNA__357__A, 48.506, 13.6, 1.8
+FILLER_2_79, 48.506, 13.6, 1.8
+_285_, 48.506, 13.6, 1.8
+_286_, 48.506, 13.6, 1.8
+_387_, 48.506, 13.6, 1.8
+ANTENNA__235__A, 5.52, 8.16, 1.8
+PHY_0, 5.52, 8.16, 1.8
+PHY_2, 5.52, 8.16, 1.8
+_374_, 5.52, 8.16, 1.8
+_397_, 5.52, 8.16, 1.8
+clockp_buffer_0, 5.52, 8.16, 1.8
+ANTENNA__371__A, 69.46, 8.16, 1.8
+ANTENNA__394__D, 69.46, 8.16, 1.8
+PHY_1, 69.46, 8.16, 1.8
+PHY_3, 69.46, 8.16, 1.8
+_187_, 13.68, 8.16, 1.8
+_220_, 13.68, 8.16, 1.8
+ANTENNA__355__A, 35.173, 8.16, 1.8
+ANTENNA__355__B, 35.173, 8.16, 1.8
+ANTENNA__359__A, 35.173, 8.16, 1.8
+ANTENNA__374__A, 35.173, 8.16, 1.8
+_282_, 35.173, 8.16, 1.8
+_283_, 35.173, 8.16, 1.8
+_359_, 35.173, 8.16, 1.8
+_360_, 35.173, 8.16, 1.8
+_383_, 35.173, 8.16, 1.8
+ANTENNA__356__A, 48.506, 8.16, 1.8
+ANTENNA__360__A, 48.506, 8.16, 1.8
+_287_, 48.506, 8.16, 1.8
+_356_, 48.506, 8.16, 1.8
+_357_, 48.506, 8.16, 1.8
+_371_, 48.506, 8.16, 1.8
+_379_, 48.506, 8.16, 1.8
+_381_, 48.506, 8.16, 1.8
+_394_, 48.506, 8.16, 1.8
+
diff --git a/signoff/digital_pll/openlane-signoff/23-gds_ptrs.log b/signoff/digital_pll/openlane-signoff/23-gds_ptrs.log
new file mode 100644
index 00000000..00079a69
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/23-gds_ptrs.log
@@ -0,0 +1,72 @@
+
+Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+ ubm
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.341-2-gde752ec
+Warning: Calma reading is not undoable! I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: digital_pll
+Reading "sky130_fd_sc_hd__diode_2".
+Reading "sky130_fd_sc_hd__fill_1".
+Reading "sky130_fd_sc_hd__decap_3".
+Reading "sky130_fd_sc_hd__dfrtp_2".
+Reading "sky130_fd_sc_hd__clkbuf_16".
+Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
+Reading "sky130_fd_sc_hd__mux2_1".
+Reading "sky130_fd_sc_hd__or2_2".
+Reading "sky130_fd_sc_hd__nand2_2".
+Reading "sky130_fd_sc_hd__nor2_2".
+Reading "sky130_fd_sc_hd__nand3_2".
+Reading "sky130_fd_sc_hd__xnor2_2".
+Reading "sky130_fd_sc_hd__and2_2".
+Reading "sky130_fd_sc_hd__xor2_2".
+Reading "sky130_fd_sc_hd__a21oi_2".
+Reading "sky130_fd_sc_hd__fill_2".
+Reading "sky130_fd_sc_hd__o22a_2".
+Reading "sky130_fd_sc_hd__a21o_2".
+Reading "sky130_fd_sc_hd__and3_2".
+Reading "sky130_fd_sc_hd__decap_4".
+Reading "sky130_fd_sc_hd__or3b_2".
+Reading "sky130_fd_sc_hd__a211o_2".
+Reading "sky130_fd_sc_hd__o31a_2".
+Reading "sky130_fd_sc_hd__or3_2".
+Reading "sky130_fd_sc_hd__and4_2".
+Reading "sky130_fd_sc_hd__o21a_2".
+Reading "sky130_fd_sc_hd__a32o_2".
+Reading "sky130_fd_sc_hd__a2111oi_2".
+Reading "sky130_fd_sc_hd__nand2b_2".
+Reading "sky130_fd_sc_hd__o211a_2".
+Reading "sky130_fd_sc_hd__or4bb_2".
+Reading "sky130_fd_sc_hd__decap_6".
+Reading "sky130_fd_sc_hd__and3b_2".
+Reading "sky130_fd_sc_hd__einvp_2".
+Reading "sky130_fd_sc_hd__einvn_4".
+Reading "sky130_fd_sc_hd__clkbuf_1".
+Reading "sky130_fd_sc_hd__inv_2".
+Reading "sky130_fd_sc_hd__a31o_2".
+Reading "sky130_ef_sc_hd__decap_12".
+Reading "sky130_fd_sc_hd__o2bb2a_2".
+Reading "sky130_fd_sc_hd__clkinv_1".
+Reading "sky130_fd_sc_hd__clkinv_8".
+Reading "sky130_fd_sc_hd__einvn_8".
+Reading "sky130_fd_sc_hd__clkinv_2".
+Reading "sky130_fd_sc_hd__conb_1".
+Reading "sky130_fd_sc_hd__einvp_1".
+Reading "sky130_fd_sc_hd__clkbuf_2".
+Reading "sky130_fd_sc_hd__a21bo_2".
+Reading "sky130_fd_sc_hd__o21ai_2".
+Reading "sky130_fd_sc_hd__or4_2".
+Reading "sky130_fd_sc_hd__a22o_2".
+Reading "sky130_fd_sc_hd__and2b_2".
+Reading "sky130_fd_sc_hd__o221a_2".
+Reading "digital_pll".
+[INFO]: Wrote /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/gds_ptrs.mag including GDS pointers.
diff --git a/signoff/digital_pll/openlane-signoff/23-gdsii.log b/signoff/digital_pll/openlane-signoff/23-gdsii.log
new file mode 100644
index 00000000..1a619f08
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/23-gdsii.log
@@ -0,0 +1,112 @@
+
+Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+ ubm
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.341-2-gde752ec
+Reading LEF data from file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef.
+This action cannot be undone.
+LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 112 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
+LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 115 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 121 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 123 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 156 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
+LEF read, Line 164 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 165 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 167 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 168 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 169 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 206 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 207 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 209 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 210 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 211 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 248 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 249 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 251 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 252 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read: Processed 797 lines.
+Reading DEF data from file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def.
+This action cannot be undone.
+ Processed 4 vias total.
+ Processed 617 subcell instances total.
+ Processed 39 pins total.
+ Processed 2 special nets total.
+ Processed 333 nets total.
+DEF read: Processed 6580 lines.
+Root cell box:
+ width x height ( llx, lly ), ( urx, ury ) area (units^2)
+
+microns: 75.000 x 75.000 ( 0.000, 0.000), ( 75.000, 75.000) 5625.000
+lambda: 7500.00 x 7500.00 ( 0.00, 0.00 ), ( 7500.00, 7500.00) 56250000.00
+internal: 15000 x 15000 ( 0, 0 ), ( 15000, 15000) 225000000
+ Generating output for cell sky130_fd_sc_hd__diode_2
+ Generating output for cell sky130_fd_sc_hd__fill_1
+ Generating output for cell sky130_fd_sc_hd__decap_3
+ Generating output for cell sky130_fd_sc_hd__dfrtp_2
+ Generating output for cell sky130_fd_sc_hd__clkbuf_16
+ Generating output for cell sky130_fd_sc_hd__tapvpwrvgnd_1
+ Generating output for cell sky130_fd_sc_hd__mux2_1
+ Generating output for cell sky130_fd_sc_hd__or2_2
+ Generating output for cell sky130_fd_sc_hd__nand2_2
+ Generating output for cell sky130_fd_sc_hd__nor2_2
+ Generating output for cell sky130_fd_sc_hd__nand3_2
+ Generating output for cell sky130_fd_sc_hd__xnor2_2
+ Generating output for cell sky130_fd_sc_hd__and2_2
+ Generating output for cell sky130_fd_sc_hd__xor2_2
+ Generating output for cell sky130_fd_sc_hd__a21oi_2
+ Generating output for cell sky130_fd_sc_hd__fill_2
+ Generating output for cell sky130_fd_sc_hd__o22a_2
+ Generating output for cell sky130_fd_sc_hd__a21o_2
+ Generating output for cell sky130_fd_sc_hd__and3_2
+ Generating output for cell sky130_fd_sc_hd__decap_4
+ Generating output for cell sky130_fd_sc_hd__or3b_2
+ Generating output for cell sky130_fd_sc_hd__a211o_2
+ Generating output for cell sky130_fd_sc_hd__o31a_2
+ Generating output for cell sky130_fd_sc_hd__or3_2
+ Generating output for cell sky130_fd_sc_hd__and4_2
+ Generating output for cell sky130_fd_sc_hd__o21a_2
+ Generating output for cell sky130_fd_sc_hd__a32o_2
+ Generating output for cell sky130_fd_sc_hd__a2111oi_2
+ Generating output for cell sky130_fd_sc_hd__nand2b_2
+ Generating output for cell sky130_fd_sc_hd__o211a_2
+ Generating output for cell sky130_fd_sc_hd__or4bb_2
+ Generating output for cell sky130_fd_sc_hd__decap_6
+ Generating output for cell sky130_fd_sc_hd__and3b_2
+ Generating output for cell sky130_fd_sc_hd__einvp_2
+ Generating output for cell sky130_fd_sc_hd__einvn_4
+ Generating output for cell sky130_fd_sc_hd__clkbuf_1
+ Generating output for cell sky130_fd_sc_hd__inv_2
+ Generating output for cell sky130_fd_sc_hd__a31o_2
+ Generating output for cell sky130_ef_sc_hd__decap_12
+ Generating output for cell sky130_fd_sc_hd__o2bb2a_2
+ Generating output for cell sky130_fd_sc_hd__clkinv_1
+ Generating output for cell sky130_fd_sc_hd__clkinv_8
+ Generating output for cell sky130_fd_sc_hd__einvn_8
+ Generating output for cell sky130_fd_sc_hd__clkinv_2
+ Generating output for cell sky130_fd_sc_hd__conb_1
+ Generating output for cell sky130_fd_sc_hd__einvp_1
+ Generating output for cell sky130_fd_sc_hd__clkbuf_2
+ Generating output for cell sky130_fd_sc_hd__a21bo_2
+ Generating output for cell sky130_fd_sc_hd__o21ai_2
+ Generating output for cell sky130_fd_sc_hd__or4_2
+ Generating output for cell sky130_fd_sc_hd__a22o_2
+ Generating output for cell sky130_fd_sc_hd__and2b_2
+ Generating output for cell sky130_fd_sc_hd__o221a_2
+ Generating output for cell digital_pll
+[INFO]: GDS Write Complete
diff --git a/signoff/digital_pll/openlane-signoff/23-lef.log b/signoff/digital_pll/openlane-signoff/23-lef.log
new file mode 100644
index 00000000..c4a72f87
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/23-lef.log
@@ -0,0 +1,209 @@
+
+Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+ ubm
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.341-2-gde752ec
+Reading LEF data from file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef.
+This action cannot be undone.
+LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 112 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
+LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 115 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 121 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 123 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 156 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
+LEF read, Line 164 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 165 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 167 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 168 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 169 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 206 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 207 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 209 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 210 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 211 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 248 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 249 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 251 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 252 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read: Processed 797 lines.
+digital_pll: 10000 rects
+[INFO]: Writing abstract LEF
+Generating LEF output /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.lef for cell digital_pll:
+Diagnostic: Write LEF header for cell digital_pll
+Diagnostic: Writing LEF output for cell digital_pll
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__einvp_1.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvp_1.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__clkinv_1.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_1.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_4" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__einvn_4.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvn_4.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_8" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__einvn_8.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvn_8.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__einvp_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__einvp_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__clkbuf_1.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_1.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__or2_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or2_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__conb_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__conb_1.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__conb_1.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_8" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__clkinv_8.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_8.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__clkinv_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__clkbuf_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__clkbuf_16.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_16.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__dfrtp_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__nor2_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__nand2_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a22o_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a22o_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a21o_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21o_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__and3b_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and3b_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a32o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a32o_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a32o_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__or3_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or3_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__o21ai_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__and3_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and3_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__o221a_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o221a_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__o22a_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o22a_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__o211a_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o211a_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a211o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a211o_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a211o_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__o31a_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31a_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__nand2b_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2b_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__and2b_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2b_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__mux2_1.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__mux2_1.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__nand3_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a21oi_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21oi_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__xnor2_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__xnor2_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__o21a_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__and2_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2a_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__o2bb2a_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2bb2a_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__xor2_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__xor2_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__xor2_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21bo_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a21bo_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21bo_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__or4_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or4_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__and4_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and4_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3b_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__or3b_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or3b_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4bb_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__or4bb_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or4bb_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2111oi_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a2111oi_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a2111oi_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__a31o_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31o_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__inv_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__tapvpwrvgnd_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_3" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__decap_3.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_3.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_1" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__fill_1.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_1.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_4" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__decap_4.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_4.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__fill_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_2.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_ef_sc_hd__decap_12" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_ef_sc_hd__decap_12.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_ef_sc_hd__decap_12.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_6" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__decap_6.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_6.mag.
+The discovered version will be used.
+Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/sky130_fd_sc_hd__diode_2.mag.
+The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__diode_2.mag.
+The discovered version will be used.
+Diagnostic: Scale value is 0.005000
+[INFO]: LEF Write Complete
diff --git a/signoff/digital_pll/openlane-signoff/23-maglef.log b/signoff/digital_pll/openlane-signoff/23-maglef.log
new file mode 100644
index 00000000..02167087
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/23-maglef.log
@@ -0,0 +1,18 @@
+
+Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+ ubm
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.341-2-gde752ec
+Reading LEF data from file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.lef.
+This action cannot be undone.
+LEF read: Processed 404 lines.
+[INFO]: DONE GENERATING MAGLEF VIEW
diff --git a/signoff/digital_pll/openlane-signoff/24-gdsii-klayout.log b/signoff/digital_pll/openlane-signoff/24-gdsii-klayout.log
new file mode 100644
index 00000000..810ba741
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/24-gdsii-klayout.log
@@ -0,0 +1,17 @@
+
+Input: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+Output: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.klayout.gds
+Design: digital_pll
+Technology File: /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/tech/sky130A.lyt
+GDS File List: ['/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds']
+LEF File: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef
+
+[INFO] Clearing cells...
+[INFO] Merging GDS files...
+ /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds
+[INFO] Copying toplevel cell 'digital_pll'
+WARNING: no fill config file specified
+[INFO] Checking for missing GDS...
+[INFO] All LEF cells have matching GDS cells
+[INFO] Writing out GDS '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.klayout.gds'
+[INFO] Done.
diff --git a/signoff/digital_pll/openlane-signoff/25-xor.log b/signoff/digital_pll/openlane-signoff/25-xor.log
new file mode 100644
index 00000000..4a4f8ac0
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/25-xor.log
@@ -0,0 +1,820 @@
+First Layout: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.gds
+Second Layout: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.klayout.gds
+Design Name: digital_pll
+Output GDS will be: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.xor.xml
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.gds ..
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.klayout.gds ..
+--- Running XOR for 10/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 146 (flat) 5 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 146 (flat) 5 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 146
+"output" in: xor.drc:40
+ Polygons (raw): 146 (flat) 5 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+--- Running XOR for 11/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 47 (flat) 7 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 47 (flat) 7 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 47
+"output" in: xor.drc:40
+ Polygons (raw): 47 (flat) 7 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 11/1 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 11/2 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 3
+"output" in: xor.drc:40
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+--- Running XOR for 12/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 5 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 5 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 5
+"output" in: xor.drc:40
+ Polygons (raw): 5 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 122/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 569 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 569 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 13/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 8 (flat) 4 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 8 (flat) 4 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 8
+"output" in: xor.drc:40
+ Polygons (raw): 8 (flat) 4 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 13/1 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+--- Running XOR for 13/2 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 3
+"output" in: xor.drc:40
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 14/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1 (flat) 1 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+XOR differences: 1
+"output" in: xor.drc:40
+ Polygons (raw): 1 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 235/4 ---
+"input" in: xor.drc:38
+ Polygons (raw): 1 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 1
+"output" in: xor.drc:40
+ Polygons (raw): 1 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 236/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 483 (flat) 50 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 483 (flat) 50 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 3/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1164 (flat) 1 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1164 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 1164
+"output" in: xor.drc:40
+ Polygons (raw): 1164 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 4/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1164 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1164 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 1164
+"output" in: xor.drc:40
+ Polygons (raw): 1164 (flat) 1 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+--- Running XOR for 5/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 4168 (flat) 1835 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 4168 (flat) 1835 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 4168
+"output" in: xor.drc:40
+ Polygons (raw): 4168 (flat) 1835 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 6/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1317 (flat) 7 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1317 (flat) 7 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 1317
+"output" in: xor.drc:40
+ Polygons (raw): 1317 (flat) 7 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 64/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 569 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 569 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 349.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+--- Running XOR for 64/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 617 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"input" in: xor.drc:38
+ Polygons (raw): 617 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 64/5 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 64/59 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 65/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 1245 (flat) 138 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1245 (flat) 138 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 65/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 100 (flat) 2 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 100 (flat) 2 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 66/15 ---
+"input" in: xor.drc:38
+ Polygons (raw): 2 (flat) 2 (hierarchical)
+ Elapsed: 0.010s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 2 (flat) 2 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+--- Running XOR for 66/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 1620 (flat) 218 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1620 (flat) 218 (hierarchical)
+ Elapsed: 0.000s Memory: 348.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.030s Memory: 349.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+--- Running XOR for 66/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 9720 (flat) 1249 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"input" in: xor.drc:38
+ Polygons (raw): 9720 (flat) 1249 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.050s Memory: 349.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 349.00M
+--- Running XOR for 67/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 3438 (flat) 342 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"input" in: xor.drc:38
+ Polygons (raw): 3438 (flat) 342 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.020s Memory: 349.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+--- Running XOR for 67/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 4910 (flat) 1554 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"input" in: xor.drc:38
+ Polygons (raw): 3746 (flat) 390 (hierarchical)
+ Elapsed: 0.000s Memory: 349.00M
+"^" in: xor.drc:38
+ Polygons (raw): 86 (flat) 86 (hierarchical)
+ Elapsed: 0.060s Memory: 352.00M
+XOR differences: 86
+"output" in: xor.drc:40
+ Polygons (raw): 86 (flat) 86 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 67/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 7822 (flat) 1976 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 6658 (flat) 812 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1164 (flat) 1164 (hierarchical)
+ Elapsed: 0.030s Memory: 352.00M
+XOR differences: 1164
+"output" in: xor.drc:40
+ Polygons (raw): 1164 (flat) 1164 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+--- Running XOR for 67/5 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 68/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 1272 (flat) 110 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1272 (flat) 110 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 68/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 6690 (flat) 5328 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 1481 (flat) 119 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1017 (flat) 1017 (hierarchical)
+ Elapsed: 0.030s Memory: 352.00M
+XOR differences: 1017
+"output" in: xor.drc:40
+ Polygons (raw): 1017 (flat) 1017 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 68/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 1317 (flat) 1317 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 1317 (flat) 1317 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 1317
+"output" in: xor.drc:40
+ Polygons (raw): 1317 (flat) 1317 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 68/5 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 69/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 15 (flat) 15 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 15 (flat) 15 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 15
+"output" in: xor.drc:40
+ Polygons (raw): 15 (flat) 15 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 69/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 2194 (flat) 2194 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 2194 (flat) 2194 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+XOR differences: 2194
+"output" in: xor.drc:40
+ Polygons (raw): 2194 (flat) 2194 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 69/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 239 (flat) 239 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 239 (flat) 239 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 239
+"output" in: xor.drc:40
+ Polygons (raw): 239 (flat) 239 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+--- Running XOR for 7/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 2232 (flat) 933 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 2232 (flat) 933 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 2232
+"output" in: xor.drc:40
+ Polygons (raw): 2232 (flat) 933 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 7/1 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 7/2 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 15 (flat) 15 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 15 (flat) 15 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 15
+"output" in: xor.drc:40
+ Polygons (raw): 15 (flat) 15 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 70/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 22 (flat) 22 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 22 (flat) 22 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 22
+"output" in: xor.drc:40
+ Polygons (raw): 22 (flat) 22 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 70/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 335 (flat) 335 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 335 (flat) 335 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 335
+"output" in: xor.drc:40
+ Polygons (raw): 335 (flat) 335 (hierarchical)
+ Elapsed: 0.010s Memory: 352.00M
+--- Running XOR for 70/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 146 (flat) 146 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"^" in: xor.drc:38
+ Polygons (raw): 146 (flat) 146 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+XOR differences: 146
+"output" in: xor.drc:40
+ Polygons (raw): 146 (flat) 146 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+--- Running XOR for 71/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 352.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+XOR differences: 3
+"output" in: xor.drc:40
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 71/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 6 (flat) 6 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 6 (flat) 6 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 6
+"output" in: xor.drc:40
+ Polygons (raw): 6 (flat) 6 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 71/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 5 (flat) 5 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 5 (flat) 5 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 5
+"output" in: xor.drc:40
+ Polygons (raw): 5 (flat) 5 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 72/16 ---
+"input" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 3
+"output" in: xor.drc:40
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 72/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 3
+"output" in: xor.drc:40
+ Polygons (raw): 3 (flat) 3 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 78/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 664 (flat) 56 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 664 (flat) 56 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 8/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 239 (flat) 5 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 239 (flat) 5 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+XOR differences: 239
+"output" in: xor.drc:40
+ Polygons (raw): 239 (flat) 5 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 81/23 ---
+"input" in: xor.drc:38
+ Polygons (raw): 94 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 94 (flat) 1 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 81/4 ---
+"input" in: xor.drc:38
+ Polygons (raw): 617 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 617 (flat) 53 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+--- Running XOR for 83/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 9/0 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 271 (flat) 106 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 271 (flat) 106 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 271
+"output" in: xor.drc:40
+ Polygons (raw): 271 (flat) 106 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 9/1 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+--- Running XOR for 9/2 ---
+"input" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 22 (flat) 22 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 22 (flat) 22 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 22
+"output" in: xor.drc:40
+ Polygons (raw): 22 (flat) 22 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 93/44 ---
+"input" in: xor.drc:38
+ Polygons (raw): 667 (flat) 54 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 667 (flat) 54 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 94/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 667 (flat) 54 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 667 (flat) 54 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+--- Running XOR for 95/20 ---
+"input" in: xor.drc:38
+ Polygons (raw): 430 (flat) 57 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"input" in: xor.drc:38
+ Polygons (raw): 430 (flat) 57 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+"^" in: xor.drc:38
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.010s Memory: 353.00M
+XOR differences: 0
+"output" in: xor.drc:40
+ Polygons (raw): 0 (flat) 0 (hierarchical)
+ Elapsed: 0.000s Memory: 353.00M
+Writing report database: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.xor.xml ..
+Total elapsed: 0.770s Memory: 353.00M
diff --git a/signoff/digital_pll/openlane-signoff/25-xor.rpt b/signoff/digital_pll/openlane-signoff/25-xor.rpt
new file mode 100644
index 00000000..3c44e13b
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/25-xor.rpt
@@ -0,0 +1 @@
+Total XOR differences = 17361
\ No newline at end of file
diff --git a/signoff/digital_pll/openlane-signoff/26-spice.log b/signoff/digital_pll/openlane-signoff/26-spice.log
new file mode 100644
index 00000000..5c970a0d
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/26-spice.log
@@ -0,0 +1,109 @@
+
+Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+ ubm
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.341-2-gde752ec
+Reading LEF data from file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef.
+This action cannot be undone.
+LEF read, Line 78 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 79 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 112 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
+LEF read, Line 114 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 115 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 121 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 122 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 123 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 156 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
+LEF read, Line 164 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 165 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 167 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 168 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 169 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 206 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 207 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 209 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 210 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 211 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 248 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 249 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 251 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 252 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read: Processed 797 lines.
+Reading DEF data from file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def.
+This action cannot be undone.
+ Processed 4 vias total.
+ Processed 617 subcell instances total.
+ Processed 39 pins total.
+ Processed 2 special nets total.
+ Processed 333 nets total.
+DEF read: Processed 6580 lines.
+Processing digital_pll
+Extracting sky130_fd_sc_hd__diode_2 into sky130_fd_sc_hd__diode_2.ext:
+Extracting sky130_fd_sc_hd__fill_1 into sky130_fd_sc_hd__fill_1.ext:
+Extracting sky130_fd_sc_hd__decap_3 into sky130_fd_sc_hd__decap_3.ext:
+Extracting sky130_fd_sc_hd__dfrtp_2 into sky130_fd_sc_hd__dfrtp_2.ext:
+Extracting sky130_fd_sc_hd__clkbuf_16 into sky130_fd_sc_hd__clkbuf_16.ext:
+Extracting sky130_fd_sc_hd__tapvpwrvgnd_1 into sky130_fd_sc_hd__tapvpwrvgnd_1.ext:
+Extracting sky130_fd_sc_hd__mux2_1 into sky130_fd_sc_hd__mux2_1.ext:
+Extracting sky130_fd_sc_hd__or2_2 into sky130_fd_sc_hd__or2_2.ext:
+Extracting sky130_fd_sc_hd__nand2_2 into sky130_fd_sc_hd__nand2_2.ext:
+Extracting sky130_fd_sc_hd__nor2_2 into sky130_fd_sc_hd__nor2_2.ext:
+Extracting sky130_fd_sc_hd__nand3_2 into sky130_fd_sc_hd__nand3_2.ext:
+Extracting sky130_fd_sc_hd__xnor2_2 into sky130_fd_sc_hd__xnor2_2.ext:
+Extracting sky130_fd_sc_hd__and2_2 into sky130_fd_sc_hd__and2_2.ext:
+Extracting sky130_fd_sc_hd__xor2_2 into sky130_fd_sc_hd__xor2_2.ext:
+Extracting sky130_fd_sc_hd__a21oi_2 into sky130_fd_sc_hd__a21oi_2.ext:
+Extracting sky130_fd_sc_hd__fill_2 into sky130_fd_sc_hd__fill_2.ext:
+Extracting sky130_fd_sc_hd__o22a_2 into sky130_fd_sc_hd__o22a_2.ext:
+Extracting sky130_fd_sc_hd__a21o_2 into sky130_fd_sc_hd__a21o_2.ext:
+Extracting sky130_fd_sc_hd__and3_2 into sky130_fd_sc_hd__and3_2.ext:
+Extracting sky130_fd_sc_hd__decap_4 into sky130_fd_sc_hd__decap_4.ext:
+Extracting sky130_fd_sc_hd__or3b_2 into sky130_fd_sc_hd__or3b_2.ext:
+Extracting sky130_fd_sc_hd__a211o_2 into sky130_fd_sc_hd__a211o_2.ext:
+Extracting sky130_fd_sc_hd__o31a_2 into sky130_fd_sc_hd__o31a_2.ext:
+Extracting sky130_fd_sc_hd__or3_2 into sky130_fd_sc_hd__or3_2.ext:
+Extracting sky130_fd_sc_hd__and4_2 into sky130_fd_sc_hd__and4_2.ext:
+Extracting sky130_fd_sc_hd__o21a_2 into sky130_fd_sc_hd__o21a_2.ext:
+Extracting sky130_fd_sc_hd__a32o_2 into sky130_fd_sc_hd__a32o_2.ext:
+Extracting sky130_fd_sc_hd__a2111oi_2 into sky130_fd_sc_hd__a2111oi_2.ext:
+Extracting sky130_fd_sc_hd__nand2b_2 into sky130_fd_sc_hd__nand2b_2.ext:
+Extracting sky130_fd_sc_hd__o211a_2 into sky130_fd_sc_hd__o211a_2.ext:
+Extracting sky130_fd_sc_hd__or4bb_2 into sky130_fd_sc_hd__or4bb_2.ext:
+Extracting sky130_fd_sc_hd__decap_6 into sky130_fd_sc_hd__decap_6.ext:
+Extracting sky130_fd_sc_hd__and3b_2 into sky130_fd_sc_hd__and3b_2.ext:
+Extracting sky130_fd_sc_hd__einvp_2 into sky130_fd_sc_hd__einvp_2.ext:
+Extracting sky130_fd_sc_hd__einvn_4 into sky130_fd_sc_hd__einvn_4.ext:
+Extracting sky130_fd_sc_hd__clkbuf_1 into sky130_fd_sc_hd__clkbuf_1.ext:
+Extracting sky130_fd_sc_hd__inv_2 into sky130_fd_sc_hd__inv_2.ext:
+Extracting sky130_fd_sc_hd__a31o_2 into sky130_fd_sc_hd__a31o_2.ext:
+Extracting sky130_ef_sc_hd__decap_12 into sky130_ef_sc_hd__decap_12.ext:
+Extracting sky130_fd_sc_hd__o2bb2a_2 into sky130_fd_sc_hd__o2bb2a_2.ext:
+Extracting sky130_fd_sc_hd__clkinv_1 into sky130_fd_sc_hd__clkinv_1.ext:
+Extracting sky130_fd_sc_hd__clkinv_8 into sky130_fd_sc_hd__clkinv_8.ext:
+Extracting sky130_fd_sc_hd__einvn_8 into sky130_fd_sc_hd__einvn_8.ext:
+Extracting sky130_fd_sc_hd__clkinv_2 into sky130_fd_sc_hd__clkinv_2.ext:
+Extracting sky130_fd_sc_hd__conb_1 into sky130_fd_sc_hd__conb_1.ext:
+Extracting sky130_fd_sc_hd__einvp_1 into sky130_fd_sc_hd__einvp_1.ext:
+Extracting sky130_fd_sc_hd__clkbuf_2 into sky130_fd_sc_hd__clkbuf_2.ext:
+Extracting sky130_fd_sc_hd__a21bo_2 into sky130_fd_sc_hd__a21bo_2.ext:
+Extracting sky130_fd_sc_hd__o21ai_2 into sky130_fd_sc_hd__o21ai_2.ext:
+Extracting sky130_fd_sc_hd__or4_2 into sky130_fd_sc_hd__or4_2.ext:
+Extracting sky130_fd_sc_hd__a22o_2 into sky130_fd_sc_hd__a22o_2.ext:
+Extracting sky130_fd_sc_hd__and2b_2 into sky130_fd_sc_hd__and2b_2.ext:
+Extracting sky130_fd_sc_hd__o221a_2 into sky130_fd_sc_hd__o221a_2.ext:
+Extracting digital_pll into digital_pll.ext:
+digital_pll: 34 errors
+Total of 34 errors (check feedback entries).
+exttospice finished.
diff --git a/signoff/digital_pll/openlane-signoff/27-write_powered_def.log b/signoff/digital_pll/openlane-signoff/27-write_powered_def.log
new file mode 100644
index 00000000..03f30998
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/27-write_powered_def.log
@@ -0,0 +1,25 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef
+[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
+The LEF parser will ignore this statement.
+To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef at line 930.
+
+[INFO ODB-0223] Created 13 technology layers
+[INFO ODB-0224] Created 25 technology vias
+[INFO ODB-0225] Created 441 library cells
+[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/merged.nom.lef
+[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+[INFO ODB-0128] Design: digital_pll
+[INFO ODB-0130] Created 39 pins.
+[INFO ODB-0131] Created 617 components and 3559 component-terminals.
+[INFO ODB-0132] Created 2 special nets and 2368 connections.
+[INFO ODB-0133] Created 333 nets and 1190 connections.
+[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.def
+Top-level design name: digital_pll
+Found default power net 'VPWR'
+Found default ground net 'VGND'
+Found 1 power ports.
+Found 1 ground ports.
+Modified power connections of 617/617 cells.
diff --git a/signoff/digital_pll/openlane-signoff/27-write_powered_verilog.log b/signoff/digital_pll/openlane-signoff/27-write_powered_verilog.log
new file mode 100644
index 00000000..f203297b
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/27-write_powered_verilog.log
@@ -0,0 +1,8 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.odb
+Setting global connections for newly added cells...
+[WARNING] Did not save OpenROAD database!
+Writing netlist to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/26-digital_pll.nl.v...
+Writing powered netlist to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/26-digital_pll.pnl.v...
diff --git a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.json b/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.json
new file mode 100644
index 00000000..716b6c91
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.json
@@ -0,0 +1,1337 @@
+[
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "A3",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "A3",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "C1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "C1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "TE",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ], [
+ "A",
+ "TE",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ], [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "TE_B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ], [
+ "A",
+ "TE_B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A_N",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A_N",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A_N",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A_N",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ], [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "TE_B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ], [
+ "A",
+ "TE_B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ], [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "DIODE",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ], [
+ "DIODE",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A0",
+ "A1",
+ "S",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A0",
+ "A1",
+ "S",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "C",
+ "D",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "C",
+ "D",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "CLK",
+ "D",
+ "RESET_B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Q"
+ ], [
+ "CLK",
+ "D",
+ "RESET_B",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Q"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "C_N",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "C_N",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "C1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "C1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1_N",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1_N",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "TE",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ], [
+ "A",
+ "TE",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Z"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "C_N",
+ "D_N",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "C_N",
+ "D_N",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VPWR"
+ ], [
+ "VGND",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ], [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "B2",
+ "C1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "B2",
+ "C1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1_N",
+ "A2_N",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1_N",
+ "A2_N",
+ "B1",
+ "B2",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A_N",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A_N",
+ "B",
+ "C",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ], [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "A3",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "A3",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ], [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A",
+ "B",
+ "C",
+ "D",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A",
+ "B",
+ "C",
+ "D",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "HI"
+ ], [
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "HI"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "B1",
+ "C1",
+ "D1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ], [
+ "A1",
+ "A2",
+ "B1",
+ "C1",
+ "D1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "Y"
+ ]
+ ]
+ },
+ {
+ "pins": [
+ [
+ "A1",
+ "A2",
+ "A3",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ], [
+ "A1",
+ "A2",
+ "A3",
+ "B1",
+ "VGND",
+ "VNB",
+ "VPB",
+ "VPWR",
+ "X"
+ ]
+ ]
+ },
+ {
+ "name": [
+ "digital_pll",
+ "digital_pll"
+ ],
+ "devices": [
+ [
+ ["sky130_fd_sc_hd__and3_2", 7],
+ ["sky130_fd_sc_hd__nor2_2", 36],
+ ["sky130_fd_sc_hd__clkinv_1", 13],
+ ["sky130_fd_sc_hd__a32o_2", 8],
+ ["sky130_fd_sc_hd__o211a_2", 7],
+ ["sky130_fd_sc_hd__xor2_2", 3],
+ ["sky130_fd_sc_hd__a21o_2", 12],
+ ["sky130_fd_sc_hd__einvp_2", 26],
+ ["sky130_fd_sc_hd__fill_1", 1],
+ ["sky130_fd_sc_hd__einvn_8", 13],
+ ["sky130_fd_sc_hd__and2b_2", 1],
+ ["sky130_fd_sc_hd__nand2_2", 16],
+ ["sky130_fd_sc_hd__nand2b_2", 2],
+ ["sky130_fd_sc_hd__or2_2", 22],
+ ["sky130_fd_sc_hd__decap_3", 1],
+ ["sky130_fd_sc_hd__xnor2_2", 11],
+ ["sky130_fd_sc_hd__einvn_4", 13],
+ ["sky130_fd_sc_hd__o21ai_2", 3],
+ ["sky130_fd_sc_hd__o21a_2", 3],
+ ["sky130_fd_sc_hd__fill_2", 1],
+ ["sky130_fd_sc_hd__a21oi_2", 6],
+ ["sky130_fd_sc_hd__diode_2", 35],
+ ["sky130_fd_sc_hd__clkbuf_2", 12],
+ ["sky130_fd_sc_hd__a22o_2", 12],
+ ["sky130_fd_sc_hd__and2_2", 11],
+ ["sky130_fd_sc_hd__o22a_2", 5],
+ ["sky130_fd_sc_hd__clkbuf_1", 13],
+ ["sky130_fd_sc_hd__or3_2", 6],
+ ["sky130_fd_sc_hd__mux2_1", 10],
+ ["sky130_fd_sc_hd__and4_2", 1],
+ ["sky130_fd_sc_hd__dfrtp_2", 23],
+ ["sky130_fd_sc_hd__or3b_2", 1],
+ ["sky130_fd_sc_hd__a211o_2", 2],
+ ["sky130_fd_sc_hd__a21bo_2", 1],
+ ["sky130_fd_sc_hd__einvp_1", 1],
+ ["sky130_fd_sc_hd__or4bb_2", 1],
+ ["sky130_fd_sc_hd__inv_2", 6],
+ ["sky130_fd_sc_hd__tapvpwrvgnd_1", 1],
+ ["sky130_fd_sc_hd__decap_4", 1],
+ ["sky130_fd_sc_hd__nand3_2", 2],
+ ["sky130_fd_sc_hd__o221a_2", 1],
+ ["sky130_fd_sc_hd__o2bb2a_2", 1],
+ ["sky130_fd_sc_hd__and3b_2", 1],
+ ["sky130_fd_sc_hd__clkbuf_16", 2],
+ ["sky130_ef_sc_hd__decap_12", 1],
+ ["sky130_fd_sc_hd__clkinv_2", 2],
+ ["sky130_fd_sc_hd__o31a_2", 3],
+ ["sky130_fd_sc_hd__clkinv_8", 2],
+ ["sky130_fd_sc_hd__decap_6", 1],
+ ["sky130_fd_sc_hd__or4_2", 1],
+ ["sky130_fd_sc_hd__conb_1", 1],
+ ["sky130_fd_sc_hd__a2111oi_2", 1],
+ ["sky130_fd_sc_hd__a31o_2", 1 ]
+ ], [
+ ["sky130_fd_sc_hd__and3_2", 7 ],
+ ["sky130_fd_sc_hd__nor2_2", 36 ],
+ ["sky130_fd_sc_hd__clkinv_1", 13 ],
+ ["sky130_fd_sc_hd__a32o_2", 8 ],
+ ["sky130_fd_sc_hd__o211a_2", 7 ],
+ ["sky130_fd_sc_hd__xor2_2", 3 ],
+ ["sky130_fd_sc_hd__a21o_2", 12 ],
+ ["sky130_fd_sc_hd__einvp_2", 26 ],
+ ["sky130_fd_sc_hd__fill_1", 1 ],
+ ["sky130_fd_sc_hd__einvn_8", 13 ],
+ ["sky130_fd_sc_hd__and2b_2", 1 ],
+ ["sky130_fd_sc_hd__nand2_2", 16 ],
+ ["sky130_fd_sc_hd__nand2b_2", 2 ],
+ ["sky130_fd_sc_hd__or2_2", 22 ],
+ ["sky130_fd_sc_hd__decap_3", 1 ],
+ ["sky130_fd_sc_hd__xnor2_2", 11 ],
+ ["sky130_fd_sc_hd__einvn_4", 13 ],
+ ["sky130_fd_sc_hd__o21ai_2", 3 ],
+ ["sky130_fd_sc_hd__o21a_2", 3 ],
+ ["sky130_fd_sc_hd__fill_2", 1 ],
+ ["sky130_fd_sc_hd__a21oi_2", 6 ],
+ ["sky130_fd_sc_hd__diode_2", 35 ],
+ ["sky130_fd_sc_hd__clkbuf_2", 12 ],
+ ["sky130_fd_sc_hd__a22o_2", 12 ],
+ ["sky130_fd_sc_hd__and2_2", 11 ],
+ ["sky130_fd_sc_hd__o22a_2", 5 ],
+ ["sky130_fd_sc_hd__clkbuf_1", 13 ],
+ ["sky130_fd_sc_hd__or3_2", 6 ],
+ ["sky130_fd_sc_hd__mux2_1", 10 ],
+ ["sky130_fd_sc_hd__and4_2", 1 ],
+ ["sky130_fd_sc_hd__dfrtp_2", 23 ],
+ ["sky130_fd_sc_hd__or3b_2", 1 ],
+ ["sky130_fd_sc_hd__a211o_2", 2 ],
+ ["sky130_fd_sc_hd__a21bo_2", 1 ],
+ ["sky130_fd_sc_hd__einvp_1", 1 ],
+ ["sky130_fd_sc_hd__or4bb_2", 1 ],
+ ["sky130_fd_sc_hd__inv_2", 6 ],
+ ["sky130_fd_sc_hd__tapvpwrvgnd_1", 1 ],
+ ["sky130_fd_sc_hd__decap_4", 1 ],
+ ["sky130_fd_sc_hd__nand3_2", 2 ],
+ ["sky130_fd_sc_hd__o221a_2", 1 ],
+ ["sky130_fd_sc_hd__o2bb2a_2", 1 ],
+ ["sky130_fd_sc_hd__and3b_2", 1 ],
+ ["sky130_fd_sc_hd__clkbuf_16", 2 ],
+ ["sky130_ef_sc_hd__decap_12", 1 ],
+ ["sky130_fd_sc_hd__clkinv_2", 2 ],
+ ["sky130_fd_sc_hd__o31a_2", 3 ],
+ ["sky130_fd_sc_hd__clkinv_8", 2 ],
+ ["sky130_fd_sc_hd__decap_6", 1 ],
+ ["sky130_fd_sc_hd__or4_2", 1 ],
+ ["sky130_fd_sc_hd__conb_1", 1 ],
+ ["sky130_fd_sc_hd__a2111oi_2", 1 ],
+ ["sky130_fd_sc_hd__a31o_2", 1 ]
+ ]
+ ],
+ "nets": [
+ 336,
+ 336
+ ],
+ "badnets": [
+ ],
+ "badelements": [
+ ],
+ "pins": [
+ [
+ "clockp[0]",
+ "clockp[1]",
+ "div[3]",
+ "div[4]",
+ "div[2]",
+ "div[1]",
+ "div[0]",
+ "ext_trim[0]",
+ "ext_trim[1]",
+ "ext_trim[2]",
+ "ext_trim[3]",
+ "ext_trim[4]",
+ "ext_trim[8]",
+ "ext_trim[10]",
+ "ext_trim[11]",
+ "ext_trim[12]",
+ "ext_trim[13]",
+ "ext_trim[7]",
+ "ext_trim[15]",
+ "ext_trim[17]",
+ "ext_trim[18]",
+ "ext_trim[19]",
+ "ext_trim[20]",
+ "ext_trim[21]",
+ "ext_trim[9]",
+ "ext_trim[14]",
+ "ext_trim[22]",
+ "ext_trim[23]",
+ "ext_trim[16]",
+ "ext_trim[5]",
+ "ext_trim[24]",
+ "ext_trim[25]",
+ "ext_trim[6]",
+ "enable",
+ "resetb",
+ "osc",
+ "dco",
+ "VGND",
+ "VPWR"
+ ], [
+ "clockp[0]",
+ "clockp[1]",
+ "div[3]",
+ "div[4]",
+ "div[2]",
+ "div[1]",
+ "div[0]",
+ "ext_trim[0]",
+ "ext_trim[1]",
+ "ext_trim[2]",
+ "ext_trim[3]",
+ "ext_trim[4]",
+ "ext_trim[8]",
+ "ext_trim[10]",
+ "ext_trim[11]",
+ "ext_trim[12]",
+ "ext_trim[13]",
+ "ext_trim[7]",
+ "ext_trim[15]",
+ "ext_trim[17]",
+ "ext_trim[18]",
+ "ext_trim[19]",
+ "ext_trim[20]",
+ "ext_trim[21]",
+ "ext_trim[9]",
+ "ext_trim[14]",
+ "ext_trim[22]",
+ "ext_trim[23]",
+ "ext_trim[16]",
+ "ext_trim[5]",
+ "ext_trim[24]",
+ "ext_trim[25]",
+ "ext_trim[6]",
+ "enable",
+ "resetb",
+ "osc",
+ "dco",
+ "VGND",
+ "VPWR"
+ ]
+ ]
+ }
+]
diff --git a/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.log b/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.log
new file mode 100644
index 00000000..0a843e53
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/29-digital_pll.lef.log
@@ -0,0 +1,3 @@
+LVS reports no net, device, pin, or property mismatches.
+
+Total errors = 0
diff --git a/signoff/digital_pll/openlane-signoff/29-lvs.lef.log b/signoff/digital_pll/openlane-signoff/29-lvs.lef.log
new file mode 100644
index 00000000..f0830182
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/29-lvs.lef.log
@@ -0,0 +1,355 @@
+Netgen 1.5.234 compiled on Sun Oct 9 10:24:01 UTC 2022
+Warning: netgen command 'format' use fully-qualified name '::netgen::format'
+Warning: netgen command 'global' use fully-qualified name '::netgen::global'
+Generating JSON file result
+Reading netlist file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.spice
+Reading netlist file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/26-digital_pll.pnl.v
+Warning: A case-insensitive file has been read and so the verilog file must be treated case-insensitive to match.
+Creating placeholder cell definition for module sky130_fd_sc_hd__inv_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__xnor2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__xor2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__mux2_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nand2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nor2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a21o_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a211o_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a31o_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o211a_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nand3_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a32o_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a21oi_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or3_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o22a_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a2111oi_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o21a_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o31a_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or4bb_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and3_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or3b_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and4_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or4_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a21bo_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o21ai_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o2bb2a_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nand2b_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and2b_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a22o_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o221a_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and3b_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__dfrtp_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_16.
+Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__einvp_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__einvn_8.
+Creating placeholder cell definition for module sky130_fd_sc_hd__einvn_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_8.
+Creating placeholder cell definition for module sky130_fd_sc_hd__conb_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__einvp_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_3.
+Creating placeholder cell definition for module sky130_fd_sc_hd__tapvpwrvgnd_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__diode_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__fill_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__fill_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_6.
+Creating placeholder cell definition for module sky130_ef_sc_hd__decap_12.
+Reading setup file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl
+Comparison output logged to file /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/logs/signoff/29-digital_pll.lef.log
+Logging to file "/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/logs/signoff/29-digital_pll.lef.log" enabled
+Circuit sky130_fd_sc_hd__and3_2 contains no devices.
+Circuit sky130_fd_sc_hd__nor2_2 contains no devices.
+Circuit sky130_fd_sc_hd__clkinv_1 contains no devices.
+Circuit sky130_fd_sc_hd__a32o_2 contains no devices.
+Circuit sky130_fd_sc_hd__o211a_2 contains no devices.
+Circuit sky130_fd_sc_hd__xor2_2 contains no devices.
+Circuit sky130_fd_sc_hd__a21o_2 contains no devices.
+Circuit sky130_fd_sc_hd__einvp_2 contains no devices.
+Circuit sky130_fd_sc_hd__fill_1 contains no devices.
+Circuit sky130_fd_sc_hd__einvn_8 contains no devices.
+Circuit sky130_fd_sc_hd__and2b_2 contains no devices.
+Circuit sky130_fd_sc_hd__nand2_2 contains no devices.
+Circuit sky130_fd_sc_hd__nand2b_2 contains no devices.
+Circuit sky130_fd_sc_hd__or2_2 contains no devices.
+Circuit sky130_fd_sc_hd__decap_3 contains no devices.
+Circuit sky130_fd_sc_hd__xnor2_2 contains no devices.
+Circuit sky130_fd_sc_hd__einvn_4 contains no devices.
+Circuit sky130_fd_sc_hd__o21ai_2 contains no devices.
+Circuit sky130_fd_sc_hd__o21a_2 contains no devices.
+Circuit sky130_fd_sc_hd__fill_2 contains no devices.
+Circuit sky130_fd_sc_hd__a21oi_2 contains no devices.
+Circuit sky130_fd_sc_hd__diode_2 contains no devices.
+Circuit sky130_fd_sc_hd__clkbuf_2 contains no devices.
+Circuit sky130_fd_sc_hd__a22o_2 contains no devices.
+Circuit sky130_fd_sc_hd__and2_2 contains no devices.
+Circuit sky130_fd_sc_hd__o22a_2 contains no devices.
+Circuit sky130_fd_sc_hd__clkbuf_1 contains no devices.
+Circuit sky130_fd_sc_hd__or3_2 contains no devices.
+Circuit sky130_fd_sc_hd__mux2_1 contains no devices.
+Circuit sky130_fd_sc_hd__and4_2 contains no devices.
+Circuit sky130_fd_sc_hd__dfrtp_2 contains no devices.
+Circuit sky130_fd_sc_hd__or3b_2 contains no devices.
+Circuit sky130_fd_sc_hd__a211o_2 contains no devices.
+Circuit sky130_fd_sc_hd__a21bo_2 contains no devices.
+Circuit sky130_fd_sc_hd__einvp_1 contains no devices.
+Circuit sky130_fd_sc_hd__or4bb_2 contains no devices.
+Circuit sky130_fd_sc_hd__inv_2 contains no devices.
+Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains no devices.
+Circuit sky130_fd_sc_hd__decap_4 contains no devices.
+Circuit sky130_fd_sc_hd__nand3_2 contains no devices.
+Circuit sky130_fd_sc_hd__o221a_2 contains no devices.
+Circuit sky130_fd_sc_hd__o2bb2a_2 contains no devices.
+Circuit sky130_fd_sc_hd__and3b_2 contains no devices.
+Circuit sky130_fd_sc_hd__clkbuf_16 contains no devices.
+Circuit sky130_ef_sc_hd__decap_12 contains no devices.
+Circuit sky130_fd_sc_hd__clkinv_2 contains no devices.
+Circuit sky130_fd_sc_hd__o31a_2 contains no devices.
+Circuit sky130_fd_sc_hd__clkinv_8 contains no devices.
+Circuit sky130_fd_sc_hd__decap_6 contains no devices.
+Circuit sky130_fd_sc_hd__or4_2 contains no devices.
+Circuit sky130_fd_sc_hd__conb_1 contains no devices.
+Circuit sky130_fd_sc_hd__a2111oi_2 contains no devices.
+Circuit sky130_fd_sc_hd__a31o_2 contains no devices.
+
+Contents of circuit 1: Circuit: 'digital_pll'
+Circuit digital_pll contains 617 device instances.
+ Class: sky130_fd_sc_hd__a31o_2 instances: 1
+ Class: sky130_fd_sc_hd__a21o_2 instances: 12
+ Class: sky130_fd_sc_hd__clkbuf_16 instances: 2
+ Class: sky130_fd_sc_hd__or4_2 instances: 1
+ Class: sky130_fd_sc_hd__and3b_2 instances: 1
+ Class: sky130_fd_sc_hd__xor2_2 instances: 3
+ Class: sky130_ef_sc_hd__decap_12 instances: 2
+ Class: sky130_fd_sc_hd__dfrtp_2 instances: 23
+ Class: sky130_fd_sc_hd__and4_2 instances: 1
+ Class: sky130_fd_sc_hd__inv_2 instances: 6
+ Class: sky130_fd_sc_hd__clkbuf_1 instances: 13
+ Class: sky130_fd_sc_hd__clkbuf_2 instances: 12
+ Class: sky130_fd_sc_hd__or3_2 instances: 6
+ Class: sky130_fd_sc_hd__nand3_2 instances: 2
+ Class: sky130_fd_sc_hd__conb_1 instances: 1
+ Class: sky130_fd_sc_hd__and2b_2 instances: 1
+ Class: sky130_fd_sc_hd__a21bo_2 instances: 1
+ Class: sky130_fd_sc_hd__clkinv_1 instances: 13
+ Class: sky130_fd_sc_hd__clkinv_2 instances: 2
+ Class: sky130_fd_sc_hd__clkinv_8 instances: 2
+ Class: sky130_fd_sc_hd__and3_2 instances: 7
+ Class: sky130_fd_sc_hd__decap_3 instances: 54
+ Class: sky130_fd_sc_hd__decap_4 instances: 7
+ Class: sky130_fd_sc_hd__decap_6 instances: 1
+ Class: sky130_fd_sc_hd__or2_2 instances: 22
+ Class: sky130_fd_sc_hd__a2111oi_2 instances: 1
+ Class: sky130_fd_sc_hd__einvp_1 instances: 1
+ Class: sky130_fd_sc_hd__einvp_2 instances: 26
+ Class: sky130_fd_sc_hd__nand2_2 instances: 16
+ Class: sky130_fd_sc_hd__mux2_1 instances: 10
+ Class: sky130_fd_sc_hd__and2_2 instances: 11
+ Class: sky130_fd_sc_hd__o22a_2 instances: 5
+ Class: sky130_fd_sc_hd__xnor2_2 instances: 11
+ Class: sky130_fd_sc_hd__o221a_2 instances: 1
+ Class: sky130_fd_sc_hd__o211a_2 instances: 7
+ Class: sky130_fd_sc_hd__nand2b_2 instances: 2
+ Class: sky130_fd_sc_hd__diode_2 instances: 94
+ Class: sky130_fd_sc_hd__a211o_2 instances: 2
+ Class: sky130_fd_sc_hd__or3b_2 instances: 1
+ Class: sky130_fd_sc_hd__or4bb_2 instances: 1
+ Class: sky130_fd_sc_hd__a32o_2 instances: 8
+ Class: sky130_fd_sc_hd__a22o_2 instances: 12
+ Class: sky130_fd_sc_hd__o31a_2 instances: 3
+ Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1
+ Class: sky130_fd_sc_hd__o21a_2 instances: 3
+ Class: sky130_fd_sc_hd__einvn_4 instances: 13
+ Class: sky130_fd_sc_hd__einvn_8 instances: 13
+ Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 50
+ Class: sky130_fd_sc_hd__o21ai_2 instances: 3
+ Class: sky130_fd_sc_hd__a21oi_2 instances: 6
+ Class: sky130_fd_sc_hd__fill_1 instances: 77
+ Class: sky130_fd_sc_hd__fill_2 instances: 7
+ Class: sky130_fd_sc_hd__nor2_2 instances: 36
+Circuit contains 336 nets.
+Contents of circuit 2: Circuit: 'digital_pll'
+Circuit digital_pll contains 617 device instances.
+ Class: sky130_fd_sc_hd__a31o_2 instances: 1
+ Class: sky130_fd_sc_hd__a21o_2 instances: 12
+ Class: sky130_fd_sc_hd__clkbuf_16 instances: 2
+ Class: sky130_fd_sc_hd__or4_2 instances: 1
+ Class: sky130_fd_sc_hd__and3b_2 instances: 1
+ Class: sky130_fd_sc_hd__xor2_2 instances: 3
+ Class: sky130_ef_sc_hd__decap_12 instances: 2
+ Class: sky130_fd_sc_hd__dfrtp_2 instances: 23
+ Class: sky130_fd_sc_hd__and4_2 instances: 1
+ Class: sky130_fd_sc_hd__inv_2 instances: 6
+ Class: sky130_fd_sc_hd__clkbuf_1 instances: 13
+ Class: sky130_fd_sc_hd__clkbuf_2 instances: 12
+ Class: sky130_fd_sc_hd__or3_2 instances: 6
+ Class: sky130_fd_sc_hd__nand3_2 instances: 2
+ Class: sky130_fd_sc_hd__conb_1 instances: 1
+ Class: sky130_fd_sc_hd__and2b_2 instances: 1
+ Class: sky130_fd_sc_hd__a21bo_2 instances: 1
+ Class: sky130_fd_sc_hd__clkinv_1 instances: 13
+ Class: sky130_fd_sc_hd__clkinv_2 instances: 2
+ Class: sky130_fd_sc_hd__clkinv_8 instances: 2
+ Class: sky130_fd_sc_hd__and3_2 instances: 7
+ Class: sky130_fd_sc_hd__decap_3 instances: 54
+ Class: sky130_fd_sc_hd__decap_4 instances: 7
+ Class: sky130_fd_sc_hd__decap_6 instances: 1
+ Class: sky130_fd_sc_hd__or2_2 instances: 22
+ Class: sky130_fd_sc_hd__a2111oi_2 instances: 1
+ Class: sky130_fd_sc_hd__einvp_1 instances: 1
+ Class: sky130_fd_sc_hd__einvp_2 instances: 26
+ Class: sky130_fd_sc_hd__nand2_2 instances: 16
+ Class: sky130_fd_sc_hd__mux2_1 instances: 10
+ Class: sky130_fd_sc_hd__and2_2 instances: 11
+ Class: sky130_fd_sc_hd__o22a_2 instances: 5
+ Class: sky130_fd_sc_hd__xnor2_2 instances: 11
+ Class: sky130_fd_sc_hd__o221a_2 instances: 1
+ Class: sky130_fd_sc_hd__o211a_2 instances: 7
+ Class: sky130_fd_sc_hd__nand2b_2 instances: 2
+ Class: sky130_fd_sc_hd__diode_2 instances: 94
+ Class: sky130_fd_sc_hd__a211o_2 instances: 2
+ Class: sky130_fd_sc_hd__or3b_2 instances: 1
+ Class: sky130_fd_sc_hd__or4bb_2 instances: 1
+ Class: sky130_fd_sc_hd__a32o_2 instances: 8
+ Class: sky130_fd_sc_hd__a22o_2 instances: 12
+ Class: sky130_fd_sc_hd__o31a_2 instances: 3
+ Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1
+ Class: sky130_fd_sc_hd__o21a_2 instances: 3
+ Class: sky130_fd_sc_hd__einvn_4 instances: 13
+ Class: sky130_fd_sc_hd__einvn_8 instances: 13
+ Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 50
+ Class: sky130_fd_sc_hd__o21ai_2 instances: 3
+ Class: sky130_fd_sc_hd__a21oi_2 instances: 6
+ Class: sky130_fd_sc_hd__fill_1 instances: 77
+ Class: sky130_fd_sc_hd__fill_2 instances: 7
+ Class: sky130_fd_sc_hd__nor2_2 instances: 36
+Circuit contains 336 nets.
+
+Circuit was modified by parallel/series device merging.
+New circuit summary:
+
+Contents of circuit 1: Circuit: 'digital_pll'
+Circuit digital_pll contains 367 device instances.
+ Class: sky130_fd_sc_hd__a31o_2 instances: 1
+ Class: sky130_fd_sc_hd__a21o_2 instances: 12
+ Class: sky130_fd_sc_hd__clkbuf_16 instances: 2
+ Class: sky130_fd_sc_hd__or4_2 instances: 1
+ Class: sky130_fd_sc_hd__and3b_2 instances: 1
+ Class: sky130_fd_sc_hd__xor2_2 instances: 3
+ Class: sky130_ef_sc_hd__decap_12 instances: 1
+ Class: sky130_fd_sc_hd__dfrtp_2 instances: 23
+ Class: sky130_fd_sc_hd__and4_2 instances: 1
+ Class: sky130_fd_sc_hd__inv_2 instances: 6
+ Class: sky130_fd_sc_hd__clkbuf_1 instances: 13
+ Class: sky130_fd_sc_hd__clkbuf_2 instances: 12
+ Class: sky130_fd_sc_hd__or3_2 instances: 6
+ Class: sky130_fd_sc_hd__nand3_2 instances: 2
+ Class: sky130_fd_sc_hd__conb_1 instances: 1
+ Class: sky130_fd_sc_hd__and2b_2 instances: 1
+ Class: sky130_fd_sc_hd__a21bo_2 instances: 1
+ Class: sky130_fd_sc_hd__clkinv_1 instances: 13
+ Class: sky130_fd_sc_hd__clkinv_2 instances: 2
+ Class: sky130_fd_sc_hd__clkinv_8 instances: 2
+ Class: sky130_fd_sc_hd__and3_2 instances: 7
+ Class: sky130_fd_sc_hd__decap_3 instances: 1
+ Class: sky130_fd_sc_hd__decap_4 instances: 1
+ Class: sky130_fd_sc_hd__decap_6 instances: 1
+ Class: sky130_fd_sc_hd__or2_2 instances: 22
+ Class: sky130_fd_sc_hd__a2111oi_2 instances: 1
+ Class: sky130_fd_sc_hd__einvp_1 instances: 1
+ Class: sky130_fd_sc_hd__einvp_2 instances: 26
+ Class: sky130_fd_sc_hd__nand2_2 instances: 16
+ Class: sky130_fd_sc_hd__mux2_1 instances: 10
+ Class: sky130_fd_sc_hd__and2_2 instances: 11
+ Class: sky130_fd_sc_hd__o22a_2 instances: 5
+ Class: sky130_fd_sc_hd__xnor2_2 instances: 11
+ Class: sky130_fd_sc_hd__o221a_2 instances: 1
+ Class: sky130_fd_sc_hd__o211a_2 instances: 7
+ Class: sky130_fd_sc_hd__nand2b_2 instances: 2
+ Class: sky130_fd_sc_hd__diode_2 instances: 35
+ Class: sky130_fd_sc_hd__a211o_2 instances: 2
+ Class: sky130_fd_sc_hd__or3b_2 instances: 1
+ Class: sky130_fd_sc_hd__or4bb_2 instances: 1
+ Class: sky130_fd_sc_hd__a32o_2 instances: 8
+ Class: sky130_fd_sc_hd__a22o_2 instances: 12
+ Class: sky130_fd_sc_hd__o31a_2 instances: 3
+ Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1
+ Class: sky130_fd_sc_hd__o21a_2 instances: 3
+ Class: sky130_fd_sc_hd__einvn_4 instances: 13
+ Class: sky130_fd_sc_hd__einvn_8 instances: 13
+ Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 1
+ Class: sky130_fd_sc_hd__o21ai_2 instances: 3
+ Class: sky130_fd_sc_hd__a21oi_2 instances: 6
+ Class: sky130_fd_sc_hd__fill_1 instances: 1
+ Class: sky130_fd_sc_hd__fill_2 instances: 1
+ Class: sky130_fd_sc_hd__nor2_2 instances: 36
+Circuit contains 336 nets.
+Contents of circuit 2: Circuit: 'digital_pll'
+Circuit digital_pll contains 367 device instances.
+ Class: sky130_fd_sc_hd__a31o_2 instances: 1
+ Class: sky130_fd_sc_hd__a21o_2 instances: 12
+ Class: sky130_fd_sc_hd__clkbuf_16 instances: 2
+ Class: sky130_fd_sc_hd__or4_2 instances: 1
+ Class: sky130_fd_sc_hd__and3b_2 instances: 1
+ Class: sky130_fd_sc_hd__xor2_2 instances: 3
+ Class: sky130_ef_sc_hd__decap_12 instances: 1
+ Class: sky130_fd_sc_hd__dfrtp_2 instances: 23
+ Class: sky130_fd_sc_hd__and4_2 instances: 1
+ Class: sky130_fd_sc_hd__inv_2 instances: 6
+ Class: sky130_fd_sc_hd__clkbuf_1 instances: 13
+ Class: sky130_fd_sc_hd__clkbuf_2 instances: 12
+ Class: sky130_fd_sc_hd__or3_2 instances: 6
+ Class: sky130_fd_sc_hd__nand3_2 instances: 2
+ Class: sky130_fd_sc_hd__conb_1 instances: 1
+ Class: sky130_fd_sc_hd__and2b_2 instances: 1
+ Class: sky130_fd_sc_hd__a21bo_2 instances: 1
+ Class: sky130_fd_sc_hd__clkinv_1 instances: 13
+ Class: sky130_fd_sc_hd__clkinv_2 instances: 2
+ Class: sky130_fd_sc_hd__clkinv_8 instances: 2
+ Class: sky130_fd_sc_hd__and3_2 instances: 7
+ Class: sky130_fd_sc_hd__decap_3 instances: 1
+ Class: sky130_fd_sc_hd__decap_4 instances: 1
+ Class: sky130_fd_sc_hd__decap_6 instances: 1
+ Class: sky130_fd_sc_hd__or2_2 instances: 22
+ Class: sky130_fd_sc_hd__a2111oi_2 instances: 1
+ Class: sky130_fd_sc_hd__einvp_1 instances: 1
+ Class: sky130_fd_sc_hd__einvp_2 instances: 26
+ Class: sky130_fd_sc_hd__nand2_2 instances: 16
+ Class: sky130_fd_sc_hd__mux2_1 instances: 10
+ Class: sky130_fd_sc_hd__and2_2 instances: 11
+ Class: sky130_fd_sc_hd__o22a_2 instances: 5
+ Class: sky130_fd_sc_hd__xnor2_2 instances: 11
+ Class: sky130_fd_sc_hd__o221a_2 instances: 1
+ Class: sky130_fd_sc_hd__o211a_2 instances: 7
+ Class: sky130_fd_sc_hd__nand2b_2 instances: 2
+ Class: sky130_fd_sc_hd__diode_2 instances: 35
+ Class: sky130_fd_sc_hd__a211o_2 instances: 2
+ Class: sky130_fd_sc_hd__or3b_2 instances: 1
+ Class: sky130_fd_sc_hd__or4bb_2 instances: 1
+ Class: sky130_fd_sc_hd__a32o_2 instances: 8
+ Class: sky130_fd_sc_hd__a22o_2 instances: 12
+ Class: sky130_fd_sc_hd__o31a_2 instances: 3
+ Class: sky130_fd_sc_hd__o2bb2a_2 instances: 1
+ Class: sky130_fd_sc_hd__o21a_2 instances: 3
+ Class: sky130_fd_sc_hd__einvn_4 instances: 13
+ Class: sky130_fd_sc_hd__einvn_8 instances: 13
+ Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 1
+ Class: sky130_fd_sc_hd__o21ai_2 instances: 3
+ Class: sky130_fd_sc_hd__a21oi_2 instances: 6
+ Class: sky130_fd_sc_hd__fill_1 instances: 1
+ Class: sky130_fd_sc_hd__fill_2 instances: 1
+ Class: sky130_fd_sc_hd__nor2_2 instances: 36
+Circuit contains 336 nets.
+
+Circuit 1 contains 367 devices, Circuit 2 contains 367 devices.
+Circuit 1 contains 336 nets, Circuit 2 contains 336 nets.
+
+
+Final result:
+Circuits match uniquely.
+.
+Logging to file "/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/logs/signoff/29-digital_pll.lef.log" disabled
+LVS Done.
diff --git a/signoff/digital_pll/openlane-signoff/30-drc.log b/signoff/digital_pll/openlane-signoff/30-drc.log
new file mode 100644
index 00000000..8e3dac76
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/30-drc.log
@@ -0,0 +1,81 @@
+
+Magic 8.3 revision 324 - Compiled on Thu Sep 15 11:38:02 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+ ubm
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Using technology "sky130A", version 1.0.341-2-gde752ec
+Warning: Calma reading is not undoable! I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: digital_pll
+Reading "sky130_fd_sc_hd__diode_2".
+Reading "sky130_fd_sc_hd__fill_1".
+Reading "sky130_fd_sc_hd__decap_3".
+Reading "sky130_fd_sc_hd__dfrtp_2".
+Reading "sky130_fd_sc_hd__clkbuf_16".
+Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
+Reading "sky130_fd_sc_hd__mux2_1".
+Reading "sky130_fd_sc_hd__or2_2".
+Reading "sky130_fd_sc_hd__nand2_2".
+Reading "sky130_fd_sc_hd__nor2_2".
+Reading "sky130_fd_sc_hd__nand3_2".
+Reading "sky130_fd_sc_hd__xnor2_2".
+Reading "sky130_fd_sc_hd__and2_2".
+Reading "sky130_fd_sc_hd__xor2_2".
+Reading "sky130_fd_sc_hd__a21oi_2".
+Reading "sky130_fd_sc_hd__fill_2".
+Reading "sky130_fd_sc_hd__o22a_2".
+Reading "sky130_fd_sc_hd__a21o_2".
+Reading "sky130_fd_sc_hd__and3_2".
+Reading "sky130_fd_sc_hd__decap_4".
+Reading "sky130_fd_sc_hd__or3b_2".
+Reading "sky130_fd_sc_hd__a211o_2".
+Reading "sky130_fd_sc_hd__o31a_2".
+Reading "sky130_fd_sc_hd__or3_2".
+Reading "sky130_fd_sc_hd__and4_2".
+Reading "sky130_fd_sc_hd__o21a_2".
+Reading "sky130_fd_sc_hd__a32o_2".
+Reading "sky130_fd_sc_hd__a2111oi_2".
+Reading "sky130_fd_sc_hd__nand2b_2".
+Reading "sky130_fd_sc_hd__o211a_2".
+Reading "sky130_fd_sc_hd__or4bb_2".
+Reading "sky130_fd_sc_hd__decap_6".
+Reading "sky130_fd_sc_hd__and3b_2".
+Reading "sky130_fd_sc_hd__einvp_2".
+Reading "sky130_fd_sc_hd__einvn_4".
+Reading "sky130_fd_sc_hd__clkbuf_1".
+Reading "sky130_fd_sc_hd__inv_2".
+Reading "sky130_fd_sc_hd__a31o_2".
+Reading "sky130_ef_sc_hd__decap_12".
+Reading "sky130_fd_sc_hd__o2bb2a_2".
+Reading "sky130_fd_sc_hd__clkinv_1".
+Reading "sky130_fd_sc_hd__clkinv_8".
+Reading "sky130_fd_sc_hd__einvn_8".
+Reading "sky130_fd_sc_hd__clkinv_2".
+Reading "sky130_fd_sc_hd__conb_1".
+Reading "sky130_fd_sc_hd__einvp_1".
+Reading "sky130_fd_sc_hd__clkbuf_2".
+Reading "sky130_fd_sc_hd__a21bo_2".
+Reading "sky130_fd_sc_hd__o21ai_2".
+Reading "sky130_fd_sc_hd__or4_2".
+Reading "sky130_fd_sc_hd__a22o_2".
+Reading "sky130_fd_sc_hd__and2b_2".
+Reading "sky130_fd_sc_hd__o221a_2".
+Reading "digital_pll".
+[INFO]: Loading digital_pll
+
+DRC style is now "drc(full)"
+Loading DRC CIF style.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/drc.rpt)
+[INFO]: Saving mag view with DRC errors (/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.drc.mag)
+[INFO]: Saved
diff --git a/signoff/digital_pll/openlane-signoff/31-antenna.log b/signoff/digital_pll/openlane-signoff/31-antenna.log
new file mode 100644
index 00000000..5e7629d9
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/31-antenna.log
@@ -0,0 +1,6 @@
+OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
+This program is licensed under the BSD-3 license. See the LICENSE file for details.
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Reading /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/routing/digital_pll.odb
+[INFO ANT-0002] Found 0 net violations.
+[INFO ANT-0001] Found 0 pin violations.
diff --git a/signoff/digital_pll/openlane-signoff/31-antenna_violators.rpt b/signoff/digital_pll/openlane-signoff/31-antenna_violators.rpt
new file mode 100644
index 00000000..e69de29b
diff --git a/signoff/digital_pll/openlane-signoff/32-erc_screen.log b/signoff/digital_pll/openlane-signoff/32-erc_screen.log
new file mode 100644
index 00000000..f220c60f
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/32-erc_screen.log
@@ -0,0 +1,54 @@
+CVC: Circuit Validation Check Version 1.1.0
+CVC: Log output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt
+CVC: Error output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt.error.gz
+CVC: Debug output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt.debug.gz
+CVC: Start: Thu Oct 13 13:49:17 2022
+
+Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc
+CVC_TOP = 'digital_pll'
+CVC_NETLIST = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/digital_pll.cdl'
+CVC_MODE = 'digital_pll'
+CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models'
+CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/digital_pll.power'
+CVC_FUSE_FILE = ''
+CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt'
+CVC_REPORT_TITLE = 'CVC $CVC_TOP'
+CVC_CIRCUIT_ERROR_LIMIT = '100'
+CVC_SEARCH_LIMIT = '100'
+CVC_LEAK_LIMIT = '0.0002'
+CVC_SOI = 'false'
+CVC_SCRC = 'false'
+CVC_VTH_GATES = 'false'
+CVC_MIN_VTH_GATES = 'false'
+CVC_IGNORE_VTH_FLOATING = 'false'
+CVC_IGNORE_NO_LEAK_FLOATING = 'false'
+CVC_LEAK_OVERVOLTAGE = 'true'
+CVC_LOGIC_DIODES = 'false'
+CVC_ANALOG_GATES = 'true'
+CVC_BACKUP_RESULTS = 'false'
+CVC_MOS_DIODE_ERROR_THRESHOLD = '0'
+CVC_SHORT_ERROR_THRESHOLD = '0'
+CVC_BIAS_ERROR_THRESHOLD = '0'
+CVC_FORWARD_ERROR_THRESHOLD = '0'
+CVC_FLOATING_ERROR_THRESHOLD = '0'
+CVC_GATE_ERROR_THRESHOLD = '0'
+CVC_LEAK?_ERROR_THRESHOLD = '0'
+CVC_EXPECTED_ERROR_THRESHOLD = '0'
+CVC_OVERVOLTAGE_ERROR_THRESHOLD = '0'
+CVC_PARALLEL_CIRCUIT_PORT_LIMIT = '0'
+CVC_CELL_ERROR_LIMIT_FILE = ''
+CVC_CELL_CHECKSUM_FILE = ''
+CVC_LARGE_CIRCUIT_SIZE = '10000000'
+CVC_NET_CHECK_FILE = ''
+CVC_MODEL_CHECK_FILE = ''
+End of parameters
+
+CVC: Reading device model settings...
+CVC: Reading power settings...
+CVC: Parsing netlist /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/digital_pll.cdl
+
+Cdl fixed data size 29223
+Usage CDL: Time: 0 Memory: 6936 I/O: 3952 Swap: 0
+CVC: Counting and linking...
+Fatal error:could not find subcircuit: XFILLER_11_100(sky130_ef_sc_hd__decap_12) in digital_pll
+
diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.rpt b/signoff/digital_pll/openlane-signoff/digital_pll.rpt
new file mode 100644
index 00000000..7cca85f8
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/digital_pll.rpt
@@ -0,0 +1,51 @@
+CVC: Log output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt
+CVC: Error output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt.error.gz
+CVC: Debug output to /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt.debug.gz
+CVC: Circuit Validation Check Version 1.1.0
+CVC: Start: Thu Oct 13 13:49:17 2022
+
+Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc
+CVC_TOP = 'digital_pll'
+CVC_NETLIST = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/digital_pll.cdl'
+CVC_MODE = 'digital_pll'
+CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models'
+CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/digital_pll.power'
+CVC_FUSE_FILE = ''
+CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/reports/signoff/digital_pll.rpt'
+CVC_REPORT_TITLE = 'CVC $CVC_TOP'
+CVC_CIRCUIT_ERROR_LIMIT = '100'
+CVC_SEARCH_LIMIT = '100'
+CVC_LEAK_LIMIT = '0.0002'
+CVC_SOI = 'false'
+CVC_SCRC = 'false'
+CVC_VTH_GATES = 'false'
+CVC_MIN_VTH_GATES = 'false'
+CVC_IGNORE_VTH_FLOATING = 'false'
+CVC_IGNORE_NO_LEAK_FLOATING = 'false'
+CVC_LEAK_OVERVOLTAGE = 'true'
+CVC_LOGIC_DIODES = 'false'
+CVC_ANALOG_GATES = 'true'
+CVC_BACKUP_RESULTS = 'false'
+CVC_MOS_DIODE_ERROR_THRESHOLD = '0'
+CVC_SHORT_ERROR_THRESHOLD = '0'
+CVC_BIAS_ERROR_THRESHOLD = '0'
+CVC_FORWARD_ERROR_THRESHOLD = '0'
+CVC_FLOATING_ERROR_THRESHOLD = '0'
+CVC_GATE_ERROR_THRESHOLD = '0'
+CVC_LEAK?_ERROR_THRESHOLD = '0'
+CVC_EXPECTED_ERROR_THRESHOLD = '0'
+CVC_OVERVOLTAGE_ERROR_THRESHOLD = '0'
+CVC_PARALLEL_CIRCUIT_PORT_LIMIT = '0'
+CVC_CELL_ERROR_LIMIT_FILE = ''
+CVC_CELL_CHECKSUM_FILE = ''
+CVC_LARGE_CIRCUIT_SIZE = '10000000'
+CVC_NET_CHECK_FILE = ''
+CVC_MODEL_CHECK_FILE = ''
+End of parameters
+
+CVC: Reading device model settings...
+CVC: Reading power settings...
+CVC: Parsing netlist /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/tmp/signoff/digital_pll.cdl
+Cdl fixed data size 29223
+Usage CDL: Time: 0 Memory: 6936 I/O: 3952 Swap: 0
+CVC: Counting and linking...
diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.rpt.debug.gz b/signoff/digital_pll/openlane-signoff/digital_pll.rpt.debug.gz
new file mode 100644
index 00000000..229151a5
Binary files /dev/null and b/signoff/digital_pll/openlane-signoff/digital_pll.rpt.debug.gz differ
diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.rpt.error.gz b/signoff/digital_pll/openlane-signoff/digital_pll.rpt.error.gz
new file mode 100644
index 00000000..229151a5
Binary files /dev/null and b/signoff/digital_pll/openlane-signoff/digital_pll.rpt.error.gz differ
diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.sdc b/signoff/digital_pll/openlane-signoff/digital_pll.sdc
index b2263f04..6518ef76 100644
--- a/signoff/digital_pll/openlane-signoff/digital_pll.sdc
+++ b/signoff/digital_pll/openlane-signoff/digital_pll.sdc
@@ -1,6 +1,6 @@
###############################################################################
# Created by write_sdc
-# Tue Dec 7 11:07:45 2021
+# Thu Oct 13 13:47:47 2022
###############################################################################
current_design digital_pll
###############################################################################
@@ -9,7 +9,6 @@ current_design digital_pll
create_clock -name pll_control_clock -period 6.6667 [get_pins {ringosc.ibufp01/Y}]
set_clock_transition 0.1500 [get_clocks {pll_control_clock}]
set_clock_uncertainty 0.2500 pll_control_clock
-set_propagated_clock [get_clocks {pll_control_clock}]
set_input_delay 2.0000 -add_delay [get_ports {dco}]
set_input_delay 2.0000 -add_delay [get_ports {div[0]}]
set_input_delay 2.0000 -add_delay [get_ports {div[1]}]
@@ -52,41 +51,41 @@ set_output_delay 2.0000 -add_delay [get_ports {clockp[1]}]
###############################################################################
set_load -pin_load 0.0334 [get_ports {clockp[1]}]
set_load -pin_load 0.0334 [get_ports {clockp[0]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {dco}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {enable}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {osc}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetb}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[4]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[3]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[2]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[1]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[0]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[25]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[24]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[23]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[22]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[21]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[20]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[19]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[18]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[17]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[16]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[15]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[14]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[13]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[12]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[11]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[10]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[9]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[8]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[7]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[6]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[5]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[4]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[3]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[2]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[1]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_1 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {dco}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {enable}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {osc}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetb}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {div[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {ext_trim[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.xor.gds b/signoff/digital_pll/openlane-signoff/digital_pll.xor.gds
new file mode 100644
index 00000000..24b959df
Binary files /dev/null and b/signoff/digital_pll/openlane-signoff/digital_pll.xor.gds differ
diff --git a/signoff/digital_pll/openlane-signoff/digital_pll.xor.xml b/signoff/digital_pll/openlane-signoff/digital_pll.xor.xml
new file mode 100644
index 00000000..e3a123d6
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/digital_pll.xor.xml
@@ -0,0 +1,105938 @@
+
+
+ XOR /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.gds vs. /home/kareem_farid/caravel/openlane/digital_pll/runs/22_10_13_06_47/results/signoff/digital_pll.klayout.gds
+
+ drc: script='/openlane/scripts/klayout/xor.drc'
+ digital_pll
+
+
+
+
+ 10/0
+ XOR results for layer 10/0
+
+
+
+
+ 11/0
+ XOR results for layer 11/0
+
+
+
+
+ 11/1
+ XOR results for layer 11/1
+
+
+
+
+ 11/2
+ XOR results for layer 11/2
+
+
+
+
+ 12/0
+ XOR results for layer 12/0
+
+
+
+
+ 122/16
+ XOR results for layer 122/16
+
+
+
+
+ 13/0
+ XOR results for layer 13/0
+
+
+
+
+ 13/1
+ XOR results for layer 13/1
+
+
+
+
+ 13/2
+ XOR results for layer 13/2
+
+
+
+
+ 14/0
+ XOR results for layer 14/0
+
+
+
+
+ 235/4
+ XOR results for layer 235/4
+
+
+
+
+ 236/0
+ XOR results for layer 236/0
+
+
+
+
+ 3/0
+ XOR results for layer 3/0
+
+
+
+
+ 4/0
+ XOR results for layer 4/0
+
+
+
+
+ 5/0
+ XOR results for layer 5/0
+
+
+
+
+ 6/0
+ XOR results for layer 6/0
+
+
+
+
+ 64/16
+ XOR results for layer 64/16
+
+
+
+
+ 64/20
+ XOR results for layer 64/20
+
+
+
+
+ 64/5
+ XOR results for layer 64/5
+
+
+
+
+ 64/59
+ XOR results for layer 64/59
+
+
+
+
+ 65/20
+ XOR results for layer 65/20
+
+
+
+
+ 65/44
+ XOR results for layer 65/44
+
+
+
+
+ 66/15
+ XOR results for layer 66/15
+
+
+
+
+ 66/20
+ XOR results for layer 66/20
+
+
+
+
+ 66/44
+ XOR results for layer 66/44
+
+
+
+
+ 67/16
+ XOR results for layer 67/16
+
+
+
+
+ 67/20
+ XOR results for layer 67/20
+
+
+
+
+ 67/44
+ XOR results for layer 67/44
+
+
+
+
+ 67/5
+ XOR results for layer 67/5
+
+
+
+
+ 68/16
+ XOR results for layer 68/16
+
+
+
+
+ 68/20
+ XOR results for layer 68/20
+
+
+
+
+ 68/44
+ XOR results for layer 68/44
+
+
+
+
+ 68/5
+ XOR results for layer 68/5
+
+
+
+
+ 69/16
+ XOR results for layer 69/16
+
+
+
+
+ 69/20
+ XOR results for layer 69/20
+
+
+
+
+ 69/44
+ XOR results for layer 69/44
+
+
+
+
+ 7/0
+ XOR results for layer 7/0
+
+
+
+
+ 7/1
+ XOR results for layer 7/1
+
+
+
+
+ 7/2
+ XOR results for layer 7/2
+
+
+
+
+ 70/16
+ XOR results for layer 70/16
+
+
+
+
+ 70/20
+ XOR results for layer 70/20
+
+
+
+
+ 70/44
+ XOR results for layer 70/44
+
+
+
+
+ 71/16
+ XOR results for layer 71/16
+
+
+
+
+ 71/20
+ XOR results for layer 71/20
+
+
+
+
+ 71/44
+ XOR results for layer 71/44
+
+
+
+
+ 72/16
+ XOR results for layer 72/16
+
+
+
+
+ 72/20
+ XOR results for layer 72/20
+
+
+
+
+ 78/44
+ XOR results for layer 78/44
+
+
+
+
+ 8/0
+ XOR results for layer 8/0
+
+
+
+
+ 81/23
+ XOR results for layer 81/23
+
+
+
+
+ 81/4
+ XOR results for layer 81/4
+
+
+
+
+ 83/44
+ XOR results for layer 83/44
+
+
+
+
+ 9/0
+ XOR results for layer 9/0
+
+
+
+
+ 9/1
+ XOR results for layer 9/1
+
+
+
+
+ 9/2
+ XOR results for layer 9/2
+
+
+
+
+ 93/44
+ XOR results for layer 93/44
+
+
+
+
+ 94/20
+ XOR results for layer 94/20
+
+
+
+
+ 95/20
+ XOR results for layer 95/20
+
+
+
+
+
+
+ digital_pll
+
+
+
+ |
+
+ VIA_via4_5_1600_480_1_4_400_400
+
+
+ [
+ digital_pll
+ r0 *1 61.84,68
+ ]
+
+ |
+
+ VIA_M3M4_PR
+
+
+ [
+ digital_pll
+ r0 *1 43.7,49.98
+ ]
+
+ |
+
+ VIA_via5_6_1600_1600_1_1_1600_1600
+
+
+ [
+ digital_pll
+ r0 *1 61.84,61.85
+ ]
+
+ |
+
+ VIA_L1M1_PR
+
+
+ [
+ digital_pll
+ r0 *1 66.01,54.91
+ ]
+
+ |
+
+ VIA_M1M2_PR
+
+
+ [
+ digital_pll
+ r0 *1 56.81,54.91
+ ]
+
+ |
+
+ VIA_via2_3_1600_480_1_5_320_320
+
+
+ [
+ digital_pll
+ r0 *1 61.84,68
+ ]
+
+ |
+
+ VIA_M1M2_PR_MR
+
+
+ [
+ digital_pll
+ r0 *1 26.91,12.07
+ ]
+
+ |
+
+ VIA_via3_4_1600_480_1_4_400_400
+
+
+ [
+ digital_pll
+ r0 *1 61.84,68
+ ]
+
+ |
+
+ VIA_M2M3_PR
+
+
+ [
+ digital_pll
+ r0 *1 57.73,56.1
+ ]
+
+ |
+
+
+ -
+
+ '10/0'
+
VIA_via4_5_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.7,-0.1;-0.7,0.1;-0.5,0.1;-0.5,-0.1)
+
+
+ -
+
+ '10/0'
+
VIA_via4_5_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.3,-0.1;-0.3,0.1;-0.1,0.1;-0.1,-0.1)
+
+
+ -
+
+ '10/0'
+
VIA_via4_5_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (0.1,-0.1;0.1,0.1;0.3,0.1;0.3,-0.1)
+
+
+ -
+
+ '10/0'
+
VIA_via4_5_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (0.5,-0.1;0.5,0.1;0.7,0.1;0.7,-0.1)
+
+
+ -
+
+ '10/0'
+
VIA_M3M4_PR |
+ false
+ 1
+
+
+ polygon: (-0.1,-0.1;-0.1,0.1;0.1,0.1;0.1,-0.1)
+
+
+ -
+
+ '11/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.84,5.2;41.84,68.24) w=1.6 bx=0 ex=0 r=false
+
+
+ -
+
+ '11/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.84,5.2;61.84,68.24) w=1.6 bx=0 ex=0 r=false
+
+
+ -
+
+ '11/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.84,5.2;21.84,68.24) w=1.6 bx=0 ex=0 r=false
+
+
+ -
+
+ '11/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.7,47.26;43.7,49.98) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '11/0'
+
VIA_via4_5_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.8,-0.165;-0.8,0.165;0.8,0.165;0.8,-0.165)
+
+
+ -
+
+ '11/0'
+
VIA_via5_6_1600_1600_1_1_1600_1600 |
+ false
+ 1
+
+
+ polygon: (-0.8,-0.59;-0.8,0.59;0.8,0.59;0.8,-0.59)
+
+
+ -
+
+ '11/0'
+
VIA_M3M4_PR |
+ false
+ 1
+
+
+ polygon: (-0.165,-0.165;-0.165,0.165;0.165,0.165;0.165,-0.165)
+
+
+ -
+
+ '11/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VGND',r0 41.84,36.72)
+
+
+ -
+
+ '11/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VPWR',r0 21.84,36.72)
+
+
+ -
+
+ '11/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,5.2;41.04,68.24;42.64,68.24;42.64,5.2)
+
+
+ -
+
+ '11/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.04,5.2;61.04,68.24;62.64,68.24;62.64,5.2)
+
+
+ -
+
+ '11/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.04,5.2;21.04,68.24;22.64,68.24;22.64,5.2)
+
+
+ -
+
+ '12/0'
+
VIA_via5_6_1600_1600_1_1_1600_1600 |
+ false
+ 1
+
+
+ polygon: (-0.4,-0.4;-0.4,0.4;0.4,0.4;0.4,-0.4)
+
+
+ -
+
+ '13/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.28,41.85;69.7,41.85) w=1.6 bx=0 ex=0 r=false
+
+
+ -
+
+ '13/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.28,61.85;69.7,61.85) w=1.6 bx=0 ex=0 r=false
+
+
+ -
+
+ '13/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.28,21.85;69.7,21.85) w=1.6 bx=0 ex=0 r=false
+
+
+ -
+
+ '13/0'
+
VIA_via5_6_1600_1600_1_1_1600_1600 |
+ false
+ 1
+
+
+ polygon: (-0.71,-0.8;-0.71,0.8;0.71,0.8;0.71,-0.8)
+
+
+ -
+
+ '13/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VGND',r0 37.49,41.85)
+
+
+ -
+
+ '13/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VPWR',r0 37.49,21.85)
+
+
+ -
+
+ '13/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,41.05;5.28,42.65;69.7,42.65;69.7,41.05)
+
+
+ -
+
+ '13/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,61.05;5.28,62.65;69.7,62.65;69.7,61.05)
+
+
+ -
+
+ '13/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,21.05;5.28,22.65;69.7,22.65;69.7,21.05)
+
+
+ -
+
+ '14/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,0;0,75;75,75;75,0)
+
+
+ -
+
+ '235/4'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,0;0,75;75,75;75,0)
+
+
+ -
+
+ '3/0'
+
VIA_L1M1_PR |
+ false
+ 1
+
+
+ polygon: (-0.085,-0.085;-0.085,0.085;0.085,0.085;0.085,-0.085)
+
+
+ -
+
+ '4/0'
+
VIA_L1M1_PR |
+ false
+ 1
+
+
+ polygon: (-0.085,-0.085;-0.085,0.085;0.085,0.085;0.085,-0.085)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,65.28;69.46,65.28) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,59.84;69.46,59.84) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,54.4;69.46,54.4) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,48.96;69.46,48.96) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,43.52;69.46,43.52) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,38.08;69.46,38.08) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,32.64;69.46,32.64) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,27.2;69.46,27.2) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,21.76;69.46,21.76) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,16.32;69.46,16.32) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,10.88;69.46,10.88) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,5.44;69.46,5.44) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,68;69.46,68) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,62.56;69.46,62.56) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,57.12;69.46,57.12) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,51.68;69.46,51.68) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,46.24;69.46,46.24) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,40.8;69.46,40.8) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,35.36;69.46,35.36) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,29.92;69.46,29.92) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,24.48;69.46,24.48) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,19.04;69.46,19.04) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,13.6;69.46,13.6) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (5.52,8.16;69.46,8.16) w=0.48 bx=0 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,22.61;38.41,22.61) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.49,15.81;40.71,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,20.23;39.79,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,23.29;38.41,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.2,55.59;40.25,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,14.45;39.33,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,25.67;38.87,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,33.83;38.87,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,46.75;38.41,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,58.65;38.41,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,44.37;38.41,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,36.89;37.95,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,43.01;46.69,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,45.05;40.25,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,52.87;41.605,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,44.03;45.77,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,36.89;46.23,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,19.55;41.4,19.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,47.77;38.41,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,53.21;38.41,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,50.49;38.41,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,57.97;41.17,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,45.39;42.55,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,42.33;39.33,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,31.79;44.39,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,36.21;40.25,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,55.93;40.71,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,55.25;40.25,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,36.89;38.41,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,37.23;42.55,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,36.89;42.55,37.23) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,36.89;34.27,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,37.23;34.73,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,41.31;38.41,41.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,26.35;44.39,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,14.11;39.79,14.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,11.73;38.87,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,15.13;38.41,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,15.47;40.25,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,6.97;38.87,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,52.53;42.09,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,57.63;42.09,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,59.33;39.33,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,66.81;41.17,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,63.41;42.55,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,53.89;40.25,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,41.65;46.23,41.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,10.37;43.47,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,54.91;39.33,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,60.69;41.17,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,12.41;43.01,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,21.25;41.17,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,36.89;24.15,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,37.23;15.41,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,42.67;40.71,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,34.17;38.41,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,39.95;38.87,39.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,38.93;57.27,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,52.19;38.41,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,69.19;56.35,69.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,68.51;40.71,68.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,67.15;40.25,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,66.47;38.87,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,65.79;38.41,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,63.07;55.43,63.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,61.71;60.03,61.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,37.23;61.87,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,37.23;33.81,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,36.89;28.29,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,6.63;40.25,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,18.53;45.31,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,43.01;55.43,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,58.65;55.43,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,49.47;58.19,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,50.49;59.11,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,53.21;49.91,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,44.37;57.27,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,61.37;56.81,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,58.31;56.81,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,45.05;56.35,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,56.27;55.89,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,60.69;55.43,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,53.21;59.57,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,63.75;59.11,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,48.45;56.35,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,62.05;60.03,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,67.49;71.99,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,55.59;56.35,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,55.25;57.73,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,44.03;56.81,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,52.87;56.35,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,53.21;42.09,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,45.39;58.19,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,47.43;57.73,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,42.33;58.65,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,53.89;58.105,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,66.13;56.81,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,67.15;62.1,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,61.03;56.81,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,56.61;56.35,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,60.35;55.43,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,58.65;57.73,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,55.59;56.35,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,55.93;67.85,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.04,55.59;57.73,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,54.91;57.73,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,54.91;62.79,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,57.63;59.11,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,59.33;66.01,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,66.47;67.39,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,59.33;60.49,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,55.25;60.03,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,58.65;56.35,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,53.55;61.41,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.39,53.55;67.39,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,53.89;67.39,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,53.55;58.65,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,53.55;58.65,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,60.69;67.85,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,54.91;66.01,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,63.07;56.35,63.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,55.25;61.41,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,65.79;57.73,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,65.79;57.73,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,54.91;56.81,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,66.13;56.81,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,66.47;58.19,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,66.13;58.19,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.1,66.81;63.71,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.1,66.81;62.1,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,55.93;55.89,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,64.43;65.55,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.55,64.43;66.93,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,64.09;59.57,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,63.41;59.57,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,63.41;59.57,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,63.07;55.43,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,64.09;60.95,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,60.35;57.27,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,60.69;56.81,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,60.69;60.49,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,56.27;67.39,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,64.43;62.33,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.33,64.09;62.33,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,66.47;55.89,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,66.81;59.57,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,66.47;55.89,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,58.99;64.63,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,58.65;65.55,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.55,65.79;66.01,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.17,55.25;65.55,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,61.03;60.03,61.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,61.03;64.17,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,58.31;60.95,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,55.59;60.49,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,63.41;66.01,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,66.47;65.55,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,53.89;47.15,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,59.33;52.21,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,66.81;51.29,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,56.61;47.15,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,57.97;49.91,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,67.49;47.61,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,62.05;47.61,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,60.69;50.83,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,53.55;47.61,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,64.43;49.45,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,61.37;47.15,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,63.75;49.91,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,54.91;53.59,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,55.25;49.91,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,60.35;52.67,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,57.63;47.15,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,66.13;46.69,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,63.41;53.13,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,64.09;54.05,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,63.75;49.91,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,63.75;54.05,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,66.13;50.37,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,64.09;54.97,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,64.77;54.97,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,66.81;51.29,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,64.43;53.59,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,64.43;54.51,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,66.47;54.51,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,63.41;53.13,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,67.15;43.01,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,67.49;43.01,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,67.15;40.25,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.605,66.47;41.63,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,64.09;39.33,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,62.05;40.25,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,63.75;43.93,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,63.75;43.93,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,66.47;45.77,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,64.43;42.55,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,65.79;39.79,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,65.79;44.85,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,66.47;41.63,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,64.77;43.01,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,64.43;43.01,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,66.13;42.09,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,62.05;45.31,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,63.41;44.85,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,67.15;45.31,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,58.99;40.25,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,60.35;38.87,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,56.27;38.87,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,58.31;43.01,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,58.31;43.01,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,53.55;40.25,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,57.63;43.93,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,55.59;45.31,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,53.55;45.31,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,55.59;46.23,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,61.03;43.47,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,59.33;44.85,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,55.59;46.23,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,60.35;43.01,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,56.61;44.85,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,55.93;43.47,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,55.93;45.77,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,55.93;42.09,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,54.91;40.25,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,54.91;39.79,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,55.59;42.09,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,55.25;41.63,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,53.89;44.85,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,60.35;44.85,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,61.03;44.85,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,55.59;41.63,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,53.55;45.31,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,58.65;42.55,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.4,58.99;42.55,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.4,58.99;41.4,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,59.33;41.4,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,56.27;43.01,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.465,61.37;44.39,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,53.55;47.61,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,53.89;50.37,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,55.59;48.07,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,56.27;50.37,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,57.63;52.67,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,57.97;52.67,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.525,58.65;48.53,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,53.55;49.91,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,55.56;53.59,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,58.99;54.51,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,55.56;54.51,55.56) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,58.31;48.99,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,55.56;54.51,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,59.33;54.05,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,58.65;50.37,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,53.55;54.05,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,57.63;54.51,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,61.03;52.21,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.045,61.37;53.59,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,56.61;50.83,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,60.35;52.67,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,58.31;50.83,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,58.99;52.21,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,55.59;50.37,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,53.89;52.21,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,58.99;51.75,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,57.63;47.61,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,55.93;50.37,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,58.99;53.13,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,53.55;50.37,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,58.31;50.83,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,53.55;52.67,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,53.55;52.67,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,57.97;49.91,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,55.59;53.615,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,44.71;48.99,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,47.77;47.21,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,53.21;47.15,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,52.53;48.99,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,49.81;46.69,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,49.47;46.69,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,47.43;47.15,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,52.19;50.37,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,42.67;49.91,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,50.49;48.525,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,45.39;47.15,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,44.37;49.45,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,44.37;42.55,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,45.39;43.47,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,47.09;49.45,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,45.73;48.53,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,41.99;47.61,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,39.61;48.07,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,45.05;48.07,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.21,47.77;47.21,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,47.09;51.75,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.965,48.11;49.45,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.21,48.45;48.99,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,45.73;54.51,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,47.43;50.37,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,47.43;50.37,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,47.77;52.67,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.965,47.8;48.99,47.8) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.525,50.49;48.525,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.525,51.17;54.97,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,46.75;50.83,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.63,49.81;51.75,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,47.43;52.67,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,47.43;51.29,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.65,47.43;47.65,47.72) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,49.81;54.51,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,49.81;54.51,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,50.49;54.97,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.965,47.8;48.965,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,50.15;52.21,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,48.45;54.05,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,49.81;50.83,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,52.53;53.13,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,52.19;53.13,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,49.47;48.07,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,50.49;51.19,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,50.15;52.21,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,51.17;47.61,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,52.53;48.99,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.65,47.43;48.53,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,53.21;50.37,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,47.77;48.99,47.8) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,53.21;53.13,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,50.49;53.59,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,49.81;47.15,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,47.72;47.61,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,47.72;47.65,47.72) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,47.09;51.75,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,49.81;48.99,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,52.87;50.83,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,46.75;38.41,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,50.49;42.55,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,47.43;40.71,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,50.49;38.41,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.96,49.81;46.23,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,47.09;39.79,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,47.09;39.79,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,47.43;40.71,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,50.49;40.25,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,47.77;43.47,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,49.47;45.31,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,48.11;44.85,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.605,53.21;41.63,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.545,47.77;42.55,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,48.3;45.77,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.305,53.21;39.33,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,48.3;46.23,48.3) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,50.15;43.93,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,48.3;46.23,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,47.43;39.33,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,47.77;44.85,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,46.75;44.39,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,50.83;40.25,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,48.11;41.63,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,48.45;41.17,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,45.73;41.17,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,48.45;42.09,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,45.73;44.85,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,48.11;41.63,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,50.49;39.335,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,51.17;43.47,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.96,49.47;39.96,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,49.47;39.96,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.605,47.77;41.605,47.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.335,50.04;39.335,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,49.81;46.23,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.605,47.83;42.045,47.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,47.77;41.605,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.905,47.77;43.93,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.605,52.87;41.605,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,37.57;46.23,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,41.99;41.63,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,42.33;41.17,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,41.99;41.63,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,39.61;39.79,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,44.71;41.17,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,39.61;38.87,39.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,39.61;41.17,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,44.37;44.85,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,44.71;46.23,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,42.33;45.77,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.005,39.27;45.31,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,44.37;52.67,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.965,41.99;48.965,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,44.71;53.59,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,37.57;53.59,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,44.71;54.97,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,44.37;54.05,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,45.05;51.75,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,39.27;52.5,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,41.99;48.07,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.965,41.99;50.37,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,44.03;54.05,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,39.61;53.13,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,39.27;53.13,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.05,42.33;48.965,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,41.31;48.53,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,44.03;53.59,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,44.37;49.91,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,41.99;54.51,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,42.33;50.83,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,50.15;56.81,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,42.67;57.27,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,42.67;55.43,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,42.67;59.57,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,42.67;58.19,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,47.43;58.65,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.1,38.93;66.93,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.1,38.59;62.1,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.27,38.59;62.1,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.27,38.59;57.27,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.27,43.01;59.57,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,37.57;64.17,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,43.01;61.41,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,52.19;61.41,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.87,52.87;64.17,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,53.21;63.71,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,49.81;57.27,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,44.71;56.35,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,52.19;58.65,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,52.53;60.03,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,40.29;67.39,40.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,48.11;66.93,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,50.15;59.57,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,50.83;59.57,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,50.83;64.63,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,47.77;60.95,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,52.87;61.41,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,47.77;65.55,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,50.15;64.17,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,44.37;64.63,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,42.33;60.49,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,42.67;63.71,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,44.71;67.39,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.39,40.29;67.85,40.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,41.99;56.35,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,44.71;58.215,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,52.53;60.03,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,47.43;57.73,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.085,53.21;59.11,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,45.73;56.35,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,44.37;58.65,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,44.37;58.65,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,45.73;58.65,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,46.75;59.57,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,46.75;59.57,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,43.01;56.35,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,44.37;62.33,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.27,42.67;57.27,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,47.77;56.81,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,48.11;58.19,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,39.27;59.11,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,44.03;66.01,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,44.03;66.47,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.55,42.67;66.93,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,47.09;57.27,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,41.65;67.39,41.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,44.71;61.41,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,50.15;59.11,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,49.81;59.11,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,38.93;60.03,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,50.15;58.65,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,39.27;56.81,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,45.05;63.25,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,45.39;63.25,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,69.53;33.35,69.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,67.15;23.69,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,64.77;31.97,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,50.83;23.69,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,37.57;24.15,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,53.21;10.35,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,54.91;29.21,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,51.17;29.21,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,60.35;27.37,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,42.33;21.39,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,52.53;31.51,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,48.11;22.77,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,68.51;24.61,68.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,53.55;21.85,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,56.27;25.53,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,55.59;23.69,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,52.87;27.83,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,63.41;23.23,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,64.43;21.39,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,57.63;24.61,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,61.03;21.85,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,53.89;22.77,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,45.05;26.91,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,67.49;34.73,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,53.89;30.13,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.9,55.59;34.27,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,55.59;34.27,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,55.93;34.27,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,55.59;31.97,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,55.59;31.97,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,55.25;30.59,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,58.65;33.81,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,66.13;35.65,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,64.77;35.65,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.885,55.48;32.89,55.48) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,55.48;32.89,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,60.69;37.49,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,63.07;35.19,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,63.07;35.19,63.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,64.09;22.77,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,60.69;36.11,61.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,61.71;36.11,61.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,58.99;27.83,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,61.03;35.675,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,64.43;33.35,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,58.99;37.49,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,63.75;36.57,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,63.75;32.89,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,55.59;36.11,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,55.93;36.57,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,64.09;34.755,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,55.25;34.73,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,57.97;33.81,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,59.33;32.89,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,57.97;33.35,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,60.35;35.19,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,60.35;35.19,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,55.25;36.11,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,55.25;36.11,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,67.15;23.69,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,60.35;37.95,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,56.27;30.59,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,58.65;35.19,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,60.35;37.95,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,64.43;35.19,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,66.81;35.19,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,68.51;24.61,69.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,58.99;35.19,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,66.47;35.19,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,53.89;26.91,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,58.31;23.69,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,53.55;23.23,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,57.97;23.23,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,58.65;30.13,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,58.99;35.19,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,58.65;25.99,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,58.31;25.07,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.345,54.91;33.345,55.48) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,54.91;33.345,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,66.81;28.29,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,67.15;31.97,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,66.13;27.83,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,65.79;28.29,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,61.37;29.67,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,63.41;30.13,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,64.09;25.07,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,63.75;25.07,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,63.75;32.43,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,66.47;34.47,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,63.41;23.23,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,61.03;25.53,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,66.47;32.89,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,61.03;30.59,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,58.31;28.29,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,57.97;29.205,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.205,57.97;29.205,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,65.79;22.31,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,55.59;28.29,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.04,58.65;29.205,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,55.93;29.57,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,55.25;30.59,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,61.03;34.73,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,61.37;34.73,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,61.03;33.35,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,61.03;33.35,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,54.91;29.21,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,53.55;32.43,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,58.31;32.43,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,58.31;29.67,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,57.63;36.57,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,57.63;37.03,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,57.97;35.65,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,61.03;34.27,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,60.69;33.35,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,55.59;35.65,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,55.59;35.65,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,56.27;35.65,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,55.93;31.05,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,64.09;37.49,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,55.48;36.575,55.48) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,55.25;36.57,55.48) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,58.31;14.95,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,61.03;8.05,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,58.65;14.03,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,53.55;13.57,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (4.37,66.81;11.73,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,68.85;13.8,68.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,60.69;12.19,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,61.03;13.11,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,60.69;12.19,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,65.79;18.17,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,65.79;19.09,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,66.13;17.71,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,58.99;15.87,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,66.13;20.47,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,66.13;18.63,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,66.47;18.63,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,66.13;17.71,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,58.99;13.11,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,58.99;7.59,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,64.09;8.51,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.95,66.81;20.93,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,63.41;17.71,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,57.63;20.47,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,63.41;19.09,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,66.47;12.19,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,64.09;11.27,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,59.33;20.47,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,66.47;15.41,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,68.85;13.8,69.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,64.09;19.55,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,66.47;20.01,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,62.05;20.01,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,61.03;19.55,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,61.03;19.55,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,64.09;20.67,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,67.49;20.47,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,62.05;12.19,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,59.33;10.35,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,58.31;9.43,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,53.55;19.09,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,60.69;19.55,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.89,57.97;14.49,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,55.59;16.79,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,55.59;19.09,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,57.63;17.71,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,57.97;20.47,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,58.31;12.19,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,57.63;17.71,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,55.59;11.27,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,64.43;8.05,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,64.43;16.33,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,53.55;14.49,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,53.89;14.49,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,37.57;17.25,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,39.61;20.93,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,52.53;8.97,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,52.53;14.95,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,52.53;8.97,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,39.27;19.55,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,39.27;20.01,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,38.59;16.79,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,50.15;20.47,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.89,44.71;9.89,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,38.59;16.79,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.95,45.73;16.79,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.89,45.39;17.71,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,52.53;15.87,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,44.03;13.8,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,44.03;13.8,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,45.05;19.55,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,44.71;19.55,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,50.15;16.33,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,49.81;16.33,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,44.37;20.01,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,49.47;20.01,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,52.19;15.87,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.95,52.19;14.95,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,49.81;19.09,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,44.71;14.95,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,44.71;20.47,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,50.15;11.73,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,50.15;10.81,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,53.21;20.47,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,50.83;13.11,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,50.49;13.11,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,52.87;20.47,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,50.49;19.55,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,47.09;14.49,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,49.81;14.03,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.65,53.21;14.03,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,50.49;8.97,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,49.81;15.43,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,49.47;15.41,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,49.47;15.41,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,47.77;8.05,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,44.71;13.11,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,38.93;15.87,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,47.77;16.79,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,38.59;14.49,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,44.71;17.71,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,52.87;13.57,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,39.61;19.09,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,39.27;14.49,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,53.21;8.25,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,45.73;8.05,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,48.11;8.97,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,51.17;14.49,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,38.59;18.63,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,52.87;9.43,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,41.31;17.25,41.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,43.01;32.89,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,45.73;36.57,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,45.39;34.27,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,45.39;34.27,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,50.15;35.65,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,49.81;34.73,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,49.81;34.73,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,50.15;37.03,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,51.17;36.11,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,53.21;34.73,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,45.73;33.35,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,50.15;29.21,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,45.05;32.43,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,37.57;34.27,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,50.15;23.69,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,47.77;33.825,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.755,44.37;34.755,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,39.27;37.95,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,52.19;30.13,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,49.47;30.13,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,49.47;31.05,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,47.43;28.29,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,47.09;28.29,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,47.09;30.13,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.45,48.11;27.37,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,44.71;26.45,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,52.19;25.99,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.31,50.15;31.97,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,50.49;29.67,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,50.15;29.67,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,50.15;31.31,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,48.45;37.49,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.325,47.77;33.35,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,47.09;25.07,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,43.01;35.19,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.755,44.37;35.65,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,52.87;32.89,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,52.19;32.89,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,38.59;25.53,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,41.65;33.81,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,44.37;26.91,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,44.37;34.27,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,44.71;30.59,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,44.71;29.67,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,47.77;31.05,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,44.37;25.99,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,38.59;25.53,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,44.03;27.37,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,39.95;27.37,39.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,39.61;23.69,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,44.71;23.23,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,44.03;35.65,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,38.93;29.21,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,42.67;35.19,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.47,47.77;34.5,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.47,47.09;34.47,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,47.09;34.47,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,38.59;31.51,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,42.67;34.27,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,47.43;36.11,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,47.43;35.19,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,44.71;33.35,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,45.05;34.73,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,45.05;34.73,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,42.67;25.99,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,52.53;33.35,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,44.71;32.89,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,38.93;25.07,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,52.87;27.83,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,48.45;35.19,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,38.93;25.07,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,39.61;36.11,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,44.71;34.755,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,44.03;35.65,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,46.75;32.43,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,50.15;26.91,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,39.61;30.59,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.45,40.29;29.67,40.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,42.33;26.45,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,39.27;24.15,40.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,40.29;26.45,40.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.055,49.47;36.11,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,52.19;32.43,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,39.27;33.81,39.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,36.55;23.69,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,36.21;24.15,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,28.73;23.23,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,20.91;23.23,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,34.85;21.39,34.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,19.89;24.15,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,7.31;21.39,7.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,26.69;25.07,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,6.97;23.69,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,15.81;25.99,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.93,13.09;23.69,13.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,15.47;22.31,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,15.13;21.85,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,17.17;25.07,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,18.53;32.43,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,16.83;24.15,16.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,4.93;31.97,4.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,18.19;30.13,18.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,25.67;21.85,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,25.33;33.35,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,12.75;28.29,12.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.93,34.17;22.77,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,31.45;21.85,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,11.39;28.29,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,32.13;27.83,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,29.07;27.83,29.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,7.65;33.81,7.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,22.27;22.77,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,30.43;23.23,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,34.85;28.29,34.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,36.89;30.13,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,34.17;34.73,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,34.17;28.75,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,34.51;28.75,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,34.51;28.29,34.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,25.67;21.85,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,25.67;24.15,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,22.95;32.89,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,36.21;37.95,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,36.55;33.35,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,36.55;35.19,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,36.89;33.35,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,26.01;37.95,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,22.95;30.13,23.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,23.63;31.05,23.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,28.73;30.13,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,26.01;31.97,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,24.99;30.13,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,31.11;26.91,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,25.33;35.65,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,25.33;33.81,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,22.27;36.57,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,26.01;35.65,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,25.33;33.35,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,23.29;34.27,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.605,34.85;37.03,34.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,25.67;35.65,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,36.89;36.11,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,22.27;27.83,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,22.27;26.91,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.93,36.89;37.95,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,31.45;32.66,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,22.61;36.11,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,34.17;28.29,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,23.29;34.73,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,23.97;34.73,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,29.07;27.83,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,26.35;27.37,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,22.95;30.76,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,21.25;32.43,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,28.05;31.51,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,28.05;31.51,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,28.05;24.61,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,28.05;30.59,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,26.35;25.07,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,27.71;27.83,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,36.89;24.61,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,36.21;28.75,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,28.73;27.83,29.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,28.05;22.77,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,36.55;30.59,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,36.55;24.61,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,28.39;29.67,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,26.01;28.29,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,26.35;29.67,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,26.01;32.89,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,26.35;32.89,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,29.41;28.75,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,36.89;28.29,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,22.27;36.57,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,31.11;33.35,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,31.11;33.81,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,21.25;26.91,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,21.25;25.53,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,36.55;23.69,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,36.89;29.21,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,35.87;23.23,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,28.05;17.25,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,27.71;17.25,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,22.61;13.57,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,22.61;10.81,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,24.99;11.73,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,25.33;12.65,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,28.05;14.49,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,21.25;16.79,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,24.99;9.89,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,26.04;19.09,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,26.35;20.47,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,26.35;20.47,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,22.95;8.97,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,22.27;11.73,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.89,27.71;11.27,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,25.96;17.71,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,25.96;18.63,25.96) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,31.11;20.01,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,25.96;18.63,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,33.15;12.65,33.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,28.39;17.25,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,35.87;10.81,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,28.73;17.25,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,28.05;20.01,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,29.41;13.57,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,33.83;17.25,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,26.01;8.51,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,29.07;19.09,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,29.41;19.09,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,28.39;12.19,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.355,31.56;10.355,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,32.13;10.355,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,30.43;14.49,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.8,31.45;9.89,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,26.35;9.89,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,32.13;17.71,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.93,27.71;20.93,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.93,34.17;20.93,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,34.51;20.93,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,34.17;14.03,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,28.39;20.93,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,31.45;20.01,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,34.17;20.01,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.77,22.95;15.87,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,22.61;15.87,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,22.61;17.71,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.905,34.85;9.89,34.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,34.17;14.03,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,22.27;17.71,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,26.01;12.19,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,26.35;13.8,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,28.39;13.8,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,36.21;10.81,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,26.35;13.8,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,26.69;14.03,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,28.39;13.8,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,28.73;14.03,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.8,28.39;14.95,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,31.45;19.55,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,31.45;19.55,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,23.97;16.33,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,30.43;8.05,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,28.73;10.81,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,31.4;10.815,31.4) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,30.43;10.81,31.4) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,30.43;10.81,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,35.87;20.47,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,30.77;12.19,30.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,34.85;17.71,34.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,22.61;14.49,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,35.87;20.47,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,31.11;16.33,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,33.83;19.55,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,25.67;16.79,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,26.01;18.63,26.04) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,22.95;19.09,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,27.71;16.79,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,26.01;16.33,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,24.99;17.25,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,26.04;19.09,26.04) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,30.43;20.01,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.95,25.67;15.87,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,24.99;15.87,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,19.89;20.01,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,9.69;19.09,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,6.63;17.71,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,12.07;8.05,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,6.63;20.47,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,12.41;8.51,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,5.95;20.47,5.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,5.95;20.47,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,12.41;8.51,12.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,12.75;17.25,12.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,14.45;19.55,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,14.45;19.55,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,12.41;9.89,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,15.13;16.33,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.65,15.13;12.65,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,15.47;12.65,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,12.07;19.55,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,12.41;20.47,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,11.39;20.47,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,15.13;8.97,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,11.39;20.47,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,6.29;17.25,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,11.73;13.11,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,11.73;16.33,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,11.39;16.33,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.65,15.47;14.03,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,15.81;8.05,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,15.13;17.71,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,19.89;19.09,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,18.53;8.51,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,10.37;18.17,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,14.79;9.43,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,18.53;11.27,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,14.79;9.43,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,14.79;13.57,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,19.55;11.27,19.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,10.03;10.35,10.03) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,17.85;10.35,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,19.89;15.41,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,17.85;17.25,18.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,14.79;11.27,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,15.13;11.27,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,20.57;16.79,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,20.91;16.79,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,17.85;14.03,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,17.51;11.73,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,16.83;10.35,16.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,18.19;16.79,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,18.19;16.79,18.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,12.07;14.49,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,10.37;14.49,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,12.07;16.79,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,11.73;20.01,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,11.73;20.01,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,13.09;14.49,13.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,11.73;16.79,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,6.63;18.17,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,19.55;16.79,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,6.97;18.63,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,20.57;20.47,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,20.91;20.47,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.355,20.23;16.79,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.65,19.55;15.87,19.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.355,19.89;10.355,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,7.65;20.47,7.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,17.85;19.09,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,19.89;10.355,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,10.03;16.33,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,11.39;15.87,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,12.41;18.17,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,17.85;20.93,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,15.13;35.19,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,8.67;35.19,8.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,8.67;33.81,8.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,14.11;29.67,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,14.45;32.89,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,15.13;29.67,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,14.45;29.67,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,15.13;27.83,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,5.95;27.37,5.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,16.83;35.19,16.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,15.47;28.75,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,15.81;34.73,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,17.17;25.99,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,17.17;27.37,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,15.81;28.75,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,20.57;27.37,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,20.57;25.99,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,10.37;31.97,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,17.85;29.67,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,20.91;28.75,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,6.97;26.45,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,17.85;34.27,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,15.13;23.69,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,19.89;34.27,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,15.13;22.31,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,19.89;29.21,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,9.69;29.67,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,5.95;23.69,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,6.29;23.69,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,20.91;33.81,20.91) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,18.53;33.35,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,10.03;32.43,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,10.03;32.43,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,12.41;28.29,12.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,14.45;37.95,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,6.63;23.69,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,6.63;24.15,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,12.41;23.23,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,14.79;23.23,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,6.29;24.15,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,14.79;21.85,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,6.29;30.59,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,6.29;30.59,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,6.97;31.51,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,6.63;31.51,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,6.63;32.89,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,19.89;28.75,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,6.29;31.05,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,6.63;28.75,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,11.39;37.03,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,14.79;31.97,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,11.39;36.57,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,10.37;27.83,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,20.57;33.35,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,15.47;31.51,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,14.79;31.51,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,9.69;33.81,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,6.63;26.91,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,6.63;35.65,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,6.97;35.65,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,6.63;28.29,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,11.39;31.51,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,6.29;35.65,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,15.13;36.11,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.45,6.97;28.75,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,17.85;27.83,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,17.51;23.23,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,17.51;29.67,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,14.45;36.57,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,14.45;36.57,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,11.39;57.73,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,6.97;57.73,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,14.79;60.49,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,20.57;41.17,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,12.41;61.41,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,31.79;55.89,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,20.91;54.05,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,9.35;56.35,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,15.81;55.43,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,23.97;60.03,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,20.91;56.35,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,34.17;58.19,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,24.99;56.35,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,26.01;58.19,26.01) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,18.53;59.11,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,20.57;43.01,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,6.29;55.43,6.29) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.925,7.65;55.89,7.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,10.03;55.89,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,31.11;59.11,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,31.79;66.47,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,33.83;60.03,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,31.11;59.11,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,31.11;61.41,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,31.11;61.41,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,30.43;61.41,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.27,27.71;60.49,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,30.43;61.41,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,26.35;60.49,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,26.01;59.11,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,26.35;58.65,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,21.25;56.35,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,33.83;61.87,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,34.17;59.11,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.87,31.45;63.71,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.95,27.71;63.71,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,30.43;62.33,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,24.99;56.35,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,24.99;60.95,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,22.95;63.71,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,22.61;59.57,22.61) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,28.05;61.41,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,26.69;60.995,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,31.79;60.03,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,33.49;59.57,33.49) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,22.27;66.47,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,22.27;66.93,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.17,36.55;64.63,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.87,24.99;63.71,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,26.35;66.47,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,27.71;62.79,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,36.55;62.33,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,31.45;58.65,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,31.79;59.11,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,31.79;59.11,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,32.13;60.49,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,28.39;61.87,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.33,30.43;66.93,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,36.89;59.11,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,36.55;52.67,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,36.55;49.45,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,36.55;49.91,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,30.77;54.97,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,30.77;39.79,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,24.99;40.25,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,34.17;46.69,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,34.51;46.69,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,28.39;48.68,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,34.51;48.53,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,24.99;43.01,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,24.99;49.45,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.68,28.39;49.45,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,31.11;51.75,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,31.45;51.75,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,31.45;51.29,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,31.79;51.29,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,25.67;47.61,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,33.83;48.07,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,31.79;54.51,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,33.49;40.71,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,33.49;42.55,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,33.15;42.55,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,33.15;48.07,33.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,33.15;48.07,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,26.35;50.37,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,21.25;54.05,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,33.15;51.75,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,33.15;51.75,33.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,36.89;44.85,36.89) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,33.83;41.63,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,36.21;42.55,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,23.29;52.67,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,31.45;44.39,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,32.13;52.21,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,28.39;44.85,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,36.21;42.55,36.89) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,34.17;43.93,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,33.83;39.79,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,33.49;38.87,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,33.83;40.25,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,33.83;43.93,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,29.41;40.71,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,26.01;44.85,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,33.49;43.93,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,29.07;43.93,29.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,36.55;42.09,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,31.11;51.29,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,33.83;47.61,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,28.39;45.31,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,27.71;50.83,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,23.29;42.09,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,28.73;45.31,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,25.67;42.55,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,35.87;47.15,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,22.95;45.31,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,23.97;47.61,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,28.05;47.15,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,26.69;43.01,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,27.71;43.01,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,27.71;40.71,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,23.97;43.47,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,36.21;40.25,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,22.95;42.09,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,22.95;47.61,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,23.29;47.61,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,23.29;43.47,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,23.29;51.75,23.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,29.41;53.59,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,23.63;51.75,23.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,27.71;48.53,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,35.87;47.15,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,25.67;47.61,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,23.29;51.29,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,28.73;51.75,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,30.77;54.97,30.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,36.89;49.91,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,26.01;45.77,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,31.11;54.49,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,9.35;44.85,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,11.39;43.47,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,7.65;43.47,7.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,6.63;42.55,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,10.03;52.67,10.03) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,10.03;39.79,10.03) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,6.63;42.55,7.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,11.73;50.37,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,11.73;50.37,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,11.39;49.91,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,11.39;49.91,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,9.35;54.05,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,9.35;54.05,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,14.79;44.85,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,14.11;53.13,14.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,11.39;40.71,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,6.97;47.61,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,14.11;48.07,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,16.83;45.31,16.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,17.17;42.55,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,15.47;49.45,15.47) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,14.11;48.07,14.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,11.73;48.53,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,10.37;51.75,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,20.23;47.61,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.4,20.23;47.61,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.4,19.55;41.4,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,11.73;47.15,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,18.53;48.99,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,12.07;48.99,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,19.89;49.91,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,14.79;50.37,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,14.79;53.59,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,14.79;53.59,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,20.23;53.13,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,15.81;54.05,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,14.11;39.79,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,14.79;39.79,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,14.79;39.33,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,17.17;53.13,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,20.57;49.91,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,20.91;52.21,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,20.57;52.21,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,20.57;54.05,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,20.57;45.31,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,20.91;49.91,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,14.45;54.97,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,20.57;54.51,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,20.91;54.51,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,6.29;47.61,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,12.07;46.23,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,14.45;54.97,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,20.57;43.93,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,10.03;41.17,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,9.35;43.01,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,17.17;40.71,17.17) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,12.07;46.23,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,17.85;43.93,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,12.41;48.07,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,20.57;42.55,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,20.57;51.75,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,19.89;48.99,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,19.89;48.99,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,20.23;50.37,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,11.73;38.87,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,19.89;46.23,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,15.13;41.63,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,15.47;43.01,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,12.07;40.71,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,16.83;48.99,16.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,19.55;52.21,19.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,16.83;40.71,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,9.69;53.59,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,17.85;50.37,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,9.69;53.59,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,17.17;45.31,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,17.17;49.45,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,17.51;45.31,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,20.23;50.37,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,17.85;44.39,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,17.51;47.61,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,19.55;45.31,19.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,11.39;51.75,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,20.57;66.93,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,17.17;58.65,17.17) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,9.69;56.81,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,20.23;64.63,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,13.09;60.49,13.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,20.23;65.55,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,17.17;60.03,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,17.85;65.55,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,5.95;59.11,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,5.95;59.11,5.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,17.51;62.33,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,17.85;64.63,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,14.79;64.63,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,14.79;66.47,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,7.31;58.19,7.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,6.97;58.19,7.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,6.97;65.09,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,6.63;65.09,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,6.63;67.39,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,9.35;66.47,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,10.37;66.47,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.55,15.13;66.01,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,11.73;59.57,11.73) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,8.67;67.39,8.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,8.67;56.35,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,7.31;55.89,7.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,20.23;60.03,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,19.89;58.19,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,19.89;60.49,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,19.89;60.49,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,17.17;63.25,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,17.17;63.25,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,17.17;60.49,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,15.13;59.11,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,15.47;60.49,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,9.69;55.89,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,10.03;60.49,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,20.57;59.11,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,20.91;59.11,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.1,20.23;64.63,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,20.57;61.41,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,20.91;61.41,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.1,20.23;62.1,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,20.57;62.1,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,14.45;63.25,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,17.51;61.41,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,15.81;60.03,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,15.13;57.73,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,9.35;56.35,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.135,37.5;37.135,37.64;37.49,37.64;37.49,37.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.895,31.72;36.895,31.86;37.49,31.86;37.49,31.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.315,52.12;49.315,52.26;49.91,52.26;49.91,52.12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.075,64.7;52.075,64.84;52.67,64.84;52.67,64.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.315,55.18;52.315,55.32;52.67,55.32;52.67,55.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.155,52.12;54.155,52.26;54.51,52.26;54.51,52.12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.235,60.28;53.235,60.42;53.59,60.42;53.59,60.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.595,53.14;60.595,53.28;60.95,53.28;60.95,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.235,57.56;53.235,57.7;53.59,57.7;53.59,57.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.155,60.96;54.155,61.1;54.51,61.1;54.51,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.075,55.86;55.075,56;55.43,56;55.43,55.86)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.675,56.54;59.675,56.68;60.03,56.68;60.03,56.54)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.775,55.52;52.775,55.66;53.13,55.66;53.13,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.035,59.26;67.035,59.4;67.39,59.4;67.39,59.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.755,53.14;58.755,53.28;59.085,53.28;59.085,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.495,55.86;67.495,56;67.85,56;67.85,55.86)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.295,58.58;58.295,58.72;58.65,58.72;58.65,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.455,53.14;56.455,53.28;56.81,53.28;56.81,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,58.58;66.115,58.72;66.47,58.72;66.47,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,55.52;66.115,55.66;66.47,55.66;66.47,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.575,52.46;66.575,52.6;66.93,52.6;66.93,52.46)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.63,52.8;64.63,52.94;64.985,52.94;64.985,52.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.775,63.68;52.775,63.82;53.13,63.82;53.13,63.68)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.19,66.06;58.19,66.2;58.545,66.2;58.545,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.835,60.96;57.835,61.1;58.19,61.1;58.19,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.895,66.06;62.895,66.2;63.25,66.2;63.25,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.95,66.4;60.95,66.54;61.305,66.54;61.305,66.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.135,66.4;60.135,66.54;60.49,66.54;60.49,66.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.755,60.96;55.755,61.1;56.35,61.1;56.35,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.215,60.96;59.215,61.1;59.57,61.1;59.57,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.275,65.72;64.275,65.86;64.63,65.86;64.63,65.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.575,58.92;66.575,59.06;66.93,59.06;66.93,58.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.575,66.06;66.575,66.2;66.93,66.2;66.93,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.815,58.24;63.815,58.38;64.17,58.38;64.17,58.24)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.135,55.52;60.135,55.66;60.49,55.66;60.49,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.735,60.96;64.735,61.1;65.09,61.1;65.09,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.735,55.52;64.735,55.66;65.09,55.66;65.09,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.715,54.84;44.715,54.98;45.31,54.98;45.31,54.84)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.415,56.54;45.415,56.68;45.77,56.68;45.77,56.54)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.735,59.26;41.735,59.4;42.09,59.4;42.09,59.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.095,58.58;49.095,58.72;49.45,58.72;49.45,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.855,65.72;51.855,65.86;52.21,65.86;52.21,65.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.435,53.48;39.435,53.62;39.79,53.62;39.79,53.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.115,60.62;40.115,60.76;40.71,60.76;40.71,60.62)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.975,53.14;38.975,53.28;39.305,53.28;39.305,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.495,52.8;44.495,52.94;44.85,52.94;44.85,52.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.975,58.58;38.975,58.72;39.33,58.72;39.33,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.39,64.02;44.39,64.16;44.745,64.16;44.745,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.575,56.2;40.575,56.34;41.17,56.34;41.17,56.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.55,66.06;42.55,66.2;42.905,66.2;42.905,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.415,53.14;45.415,53.28;45.77,53.28;45.77,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.335,60.96;46.335,61.1;46.69,61.1;46.69,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.69,56.54;46.69,56.68;47.285,56.68;47.285,56.54)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.815,53.14;40.815,53.28;41.17,53.28;41.17,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.53,55.52;48.53,55.66;48.885,55.66;48.885,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.71,67.08;40.71,67.22;41.065,67.22;41.065,67.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.095,65.72;49.095,65.86;49.45,65.86;49.45,65.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.975,66.06;38.975,66.2;39.33,66.2;39.33,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.91,65.72;49.91,65.86;50.265,65.86;50.265,65.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.555,61.3;49.555,61.44;49.91,61.44;49.91,61.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.53,58.58;48.53,58.72;48.88,58.72;48.88,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.095,60.96;49.095,61.1;49.45,61.1;49.45,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.955,64.02;44.955,64.16;45.31,64.16;45.31,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.335,64.02;43.335,64.16;43.93,64.16;43.93,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.815,58.58;37.815,58.72;38.41,58.72;38.41,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.115,66.4;43.115,66.54;43.47,66.54;43.47,66.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.255,58.58;47.255,58.72;47.61,58.72;47.61,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.055,61.98;38.055,62.12;38.41,62.12;38.41,61.98)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.115,56.54;43.115,56.68;43.47,56.68;43.47,56.54)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.575,58.92;43.575,59.06;43.93,59.06;43.93,58.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.47,60.28;43.47,60.42;43.825,60.42;43.825,60.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.175,65.72;48.175,65.86;48.53,65.86;48.53,65.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.715,55.52;47.715,55.66;48.07,55.66;48.07,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.715,53.14;47.715,53.28;48.07,53.28;48.07,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.415,60.62;45.415,60.76;45.77,60.76;45.77,60.62)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.815,58.58;40.815,58.72;41.17,58.72;41.17,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.69,55.86;46.69,56;47.045,56;47.045,55.86)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.395,55.52;51.395,55.66;51.75,55.66;51.75,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.07,50.08;48.07,50.22;48.665,50.22;48.665,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.55,47.7;42.55,47.84;42.9,47.84;42.9,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.975,44.64;38.975,44.78;39.33,44.78;39.33,44.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.935,41.92;50.935,42.06;51.29,42.06;51.29,41.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.475,38.52;50.475,38.66;50.83,38.66;50.83,38.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.415,45.66;45.415,45.8;45.77,45.8;45.77,45.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.93,47.7;43.93,47.84;44.26,47.84;44.26,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.355,39.2;40.355,39.34;40.71,39.34;40.71,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.095,50.76;49.095,50.9;49.45,50.9;49.45,50.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.255,50.42;44.255,50.56;44.85,50.56;44.85,50.42)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.955,44.98;44.955,45.12;45.31,45.12;45.31,44.98)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.935,45.66;47.935,45.8;48.53,45.8;48.53,45.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.335,44.64;43.335,44.78;43.93,44.78;43.93,44.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.895,41.92;39.895,42.06;40.25,42.06;40.25,41.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.975,40.22;38.975,40.36;39.33,40.36;39.33,40.22)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.655,50.08;42.655,50.22;43.01,50.22;43.01,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.515,50.08;38.515,50.22;38.87,50.22;38.87,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.595,50.08;37.595,50.22;37.95,50.22;37.95,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.595,47.02;37.595,47.16;37.95,47.16;37.95,47.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.815,50.76;40.815,50.9;41.17,50.9;41.17,50.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.255,42.94;47.255,43.08;47.61,43.08;47.61,42.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.795,46.68;46.795,46.82;47.15,46.82;47.15,46.68)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.035,48.38;44.035,48.52;44.39,48.52;44.39,48.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.255,39.2;47.255,39.34;47.61,39.34;47.61,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.935,39.54;47.935,39.68;48.53,39.68;48.53,39.54)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.495,41.24;44.495,41.38;44.85,41.38;44.85,41.24)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.41,39.2;38.41,39.34;38.765,39.34;38.765,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.935,36.82;50.935,36.96;51.29,36.96;51.29,36.82)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.475,44.64;50.475,44.78;50.83,44.78;50.83,44.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.935,39.88;50.935,40.02;51.29,40.02;51.29,39.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.695,44.3;50.695,44.44;51.29,44.44;51.29,44.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.395,45.32;48.395,45.46;48.99,45.46;48.99,45.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.055,42.94;58.055,43.08;58.65,43.08;58.65,42.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.59,39.2;53.59,39.34;53.945,39.34;53.945,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.51,39.2;54.51,39.34;54.865,39.34;54.865,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.575,50.76;66.575,50.9;66.93,50.9;66.93,50.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.075,50.08;55.075,50.22;55.43,50.22;55.43,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.775,50.08;52.775,50.22;53.13,50.22;53.13,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.135,45.66;60.135,45.8;60.49,45.8;60.49,45.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,42.26;66.115,42.4;66.47,42.4;66.47,42.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,39.2;66.115,39.34;66.47,39.34;66.47,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.035,47.02;67.035,47.16;67.39,47.16;67.39,47.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.235,48.04;53.235,48.18;53.59,48.18;53.59,48.04)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.695,50.08;53.695,50.22;54.05,50.22;54.05,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.695,48.38;53.695,48.52;54.05,48.52;54.05,48.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.535,47.7;55.535,47.84;55.89,47.84;55.89,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.055,36.82;61.055,36.96;61.41,36.96;61.41,36.82)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.815,38.52;60.815,38.66;61.41,38.66;61.41,38.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.815,48.04;63.815,48.18;64.17,48.18;64.17,48.04)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.195,42.6;65.195,42.74;65.55,42.74;65.55,42.6)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.195,44.3;65.195,44.44;65.55,44.44;65.55,44.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.515,42.26;61.515,42.4;61.87,42.4;61.87,42.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.275,45.32;61.275,45.46;61.87,45.46;61.87,45.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.455,52.12;10.455,52.26;10.81,52.26;10.81,52.12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.535,52.12;29.535,52.26;30.13,52.26;30.13,52.12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.235,52.12;7.235,52.26;7.59,52.26;7.59,52.12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.835,53.48;34.835,53.62;35.19,53.62;35.19,53.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.135,61.64;34.135,61.78;34.73,61.78;34.73,61.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.4,64.02;34.4,64.16;34.73,64.16;34.73,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.32,60.96;35.32,61.1;35.65,61.1;35.65,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.675,53.48;36.675,53.62;37.03,53.62;37.03,53.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.775,54.84;29.775,54.98;30.13,54.98;30.13,54.84)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.19,55.52;35.19,55.66;35.785,55.66;35.785,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.13,58.58;30.13,58.72;30.485,58.72;30.485,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.075,55.18;29.075,55.32;29.67,55.32;29.67,55.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.05,58.58;31.05,58.72;31.405,58.72;31.405,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.995,55.18;29.995,55.32;30.59,55.32;30.59,55.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.455,64.02;33.455,64.16;33.81,64.16;33.81,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.295,66.06;35.295,66.2;35.65,66.2;35.65,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.855,53.82;28.855,53.96;29.21,53.96;29.21,53.82)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.675,52.8;33.675,52.94;34.27,52.94;34.27,52.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.095,53.14;26.095,53.28;26.45,53.28;26.45,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.675,58.58;36.675,58.72;37.03,58.72;37.03,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.935,56.2;24.935,56.34;25.53,56.34;25.53,56.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.715,53.14;24.715,53.28;25.07,53.28;25.07,53.14)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.475,66.06;27.475,66.2;27.83,66.2;27.83,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.475,60.96;27.475,61.1;27.83,61.1;27.83,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.775,66.06;29.775,66.2;30.13,66.2;30.13,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.775,61.64;29.775,61.78;30.13,61.78;30.13,61.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.075,61.3;32.075,61.44;32.43,61.44;32.43,61.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.175,66.74;25.175,66.88;25.53,66.88;25.53,66.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.155,55.18;31.155,55.32;31.51,55.32;31.51,55.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.395,64.02;28.395,64.16;28.75,64.16;28.75,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.995,66.4;29.995,66.54;30.59,66.54;30.59,66.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.615,60.96;28.615,61.1;29.21,61.1;29.21,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.055,52.8;35.055,52.94;35.65,52.94;35.65,52.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.975,52.8;35.975,52.94;36.57,52.94;36.57,52.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.215,64.36;36.215,64.5;36.57,64.5;36.57,64.36)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.535,60.28;32.535,60.42;32.89,60.42;32.89,60.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.215,66.4;36.215,66.54;36.57,66.54;36.57,66.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.595,60.62;34.595,60.76;35.19,60.76;35.19,60.62)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.19,66.4;35.19,66.54;35.545,66.54;35.545,66.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.915,59.26;33.915,59.4;34.27,59.4;34.27,59.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.795,60.96;23.795,61.1;24.15,61.1;24.15,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.555,57.56;23.555,57.7;24.15,57.7;24.15,57.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.395,55.86;25.395,56;25.99,56;25.99,55.86)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.075,64.02;9.075,64.16;9.43,64.16;9.43,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.81,66.06;10.81,66.2;11.165,66.2;11.165,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.995,66.06;9.995,66.2;10.35,66.2;10.35,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.995,60.96;9.995,61.1;10.35,61.1;10.35,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.135,56.2;14.135,56.34;14.49,56.34;14.49,56.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.695,66.4;7.695,66.54;8.05,66.54;8.05,66.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.835,55.52;11.835,55.66;12.19,55.66;12.19,55.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.835,53.82;11.835,53.96;12.19,53.96;12.19,53.82)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.895,60.96;16.895,61.1;17.25,61.1;17.25,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.135,66.06;14.135,66.2;14.49,66.2;14.49,66.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.735,58.92;18.735,59.06;19.09,59.06;19.09,58.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.915,64.02;10.915,64.16;11.27,64.16;11.27,64.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.57,60.96;13.57,61.1;13.925,61.1;13.925,60.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.17,55.18;18.17,55.32;18.525,55.32;18.525,55.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.355,55.18;17.355,55.32;17.71,55.32;17.71,55.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.295,58.58;12.295,58.72;12.65,58.72;12.65,58.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.435,53.48;16.435,53.62;16.79,53.62;16.79,53.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.515,57.56;15.515,57.7;15.87,57.7;15.87,57.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.775,63;6.775,63.14;7.13,63.14;7.13,63)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.035,57.9;18.035,58.04;18.63,58.04;18.63,57.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.775,67.42;6.775,67.56;7.13,67.56;7.13,67.42)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.195,57.56;19.195,57.7;19.55,57.7;19.55,57.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.795,64.36;20.795,64.5;21.39,64.5;21.39,64.36)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.135,66.74;11.135,66.88;11.73,66.88;11.73,66.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.035,50.08;18.035,50.22;18.63,50.22;18.63,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.595,49.74;14.595,49.88;14.95,49.88;14.95,49.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.895,50.08;13.895,50.22;14.49,50.22;14.49,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.97,36.82;8.97,36.96;9.325,36.96;9.325,36.82)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.19,50.08;12.19,50.22;12.545,50.22;12.545,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.055,39.2;15.055,39.34;15.41,39.34;15.41,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.415,39.2;19.415,39.34;20.01,39.34;20.01,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.155,36.82;8.155,36.96;8.51,36.96;8.51,36.82)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.975,44.64;15.975,44.78;16.33,44.78;16.33,44.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.735,47.7;15.735,47.84;16.33,47.84;16.33,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.615,43.96;8.615,44.1;8.97,44.1;8.97,43.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.615,39.2;8.615,39.34;8.97,39.34;8.97,39.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.87,49.4;15.87,49.54;16.465,49.54;16.465,49.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.235,42.26;7.235,42.4;7.59,42.4;7.59,42.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.775,51.1;6.775,51.24;7.13,51.24;7.13,51.1)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.235,40.22;7.235,40.36;7.59,40.36;7.59,40.22)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.79,50.08;16.79,50.22;17.145,50.22;17.145,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.595,41.58;14.595,41.72;14.95,41.72;14.95,41.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.355,38.86;17.355,39;17.71,39;17.71,38.86)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.035,44.3;21.035,44.44;21.39,44.44;21.39,44.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.455,43.96;10.455,44.1;10.81,44.1;10.81,43.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.275,47.7;18.275,47.84;18.63,47.84;18.63,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.375,41.92;34.375,42.06;34.73,42.06;34.73,41.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.875,50.42;22.875,50.56;23.23,50.56;23.23,50.42)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.415,49.74;22.415,49.88;22.77,49.88;22.77,49.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.715,49.74;24.715,49.88;25.07,49.88;25.07,49.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.315,50.08;26.315,50.22;26.91,50.22;26.91,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.315,47.36;29.315,47.5;29.67,47.5;29.67,47.36)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.395,43.96;28.395,44.1;28.75,44.1;28.75,43.96)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.295,44.64;35.295,44.78;35.65,44.78;35.65,44.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.055,45.66;35.055,45.8;35.65,45.8;35.65,45.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.215,49.74;33.215,49.88;33.81,49.88;33.81,49.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.635,49.74;25.635,49.88;25.99,49.88;25.99,49.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.825,47.7;33.825,47.84;34.165,47.84;34.165,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.395,47.7;25.395,47.84;25.99,47.84;25.99,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.695,42.26;30.695,42.4;31.05,42.4;31.05,42.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.095,42.26;26.095,42.4;26.45,42.4;26.45,42.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.835,42.26;34.835,42.4;35.19,42.4;35.19,42.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.995,50.08;32.995,50.22;33.35,50.22;33.35,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.915,44.3;33.915,44.44;34.27,44.44;34.27,44.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.675,38.52;36.675,38.66;37.03,38.66;37.03,38.52)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.535,42.26;32.535,42.4;32.89,42.4;32.89,42.26)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.915,50.08;33.915,50.22;34.27,50.22;34.27,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.475,50.08;27.475,50.22;27.83,50.22;27.83,50.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.535,44.64;32.535,44.78;32.89,44.78;32.89,44.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.015,42.6;27.015,42.74;27.37,42.74;27.37,42.6)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.015,36.82;27.015,36.96;27.37,36.96;27.37,36.82)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.375,37.16;34.375,37.3;34.73,37.3;34.73,37.16)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.995,47.7;32.995,47.84;33.325,47.84;33.325,47.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.475,21.18;27.475,21.32;27.83,21.32;27.83,21.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.955,26.28;21.955,26.42;22.31,26.42;22.31,26.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.715,27.98;21.715,28.12;22.31,28.12;22.31,27.98)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.955,6.56;21.955,6.7;22.31,6.7;22.31,6.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.715,7.58;21.715,7.72;22.31,7.72;22.31,7.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.555,29.34;26.555,29.48;26.91,29.48;26.91,29.34)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.535,32.06;32.535,32.2;32.89,32.2;32.89,32.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.395,22.88;28.395,23.02;28.75,23.02;28.75,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.235,32.06;30.235,32.2;30.59,32.2;30.59,32.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.875,26.28;22.875,26.42;23.23,26.42;23.23,26.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.555,25.94;26.555,26.08;26.91,26.08;26.91,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.335,28.32;23.335,28.46;23.69,28.46;23.69,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.375,31.72;34.375,31.86;34.73,31.86;34.73,31.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.835,34.1;31.835,34.24;32.43,34.24;32.43,34.1)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.695,28.32;30.695,28.46;31.05,28.46;31.05,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.775,25.94;29.775,26.08;30.13,26.08;30.13,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.535,28.66;29.535,28.8;30.13,28.8;30.13,28.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.315,22.88;29.315,23.02;29.67,23.02;29.67,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.935,23.22;27.935,23.36;28.29,23.36;28.29,23.22)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.695,34.1;27.695,34.24;28.29,34.24;28.29,34.1)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.755,23.9;32.755,24.04;33.35,24.04;33.35,23.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.455,22.88;33.455,23.02;33.81,23.02;33.81,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.095,33.76;26.095,33.9;26.45,33.9;26.45,33.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.095,35.8;26.095,35.94;26.45,35.94;26.45,35.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.115,27.64;20.115,27.78;20.47,27.78;20.47,27.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.575,33.76;20.575,33.9;20.93,33.9;20.93,33.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.335,34.78;20.335,34.92;20.93,34.92;20.93,34.78)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.535,35.8;9.535,35.94;9.89,35.94;9.89,35.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.115,33.08;20.115,33.22;20.47,33.22;20.47,33.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.615,31.38;8.615,31.52;8.97,31.52;8.97,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.055,36.48;15.055,36.62;15.41,36.62;15.41,36.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.235,28.32;7.235,28.46;7.59,28.46;7.59,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.235,35.8;7.235,35.94;7.59,35.94;7.59,35.8)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.115,25.94;20.115,26.08;20.47,26.08;20.47,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.655,27.98;19.655,28.12;20.01,28.12;20.01,27.98)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.17,26.28;18.17,26.42;18.525,26.42;18.525,26.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.215,31.38;13.215,31.52;13.57,31.52;13.57,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.05,28.32;8.05,28.46;8.405,28.46;8.405,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.755,28.32;9.755,28.46;10.35,28.46;10.35,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.375,29;11.375,29.14;11.73,29.14;11.73,29)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.355,22.2;17.355,22.34;17.71,22.34;17.71,22.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.775,23.9;6.775,24.04;7.13,24.04;7.13,23.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.975,22.2;15.975,22.34;16.33,22.34;16.33,22.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.03,23.9;14.03,24.04;14.625,24.04;14.625,23.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.775,30.36;6.775,30.5;7.13,30.5;7.13,30.36)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.735,24.92;18.735,25.06;19.09,25.06;19.09,24.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.195,22.88;16.195,23.02;16.79,23.02;16.79,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.895,23.22;16.895,23.36;17.25,23.36;17.25,23.22)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.455,22.88;10.455,23.02;10.81,23.02;10.81,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.455,25.94;10.455,26.08;10.81,26.08;10.81,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.975,22.54;12.975,22.68;13.57,22.68;13.57,22.54)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.375,23.22;11.375,23.36;11.73,23.36;11.73,23.22)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.135,24.92;11.135,25.06;11.73,25.06;11.73,24.92)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.835,22.88;11.835,23.02;12.19,23.02;12.19,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.375,25.94;11.375,26.08;11.73,26.08;11.73,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.03,36.48;14.03,36.62;14.385,36.62;14.385,36.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.235,25.94;7.235,26.08;7.59,26.08;7.59,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.295,31.38;12.295,31.52;12.65,31.52;12.65,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.695,22.88;7.695,23.02;8.05,23.02;8.05,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.515,30.7;15.515,30.84;15.87,30.84;15.87,30.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.47,31.04;20.47,31.18;20.825,31.18;20.825,31.04)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.755,36.48;12.755,36.62;13.11,36.62;13.11,36.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.915,20.5;10.915,20.64;11.27,20.64;11.27,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.355,20.5;17.355,20.64;17.71,20.64;17.71,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.035,6.56;21.035,6.7;21.39,6.7;21.39,6.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.135,15.06;14.135,15.2;14.49,15.2;14.49,15.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.115,9.28;20.115,9.42;20.47,9.42;20.47,9.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.05,17.44;8.05,17.58;8.405,17.58;8.405,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.55,6.56;19.55,6.7;19.905,6.7;19.905,6.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.075,11.66;9.075,11.8;9.43,11.8;9.43,11.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.775,6.9;6.775,7.04;7.13,7.04;7.13,6.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.495,17.44;21.495,17.58;21.85,17.58;21.85,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.435,15.4;16.435,15.54;16.79,15.54;16.79,15.4)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.435,17.44;16.435,17.58;16.79,17.58;16.79,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.215,20.5;13.215,20.64;13.57,20.64;13.57,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.375,15.74;11.375,15.88;11.73,15.88;11.73,15.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.075,20.5;9.075,20.64;9.43,20.64;9.43,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.035,20.5;21.035,20.64;21.39,20.64;21.39,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.135,20.5;14.135,20.64;14.49,20.64;14.49,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.035,11.66;18.035,11.8;18.63,11.8;18.63,11.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.975,6.22;15.975,6.36;16.33,6.36;16.33,6.22)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.615,20.16;8.615,20.3;8.97,20.3;8.97,20.16)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.375,12;11.375,12.14;11.73,12.14;11.73,12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.135,14.38;11.135,14.52;11.73,14.52;11.73,14.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.735,9.62;18.735,9.76;19.09,9.76;19.09,9.62)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.71,9.62;17.71,9.76;18.305,9.76;18.305,9.62)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.235,17.44;7.235,17.58;7.59,17.58;7.59,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.73,20.5;11.73,20.64;12.085,20.64;12.085,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.995,20.5;9.995,20.64;10.35,20.64;10.35,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.695,10.3;7.695,10.44;8.05,10.44;8.05,10.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.79,11.32;16.79,11.46;17.385,11.46;17.385,11.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.975,14.72;12.975,14.86;13.57,14.86;13.57,14.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.455,12;10.455,12.14;10.81,12.14;10.81,12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.455,14.72;10.455,14.86;10.81,14.86;10.81,14.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.51,12;8.51,12.14;8.865,12.14;8.865,12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.095,15.74;23.095,15.88;23.69,15.88;23.69,15.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.335,15.06;23.335,15.2;23.69,15.2;23.69,15.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.31,17.44;22.31,17.58;22.665,17.58;22.665,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.255,20.16;24.255,20.3;24.61,20.3;24.61,20.16)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.315,6.9;29.315,7.04;29.67,7.04;29.67,6.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.555,17.44;26.555,17.58;26.91,17.58;26.91,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.43,10.3;32.43,10.44;32.785,10.44;32.785,10.3)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.615,5.88;31.615,6.02;31.97,6.02;31.97,5.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.835,17.78;31.835,17.92;32.43,17.92;32.43,17.78)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.875,6.56;22.875,6.7;23.23,6.7;23.23,6.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.315,11.66;29.315,11.8;29.67,11.8;29.67,11.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.555,15.06;26.555,15.2;26.91,15.2;26.91,15.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.215,6.9;36.215,7.04;36.57,7.04;36.57,6.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.875,14.72;22.875,14.86;23.23,14.86;23.23,14.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.835,6.56;34.835,6.7;35.19,6.7;35.19,6.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.535,15.06;32.535,15.2;32.89,15.2;32.89,15.06)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.395,17.44;28.395,17.58;28.75,17.58;28.75,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.455,14.04;30.455,14.18;31.05,14.18;31.05,14.04)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.415,20.5;22.415,20.64;22.77,20.64;22.77,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.69,17.44;23.69,17.58;24.045,17.58;24.045,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.37,12;27.37,12.14;27.725,12.14;27.725,12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.375,14.72;34.375,14.86;34.73,14.86;34.73,14.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.435,20.84;59.435,20.98;60.03,20.98;60.03,20.84)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.41,17.44;61.41,17.58;61.665,17.58;61.665,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.47,12;66.47,12.14;66.825,12.14;66.825,12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,7.58;66.115,7.72;66.47,7.72;66.47,7.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.415,6.56;45.415,6.7;45.77,6.7;45.77,6.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.015,14.72;50.015,14.86;50.37,14.86;50.37,14.72)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.935,28.32;50.935,28.46;51.29,28.46;51.29,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.215,15.74;56.215,15.88;56.81,15.88;56.81,15.74)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.875,17.44;45.875,17.58;46.23,17.58;46.23,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.395,17.78;51.395,17.92;51.75,17.92;51.75,17.78)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.775,28.66;52.775,28.8;53.13,28.8;53.13,28.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.515,31.04;38.515,31.18;38.87,31.18;38.87,31.04)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.595,12;37.595,12.14;37.95,12.14;37.95,12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.335,28.32;46.335,28.46;46.69,28.46;46.69,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.095,33.08;46.095,33.22;46.69,33.22;46.69,33.08)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.635,25.6;48.635,25.74;48.99,25.74;48.99,25.6)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.05,31.38;54.05,31.52;54.405,31.52;54.405,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.635,30.36;48.635,30.5;48.99,30.5;48.99,30.36)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.835,30.7;57.835,30.84;58.19,30.84;58.19,30.7)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.835,21.18;57.835,21.32;58.19,21.32;58.19,21.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.695,20.5;53.695,20.64;54.05,20.64;54.05,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.555,20.84;46.555,20.98;47.15,20.98;47.15,20.84)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.095,12.68;49.095,12.82;49.45,12.82;49.45,12.68)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.655,28.32;42.655,28.46;43.01,28.46;43.01,28.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.415,34.1;42.415,34.24;43.01,34.24;43.01,34.1)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.09,33.76;42.09,33.9;42.685,33.9;42.685,33.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.495,7.24;44.495,7.38;44.85,7.38;44.85,7.24)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.575,19.48;66.575,19.62;66.93,19.62;66.93,19.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.495,13.02;44.495,13.16;44.85,13.16;44.85,13.02)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.475,12.34;47.475,12.48;48.07,12.48;48.07,12.34)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.775,11.66;52.775,11.8;53.13,11.8;53.13,11.66)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.075,8.94;55.075,9.08;55.43,9.08;55.43,8.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.77,22.88;45.77,23.02;46.125,23.02;46.125,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.815,17.1;63.815,17.24;64.17,17.24;64.17,17.1)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.175,21.18;48.175,21.32;48.53,21.32;48.53,21.18)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.375,9.28;57.375,9.42;57.73,9.42;57.73,9.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.375,6.9;57.375,7.04;57.73,7.04;57.73,6.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.655,9.28;42.655,9.42;43.01,9.42;43.01,9.28)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.175,22.2;48.175,22.34;48.53,22.34;48.53,22.2)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.115,33.42;43.115,33.56;43.47,33.56;43.47,33.42)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.115,31.38;43.115,31.52;43.47,31.52;43.47,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.035,36.48;44.035,36.62;44.39,36.62;44.39,36.48)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.015,33.76;50.015,33.9;50.37,33.9;50.37,33.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.715,18.46;47.715,18.6;48.07,18.6;48.07,18.46)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.655,27.64;65.655,27.78;66.01,27.78;66.01,27.64)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.655,23.56;65.655,23.7;66.01,23.7;66.01,23.56)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,29.34;66.115,29.48;66.47,29.48;66.47,29.34)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,31.04;66.115,31.18;66.47,31.18;66.47,31.04)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.07,20.5;48.07,20.64;48.425,20.64;48.425,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.435,6.9;39.435,7.04;39.79,7.04;39.79,6.9)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.115,34.44;66.115,34.58;66.47,34.58;66.47,34.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.435,20.84;62.435,20.98;62.79,20.98;62.79,20.84)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.895,25.94;62.895,26.08;63.25,26.08;63.25,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.495,25.94;67.495,26.08;67.85,26.08;67.85,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.495,22.88;67.495,23.02;67.85,23.02;67.85,22.88)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.035,33.76;67.035,33.9;67.39,33.9;67.39,33.76)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.195,31.38;39.195,31.52;39.79,31.52;39.79,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.355,20.5;63.355,20.64;63.71,20.64;63.71,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.235,31.38;53.235,31.52;53.59,31.52;53.59,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.155,29.34;51.155,29.48;51.75,29.48;51.75,29.34)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.115,11.32;43.115,11.46;43.47,11.46;43.47,11.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.115,7.58;43.115,7.72;43.47,7.72;43.47,7.58)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.015,11.32;47.015,11.46;47.61,11.46;47.61,11.32)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.135,31.38;60.135,31.52;60.49,31.52;60.49,31.38)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.135,20.5;60.135,20.64;60.49,20.64;60.49,20.5)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.135,17.44;60.135,17.58;60.49,17.58;60.49,17.44)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.555,12;49.555,12.14;49.91,12.14;49.91,12)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.11,25.94;59.11,26.08;59.365,26.08;59.365,25.94)
+
+
+ -
+
+ '5/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.535,21.18;55.535,21.32;55.89,21.32;55.89,21.18)
+
+
+ -
+
+ '5/0'
+
VIA_L1M1_PR |
+ false
+ 1
+
+
+ polygon: (-0.145,-0.115;-0.145,0.115;0.145,0.115;0.145,-0.115)
+
+
+ -
+
+ '5/0'
+
VIA_M1M2_PR |
+ false
+ 1
+
+
+ polygon: (-0.16,-0.13;-0.16,0.13;0.16,0.13;0.16,-0.13)
+
+
+ -
+
+ '5/0'
+
VIA_via2_3_1600_480_1_5_320_320 |
+ false
+ 1
+
+
+ polygon: (-0.8,-0.24;-0.8,0.24;0.8,0.24;0.8,-0.24)
+
+
+ -
+
+ '5/0'
+
VIA_M1M2_PR_MR |
+ false
+ 1
+
+
+ polygon: (-0.13,-0.16;-0.13,0.16;0.13,0.16;0.13,-0.16)
+
+
+ -
+
+ '6/0'
+
VIA_M1M2_PR |
+ false
+ 1
+
+
+ polygon: (-0.075,-0.075;-0.075,0.075;0.075,0.075;0.075,-0.075)
+
+
+ -
+
+ '6/0'
+
VIA_via2_3_1600_480_1_5_320_320 |
+ false
+ 1
+
+
+ polygon: (-0.715,-0.075;-0.715,0.075;-0.565,0.075;-0.565,-0.075)
+
+
+ -
+
+ '6/0'
+
VIA_via2_3_1600_480_1_5_320_320 |
+ false
+ 1
+
+
+ polygon: (-0.395,-0.075;-0.395,0.075;-0.245,0.075;-0.245,-0.075)
+
+
+ -
+
+ '6/0'
+
VIA_via2_3_1600_480_1_5_320_320 |
+ false
+ 1
+
+
+ polygon: (-0.075,-0.075;-0.075,0.075;0.075,0.075;0.075,-0.075)
+
+
+ -
+
+ '6/0'
+
VIA_via2_3_1600_480_1_5_320_320 |
+ false
+ 1
+
+
+ polygon: (0.245,-0.075;0.245,0.075;0.395,0.075;0.395,-0.075)
+
+
+ -
+
+ '6/0'
+
VIA_via2_3_1600_480_1_5_320_320 |
+ false
+ 1
+
+
+ polygon: (0.565,-0.075;0.565,0.075;0.715,0.075;0.715,-0.075)
+
+
+ -
+
+ '6/0'
+
VIA_M1M2_PR_MR |
+ false
+ 1
+
+
+ polygon: (-0.075,-0.075;-0.075,0.075;0.075,0.075;0.075,-0.075)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.445,5.865;25.445,6.035;25.45,6.035;25.45,5.865)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.77,6.205;46.77,6.375;46.775,6.375;46.775,6.205)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.25,6.545;18.25,6.715;18.255,6.715;18.255,6.545)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.295,6.545;23.295,6.715;23.315,6.715;23.315,6.545)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,6.545;28.665,6.715;28.67,6.715;28.67,6.545)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,6.885;7.045,7.055;7.075,7.055;7.075,6.885)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,6.885;39.705,7.055;39.725,7.055;39.725,6.885)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,9.945;52.585,10.115;52.605,10.115;52.605,9.945)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,11.305;16.245,11.475;16.25,11.475;16.25,11.305)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.495,11.645;9.495,11.815;9.515,11.815;9.515,11.645)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,11.985;46.605,12.155;46.61,12.155;46.61,11.985)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,15.045;38.325,15.215;38.33,15.215;38.33,15.045)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,15.045;53.505,15.215;53.51,15.215;53.51,15.045)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,17.085;59.945,17.255;59.965,17.255;59.965,17.085)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.235,17.085;64.235,17.255;64.255,17.255;64.255,17.085)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.31,17.425;23.31,17.595;23.315,17.595;23.315,17.425)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,19.805;46.605,19.975;46.61,19.975;46.61,19.805)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,20.145;59.945,20.315;59.965,20.315;59.965,20.145)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.455,20.485;21.455,20.655;21.475,20.655;21.475,20.485)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.07,20.485;26.07,20.655;26.075,20.655;26.075,20.485)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,20.485;50.285,20.655;50.29,20.655;50.29,20.485)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.115,20.825;31.115,20.995;31.135,20.995;31.135,20.825)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,22.525;14.405,22.695;14.455,22.695;14.455,22.525)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,22.865;7.965,23.035;8.05,23.035;8.05,22.865)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,22.865;30.045,23.035;30.05,23.035;30.05,22.865)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.99,25.925;26.99,26.095;26.995,26.095;26.995,25.925)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.995,25.925;37.995,26.095;38.035,26.095;38.035,25.925)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.225,26.265;22.225,26.435;22.23,26.435;22.23,26.265)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.005,26.605;42.005,26.775;42.01,26.775;42.01,26.605)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,27.625;20.385,27.795;20.39,27.795;20.39,27.625)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.71,27.965;41.71,28.135;41.715,28.135;41.715,27.965)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,27.965;61.325,28.135;61.345,28.135;61.345,27.965)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.035,28.305;9.035,28.475;9.055,28.475;9.055,28.305)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,28.305;44.765,28.475;44.785,28.475;44.785,28.305)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.83,28.645;28.83,28.815;28.835,28.815;28.835,28.645)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.81,28.985;11.81,29.155;11.815,29.155;11.815,28.985)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.905,29.325;50.905,29.495;50.915,29.495;50.915,29.325)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,31.705;59.945,31.875;59.965,31.875;59.965,31.705)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,32.045;19.465,32.215;19.47,32.215;19.47,32.045)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,32.045;50.745,32.215;50.75,32.215;50.75,32.045)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.15,33.745;48.15,33.915;48.155,33.915;48.155,33.745)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,36.465;49.365,36.635;49.385,36.635;49.385,36.465)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,36.805;35.565,36.975;35.615,36.975;35.615,36.805)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,37.145;11.645,37.315;11.65,37.315;11.65,37.145)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.79,38.845;17.79,39.015;17.795,39.015;17.795,38.845)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,38.905;66.845,39.015;66.85,39.015;66.85,38.905)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.21,39.185;53.21,39.355;53.215,39.355;53.215,39.185)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.725,39.185;56.725,39.355;56.73,39.355;56.73,39.185)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.01,39.525;44.01,39.695;44.015,39.695;44.015,39.525)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.89,42.245;33.89,42.415;33.895,42.415;33.895,42.245)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,42.245;45.685,42.415;45.735,42.415;45.735,42.245)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,42.585;25.905,42.695;25.91,42.695;25.91,42.585)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,42.585;57.645,42.755;57.65,42.755;57.65,42.585)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.185,44.285;34.185,44.455;34.205,44.455;34.205,44.285)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,44.285;38.325,44.455;38.33,44.455;38.33,44.285)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.67,44.625;53.67,44.795;53.675,44.795;53.675,44.625)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,44.965;45.225,45.135;45.23,45.135;45.23,44.965)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.895,47.345;38.895,47.515;38.955,47.515;38.955,47.345)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.895,47.345;50.895,47.515;50.915,47.515;50.915,47.345)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,47.345;58.565,47.515;58.625,47.515;58.625,47.345)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.55,47.685;43.55,47.855;43.555,47.855;43.555,47.685)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,47.685;51.665,47.855;51.67,47.855;51.67,47.685)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,49.725;49.825,49.895;49.875,49.895;49.875,49.725)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,50.065;26.825,50.235;26.83,50.235;26.83,50.065)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.035,50.405;55.035,50.575;55.055,50.575;55.055,50.405)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,52.785;44.765,52.955;44.785,52.955;44.785,52.785)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.81,53.125;34.81,53.295;34.815,53.295;34.815,53.125)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,53.125;47.985,53.295;47.99,53.295;47.99,53.125)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,53.125;53.045,53.295;53.05,53.295;53.05,53.125)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.11,53.465;37.11,53.635;37.115,53.635;37.115,53.465)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.225,55.165;58.225,55.335;58.275,55.335;58.275,55.165)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,55.505;28.205,55.675;28.255,55.675;28.255,55.505)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.995,55.645;56.995,55.675;57.125,55.675;57.125,55.645)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.325,56.185;28.325,56.355;28.375,56.355;28.375,56.185)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.75,58.565;29.75,58.735;29.755,58.735;29.755,58.565)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.41,58.565;39.41,58.735;39.415,58.735;39.415,58.565)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.43,58.565;56.43,58.735;56.435,58.735;56.435,58.565)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,58.565;57.645,58.735;57.65,58.735;57.65,58.565)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,60.645;22.685,60.775;22.69,60.775;22.69,60.645)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,60.605;41.085,60.775;41.135,60.775;41.135,60.605)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.765,60.665;67.765,60.775;67.77,60.775;67.77,60.665)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.77,60.945;46.77,61.115;46.775,61.115;46.775,60.945)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,60.945;51.665,61.115;51.715,61.115;51.715,60.945)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,63.825;53.045,63.835;53.05,63.835;53.05,63.825)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,64.345;65.465,64.455;65.47,64.455;65.47,64.345)
+
+
+ -
+
+ '67/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.49,64.345;38.49,64.515;38.495,64.515;38.495,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.405,15.725;37.405,15.895;37.575,15.895;37.575,15.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.405,37.485;37.405,37.655;37.575,37.655;37.575,37.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.405,58.905;37.405,59.075;37.575,59.075;37.575,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.405,60.605;37.405,60.775;37.575,60.775;37.575,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.405,64.005;37.405,64.175;37.575,64.175;37.575,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,44.285;52.585,44.455;52.755,44.455;52.755,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,47.685;52.585,47.855;52.755,47.855;52.755,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,50.405;52.585,50.575;52.755,50.575;52.755,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,52.105;38.325,52.275;38.495,52.275;38.495,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,52.105;42.465,52.275;42.635,52.275;42.635,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,52.105;50.285,52.275;50.455,52.275;50.455,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,52.105;54.425,52.275;54.595,52.275;54.595,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,55.165;52.585,55.335;52.755,55.335;52.755,55.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,57.545;52.585,57.715;52.755,57.715;52.755,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,59.245;52.585,59.415;52.755,59.415;52.755,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.545,52.785;64.545,52.955;64.715,52.955;64.715,52.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,53.125;53.045,53.295;53.215,53.295;53.215,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.725,53.125;56.725,53.295;56.895,53.295;56.895,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59,53.125;59,53.295;59.17,53.295;59.17,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.485,53.125;59.485,53.295;59.655,53.295;59.655,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,53.125;59.945,53.295;60.115,53.295;60.115,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.865,53.125;60.865,53.295;61.035,53.295;61.035,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.625,53.125;63.625,53.295;63.795,53.295;63.795,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,53.465;53.965,53.635;54.135,53.635;54.135,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,53.465;56.265,53.635;56.435,53.635;56.435,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,53.465;67.305,53.635;67.475,53.635;67.475,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.02,53.805;58.02,53.975;58.19,53.975;58.19,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,54.825;56.265,54.995;56.435,54.995;56.435,54.825)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.925,54.825;65.925,54.995;66.095,54.995;66.095,54.825)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,52.445;66.845,52.615;67.015,52.615;67.015,52.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,55.165;58.105,55.335;58.275,55.335;58.275,55.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,55.165;64.085,55.335;64.255,55.335;64.255,55.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,55.505;53.045,55.675;53.215,55.675;53.215,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.53,55.505;53.53,55.675;53.7,55.675;53.7,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.955,55.505;56.955,55.675;57.125,55.675;57.125,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,55.505;59.025,55.675;59.195,55.675;59.195,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,55.505;60.405,55.675;60.575,55.675;60.575,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.005,55.505;65.005,55.675;65.175,55.675;65.175,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,55.505;66.385,55.675;66.555,55.675;66.555,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.345,55.845;55.345,56.015;55.515,56.015;55.515,55.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,55.845;55.805,56.015;55.975,56.015;55.975,55.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.765,55.845;67.765,56.015;67.935,56.015;67.935,55.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,56.525;54.425,56.695;54.595,56.695;54.595,56.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,56.525;59.945,56.695;60.115,56.695;60.115,56.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,52.785;61.325,52.955;61.495,52.955;61.495,52.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,57.545;53.505,57.715;53.675,57.715;53.675,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,57.545;59.025,57.715;59.195,57.715;59.195,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.865,58.225;60.865,58.395;61.035,58.395;61.035,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,58.225;64.085,58.395;64.255,58.395;64.255,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.345,58.565;55.345,58.735;55.515,58.735;55.515,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,58.565;56.265,58.735;56.435,58.735;56.435,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,58.565;57.645,58.735;57.815,58.735;57.815,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,58.565;58.565,58.735;58.735,58.735;58.735,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.165,58.565;63.165,58.735;63.335,58.735;63.335,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,58.565;66.385,58.735;66.555,58.735;66.555,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,58.905;54.425,59.075;54.595,59.075;54.595,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.625,58.905;63.625,59.075;63.795,59.075;63.795,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,58.905;66.845,59.075;67.015,59.075;67.015,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,52.785;64.085,52.955;64.255,52.955;64.255,52.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,59.245;60.405,59.415;60.575,59.415;60.575,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,59.245;67.305,59.415;67.475,59.415;67.475,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,60.265;53.505,60.435;53.675,60.435;53.675,60.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.765,60.605;67.765,60.775;67.935,60.775;67.935,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,60.945;54.425,61.115;54.595,61.115;54.595,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.885,60.945;54.885,61.115;55.055,61.115;55.055,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,60.945;58.105,61.115;58.275,61.115;58.275,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.485,60.945;59.485,61.115;59.655,61.115;59.655,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,60.945;59.945,61.115;60.115,61.115;60.115,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.005,60.945;65.005,61.115;65.175,61.115;65.175,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.96,61.285;52.96,61.455;53.13,61.455;53.13,61.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,61.285;53.965,61.455;54.135,61.455;54.135,61.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,62.985;55.805,63.155;55.975,63.155;55.975,62.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.925,63.325;65.925,63.495;66.095,63.495;66.095,63.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,63.665;53.045,63.835;53.215,63.835;53.215,63.665)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,64.005;53.965,64.175;54.135,64.175;54.135,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.885,64.005;54.885,64.175;55.055,64.175;55.055,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,64.005;57.645,64.175;57.815,64.175;57.815,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.245,64.005;62.245,64.175;62.415,64.175;62.415,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,64.345;53.505,64.515;53.675,64.515;53.675,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,64.345;65.465,64.515;65.635,64.515;65.635,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,64.345;66.845,64.515;67.015,64.515;67.015,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.725,65.705;56.725,65.875;56.895,65.875;56.895,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.545,65.705;64.545,65.875;64.715,65.875;64.715,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.925,65.705;65.925,65.875;66.095,65.875;66.095,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,66.045;53.505,66.215;53.675,66.215;53.675,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,66.045;57.645,66.215;57.815,66.215;57.815,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,66.045;58.105,66.215;58.275,66.215;58.275,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.165,66.045;63.165,66.215;63.335,66.215;63.335,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,66.045;66.845,66.215;67.015,66.215;67.015,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,66.385;54.425,66.555;54.595,66.555;54.595,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.345,66.385;55.345,66.555;55.515,66.555;55.515,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,66.385;55.805,66.555;55.975,66.555;55.975,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,66.385;60.405,66.555;60.575,66.555;60.575,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.865,66.385;60.865,66.555;61.035,66.555;61.035,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,66.385;65.465,66.555;65.635,66.555;65.635,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,66.385;67.305,66.555;67.475,66.555;67.475,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.625,66.725;63.625,66.895;63.795,66.895;63.795,66.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,67.065;53.045,67.235;53.215,67.235;53.215,67.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,55.505;48.445,55.675;48.615,55.675;48.615,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,55.505;51.665,55.675;51.835,55.675;51.835,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,58.905;40.165,59.075;40.335,59.075;40.335,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,58.905;42.925,59.075;43.095,59.075;43.095,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,58.905;43.845,59.075;44.015,59.075;44.015,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,58.905;51.665,59.075;51.835,59.075;51.835,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.005,53.125;42.005,53.295;42.175,53.295;42.175,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,53.125;45.685,53.295;45.855,53.295;45.855,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,53.465;39.705,53.635;39.875,53.635;39.875,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.005,59.245;42.005,59.415;42.175,59.415;42.175,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,59.245;44.765,59.415;44.935,59.415;44.935,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.145,59.245;46.145,59.415;46.315,59.415;46.315,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,53.465;40.165,53.635;40.335,53.635;40.335,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,53.465;49.825,53.635;49.995,53.635;49.995,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,53.125;46.605,53.295;46.775,53.295;46.775,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,60.265;38.325,60.435;38.495,60.435;38.495,60.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,60.265;42.925,60.435;43.095,60.435;43.095,60.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,60.265;43.385,60.435;43.555,60.435;43.555,60.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,53.125;47.985,53.295;48.155,53.295;48.155,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,60.605;41.085,60.775;41.255,60.775;41.255,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,60.605;45.685,60.775;45.855,60.775;45.855,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,60.605;50.745,60.775;50.915,60.775;50.915,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.625,55.845;40.625,56.015;40.795,56.015;40.795,55.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,60.945;39.705,61.115;39.875,61.115;39.875,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,60.945;43.845,61.115;44.015,61.115;44.015,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,60.945;46.605,61.115;46.775,61.115;46.775,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,60.945;49.365,61.115;49.535,61.115;49.535,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,60.945;51.665,61.115;51.835,61.115;51.835,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,55.845;46.605,56.015;46.775,56.015;46.775,55.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,53.125;48.905,53.295;49.075,53.295;49.075,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,53.805;43.385,53.975;43.555,53.975;43.555,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,53.805;47.065,53.975;47.235,53.975;47.235,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,56.185;38.325,56.355;38.495,56.355;38.495,56.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,56.185;41.085,56.355;41.255,56.355;41.255,56.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.38,61.285;42.38,61.455;42.55,61.455;42.55,61.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,61.285;47.065,61.455;47.235,61.455;47.235,61.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,61.285;49.825,61.455;49.995,61.455;49.995,61.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,56.185;49.365,56.355;49.535,56.355;49.535,56.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,56.525;43.385,56.695;43.555,56.695;43.555,56.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,61.965;38.325,62.135;38.495,62.135;38.495,61.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,61.965;40.165,62.135;40.335,62.135;40.335,61.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,61.965;45.225,62.135;45.395,62.135;45.395,61.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,56.525;44.765,56.695;44.935,56.695;44.935,56.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,56.525;45.685,56.695;45.855,56.695;45.855,56.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,56.525;47.065,56.695;47.235,56.695;47.235,56.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.245,64.005;39.245,64.175;39.415,64.175;39.415,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,64.005;43.845,64.175;44.015,64.175;44.015,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.305,64.005;44.305,64.175;44.475,64.175;44.475,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,64.005;45.225,64.175;45.395,64.175;45.395,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,64.005;49.825,64.175;49.995,64.175;49.995,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,56.525;50.745,56.695;50.915,56.695;50.915,56.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.125,53.805;52.125,53.975;52.295,53.975;52.295,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,53.125;50.285,53.295;50.455,53.295;50.455,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,57.545;43.845,57.715;44.015,57.715;44.015,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,64.345;38.325,64.515;38.495,64.515;38.495,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,64.345;42.925,64.515;43.095,64.515;43.095,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,57.545;47.065,57.715;47.235,57.715;47.235,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.245,54.825;39.245,54.995;39.415,54.995;39.415,54.825)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,52.785;50.745,52.955;50.915,52.955;50.915,52.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,65.705;44.765,65.875;44.935,65.875;44.935,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,65.705;48.445,65.875;48.615,65.875;48.615,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,65.705;49.365,65.875;49.535,65.875;49.535,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,65.705;49.825,65.875;49.995,65.875;49.995,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.125,65.705;52.125,65.875;52.295,65.875;52.295,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,52.785;44.765,52.955;44.935,52.955;44.935,52.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,58.225;48.905,58.395;49.075,58.395;49.075,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,58.225;51.205,58.395;51.375,58.395;51.375,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.245,66.045;39.245,66.215;39.415,66.215;39.415,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,66.045;39.705,66.215;39.875,66.215;39.875,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.005,66.045;42.005,66.215;42.175,66.215;42.175,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,66.045;42.465,66.215;42.635,66.215;42.635,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,66.045;46.605,66.215;46.775,66.215;46.775,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,66.045;47.525,66.215;47.695,66.215;47.695,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.22,53.125;39.22,53.295;39.39,53.295;39.39,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,53.125;41.085,53.295;41.255,53.295;41.255,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,58.565;38.325,58.735;38.495,58.735;38.495,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.245,58.565;39.245,58.735;39.415,58.735;39.415,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,58.565;41.085,58.735;41.255,58.735;41.255,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.52,66.385;41.52,66.555;41.69,66.555;41.69,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,66.385;43.385,66.555;43.555,66.555;43.555,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,66.385;45.685,66.555;45.855,66.555;45.855,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,58.565;42.465,58.735;42.635,58.735;42.635,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,58.565;47.525,58.735;47.695,58.735;47.695,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.44,58.565;48.44,58.735;48.61,58.735;48.61,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,58.565;49.365,58.735;49.535,58.735;49.535,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,58.565;50.285,58.735;50.455,58.735;50.455,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.545,53.125;41.545,53.295;41.715,53.295;41.715,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.005,55.505;42.005,55.675;42.175,55.675;42.175,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,55.505;42.465,55.675;42.635,55.675;42.635,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.625,67.065;40.625,67.235;40.795,67.235;40.795,67.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,55.505;47.985,55.675;48.155,55.675;48.155,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,67.405;47.525,67.575;47.695,67.575;47.695,67.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,67.405;51.205,67.575;51.375,67.575;51.375,67.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,42.245;45.685,42.415;45.855,42.415;45.855,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.965,42.245;46.965,42.415;47.135,42.415;47.135,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,42.245;50.745,42.415;50.915,42.415;50.915,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,42.925;47.525,43.095;47.695,43.095;47.695,42.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,43.945;47.065,44.115;47.235,44.115;47.235,43.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,44.285;38.325,44.455;38.495,44.455;38.495,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,44.285;44.765,44.455;44.935,44.455;44.935,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,44.285;49.365,44.455;49.535,44.455;49.535,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,36.805;47.065,36.975;47.235,36.975;47.235,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,36.805;51.205,36.975;51.375,36.975;51.375,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.245,44.625;39.245,44.795;39.415,44.795;39.415,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.625,44.625;40.625,44.795;40.795,44.795;40.795,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.145,44.625;46.145,44.795;46.315,44.795;46.315,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,44.625;48.905,44.795;49.075,44.795;49.075,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,44.625;49.825,44.795;49.995,44.795;49.995,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,44.625;50.745,44.795;50.915,44.795;50.915,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,44.965;40.165,45.135;40.335,45.135;40.335,44.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,44.965;45.225,45.135;45.395,45.135;45.395,44.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,44.965;51.665,45.135;51.835,45.135;51.835,44.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,45.645;41.085,45.815;41.255,45.815;41.255,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,45.645;45.685,45.815;45.855,45.815;45.855,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,45.645;48.445,45.815;48.615,45.815;48.615,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,46.665;47.065,46.835;47.235,46.835;47.235,46.665)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,46.665;48.445,46.835;48.615,46.835;48.615,46.665)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,47.005;37.865,47.175;38.035,47.175;38.035,47.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.785,47.345;38.785,47.515;38.955,47.515;38.955,47.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,47.345;47.065,47.515;47.235,47.515;47.235,47.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,47.345;50.745,47.515;50.915,47.515;50.915,47.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,47.685;37.865,47.855;38.035,47.855;38.035,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.46,47.685;42.46,47.855;42.63,47.855;42.63,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,47.685;43.385,47.855;43.555,47.855;43.555,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.82,47.685;43.82,47.855;43.99,47.855;43.99,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,47.685;47.525,47.855;47.695,47.855;47.695,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,47.685;48.905,47.855;49.075,47.855;49.075,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,47.685;50.285,47.855;50.455,47.855;50.455,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,36.805;49.825,36.975;49.995,36.975;49.995,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,47.685;51.665,47.855;51.835,47.855;51.835,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,36.805;38.325,36.975;38.495,36.975;38.495,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,47.745;41.96,47.915;42.13,47.915;42.13,47.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,48.025;39.705,48.195;39.875,48.195;39.875,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,48.365;41.085,48.535;41.255,48.535;41.255,48.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.305,48.365;44.305,48.535;44.475,48.535;44.475,48.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,48.365;45.685,48.535;45.855,48.535;45.855,48.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,49.385;45.225,49.555;45.395,49.555;45.395,49.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,49.385;47.985,49.555;48.155,49.555;48.155,49.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,49.725;49.825,49.895;49.995,49.895;49.995,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.545,49.725;51.545,49.895;51.715,49.895;51.715,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.125,49.725;52.125,49.895;52.295,49.895;52.295,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.25,49.955;39.25,50.125;39.42,50.125;39.42,49.955)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,50.065;37.865,50.235;38.035,50.235;38.035,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.785,50.065;38.785,50.235;38.955,50.235;38.955,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.875,50.065;39.875,50.235;40.045,50.235;40.045,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,50.065;42.925,50.235;43.095,50.235;43.095,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,50.065;43.845,50.235;44.015,50.235;44.015,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.145,50.065;46.145,50.235;46.315,50.235;46.315,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,50.065;47.065,50.235;47.235,50.235;47.235,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,50.065;47.525,50.235;47.695,50.235;47.695,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.105,50.405;51.105,50.575;51.275,50.575;51.275,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,37.485;48.445,37.655;48.615,37.655;48.615,37.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,50.745;41.085,50.915;41.255,50.915;41.255,50.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,50.745;49.365,50.915;49.535,50.915;49.535,50.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,51.085;43.385,51.255;43.555,51.255;43.555,51.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,51.085;47.065,51.255;47.235,51.255;47.235,51.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,38.505;50.745,38.675;50.915,38.675;50.915,38.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,39.185;37.865,39.355;38.035,39.355;38.035,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,39.185;38.325,39.355;38.495,39.355;38.495,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.625,39.185;40.625,39.355;40.795,39.355;40.795,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.92,39.185;42.92,39.355;43.09,39.355;43.09,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,39.185;47.525,39.355;47.695,39.355;47.695,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.415,39.185;52.415,39.355;52.585,39.355;52.585,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,39.525;39.705,39.695;39.875,39.695;39.875,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,39.525;41.085,39.695;41.255,39.695;41.255,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,39.525;43.845,39.695;44.015,39.695;44.015,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,39.525;47.985,39.695;48.155,39.695;48.155,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.145,36.805;46.145,36.975;46.315,36.975;46.315,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,39.865;51.205,40.035;51.375,40.035;51.375,39.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.245,40.205;39.245,40.375;39.415,40.375;39.415,40.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,41.225;44.765,41.395;44.935,41.395;44.935,41.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,41.905;40.165,42.075;40.335,42.075;40.335,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.305,41.905;44.305,42.075;44.475,42.075;44.475,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,41.905;47.985,42.075;48.155,42.075;48.155,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,41.905;48.445,42.075;48.615,42.075;48.615,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,41.905;51.205,42.075;51.375,42.075;51.375,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,42.245;41.085,42.415;41.255,42.415;41.255,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,39.185;58.565,39.355;58.735,39.355;58.735,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,39.185;59.025,39.355;59.195,39.355;59.195,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,41.905;54.425,42.075;54.595,42.075;54.595,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,44.625;53.505,44.795;53.675,44.795;53.675,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.885,44.625;54.885,44.795;55.055,44.795;55.055,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,44.625;56.265,44.795;56.435,44.795;56.435,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.13,44.625;58.13,44.795;58.3,44.795;58.3,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,44.625;61.325,44.795;61.495,44.795;61.495,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,44.625;67.305,44.795;67.475,44.795;67.475,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,50.065;53.045,50.235;53.215,50.235;53.215,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,50.065;53.965,50.235;54.135,50.235;54.135,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.345,50.065;55.345,50.235;55.515,50.235;55.515,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.725,50.065;56.725,50.235;56.895,50.235;56.895,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,50.065;58.565,50.235;58.735,50.235;58.735,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.485,50.065;59.485,50.235;59.655,50.235;59.655,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,50.065;64.085,50.235;64.255,50.235;64.255,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,41.905;55.805,42.075;55.975,42.075;55.975,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,39.185;66.385,39.355;66.555,39.355;66.555,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.885,50.405;54.885,50.575;55.055,50.575;55.055,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,36.805;59.025,36.975;59.195,36.975;59.195,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.165,44.965;63.165,45.135;63.335,45.135;63.335,44.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,50.745;66.845,50.915;67.015,50.915;67.015,50.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,45.305;59.025,45.475;59.195,45.475;59.195,45.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,36.805;61.325,36.975;61.495,36.975;61.495,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,37.485;64.085,37.655;64.255,37.655;64.255,37.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,42.245;58.565,42.415;58.735,42.415;58.735,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,45.645;54.425,45.815;54.595,45.815;54.595,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,45.645;55.805,45.815;55.975,45.815;55.975,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,45.645;60.405,45.815;60.575,45.815;60.575,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,42.245;60.405,42.415;60.575,42.415;60.575,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.785,42.245;61.785,42.415;61.955,42.415;61.955,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,42.245;66.385,42.415;66.555,42.415;66.555,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,47.005;56.265,47.175;56.435,47.175;56.435,47.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,47.005;67.305,47.175;67.475,47.175;67.475,47.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,42.585;57.645,42.755;57.815,42.755;57.815,42.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.485,42.585;59.485,42.755;59.655,42.755;59.655,42.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,42.585;65.465,42.755;65.635,42.755;65.635,42.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,47.345;58.565,47.515;58.735,47.515;58.735,47.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,42.585;66.845,42.755;67.015,42.755;67.015,42.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.785,37.145;61.785,37.315;61.955,37.315;61.955,37.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,42.925;56.265,43.095;56.435,43.095;56.435,42.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,42.925;61.325,43.095;61.495,43.095;61.495,42.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,38.845;57.645,39.015;57.815,39.015;57.815,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,43.945;66.385,44.115;66.555,44.115;66.555,43.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,38.845;66.845,39.015;67.015,39.015;67.015,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,40.205;67.305,40.375;67.475,40.375;67.475,40.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,39.185;53.045,39.355;53.215,39.355;53.215,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,47.685;55.805,47.855;55.975,47.855;55.975,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.725,47.685;56.725,47.855;56.895,47.855;56.895,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,47.685;57.645,47.855;57.815,47.855;57.815,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.485,47.685;59.485,47.855;59.655,47.855;59.655,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.865,47.685;60.865,47.855;61.035,47.855;61.035,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,47.685;65.465,47.855;65.635,47.855;65.635,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,41.565;67.305,41.735;67.475,41.735;67.475,41.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.185,44.285;57.185,44.455;57.355,44.455;57.355,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,48.025;53.505,48.195;53.675,48.195;53.675,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,48.025;58.105,48.195;58.275,48.195;58.275,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,48.025;64.085,48.195;64.255,48.195;64.255,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,48.025;66.385,48.195;66.555,48.195;66.555,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,44.285;57.645,44.455;57.815,44.455;57.815,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.245,44.285;62.245,44.455;62.415,44.455;62.415,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.705,44.285;62.705,44.455;62.875,44.455;62.875,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,48.365;53.965,48.535;54.135,48.535;54.135,48.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,44.285;65.465,44.455;65.635,44.455;65.635,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.925,44.285;65.925,44.455;66.095,44.455;66.095,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,49.385;58.105,49.555;58.275,49.555;58.275,49.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,39.185;53.505,39.355;53.675,39.355;53.675,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,39.185;54.425,39.355;54.595,39.355;54.595,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.725,39.185;56.725,39.355;56.895,39.355;56.895,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.185,49.725;57.185,49.895;57.355,49.895;57.355,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,52.105;7.505,52.275;7.675,52.275;7.675,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,52.105;10.725,52.275;10.895,52.275;10.895,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,52.105;13.945,52.275;14.115,52.275;14.115,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,52.105;25.905,52.275;26.075,52.275;26.075,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,52.105;30.045,52.275;30.215,52.275;30.215,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,52.105;32.345,52.275;32.515,52.275;32.515,52.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.225,65.705;22.225,65.875;22.395,65.875;22.395,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,55.165;30.505,55.335;30.675,55.335;30.675,55.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.425,55.165;31.425,55.335;31.595,55.335;31.595,55.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.8,55.395;32.8,55.565;32.97,55.565;32.97,55.395)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.26,55.395;33.26,55.565;33.43,55.565;33.43,55.395)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.49,55.395;36.49,55.565;36.66,55.565;36.66,55.395)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,55.505;23.605,55.675;23.775,55.675;23.775,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,55.505;28.205,55.675;28.375,55.675;28.375,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.815,55.505;33.815,55.675;33.985,55.675;33.985,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,55.505;34.645,55.675;34.815,55.675;34.815,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,55.505;35.105,55.675;35.275,55.675;35.275,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.025,55.505;36.025,55.675;36.195,55.675;36.195,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.115,55.505;37.115,55.675;37.285,55.675;37.285,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.485,55.845;29.485,56.015;29.655,56.015;29.655,55.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,55.845;30.965,56.015;31.135,56.015;31.135,55.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.445,56.185;25.445,56.355;25.615,56.355;25.615,56.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,56.185;28.205,56.355;28.375,56.355;28.375,56.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.525,57.545;24.525,57.715;24.695,57.715;24.695,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.485,57.545;36.485,57.715;36.655,57.715;36.655,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,57.885;33.265,58.055;33.435,58.055;33.435,57.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.065,58.225;24.065,58.395;24.235,58.395;24.235,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,58.225;26.825,58.395;26.995,58.395;26.995,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,58.565;25.905,58.735;26.075,58.735;26.075,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.955,58.565;28.955,58.735;29.125,58.735;29.125,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,58.565;29.585,58.735;29.755,58.735;29.755,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,58.565;30.045,58.735;30.215,58.735;30.215,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,58.565;30.965,58.735;31.135,58.735;31.135,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,58.565;35.105,58.735;35.275,58.735;35.275,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,58.565;35.565,58.735;35.735,58.735;35.735,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,58.565;36.945,58.735;37.115,58.735;37.115,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,58.905;27.745,59.075;27.915,59.075;27.915,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,59.245;32.345,59.415;32.515,59.415;32.515,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.185,59.245;34.185,59.415;34.355,59.415;34.355,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,60.265;32.805,60.435;32.975,60.435;32.975,60.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,60.605;22.685,60.775;22.855,60.775;22.855,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,60.605;35.105,60.775;35.275,60.775;35.275,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.065,60.945;24.065,61.115;24.235,61.115;24.235,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.525,60.945;24.525,61.115;24.695,61.115;24.695,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,60.945;27.745,61.115;27.915,61.115;27.915,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,60.945;29.125,61.115;29.295,61.115;29.295,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,60.945;33.725,61.115;33.895,61.115;33.895,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,60.945;34.645,61.115;34.815,61.115;34.815,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.59,60.945;35.59,61.115;35.76,61.115;35.76,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,61.285;29.585,61.455;29.755,61.455;29.755,61.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,61.285;32.345,61.455;32.515,61.455;32.515,61.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,61.625;30.045,61.795;30.215,61.795;30.215,61.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.485,61.625;36.485,61.795;36.655,61.795;36.655,61.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,62.985;35.565,63.155;35.735,63.155;35.735,62.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,63.325;29.585,63.495;29.755,63.495;29.755,63.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,64.005;22.685,64.175;22.855,64.175;22.855,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,64.005;23.145,64.175;23.315,64.175;23.315,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,64.005;28.665,64.175;28.835,64.175;28.835,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,64.005;32.805,64.175;32.975,64.175;32.975,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,64.005;33.725,64.175;33.895,64.175;33.895,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.67,64.005;34.67,64.175;34.84,64.175;34.84,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,53.465;30.965,53.635;31.135,53.635;31.135,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,53.465;35.105,53.635;35.275,53.635;35.275,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,53.465;36.945,53.635;37.115,53.635;37.115,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,53.805;26.825,53.975;26.995,53.975;26.995,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,53.805;29.125,53.975;29.295,53.975;29.295,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,53.805;30.045,53.975;30.215,53.975;30.215,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.185,64.345;34.185,64.515;34.355,64.515;34.355,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.485,64.345;36.485,64.515;36.655,64.515;36.655,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,52.445;33.265,52.615;33.435,52.615;33.435,52.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,64.685;31.885,64.855;32.055,64.855;32.055,64.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,54.825;30.045,54.995;30.215,54.995;30.215,54.825)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.525,65.705;24.525,65.875;24.695,65.875;24.695,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,65.705;30.505,65.875;30.675,65.875;30.675,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,66.045;24.985,66.215;25.155,66.215;25.155,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,66.045;27.745,66.215;27.915,66.215;27.915,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,66.045;30.045,66.215;30.215,66.215;30.215,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,66.045;35.565,66.215;35.735,66.215;35.735,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,53.125;24.985,53.295;25.155,53.295;25.155,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.365,53.125;26.365,53.295;26.535,53.295;26.535,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,53.125;27.745,53.295;27.915,53.295;27.915,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,53.125;34.645,53.295;34.815,53.295;34.815,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.025,53.125;36.025,53.295;36.195,53.295;36.195,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,66.385;32.805,66.555;32.975,66.555;32.975,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.385,66.385;34.385,66.555;34.555,66.555;34.555,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,66.385;35.105,66.555;35.275,66.555;35.275,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.485,66.385;36.485,66.555;36.655,66.555;36.655,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,66.385;36.945,66.555;37.115,66.555;37.115,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.445,66.725;25.445,66.895;25.615,66.895;25.615,66.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,66.725;28.205,66.895;28.375,66.895;28.375,66.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,66.725;29.125,66.895;29.295,66.895;29.295,66.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,67.065;31.885,67.235;32.055,67.235;32.055,67.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,67.065;33.725,67.235;33.895,67.235;33.895,67.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,67.405;23.605,67.575;23.775,67.575;23.775,67.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,61.965;19.925,62.135;20.095,62.135;20.095,61.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,62.985;7.045,63.155;7.215,63.155;7.215,62.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,58.565;13.945,58.735;14.115,58.735;14.115,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,63.325;17.625,63.495;17.795,63.495;17.795,63.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,63.325;19.925,63.495;20.095,63.495;20.095,63.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.545,53.805;18.545,53.975;18.715,53.975;18.715,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.425,64.005;8.425,64.175;8.595,64.175;8.595,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,64.005;9.345,64.175;9.515,64.175;9.515,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.265,64.005;10.265,64.175;10.435,64.175;10.435,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.185,64.005;11.185,64.175;11.355,64.175;11.355,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,64.005;18.085,64.175;18.255,64.175;18.255,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.585,64.005;20.585,64.175;20.755,64.175;20.755,64.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,53.465;21.765,53.635;21.935,53.635;21.935,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,53.465;16.705,53.635;16.875,53.635;16.875,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,53.465;19.005,53.635;19.175,53.635;19.175,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,55.505;7.045,55.675;7.215,55.675;7.215,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,56.185;14.405,56.355;14.575,56.355;14.575,56.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,55.505;12.105,55.675;12.275,55.675;12.275,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.325,55.505;15.325,55.675;15.495,55.675;15.495,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,58.905;7.505,59.075;7.675,59.075;7.675,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.025,58.905;13.025,59.075;13.195,59.075;13.195,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,58.905;19.005,59.075;19.175,59.075;19.175,58.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,57.545;15.785,57.715;15.955,57.715;15.955,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,59.245;8.885,59.415;9.055,59.415;9.055,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,64.345;7.965,64.515;8.135,64.515;8.135,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.305,64.345;21.305,64.515;21.475,64.515;21.475,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,64.345;21.765,64.515;21.935,64.515;21.935,64.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,59.245;17.165,59.415;17.335,59.415;17.335,59.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,57.545;17.625,57.715;17.795,57.715;17.795,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,57.545;19.465,57.715;19.635,57.715;19.635,57.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,55.505;19.005,55.675;19.175,55.675;19.175,55.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,65.705;19.005,65.875;19.175,65.875;19.175,65.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,60.605;11.645,60.775;11.815,60.775;11.815,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,60.605;19.465,60.775;19.635,60.775;19.635,60.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,53.465;19.925,53.635;20.095,53.635;20.095,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.165,53.125;8.165,53.295;8.335,53.295;8.335,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,53.125;8.885,53.295;9.055,53.295;9.055,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,53.125;9.345,53.295;9.515,53.295;9.515,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.265,53.125;10.265,53.295;10.435,53.295;10.435,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.265,66.045;10.265,66.215;10.435,66.215;10.435,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,66.045;10.725,66.215;10.895,66.215;10.895,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,66.045;14.405,66.215;14.575,66.215;14.575,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,66.045;17.625,66.215;17.795,66.215;17.795,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,66.045;18.085,66.215;18.255,66.215;18.255,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,66.045;20.385,66.215;20.555,66.215;20.555,66.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.805,57.885;9.805,58.055;9.975,58.055;9.975,57.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,60.945;7.045,61.115;7.215,61.115;7.215,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.265,60.945;10.265,61.115;10.435,61.115;10.435,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.025,60.945;13.025,61.115;13.195,61.115;13.195,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.565,53.125;12.565,53.295;12.735,53.295;12.735,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,53.125;14.865,53.295;15.035,53.295;15.035,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,53.125;15.785,53.295;15.955,53.295;15.955,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,53.125;20.385,53.295;20.555,53.295;20.555,53.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.485,60.945;13.485,61.115;13.655,61.115;13.655,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,60.945;17.165,61.115;17.335,61.115;17.335,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,60.945;18.085,61.115;18.255,61.115;18.255,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,60.945;21.765,61.115;21.935,61.115;21.935,60.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,57.885;20.385,58.055;20.555,58.055;20.555,57.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,66.385;7.965,66.555;8.135,66.555;8.135,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,66.385;12.105,66.555;12.275,66.555;12.275,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.325,66.385;15.325,66.555;15.495,66.555;15.495,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,66.385;19.925,66.555;20.095,66.555;20.095,66.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,57.885;21.765,58.055;21.935,58.055;21.935,57.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,55.165;17.625,55.335;17.795,55.335;17.795,55.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.425,58.225;8.425,58.395;8.595,58.395;8.595,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,58.225;9.345,58.395;9.515,58.395;9.515,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,58.225;12.105,58.395;12.275,58.395;12.275,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,66.725;11.645,66.895;11.815,66.895;11.815,66.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,66.725;14.865,66.895;15.035,66.895;15.035,66.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.845,66.725;20.845,66.895;21.015,66.895;21.015,66.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,58.225;14.865,58.395;15.035,58.395;15.035,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.305,58.225;21.305,58.395;21.475,58.395;21.475,58.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,55.165;18.085,55.335;18.255,55.335;18.255,55.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,53.805;12.105,53.975;12.275,53.975;12.275,53.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.565,58.565;12.565,58.735;12.735,58.735;12.735,58.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,67.405;7.045,67.575;7.215,67.575;7.215,67.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,61.965;12.105,62.135;12.275,62.135;12.275,61.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,53.465;14.405,53.635;14.575,53.635;14.575,53.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,40.205;7.505,40.375;7.675,40.375;7.675,40.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,50.065;17.625,50.235;17.795,50.235;17.795,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,36.805;8.885,36.975;9.055,36.975;9.055,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,39.185;8.885,39.355;9.055,39.355;9.055,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,39.185;14.405,39.355;14.575,39.355;14.575,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.325,39.185;15.325,39.355;15.495,39.355;15.495,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,50.065;20.385,50.235;20.555,50.235;20.555,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,37.145;11.645,37.315;11.815,37.315;11.815,37.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,49.385;15.785,49.555;15.955,49.555;15.955,49.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,38.845;15.785,39.015;15.955,39.015;15.955,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,38.845;16.705,39.015;16.875,39.015;16.875,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,41.225;17.165,41.395;17.335,41.395;17.335,41.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,39.185;19.465,39.355;19.635,39.355;19.635,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,47.685;7.965,47.855;8.135,47.855;8.135,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,51.085;7.045,51.255;7.215,51.255;7.215,51.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,37.485;12.105,37.655;12.275,37.655;12.275,37.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.805,44.625;9.805,44.795;9.975,44.795;9.975,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,44.625;11.645,44.795;11.815,44.795;11.815,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.425,51.085;8.425,51.255;8.595,51.255;8.595,51.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.845,39.525;20.845,39.695;21.015,39.695;21.015,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,43.945;8.885,44.115;9.055,44.115;9.055,43.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,43.945;10.725,44.115;10.895,44.115;10.895,43.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,39.185;19.925,39.355;20.095,39.355;20.095,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,44.625;14.865,44.795;15.035,44.795;15.035,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,44.625;16.245,44.795;16.415,44.795;16.415,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,44.625;17.625,44.795;17.795,44.795;17.795,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,44.625;19.465,44.795;19.635,44.795;19.635,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,44.625;20.385,44.795;20.555,44.795;20.555,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.325,47.685;15.325,47.855;15.495,47.855;15.495,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,45.645;7.505,45.815;7.675,45.815;7.675,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,45.645;16.705,45.815;16.875,45.815;16.875,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,47.685;16.705,47.855;16.875,47.855;16.875,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,48.025;7.045,48.195;7.215,48.195;7.215,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,38.845;17.625,39.015;17.795,39.015;17.795,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,38.505;13.945,38.675;14.115,38.675;14.115,38.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.185,49.725;11.185,49.895;11.355,49.895;11.355,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,49.725;14.865,49.895;15.035,49.895;15.035,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.345,49.725;15.345,49.895;15.515,49.895;15.515,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,49.725;19.005,49.895;19.175,49.895;19.175,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,48.025;17.625,48.195;17.795,48.195;17.795,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,42.245;7.505,42.415;7.675,42.415;7.675,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,42.245;18.085,42.415;18.255,42.415;18.255,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,41.565;14.865,41.735;15.035,41.735;15.035,41.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,44.285;19.005,44.455;19.175,44.455;19.175,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.305,44.285;21.305,44.455;21.475,44.455;21.475,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.545,47.685;18.545,47.855;18.715,47.855;18.715,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,50.065;11.645,50.235;11.815,50.235;11.815,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,50.065;12.105,50.235;12.275,50.235;12.275,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,50.405;8.885,50.575;9.055,50.575;9.055,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.425,36.805;8.425,36.975;8.595,36.975;8.595,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,47.005;14.405,47.175;14.575,47.175;14.575,47.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,50.405;19.465,50.575;19.635,50.575;19.635,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,50.065;14.405,50.235;14.575,50.235;14.575,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,50.065;16.705,50.235;16.875,50.235;16.875,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.97,49.385;31.97,49.555;32.14,49.555;32.14,49.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,39.525;28.205,39.695;28.375,39.695;28.375,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,39.525;35.105,39.695;35.275,39.695;35.275,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,39.185;33.725,39.355;33.895,39.355;33.895,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,43.945;23.145,44.115;23.315,44.115;23.315,43.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,43.945;28.665,44.115;28.835,44.115;28.835,43.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,38.845;23.145,39.015;23.315,39.015;23.315,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,36.805;23.605,36.975;23.775,36.975;23.775,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,47.685;33.74,47.855;33.91,47.855;33.91,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.285,48.025;27.285,48.195;27.455,48.195;27.455,48.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,43.945;30.965,44.115;31.135,44.115;31.135,43.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.185,36.805;34.185,36.975;34.355,36.975;34.355,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,41.905;34.645,42.075;34.815,42.075;34.815,41.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.445,38.845;25.445,39.015;25.615,39.015;25.615,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,36.805;35.565,36.975;35.735,36.975;35.735,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,38.845;29.125,39.015;29.295,39.015;29.295,38.845)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.415,47.685;34.415,47.855;34.585,47.855;34.585,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,47.685;35.105,47.855;35.275,47.855;35.275,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.285,36.805;27.285,36.975;27.455,36.975;27.455,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,36.805;28.205,36.975;28.375,36.975;28.375,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,42.585;25.905,42.755;26.075,42.755;26.075,42.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.285,42.585;27.285,42.755;27.455,42.755;27.455,42.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,49.725;22.685,49.895;22.855,49.895;22.855,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,49.725;24.985,49.895;25.155,49.895;25.155,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,49.725;25.905,49.895;26.075,49.895;26.075,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,49.725;30.045,49.895;30.215,49.895;30.215,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,44.625;22.685,44.795;22.855,44.795;22.855,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,44.625;24.985,44.795;25.155,44.795;25.155,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,44.625;28.205,44.795;28.375,44.795;28.375,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,44.625;30.505,44.795;30.675,44.795;30.675,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.425,44.625;31.425,44.795;31.595,44.795;31.595,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,44.625;32.805,44.795;32.975,44.795;32.975,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,44.625;33.265,44.795;33.435,44.795;33.435,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,44.625;34.645,44.795;34.815,44.795;34.815,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,49.725;30.505,49.895;30.675,49.895;30.675,49.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,38.505;24.985,38.675;25.155,38.675;25.155,38.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,50.405;23.145,50.575;23.315,50.575;23.315,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,38.505;30.505,38.675;30.675,38.675;30.675,38.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,38.505;36.945,38.675;37.115,38.675;37.115,38.505)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.065,50.405;24.065,50.575;24.235,50.575;24.235,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,50.405;33.725,50.575;33.895,50.575;33.895,50.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,44.625;35.565,44.795;35.735,44.795;35.735,44.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,39.865;25.905,40.035;26.075,40.035;26.075,39.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,40.205;29.585,40.375;29.755,40.375;29.755,40.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,42.585;31.885,42.755;32.055,42.755;32.055,42.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.025,48.365;36.025,48.535;36.195,48.535;36.195,48.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,44.285;23.605,44.455;23.775,44.455;23.775,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.185,44.285;34.185,44.455;34.355,44.455;34.355,44.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.845,36.805;36.845,36.975;37.015,36.975;37.015,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,36.805;29.125,36.975;29.295,36.975;29.295,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,39.525;23.605,39.695;23.775,39.695;23.775,39.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.525,36.805;24.525,36.975;24.695,36.975;24.695,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,37.145;34.645,37.315;34.815,37.315;34.815,37.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,36.805;30.045,36.975;30.215,36.975;30.215,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,47.345;26.825,47.515;26.995,47.515;26.995,47.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,50.065;26.825,50.235;26.995,50.235;26.995,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,47.345;29.585,47.515;29.755,47.515;29.755,47.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,47.685;25.905,47.855;26.075,47.855;26.075,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,51.085;35.565,51.255;35.735,51.255;35.735,51.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,37.485;32.345,37.655;32.515,37.655;32.515,37.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,45.645;33.265,45.815;33.435,45.815;33.435,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.485,45.645;36.485,45.815;36.655,45.815;36.655,45.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,36.805;33.265,36.975;33.435,36.975;33.435,36.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,50.065;27.745,50.235;27.915,50.235;27.915,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.445,42.245;25.445,42.415;25.615,42.415;25.615,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.365,42.245;26.365,42.415;26.535,42.415;26.535,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,42.245;30.965,42.415;31.135,42.415;31.135,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,42.245;32.805,42.415;32.975,42.415;32.975,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,46.665;32.345,46.835;32.515,46.835;32.515,46.665)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,42.245;33.725,42.415;33.895,42.415;33.895,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,50.065;28.665,50.235;28.835,50.235;28.835,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,50.065;29.125,50.235;29.295,50.235;29.295,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.225,50.065;31.225,50.235;31.395,50.235;31.395,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,50.065;33.265,50.235;33.435,50.235;33.435,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.185,50.065;34.185,50.235;34.355,50.235;34.355,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,47.685;30.965,47.855;31.135,47.855;31.135,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,42.245;35.105,42.415;35.275,42.415;35.275,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,50.065;34.645,50.235;34.815,50.235;34.815,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,50.065;36.945,50.235;37.115,50.235;37.115,50.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.24,47.685;33.24,47.855;33.41,47.855;33.41,47.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,47.005;24.985,47.175;25.155,47.175;25.155,47.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.485,41.225;36.485,41.395;36.655,41.395;36.655,41.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.065,39.185;24.065,39.355;24.235,39.355;24.235,39.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,47.005;30.045,47.175;30.215,47.175;30.215,47.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,42.245;36.945,42.415;37.115,42.415;37.115,42.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.225,17.425;22.225,17.595;22.395,17.595;22.395,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,21.165;16.705,21.335;16.875,21.335;16.875,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,21.165;23.145,21.335;23.315,21.335;23.315,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.445,21.165;25.445,21.335;25.615,21.335;25.615,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,21.165;27.745,21.335;27.915,21.335;27.915,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,21.165;32.345,21.335;32.515,21.335;32.515,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.225,26.265;22.225,26.435;22.395,26.435;22.395,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.225,6.545;22.225,6.715;22.395,6.715;22.395,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,22.525;31.885,22.695;32.055,22.695;32.055,22.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,22.865;28.665,23.035;28.835,23.035;28.835,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,22.865;29.585,23.035;29.755,23.035;29.755,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,22.865;30.045,23.035;30.215,23.035;30.215,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.675,22.865;30.675,23.035;30.845,23.035;30.845,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,22.865;32.805,23.035;32.975,23.035;32.975,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,22.865;33.725,23.035;33.895,23.035;33.895,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,23.205;28.205,23.375;28.375,23.375;28.375,23.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,23.205;34.645,23.375;34.815,23.375;34.815,23.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.025,23.205;36.025,23.375;36.195,23.375;36.195,23.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,24.905;30.045,25.075;30.215,25.075;30.215,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.065,25.585;24.065,25.755;24.235,25.755;24.235,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,25.585;33.725,25.755;33.895,25.755;33.895,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,25.925;26.825,26.095;26.995,26.095;26.995,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,25.925;28.205,26.095;28.375,26.095;28.375,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,25.925;30.045,26.095;30.215,26.095;30.215,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,25.925;31.885,26.095;32.055,26.095;32.055,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,25.925;32.805,26.095;32.975,26.095;32.975,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,25.925;35.565,26.095;35.735,26.095;35.735,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,22.185;33.725,22.355;33.895,22.355;33.895,22.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,26.265;23.145,26.435;23.315,26.435;23.315,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,26.265;24.985,26.435;25.155,26.435;25.155,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,26.265;25.905,26.435;26.075,26.435;26.075,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,27.965;22.685,28.135;22.855,28.135;22.855,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,28.305;23.605,28.475;23.775,28.475;23.775,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.525,28.305;24.525,28.475;24.695,28.475;24.695,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,28.305;29.125,28.475;29.295,28.475;29.295,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,28.305;30.965,28.475;31.135,28.475;31.135,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.425,28.305;31.425,28.475;31.595,28.475;31.595,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,28.645;27.745,28.815;27.915,28.815;27.915,28.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,28.645;28.665,28.815;28.835,28.815;28.835,28.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,28.645;30.045,28.815;30.215,28.815;30.215,28.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,29.325;26.825,29.495;26.995,29.495;26.995,29.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,31.025;23.145,31.195;23.315,31.195;23.315,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.575,31.365;32.575,31.535;32.745,31.535;32.745,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,31.365;33.265,31.535;33.435,31.535;33.435,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,31.705;34.645,31.875;34.815,31.875;34.815,31.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.565,31.705;35.565,31.875;35.735,31.875;35.735,31.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,32.045;30.505,32.215;30.675,32.215;30.675,32.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,32.045;32.805,32.215;32.975,32.215;32.975,32.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.365,33.745;26.365,33.915;26.535,33.915;26.535,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,34.085;22.685,34.255;22.855,34.255;22.855,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,34.085;28.205,34.255;28.375,34.255;28.375,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,34.085;36.945,34.255;37.115,34.255;37.115,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.52,34.765;29.52,34.935;29.69,34.935;29.69,34.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,35.785;22.685,35.955;22.855,35.955;22.855,35.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.365,35.785;26.365,35.955;26.535,35.955;26.535,35.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.065,36.125;24.065,36.295;24.235,36.295;24.235,36.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,36.125;28.205,36.295;28.375,36.295;28.375,36.125)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,22.525;26.825,22.695;26.995,22.695;26.995,22.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,26.265;7.045,26.435;7.215,26.435;7.215,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,26.265;17.625,26.435;17.795,26.435;17.795,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,26.265;18.085,26.435;18.255,26.435;18.255,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,23.205;11.645,23.375;11.815,23.375;11.815,23.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,23.205;17.165,23.375;17.335,23.375;17.335,23.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,22.865;7.965,23.035;8.135,23.035;8.135,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,22.865;8.885,23.035;9.055,23.035;9.055,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.805,27.625;9.805,27.795;9.975,27.795;9.975,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,27.625;15.785,27.795;15.955,27.795;15.955,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,27.625;20.385,27.795;20.555,27.795;20.555,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.845,27.625;20.845,27.795;21.015,27.795;21.015,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,27.965;13.945,28.135;14.115,28.135;14.115,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,27.965;16.245,28.135;16.415,28.135;16.415,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,27.965;19.925,28.135;20.095,28.135;20.095,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,27.965;21.765,28.135;21.935,28.135;21.935,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,22.865;10.725,23.035;10.895,23.035;10.895,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,28.305;7.505,28.475;7.675,28.475;7.675,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,28.305;7.965,28.475;8.135,28.475;8.135,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,28.305;8.885,28.475;9.055,28.475;9.055,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,28.305;12.105,28.475;12.275,28.475;12.275,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.025,28.305;13.025,28.475;13.195,28.475;13.195,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,28.305;14.865,28.475;15.035,28.475;15.035,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,28.305;17.165,28.475;17.335,28.475;17.335,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,28.305;17.625,28.475;17.795,28.475;17.795,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,23.885;7.045,24.055;7.215,24.055;7.215,23.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.805,24.905;9.805,25.075;9.975,25.075;9.975,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,24.905;11.645,25.075;11.815,25.075;11.815,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,24.905;15.785,25.075;15.955,25.075;15.955,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,24.905;19.005,25.075;19.175,25.075;19.175,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,28.645;10.725,28.815;10.895,28.815;10.895,28.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,22.865;12.105,23.035;12.275,23.035;12.275,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.565,25.245;12.565,25.415;12.735,25.415;12.735,25.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.865,25.585;14.865,25.755;15.035,25.755;15.035,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,28.985;11.645,29.155;11.815,29.155;11.815,28.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,28.985;19.005,29.155;19.175,29.155;19.175,28.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.685,22.865;15.685,23.035;15.855,23.035;15.855,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,30.345;7.045,30.515;7.215,30.515;7.215,30.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,30.345;7.965,30.515;8.135,30.515;8.135,30.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,30.345;14.405,30.515;14.575,30.515;14.575,30.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,30.685;12.105,30.855;12.275,30.855;12.275,30.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,30.685;15.785,30.855;15.955,30.855;15.955,30.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,31.025;19.925,31.195;20.095,31.195;20.095,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,31.025;20.385,31.195;20.555,31.195;20.555,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,22.865;16.705,23.035;16.875,23.035;16.875,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.73,31.315;10.73,31.485;10.9,31.485;10.9,31.315)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,31.365;8.885,31.535;9.055,31.535;9.055,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.715,31.365;9.715,31.535;9.885,31.535;9.885,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.565,31.365;12.565,31.535;12.735,31.535;12.735,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.485,31.365;13.485,31.535;13.655,31.535;13.655,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,31.365;16.245,31.535;16.415,31.535;16.415,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,31.365;17.165,31.535;17.335,31.535;17.335,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,31.365;21.765,31.535;21.935,31.535;21.935,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,25.925;7.505,26.095;7.675,26.095;7.675,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.425,25.925;8.425,26.095;8.595,26.095;8.595,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.27,31.475;10.27,31.645;10.44,31.645;10.44,31.475)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,25.925;10.725,26.095;10.895,26.095;10.895,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,25.925;11.645,26.095;11.815,26.095;11.815,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,32.045;17.625,32.215;17.795,32.215;17.795,32.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,32.045;19.465,32.215;19.635,32.215;19.635,32.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.105,25.925;12.105,26.095;12.275,26.095;12.275,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,25.925;13.945,26.095;14.115,26.095;14.115,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,33.065;20.385,33.235;20.555,33.235;20.555,33.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,33.745;17.165,33.915;17.335,33.915;17.335,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,33.745;19.465,33.915;19.635,33.915;19.635,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.845,33.745;20.845,33.915;21.015,33.915;21.015,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,25.925;16.705,26.095;16.875,26.095;16.875,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,34.085;7.505,34.255;7.675,34.255;7.675,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.545,25.925;18.545,26.095;18.715,26.095;18.715,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,25.925;20.385,26.095;20.555,26.095;20.555,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,25.925;21.765,26.095;21.935,26.095;21.935,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.82,34.765;8.82,34.935;8.99,34.935;8.99,34.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,34.765;16.245,34.935;16.415,34.935;16.415,34.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.305,34.765;21.305,34.935;21.475,34.935;21.475,34.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,22.185;19.465,22.355;19.635,22.355;19.635,22.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,35.785;7.505,35.955;7.675,35.955;7.675,35.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.805,35.785;9.805,35.955;9.975,35.955;9.975,35.785)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.185,22.185;11.185,22.355;11.355,22.355;11.355,22.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.485,22.525;13.485,22.695;13.655,22.695;13.655,22.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,22.525;14.405,22.695;14.575,22.695;14.575,22.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,22.185;16.245,22.355;16.415,22.355;16.415,22.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.025,36.465;13.025,36.635;13.195,36.635;13.195,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,36.465;13.945,36.635;14.115,36.635;14.115,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.325,36.465;15.325,36.635;15.495,36.635;15.495,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,22.185;17.625,22.355;17.795,22.355;17.795,22.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.185,20.485;11.185,20.655;11.355,20.655;11.355,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,20.485;11.645,20.655;11.815,20.655;11.815,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.485,20.485;13.485,20.655;13.655,20.655;13.655,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,20.485;14.405,20.655;14.575,20.655;14.575,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,20.485;16.705,20.655;16.875,20.655;16.875,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,20.485;17.625,20.655;17.795,20.655;17.795,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,20.485;19.925,20.655;20.095,20.655;20.095,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,20.485;20.385,20.655;20.555,20.655;20.555,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.305,20.485;21.305,20.655;21.475,20.655;21.475,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,17.425;7.965,17.595;8.135,17.595;8.135,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.185,17.425;11.185,17.595;11.355,17.595;11.355,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,17.425;16.705,17.595;16.875,17.595;16.875,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,17.425;21.765,17.595;21.935,17.595;21.935,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,17.425;7.505,17.595;7.675,17.595;7.675,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,17.765;9.345,17.935;9.515,17.935;9.515,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.025,17.765;13.025,17.935;13.195,17.935;13.195,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,17.765;17.165,17.935;17.335,17.935;17.335,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,17.765;19.005,17.935;19.175,17.935;19.175,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.845,17.765;20.845,17.935;21.015,17.935;21.015,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,18.445;7.505,18.615;7.675,18.615;7.675,18.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.185,18.445;11.185,18.615;11.355,18.615;11.355,18.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,19.465;9.345,19.635;9.515,19.635;9.515,19.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.565,19.465;12.565,19.635;12.735,19.635;12.735,19.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.505,19.805;7.505,19.975;7.675,19.975;7.675,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,19.805;10.725,19.975;10.895,19.975;10.895,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.325,19.805;15.325,19.975;15.495,19.975;15.495,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,19.805;19.005,19.975;19.175,19.975;19.175,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,20.145;8.885,20.315;9.055,20.315;9.055,20.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,20.485;9.345,20.655;9.515,20.655;9.515,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,6.205;16.245,6.375;16.415,6.375;16.415,6.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.165,6.205;17.165,6.375;17.335,6.375;17.335,6.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,6.545;15.785,6.715;15.955,6.715;15.955,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,6.545;18.085,6.715;18.255,6.715;18.255,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,6.545;19.465,6.715;19.635,6.715;19.635,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,6.545;20.385,6.715;20.555,6.715;20.555,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.305,6.545;21.305,6.715;21.475,6.715;21.475,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.265,20.485;10.265,20.655;10.435,20.655;10.435,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.045,6.885;7.045,7.055;7.215,7.055;7.215,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,7.565;20.385,7.735;20.555,7.735;20.555,7.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.385,9.265;20.385,9.435;20.555,9.435;20.555,9.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,9.605;17.625,9.775;17.795,9.775;17.795,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,9.605;19.005,9.775;19.175,9.775;19.175,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,9.945;16.245,10.115;16.415,10.115;16.415,9.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,10.285;7.965,10.455;8.135,10.455;8.135,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,10.285;8.885,10.455;9.055,10.455;9.055,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.085,10.285;18.085,10.455;18.255,10.455;18.255,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,11.305;14.405,11.475;14.575,11.475;14.575,11.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.245,11.305;16.245,11.475;16.415,11.475;16.415,11.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,11.645;9.345,11.815;9.515,11.815;9.515,11.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,11.985;7.965,12.155;8.135,12.155;8.135,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.425,11.985;8.425,12.155;8.595,12.155;8.595,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,11.985;10.725,12.155;10.895,12.155;10.895,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,11.985;11.645,12.155;11.815,12.155;11.815,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.025,11.985;13.025,12.155;13.195,12.155;13.195,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,11.985;13.945,12.155;14.115,12.155;14.115,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,11.985;16.705,12.155;16.875,12.155;16.875,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,11.985;19.465,12.155;19.635,12.155;19.635,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.925,11.985;19.925,12.155;20.095,12.155;20.095,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.805,12.325;9.805,12.495;9.975,12.495;9.975,12.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,12.325;17.625,12.495;17.795,12.495;17.795,12.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.485,13.005;13.485,13.175;13.655,13.175;13.655,13.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.845,13.005;20.845,13.175;21.015,13.175;21.015,13.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.265,14.365;10.265,14.535;10.435,14.535;10.435,14.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.725,14.705;10.725,14.875;10.895,14.875;10.895,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.485,14.705;13.485,14.875;13.655,14.875;13.655,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.465,14.705;19.465,14.875;19.635,14.875;19.635,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.885,15.045;8.885,15.215;9.055,15.215;9.055,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.345,15.045;9.345,15.215;9.515,15.215;9.515,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.565,15.045;12.565,15.215;12.735,15.215;12.735,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.405,15.045;14.405,15.215;14.575,15.215;14.575,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.785,15.045;15.785,15.215;15.955,15.215;15.955,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.625,15.045;17.625,15.215;17.795,15.215;17.795,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.005,15.045;19.005,15.215;19.175,15.215;19.175,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.945,15.385;13.945,15.555;14.115,15.555;14.115,15.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.705,15.385;16.705,15.555;16.875,15.555;16.875,15.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.545,15.385;18.545,15.555;18.715,15.555;18.715,15.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.965,15.725;7.965,15.895;8.135,15.895;8.135,15.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.645,15.725;11.645,15.895;11.815,15.895;11.815,15.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.265,16.745;10.265,16.915;10.435,16.915;10.435,16.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,20.485;23.605,20.655;23.775,20.655;23.775,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,20.485;25.905,20.655;26.075,20.655;26.075,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,10.285;27.745,10.455;27.915,10.455;27.915,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,10.285;30.505,10.455;30.675,10.455;30.675,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,10.285;32.345,10.455;32.515,10.455;32.515,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.125,20.485;29.125,20.655;29.295,20.655;29.295,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,20.485;30.505,20.655;30.675,20.655;30.675,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.945,11.305;36.945,11.475;37.115,11.475;37.115,11.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,20.825;30.965,20.995;31.135,20.995;31.135,20.825)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,11.645;29.585,11.815;29.755,11.815;29.755,11.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.445,5.865;25.445,6.035;25.615,6.035;25.615,5.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,5.865;31.885,6.035;32.055,6.035;32.055,5.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,19.805;28.665,19.975;28.835,19.975;28.835,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,17.765;31.885,17.935;32.055,17.935;32.055,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.525,20.145;24.525,20.315;24.695,20.315;24.695,20.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.045,18.105;30.045,18.275;30.215,18.275;30.215,18.105)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,17.425;28.665,17.595;28.835,17.595;28.835,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,17.425;29.585,17.595;29.755,17.595;29.755,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.345,18.445;32.345,18.615;32.515,18.615;32.515,18.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.285,11.985;27.285,12.155;27.455,12.155;27.455,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.265,18.445;33.265,18.615;33.435,18.615;33.435,18.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,6.545;23.145,6.715;23.315,6.715;23.315,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,12.325;22.685,12.495;22.855,12.495;22.855,12.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.205,12.325;28.205,12.495;28.375,12.495;28.375,12.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,6.545;25.905,6.715;26.075,6.715;26.075,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,6.545;27.745,6.715;27.915,6.715;27.915,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,14.025;29.585,14.195;29.755,14.195;29.755,14.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,14.025;30.505,14.195;30.675,14.195;30.675,14.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,6.545;28.665,6.715;28.835,6.715;28.835,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.185,14.365;34.185,14.535;34.355,14.535;34.355,14.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.965,6.545;30.965,6.715;31.135,6.715;31.135,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,6.545;32.805,6.715;32.975,6.715;32.975,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,6.545;35.105,6.715;35.275,6.715;35.275,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,14.705;23.145,14.875;23.315,14.875;23.315,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.885,14.705;31.885,14.875;32.055,14.875;32.055,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.645,14.705;34.645,14.875;34.815,14.875;34.815,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.985,17.085;24.985,17.255;25.155,17.255;25.155,17.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.365,6.885;26.365,7.055;26.535,7.055;26.535,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,6.885;29.585,7.055;29.755,7.055;29.755,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.485,6.885;36.485,7.055;36.655,7.055;36.655,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.145,17.425;23.145,17.595;23.315,17.595;23.315,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.725,8.585;33.725,8.755;33.895,8.755;33.895,8.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,17.425;23.605,17.595;23.775,17.595;23.775,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,15.045;23.605,15.215;23.775,15.215;23.775,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,15.045;26.825,15.215;26.995,15.215;26.995,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,15.045;27.745,15.215;27.915,15.215;27.915,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.805,15.045;32.805,15.215;32.975,15.215;32.975,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,15.045;35.105,15.215;35.275,15.215;35.275,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.025,15.045;36.025,15.215;36.195,15.215;36.195,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,17.425;25.905,17.595;26.075,17.595;26.075,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.825,17.425;26.825,17.595;26.995,17.595;26.995,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,9.605;29.585,9.775;29.755,9.775;29.755,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.665,15.385;28.665,15.555;28.835,15.555;28.835,15.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.585,15.385;29.585,15.555;29.755,15.555;29.755,15.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.505,9.605;30.505,9.775;30.675,9.775;30.675,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.745,17.765;27.745,17.935;27.915,17.935;27.915,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.905,15.725;25.905,15.895;26.075,15.895;26.075,15.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.605,5.865;23.605,6.035;23.775,6.035;23.775,5.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.685,20.485;22.685,20.655;22.855,20.655;22.855,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.065,16.745;24.065,16.915;24.235,16.915;24.235,16.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.105,16.745;35.105,16.915;35.275,16.915;35.275,16.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,23.205;52.585,23.375;52.755,23.375;52.755,23.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.585,9.945;52.585,10.115;52.755,10.115;52.755,9.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,21.165;48.445,21.335;48.615,21.335;48.615,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,21.165;55.805,21.335;55.975,21.335;55.975,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,21.165;56.265,21.335;56.435,21.335;56.435,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,21.165;58.105,21.335;58.275,21.335;58.275,21.165)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.545,36.465;64.545,36.635;64.715,36.635;64.715,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.625,22.865;63.625,23.035;63.795,23.035;63.795,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.765,22.865;67.765,23.035;67.935,23.035;67.935,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,34.085;59.025,34.255;59.195,34.255;59.195,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.925,23.545;65.925,23.715;66.095,23.715;66.095,23.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,23.885;59.945,24.055;60.115,24.055;60.115,23.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.865,24.905;60.865,25.075;61.035,25.075;61.035,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.785,24.905;61.785,25.075;61.955,25.075;61.955,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,25.245;56.265,25.415;56.435,25.415;56.435,25.245)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,34.425;66.385,34.595;66.555,34.595;66.555,34.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,25.925;59.025,26.095;59.195,26.095;59.195,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.165,25.925;63.165,26.095;63.335,26.095;63.335,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.765,25.925;67.765,26.095;67.935,26.095;67.935,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,26.265;58.565,26.435;58.735,26.435;58.735,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,26.265;66.385,26.435;66.555,26.435;66.555,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.91,26.605;60.91,26.775;61.08,26.775;61.08,26.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,27.625;60.405,27.795;60.575,27.795;60.575,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.625,27.625;63.625,27.795;63.795,27.795;63.795,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.925,27.625;65.925,27.795;66.095,27.795;66.095,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,27.965;61.325,28.135;61.495,28.135;61.495,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.785,28.305;61.785,28.475;61.955,28.475;61.955,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.705,28.305;62.705,28.475;62.875,28.475;62.875,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,28.645;53.045,28.815;53.215,28.815;53.215,28.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,29.325;66.385,29.495;66.555,29.495;66.555,29.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.245,30.345;62.245,30.515;62.415,30.515;62.415,30.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,30.345;66.845,30.515;67.015,30.515;67.015,30.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,30.685;58.105,30.855;58.275,30.855;58.275,30.685)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.405,31.025;54.405,31.195;54.575,31.195;54.575,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,31.025;66.385,31.195;66.555,31.195;66.555,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,31.365;53.505,31.535;53.675,31.535;53.675,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,31.365;53.965,31.535;54.135,31.535;54.135,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.885,31.365;54.885,31.535;55.055,31.535;55.055,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.565,31.365;58.565,31.535;58.735,31.535;58.735,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,31.365;59.025,31.535;59.195,31.535;59.195,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,31.365;60.405,31.535;60.575,31.535;60.575,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,31.365;61.325,31.535;61.495,31.535;61.495,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.625,31.365;63.625,31.535;63.795,31.535;63.795,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,31.705;55.805,31.875;55.975,31.875;55.975,31.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,31.705;59.945,31.875;60.115,31.875;60.115,31.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.925,31.705;65.925,31.875;66.095,31.875;66.095,31.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,22.185;66.845,22.355;67.015,22.355;67.015,22.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,22.525;66.385,22.695;66.555,22.695;66.555,22.525)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.245,36.465;62.245,36.635;62.415,36.635;62.415,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,33.745;59.945,33.915;60.115,33.915;60.115,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,33.745;67.305,33.915;67.475,33.915;67.475,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,36.465;64.085,36.635;64.255,36.635;64.255,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,36.465;40.165,36.635;40.335,36.635;40.335,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,28.305;42.925,28.475;43.095,28.475;43.095,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,28.305;43.845,28.475;44.015,28.475;44.015,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,28.305;44.765,28.475;44.935,28.475;44.935,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,28.305;45.225,28.475;45.395,28.475;45.395,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,28.305;46.605,28.475;46.775,28.475;46.775,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.595,28.305;48.595,28.475;48.765,28.475;48.765,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,28.305;51.205,28.475;51.375,28.475;51.375,28.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,22.865;45.225,23.035;45.395,23.035;45.395,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,22.865;45.685,23.035;45.855,23.035;45.855,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,28.645;51.665,28.815;51.835,28.815;51.835,28.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,25.585;39.705,25.755;39.875,25.755;39.875,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,28.985;42.465,29.155;42.635,29.155;42.635,28.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,29.325;40.165,29.495;40.335,29.495;40.335,29.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,29.325;50.745,29.495;50.915,29.495;50.915,29.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,25.585;42.465,25.755;42.635,25.755;42.635,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,30.345;48.905,30.515;49.075,30.515;49.075,30.345)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,25.585;42.925,25.755;43.095,25.755;43.095,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,25.585;47.525,25.755;47.695,25.755;47.695,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,25.585;48.905,25.755;49.075,25.755;49.075,25.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.785,31.025;38.785,31.195;38.955,31.195;38.955,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,31.025;48.445,31.195;48.615,31.195;48.615,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,31.025;51.205,31.195;51.375,31.195;51.375,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,31.025;51.665,31.195;51.835,31.195;51.835,31.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,22.865;47.525,23.035;47.695,23.035;47.695,22.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,25.925;37.865,26.095;38.035,26.095;38.035,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,31.365;39.705,31.535;39.875,31.535;39.875,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,31.365;43.385,31.535;43.555,31.535;43.555,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.305,31.365;44.305,31.535;44.475,31.535;44.475,31.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,25.925;44.765,26.095;44.935,26.095;44.935,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,25.925;45.685,26.095;45.855,26.095;45.855,25.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.305,36.465;44.305,36.635;44.475,36.635;44.475,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,36.465;48.905,36.635;49.075,36.635;49.075,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,23.205;51.205,23.375;51.375,23.375;51.375,23.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.305,26.265;44.305,26.435;44.475,26.435;44.475,26.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,36.465;49.365,36.635;49.535,36.635;49.535,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.445,22.185;48.445,22.355;48.615,22.355;48.615,22.185)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.005,26.605;42.005,26.775;42.175,26.775;42.175,26.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,23.885;43.385,24.055;43.555,24.055;43.555,23.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,27.625;47.985,27.795;48.155,27.795;48.155,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.745,32.045;50.745,32.215;50.915,32.215;50.915,32.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,33.065;49.825,33.235;49.995,33.235;49.995,33.065)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,33.405;43.385,33.575;43.555,33.575;43.555,33.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,33.405;51.665,33.575;51.835,33.575;51.835,33.405)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,27.625;48.905,27.795;49.075,27.795;49.075,27.625)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,23.885;47.525,24.055;47.695,24.055;47.695,23.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,36.465;37.865,36.635;38.035,36.635;38.035,36.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,33.745;39.705,33.915;39.875,33.915;39.875,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.625,33.745;40.625,33.915;40.795,33.915;40.795,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,33.745;41.085,33.915;41.255,33.915;41.255,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.005,33.745;42.005,33.915;42.175,33.915;42.175,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,33.745;47.525,33.915;47.695,33.915;47.695,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,33.745;47.985,33.915;48.155,33.915;48.155,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,33.745;50.285,33.915;50.455,33.915;50.455,33.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,24.905;40.165,25.075;40.335,25.075;40.335,24.905)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.625,27.965;40.625,28.135;40.795,28.135;40.795,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.545,27.965;41.545,28.135;41.715,28.135;41.715,27.965)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.785,34.085;38.785,34.255;38.955,34.255;38.955,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,34.085;43.845,34.255;44.015,34.255;44.015,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,34.085;46.605,34.255;46.775,34.255;46.775,34.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,9.265;42.465,9.435;42.635,9.435;42.635,9.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.325,15.045;38.325,15.215;38.495,15.215;38.495,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,17.085;49.825,17.255;49.995,17.255;49.995,17.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.245,15.045;39.245,15.215;39.415,15.215;39.415,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,11.985;51.665,12.155;51.835,12.155;51.835,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.785,6.885;38.785,7.055;38.955,7.055;38.955,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,13.005;44.765,13.175;44.935,13.175;44.935,13.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.545,15.045;41.545,15.215;41.715,15.215;41.715,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,10.285;51.665,10.455;51.835,10.455;51.835,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,18.445;47.985,18.615;48.155,18.615;48.155,18.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,18.445;48.905,18.615;49.075,18.615;49.075,18.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,20.485;41.085,20.655;41.255,20.655;41.255,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,14.705;37.865,14.875;38.035,14.875;38.035,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,20.485;43.845,20.655;44.015,20.655;44.015,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,20.485;45.225,20.655;45.395,20.655;45.395,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,20.485;47.525,20.655;47.695,20.655;47.695,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,19.465;44.765,19.635;44.935,19.635;44.935,19.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,17.425;45.225,17.595;45.395,17.595;45.395,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.145,17.425;46.145,17.595;46.315,17.595;46.315,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,6.545;40.165,6.715;40.335,6.715;40.335,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.625,11.985;40.625,12.155;40.795,12.155;40.795,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.865,11.985;37.865,12.155;38.035,12.155;38.035,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,20.485;47.985,20.655;48.155,20.655;48.155,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,20.485;49.825,20.655;49.995,20.655;49.995,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,20.485;50.285,20.655;50.455,20.655;50.455,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.125,19.465;52.125,19.635;52.295,19.635;52.295,19.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,14.705;42.925,14.875;43.095,14.875;43.095,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,14.705;50.285,14.875;50.455,14.875;50.455,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,11.985;46.605,12.155;46.775,12.155;46.775,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.825,11.985;49.825,12.155;49.995,12.155;49.995,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.225,16.745;45.225,16.915;45.395,16.915;45.395,16.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.985,12.325;47.985,12.495;48.155,12.495;48.155,12.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.905,16.745;48.905,16.915;49.075,16.915;49.075,16.745)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,7.565;43.385,7.735;43.555,7.735;43.555,7.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,19.805;42.925,19.975;43.095,19.975;43.095,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,19.805;46.605,19.975;46.775,19.975;46.775,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.785,11.985;38.785,12.155;38.955,12.155;38.955,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.085,9.945;41.085,10.115;41.255,10.115;41.255,9.945)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,11.985;50.285,12.155;50.455,12.155;50.455,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.925,9.265;42.925,9.435;43.095,9.435;43.095,9.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.305,9.265;44.305,9.435;44.475,9.435;44.475,9.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,19.805;49.365,19.975;49.535,19.975;49.535,19.805)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.84,7.565;48.84,7.735;49.01,7.735;49.01,7.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,20.145;39.705,20.315;39.875,20.315;39.875,20.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.205,20.145;51.205,20.315;51.375,20.315;51.375,20.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,11.305;40.165,11.475;40.335,11.475;40.335,11.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.545,11.305;41.545,11.475;41.715,11.475;41.715,11.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.385,11.305;43.385,11.475;43.555,11.475;43.555,11.305)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.685,6.545;45.685,6.715;45.855,6.715;45.855,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,14.025;51.665,14.195;51.835,14.195;51.835,14.025)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.705,6.885;39.705,7.055;39.875,7.055;39.875,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.525,6.885;47.525,7.055;47.695,7.055;47.695,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.845,17.765;43.845,17.935;44.015,17.935;44.015,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.285,17.765;50.285,17.935;50.455,17.935;50.455,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.665,17.765;51.665,17.935;51.835,17.935;51.835,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.765,7.225;44.765,7.395;44.935,7.395;44.935,7.225)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.545,6.545;41.545,6.715;41.715,6.715;41.715,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,7.565;42.465,7.735;42.635,7.735;42.635,7.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.605,6.205;46.605,6.375;46.775,6.375;46.775,6.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.165,15.385;40.165,15.555;40.335,15.555;40.335,15.385)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.065,11.645;47.065,11.815;47.235,11.815;47.235,11.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.365,12.665;49.365,12.835;49.535,12.835;49.535,12.665)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.465,17.085;42.465,17.255;42.635,17.255;42.635,17.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.105,20.485;58.105,20.655;58.275,20.655;58.275,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,20.485;59.025,20.655;59.195,20.655;59.195,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,20.485;60.405,20.655;60.575,20.655;60.575,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,20.485;61.325,20.655;61.495,20.655;61.495,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.625,20.485;63.625,20.655;63.795,20.655;63.795,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.805,9.605;55.805,9.775;55.975,9.775;55.975,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,5.865;56.265,6.035;56.435,6.035;56.435,5.865)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.885,14.705;54.885,14.875;55.055,14.875;55.055,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,11.645;53.045,11.815;53.215,11.815;53.215,11.645)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.345,8.925;55.345,9.095;55.515,9.095;55.515,8.925)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,6.545;67.305,6.715;67.475,6.715;67.475,6.545)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,14.705;60.405,14.875;60.575,14.875;60.575,14.705)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,13.005;60.405,13.175;60.575,13.175;60.575,13.005)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,12.325;61.325,12.495;61.495,12.495;61.495,12.325)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,15.725;53.965,15.895;54.135,15.895;54.135,15.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,17.085;59.945,17.255;60.115,17.255;60.115,17.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.545,20.485;64.545,20.655;64.715,20.655;64.715,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.005,20.485;65.005,20.655;65.175,20.655;65.175,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.545,15.045;64.545,15.215;64.715,15.215;64.715,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.085,17.085;64.085,17.255;64.255,17.255;64.255,17.085)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,18.445;59.025,18.615;59.195,18.615;59.195,18.445)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,10.285;66.385,10.455;66.555,10.455;66.555,10.285)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.705,20.825;62.705,20.995;62.875,20.995;62.875,20.825)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,15.045;65.465,15.215;65.635,15.215;65.635,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.725,15.725;56.725,15.895;56.895,15.895;56.895,15.725)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,7.565;66.385,7.735;66.555,7.735;66.555,7.565)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,15.045;53.505,15.215;53.675,15.215;53.675,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,9.265;57.645,9.435;57.815,9.435;57.815,9.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,15.045;57.645,15.215;57.815,15.215;57.815,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,14.365;59.025,14.535;59.195,14.535;59.195,14.365)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.405,17.425;60.405,17.595;60.575,17.595;60.575,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,9.265;59.025,9.435;59.195,9.435;59.195,9.265)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.045,20.145;53.045,20.315;53.215,20.315;53.215,20.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,15.045;59.025,15.215;59.195,15.215;59.195,15.045)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.945,20.145;59.945,20.315;60.115,20.315;60.115,20.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,20.145;65.465,20.315;65.635,20.315;65.635,20.145)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.385,11.985;66.385,12.155;66.555,12.155;66.555,11.985)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.325,17.425;61.325,17.595;61.495,17.595;61.495,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.545,17.765;64.545,17.935;64.715,17.935;64.715,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.465,17.765;65.465,17.935;65.635,17.935;65.635,17.765)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.305,8.585;67.305,8.755;67.475,8.755;67.475,8.585)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.645,6.885;57.645,7.055;57.815,7.055;57.815,6.885)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.845,19.465;66.845,19.635;67.015,19.635;67.015,19.465)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.245,17.425;62.245,17.595;62.415,17.595;62.415,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.165,17.425;63.165,17.595;63.335,17.595;63.335,17.425)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.505,9.605;53.505,9.775;53.675,9.775;53.675,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,9.605;53.965,9.775;54.135,9.775;54.135,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.025,6.205;59.025,6.375;59.195,6.375;59.195,6.205)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.265,9.605;56.265,9.775;56.435,9.775;56.435,9.605)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.965,20.485;53.965,20.655;54.135,20.655;54.135,20.485)
+
+
+ -
+
+ '67/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.425,20.485;54.425,20.655;54.595,20.655;54.595,20.485)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.105,6.515;40.105,6.56;35.58,6.56;35.58,6.9;33.97,6.9;33.97,6.84;33.65,6.84;33.65,7.1;33.97,7.1;33.97,7.04;35.72,7.04;35.72,6.7;40.105,6.7;40.105,6.745;40.395,6.745;40.395,6.515)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.79,6.84;37.79,7.1;38.11,7.1;38.11,7.04;38.725,7.04;38.725,7.085;39.015,7.085;39.015,6.855;38.725,6.855;38.725,6.9;38.11,6.9;38.11,6.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.525,9.575;29.525,9.805;29.6,9.805;29.6,10.1;32.36,10.1;32.36,10.24;32.27,10.24;32.27,10.5;32.59,10.5;32.59,10.44;43.31,10.44;43.31,10.5;43.63,10.5;43.63,10.24;43.31,10.24;43.31,10.3;32.59,10.3;32.59,10.24;32.5,10.24;32.5,9.96;29.74,9.96;29.74,9.805;29.815,9.805;29.815,9.575)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,11.6;35.95,11.86;36.27,11.86;36.27,11.8;38.8,11.8;38.8,11.955;38.725,11.955;38.725,12.185;39.015,12.185;39.015,11.955;38.94,11.955;38.94,11.66;36.27,11.66;36.27,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,12.28;42.85,12.34;28.435,12.34;28.435,12.295;28.145,12.295;28.145,12.525;28.22,12.525;28.22,12.68;19.25,12.68;19.25,12.62;18.93,12.62;18.93,12.88;19.25,12.88;19.25,12.82;28.36,12.82;28.36,12.525;28.435,12.525;28.435,12.48;42.85,12.48;42.85,12.54;43.17,12.54;43.17,12.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,14.32;39.17,14.38;37.88,14.38;37.88,14.675;37.805,14.675;37.805,14.905;38.095,14.905;38.095,14.675;38.02,14.675;38.02,14.52;39.17,14.52;39.17,14.58;39.49,14.58;39.49,14.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.125,14.335;34.125,14.565;34.415,14.565;34.415,14.52;36.5,14.52;36.5,15.2;38.265,15.2;38.265,15.245;38.555,15.245;38.555,15.015;38.265,15.015;38.265,15.06;36.64,15.06;36.64,14.38;34.415,14.38;34.415,14.335)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,13.98;30.89,14.04;30.735,14.04;30.735,13.995;30.445,13.995;30.445,14.225;30.735,14.225;30.735,14.18;30.89,14.18;30.89,14.24;31.21,14.24;31.21,14.18;39.72,14.18;39.72,14.72;39.26,14.72;39.26,15.015;39.185,15.015;39.185,15.245;39.475,15.245;39.475,15.015;39.4,15.015;39.4,14.86;39.86,14.86;39.86,14.04;31.21,14.04;31.21,13.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.045,15.015;35.045,15.245;35.12,15.245;35.12,15.54;40.105,15.54;40.105,15.585;40.395,15.585;40.395,15.355;40.105,15.355;40.105,15.4;35.26,15.4;35.26,15.245;35.335,15.245;35.335,15.015)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,15.68;40.55,15.74;37.635,15.74;37.635,15.695;37.345,15.695;37.345,15.925;37.635,15.925;37.635,15.88;40.55,15.88;40.55,15.94;40.87,15.94;40.87,15.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,17.04;49.29,17.1;45.24,17.1;45.24,17.395;45.165,17.395;45.165,17.625;45.24,17.625;45.24,18.46;33.97,18.46;33.97,18.4;33.65,18.4;33.65,18.66;33.97,18.66;33.97,18.6;45.38,18.6;45.38,17.625;45.455,17.625;45.455,17.395;45.38,17.395;45.38,17.24;49.29,17.24;49.29,17.3;49.61,17.3;49.61,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,20.1;35.95,20.36;36.27,20.36;36.27,20.3;39.645,20.3;39.645,20.345;39.935,20.345;39.935,20.115;39.645,20.115;39.645,20.16;36.27,20.16;36.27,20.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,19.48;16.72,20.16;10.425,20.16;10.425,19.82;7.735,19.82;7.735,19.775;7.445,19.775;7.445,20.005;7.735,20.005;7.735,19.96;10.285,19.96;10.285,20.3;16.86,20.3;16.86,19.62;41.33,19.62;41.33,20.3;47.54,20.3;47.54,20.455;47.465,20.455;47.465,20.685;47.755,20.685;47.755,20.455;47.68,20.455;47.68,20.16;41.47,20.16;41.47,19.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.39,20.44;42.39,20.5;41.315,20.5;41.315,20.455;41.025,20.455;41.025,20.685;41.1,20.685;41.1,21.18;33.51,21.18;33.51,21.12;33.19,21.12;33.19,21.38;33.51,21.38;33.51,21.32;41.24,21.32;41.24,20.685;41.315,20.685;41.315,20.64;42.39,20.64;42.39,20.7;42.71,20.7;42.71,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.665,22.155;33.665,22.385;33.955,22.385;33.955,22.34;36.5,22.34;36.5,22.68;38.245,22.68;38.245,22.54;36.64,22.54;36.64,22.2;33.955,22.2;33.955,22.155)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,23.16;38.25,23.22;36.255,23.22;36.255,23.175;35.965,23.175;35.965,23.405;36.255,23.405;36.255,23.36;38.25,23.36;38.25,23.42;38.57,23.42;38.57,23.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,25.2;16.17,25.46;16.49,25.46;16.49,25.4;33.28,25.4;33.28,26.08;35.505,26.08;35.505,26.125;35.795,26.125;35.795,25.895;35.72,25.895;35.72,25.74;38.71,25.74;38.71,25.8;39.03,25.8;39.03,25.54;38.71,25.54;38.71,25.6;35.58,25.6;35.58,25.895;35.505,25.895;35.505,25.94;33.42,25.94;33.42,25.26;16.49,25.26;16.49,25.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.805,25.895;37.805,26.125;37.88,26.125;37.88,26.42;44.245,26.42;44.245,26.465;44.535,26.465;44.535,26.235;44.245,26.235;44.245,26.28;38.02,26.28;38.02,26.125;38.095,26.125;38.095,25.895)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.245,31.335;44.245,31.565;44.32,31.565;44.32,31.72;37.65,31.72;37.65,31.66;37.33,31.66;37.33,31.72;35.795,31.72;35.795,31.675;35.505,31.675;35.505,31.905;35.795,31.905;35.795,31.86;37.33,31.86;37.33,31.92;37.65,31.92;37.65,31.86;44.46,31.86;44.46,31.565;44.535,31.565;44.535,31.335)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,33.36;38.71,33.62;38.8,33.62;38.8,33.76;36.27,33.76;36.27,33.7;35.95,33.7;35.95,33.96;36.27,33.96;36.27,33.9;39.645,33.9;39.645,33.945;39.935,33.945;39.935,33.9;40.09,33.9;40.09,33.96;40.41,33.96;40.41,33.7;40.09,33.7;40.09,33.76;39.935,33.76;39.935,33.715;39.645,33.715;39.645,33.76;38.94,33.76;38.94,33.62;39.03,33.62;39.03,33.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,34.04;38.25,34.1;37.175,34.1;37.175,34.055;36.885,34.055;36.885,34.285;37.175,34.285;37.175,34.24;38.25,34.24;38.25,34.3;38.57,34.3;38.57,34.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,36.14;37.88,36.435;37.805,36.435;37.805,36.665;38.095,36.665;38.095,36.435;38.02,36.435;38.02,36.28;40.18,36.28;40.18,36.435;40.105,36.435;40.105,36.665;40.395,36.665;40.395,36.62;41.93,36.62;41.93,36.68;42.25,36.68;42.25,36.42;41.93,36.42;41.93,36.48;40.395,36.48;40.395,36.435;40.32,36.435;40.32,36.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.265,36.775;38.265,37.005;38.34,37.005;38.34,37.3;42.62,37.3;42.62,37.005;42.48,37.005;42.48,37.16;38.48,37.16;38.48,37.005;38.555,37.005;38.555,36.775)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.25,37.1;15.25,37.16;11.875,37.16;11.875,37.115;11.585,37.115;11.585,37.345;11.875,37.345;11.875,37.3;15.25,37.3;15.25,37.36;15.57,37.36;15.57,37.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,36.76;27.67,37.02;27.99,37.02;27.99,36.96;28.145,36.96;28.145,37.005;28.22,37.005;28.22,37.3;33.65,37.3;33.65,37.36;33.97,37.36;33.97,37.1;33.65,37.1;33.65,37.16;28.36,37.16;28.36,37.005;28.435,37.005;28.435,36.775;28.145,36.775;28.145,36.82;27.99,36.82;27.99,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.125,36.775;34.125,37.005;34.2,37.005;34.2,37.3;34.57,37.3;34.57,37.36;34.89,37.36;34.89,37.1;34.57,37.1;34.57,37.16;34.34,37.16;34.34,37.005;34.415,37.005;34.415,36.775)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.785,36.775;36.785,37.005;37.075,37.005;37.075,36.96;37.88,36.96;37.88,37.1;37.79,37.1;37.79,37.36;38.11,37.36;38.11,37.1;38.02,37.1;38.02,36.82;37.075,36.82;37.075,36.775)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,37.1;60.33,37.36;60.65,37.36;60.65,37.3;61.725,37.3;61.725,37.345;62.015,37.345;62.015,37.115;61.725,37.115;61.725,37.16;60.65,37.16;60.65,37.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.43,36.42;30.43,36.48;24.54,36.48;24.54,36.775;24.465,36.775;24.465,36.82;24.08,36.82;24.08,37.5;18.79,37.5;18.79,37.44;18.47,37.44;18.47,37.7;18.79,37.7;18.79,37.64;24.22,37.64;24.22,36.96;24.465,36.96;24.465,37.005;24.755,37.005;24.755,36.775;24.68,36.775;24.68,36.62;30.43,36.62;30.43,36.68;30.75,36.68;30.75,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.085,36.775;46.085,37.005;46.16,37.005;46.16,37.5;40.87,37.5;40.87,37.44;40.55,37.44;40.55,37.7;40.87,37.7;40.87,37.64;46.3,37.64;46.3,37.005;46.375,37.005;46.375,36.775)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.25,38.46;61.25,38.52;57.2,38.52;57.2,38.86;29.355,38.86;29.355,38.815;29.065,38.815;29.065,38.86;27.53,38.86;27.53,38.8;27.21,38.8;27.21,39.06;27.53,39.06;27.53,39;29.065,39;29.065,39.045;29.355,39.045;29.355,39;57.34,39;57.34,38.66;61.25,38.66;61.25,38.72;61.57,38.72;61.57,38.66;62.03,38.66;62.03,39;66.785,39;66.785,39.045;67.075,39.045;67.075,38.815;66.785,38.815;66.785,38.86;62.17,38.86;62.17,38.52;61.57,38.52;61.57,38.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.665,39.155;33.665,39.385;33.74,39.385;33.74,40.02;38.94,40.02;38.94,39.74;39.03,39.74;39.03,39.68;39.645,39.68;39.645,39.725;39.935,39.725;39.935,39.495;39.645,39.495;39.645,39.54;39.03,39.54;39.03,39.48;38.71,39.48;38.71,39.74;38.8,39.74;38.8,39.88;33.88,39.88;33.88,39.385;33.955,39.385;33.955,39.155)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,41.18;38.25,41.24;36.715,41.24;36.715,41.195;36.425,41.195;36.425,41.425;36.715,41.425;36.715,41.38;38.25,41.38;38.25,41.44;38.57,41.44;38.57,41.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.07,41.52;46.07,41.58;33.74,41.58;33.74,42.215;33.665,42.215;33.665,42.445;33.955,42.445;33.955,42.215;33.88,42.215;33.88,41.72;46.07,41.72;46.07,41.78;46.39,41.78;46.39,41.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,42.2;39.17,42.26;37.175,42.26;37.175,42.215;36.885,42.215;36.885,42.445;37.175,42.445;37.175,42.4;39.17,42.4;39.17,42.46;39.49,42.46;39.49,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,42.54;40.55,42.6;35.12,42.6;35.12,42.94;33.05,42.94;33.05,42.88;32.73,42.88;32.73,42.94;27.99,42.94;27.99,42.88;27.67,42.88;27.67,43.14;27.99,43.14;27.99,43.08;32.73,43.08;32.73,43.14;33.05,43.14;33.05,43.08;35.26,43.08;35.26,42.74;40.55,42.74;40.55,42.8;40.87,42.8;40.87,42.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.79,42.88;37.79,43.14;38.11,43.14;38.11,43.08;46.53,43.08;46.53,43.14;46.85,43.14;46.85,42.88;46.53,42.88;46.53,42.94;38.11,42.94;38.11,42.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.79,44.24;37.79,44.5;38.11,44.5;38.11,44.44;38.265,44.44;38.265,44.485;38.555,44.485;38.555,44.255;38.265,44.255;38.265,44.3;38.11,44.3;38.11,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,43.9;45.61,43.96;31.195,43.96;31.195,43.915;30.905,43.915;30.905,44.145;31.195,44.145;31.195,44.1;35.58,44.1;35.58,44.3;34.685,44.3;34.685,44.595;34.585,44.595;34.585,44.825;34.875,44.825;34.875,44.595;34.825,44.595;34.825,44.44;35.72,44.44;35.72,44.1;45.61,44.1;45.61,44.16;45.93,44.16;45.93,43.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,44.92;35.03,45.18;35.35,45.18;35.35,45.12;40.105,45.12;40.105,45.165;40.395,45.165;40.395,44.935;40.105,44.935;40.105,44.98;35.35,44.98;35.35,44.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.705,44.255;44.705,44.3;42.48,44.3;42.48,45.32;34.8,45.32;34.8,44.98;33.42,44.98;33.42,44.825;33.495,44.825;33.495,44.595;33.205,44.595;33.205,44.825;33.28,44.825;33.28,45.12;34.66,45.12;34.66,45.46;43.31,45.46;43.31,45.52;43.63,45.52;43.63,45.26;43.31,45.26;43.31,45.32;42.62,45.32;42.62,44.44;44.705,44.44;44.705,44.485;44.995,44.485;44.995,44.44;49.305,44.44;49.305,44.485;49.595,44.485;49.595,44.255;49.305,44.255;49.305,44.3;44.995,44.3;44.995,44.255)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,47.64;38.25,47.7;38.095,47.7;38.095,47.655;37.805,47.655;37.805,47.885;38.095,47.885;38.095,47.84;38.25,47.84;38.25,47.9;38.57,47.9;38.57,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.19,46.62;33.19,46.88;33.51,46.88;33.51,46.82;38.34,46.82;38.34,47.16;39.72,47.16;39.72,47.5;40.64,47.5;40.64,47.64;40.55,47.64;40.55,47.9;40.87,47.9;40.87,47.84;41.535,47.84;41.535,47.9;41.9,47.9;41.9,47.945;42.19,47.945;42.19,47.715;41.9,47.715;41.9,47.76;41.675,47.76;41.675,47.7;40.87,47.7;40.87,47.64;40.78,47.64;40.78,47.36;39.86,47.36;39.86,47.02;38.48,47.02;38.48,46.68;33.51,46.68;33.51,46.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,50.36;38.25,50.42;33.955,50.42;33.955,50.375;33.665,50.375;33.665,50.605;33.955,50.605;33.955,50.56;38.25,50.56;38.25,50.62;38.34,50.62;38.34,50.9;40.32,50.9;40.32,50.56;42.39,50.56;42.39,50.62;42.71,50.62;42.71,50.36;42.39,50.36;42.39,50.42;40.18,50.42;40.18,50.76;38.48,50.76;38.48,50.62;38.57,50.62;38.57,50.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.87,52.4;36.87,52.66;37.19,52.66;37.19,52.6;41.93,52.6;41.93,52.66;42.25,52.66;42.25,52.4;41.93,52.4;41.93,52.46;37.19,52.46;37.19,52.4)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.265,52.075;38.265,52.12;32.82,52.12;32.82,52.8;29.83,52.8;29.83,52.74;29.51,52.74;29.51,53;29.83,53;29.83,52.94;32.96,52.94;32.96,52.26;38.265,52.26;38.265,52.305;38.555,52.305;38.555,52.075)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.205,52.415;33.205,52.645;33.28,52.645;33.28,52.94;34.11,52.94;34.11,53;34.43,53;34.43,52.94;35.49,52.94;35.49,53;35.81,53;35.81,52.94;36.41,52.94;36.41,53;36.73,53;36.73,52.94;41.535,52.94;41.535,53.095;41.485,53.095;41.485,53.325;41.775,53.325;41.775,53.095;41.675,53.095;41.675,52.8;36.73,52.8;36.73,52.74;36.41,52.74;36.41,52.8;35.81,52.8;35.81,52.74;35.49,52.74;35.49,52.8;34.43,52.8;34.43,52.74;34.11,52.74;34.11,52.8;33.42,52.8;33.42,52.645;33.495,52.645;33.495,52.415)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,53.08;38.25,53.14;36.255,53.14;36.255,53.095;35.965,53.095;35.965,53.325;36.255,53.325;36.255,53.28;38.25,53.28;38.25,53.34;38.57,53.34;38.57,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.105,53.435;40.105,53.665;40.18,53.665;40.18,53.82;31.67,53.82;31.67,53.76;31.35,53.76;31.35,54.02;31.67,54.02;31.67,53.96;40.32,53.96;40.32,53.665;40.395,53.665;40.395,53.435)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,54.78;33.65,55.04;33.97,55.04;33.97,54.98;39.185,54.98;39.185,55.025;39.475,55.025;39.475,54.98;39.63,54.98;39.63,55.04;39.95,55.04;39.95,54.78;39.63,54.78;39.63,54.84;39.475,54.84;39.475,54.795;39.185,54.795;39.185,54.84;33.97,54.84;33.97,54.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,54.78;45.15,54.84;40.18,54.84;40.18,55.18;38.11,55.18;38.11,55.12;37.79,55.12;37.79,55.38;38.11,55.38;38.11,55.32;40.32,55.32;40.32,54.98;45.15,54.98;45.15,55.04;45.47,55.04;45.47,54.98;53.43,54.98;53.43,55.04;53.75,55.04;53.75,54.78;53.43,54.78;53.43,54.84;45.47,54.84;45.47,54.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,55.12;49.75,55.18;41.56,55.18;41.56,55.52;40.41,55.52;40.41,55.46;40.09,55.46;40.09,55.52;37.345,55.52;37.345,55.475;37.055,55.475;37.055,55.705;37.345,55.705;37.345,55.66;40.09,55.66;40.09,55.72;40.41,55.72;40.41,55.66;41.7,55.66;41.7,55.32;49.75,55.32;49.75,55.38;50.07,55.38;50.07,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.79,55.8;37.79,56.06;38.11,56.06;38.11,56;40.565,56;40.565,56.045;40.855,56.045;40.855,55.815;40.565,55.815;40.565,55.86;38.11,55.86;38.11,55.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,57.5;32.27,57.76;32.59,57.76;32.59,57.7;36.425,57.7;36.425,57.745;36.715,57.745;36.715,57.7;36.87,57.7;36.87,57.76;37.19,57.76;37.19,57.7;41.93,57.7;41.93,57.76;42.25,57.76;42.25,57.5;41.93,57.5;41.93,57.56;37.19,57.56;37.19,57.5;36.87,57.5;36.87,57.56;36.715,57.56;36.715,57.515;36.425,57.515;36.425,57.56;32.59,57.56;32.59,57.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.01,57.84;41.01,57.9;35.58,57.9;35.58,58.535;35.505,58.535;35.505,58.765;35.795,58.765;35.795,58.535;35.72,58.535;35.72,58.04;41.01,58.04;41.01,58.1;41.33,58.1;41.33,57.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.87,58.52;36.87,58.58;36.675,58.58;36.675,58.72;36.87,58.72;36.87,58.78;37.19,58.78;37.19,58.72;38.25,58.72;38.25,58.78;38.57,58.78;38.57,58.52;38.25,58.52;38.25,58.58;37.19,58.58;37.19,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.97,58.52;29.97,58.78;30.06,58.78;30.06,59.06;35.12,59.06;35.12,59.4;39.17,59.4;39.17,59.46;39.49,59.46;39.49,59.4;41.47,59.4;41.47,59.06;42.62,59.06;42.62,58.765;42.695,58.765;42.695,58.535;42.405,58.535;42.405,58.765;42.48,58.765;42.48,58.92;41.33,58.92;41.33,59.26;39.49,59.26;39.49,59.2;39.17,59.2;39.17,59.26;35.26,59.26;35.26,58.765;35.335,58.765;35.335,58.535;35.045,58.535;35.045,58.765;35.12,58.765;35.12,58.92;30.2,58.92;30.2,58.78;30.29,58.78;30.29,58.72;30.485,58.72;30.485,58.58;30.29,58.58;30.29,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,60.22;32.73,60.28;32.535,60.28;32.535,60.42;32.73,60.42;32.73,60.48;33.05,60.48;33.05,60.42;35.12,60.42;35.12,60.56;35.03,60.56;35.03,60.62;34.595,60.62;34.595,60.76;35.03,60.76;35.03,60.82;35.35,60.82;35.35,60.56;35.26,60.56;35.26,60.42;37.88,60.42;37.88,60.76;40.55,60.76;40.55,60.82;40.87,60.82;40.87,60.76;41.025,60.76;41.025,60.805;41.315,60.805;41.315,60.575;41.025,60.575;41.025,60.62;40.87,60.62;40.87,60.56;40.55,60.56;40.55,60.62;38.02,60.62;38.02,60.28;33.05,60.28;33.05,60.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.01,60.9;64.01,60.96;60.175,60.96;60.175,60.915;59.885,60.915;59.885,61.145;59.96,61.145;59.96,61.64;36.715,61.64;36.715,61.595;36.425,61.595;36.425,61.825;36.715,61.825;36.715,61.78;60.1,61.78;60.1,61.145;60.175,61.145;60.175,61.1;64.01,61.1;64.01,61.16;64.33,61.16;64.33,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,61.92;38.25,61.98;38.055,61.98;38.055,62.12;38.25,62.12;38.25,62.18;38.57,62.18;38.57,61.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.15,62.94;22.15,63.2;22.47,63.2;22.47,63.14;35.12,63.14;35.12,63.48;42.39,63.48;42.39,63.54;42.71,63.54;42.71,63.48;44.69,63.48;44.69,63.54;45.01,63.54;45.01,63.28;44.69,63.28;44.69,63.34;42.71,63.34;42.71,63.28;42.39,63.28;42.39,63.34;35.26,63.34;35.26,63;22.47,63;22.47,62.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.505,62.955;35.505,63.185;35.795,63.185;35.795,63.14;55.36,63.14;55.36,63.48;59.5,63.48;59.5,64.02;57.875,64.02;57.875,63.975;57.585,63.975;57.585,64.205;57.875,64.205;57.875,64.16;60.79,64.16;60.79,64.22;61.11,64.22;61.11,63.96;60.79,63.96;60.79,64.02;59.64,64.02;59.64,63.34;55.5,63.34;55.5,63;35.795,63;35.795,62.955)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,65.66;38.25,65.72;30.735,65.72;30.735,65.675;30.445,65.675;30.445,65.905;30.735,65.905;30.735,65.86;38.25,65.86;38.25,65.92;38.57,65.92;38.57,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,66.34;38.71,66.4;37.175,66.4;37.175,66.355;36.885,66.355;36.885,66.585;37.175,66.585;37.175,66.54;38.71,66.54;38.71,66.6;39.03,66.6;39.03,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.49,66.68;35.49,66.94;35.81,66.94;35.81,66.88;41.01,66.88;41.01,66.94;41.33,66.94;41.33,66.68;41.01,66.68;41.01,66.74;35.81,66.74;35.81,66.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,67.02;45.15,67.08;42.94,67.08;42.94,67.42;40.32,67.42;40.32,67.08;33.955,67.08;33.955,67.035;33.665,67.035;33.665,67.265;33.955,67.265;33.955,67.22;40.18,67.22;40.18,67.56;43.08,67.56;43.08,67.22;45.15,67.22;45.15,67.28;45.47,67.28;45.47,67.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.37,68.38;25.37,68.64;25.69,68.64;25.69,68.58;40.55,68.58;40.55,68.64;40.87,68.64;40.87,68.38;40.55,68.38;40.55,68.44;25.69,68.44;25.69,68.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,68.38;7.89,68.64;8.21,68.64;8.21,68.58;24.54,68.58;24.54,69.26;56.19,69.26;56.19,69.32;56.51,69.32;56.51,69.06;56.19,69.06;56.19,69.12;24.68,69.12;24.68,68.44;8.21,68.44;8.21,68.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.425,42.555;59.425,42.785;59.5,42.785;59.5,42.94;58.81,42.94;58.81,42.88;58.49,42.88;58.49,42.94;57.34,42.94;57.34,42.6;55.36,42.6;55.36,42.94;48.23,42.94;48.23,42.88;47.91,42.88;47.91,43.14;48.23,43.14;48.23,43.08;55.5,43.08;55.5,42.74;57.2,42.74;57.2,43.08;58.49,43.08;58.49,43.14;58.81,43.14;58.81,43.08;59.64,43.08;59.64,42.785;59.715,42.785;59.715,42.555)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.35,44.24;54.35,44.5;54.67,44.5;54.67,44.44;57.125,44.44;57.125,44.485;57.415,44.485;57.415,44.255;57.125,44.255;57.125,44.3;54.67,44.3;54.67,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.65,43.9;56.65,43.96;53.98,43.96;53.98,44.3;52.815,44.3;52.815,44.255;52.525,44.255;52.525,44.3;51.45,44.3;51.45,44.24;51.13,44.24;51.13,44.3;49.84,44.3;49.84,44.595;49.765,44.595;49.765,44.825;50.055,44.825;50.055,44.595;49.98,44.595;49.98,44.44;51.13,44.44;51.13,44.5;51.45,44.5;51.45,44.44;52.525,44.44;52.525,44.485;52.815,44.485;52.815,44.44;54.12,44.44;54.12,44.1;56.65,44.1;56.65,44.16;56.97,44.16;56.97,43.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.205,44.595;56.205,44.825;56.28,44.825;56.28,44.98;54.67,44.98;54.67,44.92;54.35,44.92;54.35,45.18;54.67,45.18;54.67,45.12;56.42,45.12;56.42,44.825;56.495,44.825;56.495,44.595)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.845,44.595;48.845,44.825;48.92,44.825;48.92,45.26;48.83,45.26;48.83,45.32;48.395,45.32;48.395,45.46;48.83,45.46;48.83,45.52;49.15,45.52;49.15,45.46;58.03,45.46;58.03,45.52;58.35,45.52;58.35,45.26;58.03,45.26;58.03,45.32;49.15,45.32;49.15,45.26;49.06,45.26;49.06,44.825;49.135,44.825;49.135,44.595)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.6,47.36;52.6,47.655;52.525,47.655;52.525,47.7;52.37,47.7;52.37,47.64;52.05,47.64;52.05,47.9;52.37,47.9;52.37,47.84;52.525,47.84;52.525,47.885;52.815,47.885;52.815,47.655;52.74,47.655;52.74,47.5;57.66,47.5;57.66,47.655;57.585,47.655;57.585,47.885;57.875,47.885;57.875,47.655;57.8,47.655;57.8,47.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.67,48.32;50.67,48.58;50.99,48.58;50.99,48.52;53.89,48.52;53.89,48.58;54.21,48.58;54.21,48.52;56.19,48.52;56.19,48.58;56.51,48.58;56.51,48.32;56.19,48.32;56.19,48.38;54.21,48.38;54.21,48.32;53.89,48.32;53.89,48.38;50.99,48.38;50.99,48.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,49.34;52.97,49.6;53.29,49.6;53.29,49.54;58.045,49.54;58.045,49.585;58.335,49.585;58.335,49.355;58.045,49.355;58.045,49.4;53.29,49.4;53.29,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,50.02;55.27,50.08;55.075,50.08;55.075,50.22;55.27,50.22;55.27,50.28;55.59,50.28;55.59,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.065,49.695;52.065,49.925;52.355,49.925;52.355,49.88;54.44,49.88;54.44,50.56;54.825,50.56;54.825,50.605;55.115,50.605;55.115,50.56;58.95,50.56;58.95,50.62;59.27,50.62;59.27,50.36;58.95,50.36;58.95,50.42;55.115,50.42;55.115,50.375;54.825,50.375;54.825,50.42;54.58,50.42;54.58,49.74;52.355,49.74;52.355,49.695)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,52.74;49.29,53;49.61,53;49.61,52.94;50.685,52.94;50.685,52.985;50.975,52.985;50.975,52.94;56.19,52.94;56.19,53;56.51,53;56.51,52.74;56.19,52.74;56.19,52.8;50.975,52.8;50.975,52.755;50.685,52.755;50.685,52.8;49.61,52.8;49.61,52.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.63,53.42;39.63,53.48;39.435,53.48;39.435,53.62;39.63,53.62;39.63,53.68;39.95,53.68;39.95,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.225,53.095;50.225,53.14;49.84,53.14;49.84,53.435;49.765,53.435;49.765,53.48;48.69,53.48;48.69,53.42;48.37,53.42;48.37,53.68;48.69,53.68;48.69,53.62;49.765,53.62;49.765,53.665;50.055,53.665;50.055,53.435;49.98,53.435;49.98,53.28;50.225,53.28;50.225,53.325;50.515,53.325;50.515,53.28;52.985,53.28;52.985,53.325;53.275,53.325;53.275,53.095;52.985,53.095;52.985,53.14;50.515,53.14;50.515,53.095)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,53.42;53.43,53.68;53.75,53.68;53.75,53.62;53.905,53.62;53.905,53.665;54.195,53.665;54.195,53.435;53.905,53.435;53.905,53.48;53.75,53.48;53.75,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.425,53.095;59.425,53.325;59.5,53.325;59.5,53.62;61.25,53.62;61.25,53.68;61.57,53.68;61.57,53.42;61.25,53.42;61.25,53.48;59.64,53.48;59.64,53.325;59.715,53.325;59.715,53.095)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.205,53.435;56.205,53.665;56.495,53.665;56.495,53.62;58.58,53.62;58.58,53.96;67.46,53.96;67.46,53.665;67.535,53.665;67.535,53.435;67.245,53.435;67.245,53.665;67.32,53.665;67.32,53.82;58.72,53.82;58.72,53.48;56.495,53.48;56.495,53.435)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.77,53.42;43.77,53.68;44.09,53.68;44.09,53.62;45.24,53.62;45.24,53.96;47.005,53.96;47.005,54.005;47.295,54.005;47.295,53.775;47.005,53.775;47.005,53.82;45.38,53.82;45.38,53.48;44.09,53.48;44.09,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.07,53.42;46.07,53.68;46.39,53.68;46.39,53.62;47.54,53.62;47.54,53.96;50.44,53.96;50.44,53.62;52.6,53.62;52.6,53.96;57.96,53.96;57.96,54.005;58.25,54.005;58.25,53.775;57.96,53.775;57.96,53.82;52.74,53.82;52.74,53.48;50.3,53.48;50.3,53.82;47.68,53.82;47.68,53.48;46.39,53.48;46.39,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.945,53.095;41.945,53.325;42.02,53.325;42.02,53.76;41.93,53.76;41.93,54.02;42.25,54.02;42.25,53.76;42.16,53.76;42.16,53.325;42.235,53.325;42.235,53.095)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.63,54.78;62.63,54.84;57.66,54.84;57.66,55.18;55.13,55.18;55.13,55.12;54.81,55.12;54.81,55.38;55.13,55.38;55.13,55.32;57.66,55.32;57.66,55.52;57.185,55.52;57.185,55.475;56.895,55.475;56.895,55.705;57.185,55.705;57.185,55.66;57.8,55.66;57.8,54.98;62.63,54.98;62.63,55.04;62.95,55.04;62.95,54.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,55.8;55.27,55.86;55.075,55.86;55.075,56;55.27,56;55.27,56.06;55.59,56.06;55.59,55.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.47,55.475;53.47,55.705;53.76,55.705;53.76,55.63;54.44,55.63;54.44,55.66;56.28,55.66;56.28,56;67.69,56;67.69,56.06;68.01,56.06;68.01,55.8;67.69,55.8;67.69,55.86;56.42,55.86;56.42,55.52;54.58,55.52;54.58,55.49;53.76,55.49;53.76,55.475)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.745,55.815;55.745,56.045;55.82,56.045;55.82,56.2;53.75,56.2;53.75,56.14;53.43,56.14;53.43,56.4;53.75,56.4;53.75,56.34;55.96,56.34;55.96,56.045;56.035,56.045;56.035,55.815)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.19,56.48;56.19,56.54;54.655,56.54;54.655,56.495;54.365,56.495;54.365,56.725;54.655,56.725;54.655,56.68;56.19,56.68;56.19,56.74;56.51,56.74;56.51,56.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.65,58.18;56.65,58.24;51.435,58.24;51.435,58.195;51.145,58.195;51.145,58.425;51.435,58.425;51.435,58.38;56.65,58.38;56.65,58.44;56.97,58.44;56.97,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.07,57.84;46.07,58.1;46.39,58.1;46.39,58.04;49.84,58.04;49.84,58.38;50.76,58.38;50.76,58.72;55.285,58.72;55.285,58.765;55.575,58.765;55.575,58.535;55.285,58.535;55.285,58.58;50.9,58.58;50.9,58.24;49.98,58.24;49.98,57.9;46.39,57.9;46.39,57.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.11,60.22;57.11,60.28;55.36,60.28;55.36,60.62;52.74,60.62;52.74,60.28;44.78,60.28;44.78,60.96;44.075,60.96;44.075,60.915;43.785,60.915;43.785,61.145;44.075,61.145;44.075,61.1;44.92,61.1;44.92,60.42;52.6,60.42;52.6,60.76;55.5,60.76;55.5,60.42;57.11,60.42;57.11,60.48;57.43,60.48;57.43,60.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,60.56;60.33,60.62;56.74,60.62;56.74,60.96;56.51,60.96;56.51,60.9;56.19,60.9;56.19,60.96;55.115,60.96;55.115,60.915;54.825,60.915;54.825,61.145;55.115,61.145;55.115,61.1;56.19,61.1;56.19,61.16;56.51,61.16;56.51,61.1;56.88,61.1;56.88,60.76;60.33,60.76;60.33,60.82;60.65,60.82;60.65,60.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.65,61.24;56.65,61.3;54.195,61.3;54.195,61.255;53.905,61.255;53.905,61.485;54.195,61.485;54.195,61.44;56.65,61.44;56.65,61.5;56.97,61.5;56.97,61.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,61.92;53.43,62.18;53.75,62.18;53.75,62.12;59.87,62.12;59.87,62.18;60.19,62.18;60.19,61.92;59.87,61.92;59.87,61.98;53.75,61.98;53.75,61.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.95,63.62;58.95,63.68;53.98,63.68;53.98,63.975;53.905,63.975;53.905,64.02;52.37,64.02;52.37,63.96;52.05,63.96;52.05,64.22;52.37,64.22;52.37,64.16;53.905,64.16;53.905,64.205;54.195,64.205;54.195,63.975;54.12,63.975;54.12,63.82;58.95,63.82;58.95,63.88;59.27,63.88;59.27,63.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,66;58.03,66.26;58.12,66.26;58.12,66.4;56.88,66.4;56.88,66.06;53.735,66.06;53.735,66.015;53.445,66.015;53.445,66.245;53.735,66.245;53.735,66.2;56.74,66.2;56.74,66.54;58.26,66.54;58.26,66.26;58.35,66.26;58.35,66.2;58.545,66.2;58.545,66.06;58.35,66.06;58.35,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.05,42.2;52.05,42.46;52.37,42.46;52.37,42.4;58.505,42.4;58.505,42.445;58.795,42.445;58.795,42.215;58.505,42.215;58.505,42.26;52.37,42.26;52.37,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.565,66.695;63.565,66.74;62.03,66.74;62.03,67.08;53.275,67.08;53.275,67.035;52.985,67.035;52.985,67.265;53.275,67.265;53.275,67.22;62.17,67.22;62.17,66.88;63.565,66.88;63.565,66.925;63.855,66.925;63.855,66.695)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.46,66.355;41.46,66.585;41.56,66.585;41.56,66.88;51.22,66.88;51.22,67.375;51.145,67.375;51.145,67.605;51.435,67.605;51.435,67.56;71.83,67.56;71.83,67.62;72.15,67.62;72.15,67.36;71.83,67.36;71.83,67.42;51.435,67.42;51.435,67.375;51.36,67.375;51.36,66.74;41.7,66.74;41.7,66.585;41.75,66.585;41.75,66.355)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,58.18;60.33,58.44;60.65,58.44;60.65,58.38;60.805,58.38;60.805,58.425;61.095,58.425;61.095,58.195;60.805,58.195;60.805,58.24;60.65,58.24;60.65,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.01,58.18;64.01,58.24;63.815,58.24;63.815,58.38;64.01,58.38;64.01,58.44;64.33,58.44;64.33,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.93,55.46;64.93,55.52;64.735,55.52;64.735,55.66;64.93,55.66;64.93,55.72;65.25,55.72;65.25,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.73,58.52;55.73,58.78;56.05,58.78;56.05,58.72;56.205,58.72;56.205,58.765;56.495,58.765;56.495,58.72;57.585,58.72;57.585,58.765;57.875,58.765;57.875,58.535;57.585,58.535;57.585,58.58;56.495,58.58;56.495,58.535;56.205,58.535;56.205,58.58;56.05,58.58;56.05,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.49,58.52;58.49,58.58;58.295,58.58;58.295,58.72;58.49,58.72;58.49,58.78;58.81,58.78;58.81,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.39,58.52;65.39,58.58;63.395,58.58;63.395,58.535;63.105,58.535;63.105,58.765;63.395,58.765;63.395,58.72;65.39,58.72;65.39,58.78;65.71,58.78;65.71,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,58.52;66.31,58.58;66.115,58.58;66.115,58.72;66.31,58.72;66.31,58.78;66.63,58.78;66.63,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.47,58.86;64.47,58.92;63.855,58.92;63.855,58.875;63.565,58.875;63.565,59.105;63.855,59.105;63.855,59.06;64.47,59.06;64.47,59.12;64.79,59.12;64.79,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.77,58.86;66.77,58.92;66.575,58.92;66.575,59.06;66.77,59.06;66.77,59.12;67.09,59.12;67.09,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.87,59.2;59.87,59.46;60.19,59.46;60.19,59.4;60.345,59.4;60.345,59.445;60.635,59.445;60.635,59.4;65.85,59.4;65.85,59.46;66.17,59.46;66.17,59.2;65.85,59.2;65.85,59.26;60.635,59.26;60.635,59.215;60.345,59.215;60.345,59.26;60.19,59.26;60.19,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.23,59.2;67.23,59.26;67.035,59.26;67.035,59.4;67.23,59.4;67.23,59.46;67.55,59.46;67.55,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,60.56;66.31,60.82;66.63,60.82;66.63,60.76;67.705,60.76;67.705,60.805;67.995,60.805;67.995,60.575;67.705,60.575;67.705,60.62;66.63,60.62;66.63,60.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,55.46;66.31,55.52;66.115,55.52;66.115,55.66;66.31,55.66;66.31,55.72;66.63,55.72;66.63,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.55,54.78;63.55,55.04;63.87,55.04;63.87,54.98;65.865,54.98;65.865,55.025;66.155,55.025;66.155,54.795;65.865,54.795;65.865,54.84;63.87,54.84;63.87,54.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,60.9;58.03,60.96;57.835,60.96;57.835,61.1;58.03,61.1;58.03,61.16;58.35,61.16;58.35,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,60.9;59.41,60.96;59.215,60.96;59.215,61.1;59.41,61.1;59.41,61.16;59.73,61.16;59.73,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.93,60.9;64.93,60.96;64.735,60.96;64.735,61.1;64.93,61.1;64.93,61.16;65.25,61.16;65.25,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.87,55.12;59.87,55.18;58.335,55.18;58.335,55.135;58.045,55.135;58.045,55.365;58.335,55.365;58.335,55.32;59.87,55.32;59.87,55.38;60.19,55.38;60.19,55.32;61.25,55.32;61.25,55.38;61.57,55.38;61.57,55.12;61.25,55.12;61.25,55.18;60.19,55.18;60.19,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.39,55.12;65.39,55.18;64.315,55.18;64.315,55.135;64.025,55.135;64.025,55.365;64.315,55.365;64.315,55.32;65.39,55.32;65.39,55.38;65.71,55.38;65.71,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.19,62.94;56.19,63;56.035,63;56.035,62.955;55.745,62.955;55.745,63.185;56.035,63.185;56.035,63.14;56.19,63.14;56.19,63.2;56.51,63.2;56.51,62.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.93,63.28;64.93,63.54;65.25,63.54;65.25,63.48;65.865,63.48;65.865,63.525;66.155,63.525;66.155,63.295;65.865,63.295;65.865,63.34;65.25,63.34;65.25,63.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.95,56.14;58.95,56.4;59.27,56.4;59.27,56.34;67.23,56.34;67.23,56.4;67.55,56.4;67.55,56.14;67.23,56.14;67.23,56.2;59.27,56.2;59.27,56.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.185,63.975;62.185,64.205;62.26,64.205;62.26,64.36;59.73,64.36;59.73,64.3;59.41,64.3;59.41,64.56;59.73,64.56;59.73,64.5;62.4,64.5;62.4,64.205;62.475,64.205;62.475,63.975)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.09,64.3;63.09,64.56;63.41,64.56;63.41,64.5;65.405,64.5;65.405,64.545;65.695,64.545;65.695,64.5;66.785,64.5;66.785,64.545;67.075,64.545;67.075,64.315;66.785,64.315;66.785,64.36;65.695,64.36;65.695,64.315;65.405,64.315;65.405,64.36;63.41,64.36;63.41,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.47,65.66;64.47,65.72;64.275,65.72;64.275,65.86;64.47,65.86;64.47,65.92;64.79,65.92;64.79,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.39,65.66;65.39,65.92;65.71,65.92;65.71,65.86;65.865,65.86;65.865,65.905;66.155,65.905;66.155,65.675;65.865,65.675;65.865,65.72;65.71,65.72;65.71,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.665,65.675;56.665,65.905;56.955,65.905;56.955,65.86;57.66,65.86;57.66,66.015;57.585,66.015;57.585,66.245;57.875,66.245;57.875,66.015;57.8,66.015;57.8,65.72;56.955,65.72;56.955,65.675)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.09,66;63.09,66.06;62.895,66.06;62.895,66.2;63.09,66.2;63.09,66.26;63.41,66.26;63.41,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.77,66;66.77,66.06;66.575,66.06;66.575,66.2;66.77,66.2;66.77,66.26;67.09,66.26;67.09,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.65,54.78;56.65,54.84;56.495,54.84;56.495,54.795;56.205,54.795;56.205,55.025;56.495,55.025;56.495,54.98;56.65,54.98;56.65,55.04;56.97,55.04;56.97,54.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,66.34;60.33,66.4;60.135,66.4;60.135,66.54;60.33,66.54;60.33,66.6;60.65,66.6;60.65,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.79,66.34;60.79,66.6;61.11,66.6;61.11,66.54;61.305,66.54;61.305,66.4;61.11,66.4;61.11,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.93,66.34;64.93,66.6;65.25,66.6;65.25,66.54;65.405,66.54;65.405,66.585;65.695,66.585;65.695,66.355;65.405,66.355;65.405,66.4;65.25,66.4;65.25,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.85,66.34;65.85,66.6;66.17,66.6;66.17,66.54;67.245,66.54;67.245,66.585;67.535,66.585;67.535,66.355;67.245,66.355;67.245,66.4;66.17,66.4;66.17,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.87,56.48;59.87,56.54;59.675,56.54;59.675,56.68;59.87,56.68;59.87,56.74;60.19,56.74;60.19,56.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.285,66.355;55.285,66.585;55.575,66.585;55.575,66.54;55.745,66.54;55.745,66.585;55.82,66.585;55.82,66.88;59.41,66.88;59.41,66.94;59.73,66.94;59.73,66.68;59.41,66.68;59.41,66.74;55.96,66.74;55.96,66.585;56.035,66.585;56.035,66.355;55.745,66.355;55.745,66.4;55.575,66.4;55.575,66.355)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,57.5;55.27,57.76;55.59,57.76;55.59,57.7;58.965,57.7;58.965,57.745;59.255,57.745;59.255,57.515;58.965,57.515;58.965,57.56;55.59,57.56;55.59,57.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,55.46;60.33,55.52;59.255,55.52;59.255,55.475;58.965,55.475;58.965,55.705;59.255,55.705;59.255,55.66;60.33,55.66;60.33,55.72;60.65,55.72;60.65,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.91,58.86;47.91,59.12;48.23,59.12;48.23,59.06;51.605,59.06;51.605,59.105;51.895,59.105;51.895,58.875;51.605,58.875;51.605,58.92;48.23,58.92;48.23,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,58.86;53.43,59.12;53.75,59.12;53.75,59.06;54.365,59.06;54.365,59.105;54.655,59.105;54.655,58.875;54.365,58.875;54.365,58.92;53.75,58.92;53.75,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,53.76;44.69,53.82;43.615,53.82;43.615,53.775;43.325,53.775;43.325,54.005;43.615,54.005;43.615,53.96;44.69,53.96;44.69,54.02;45.01,54.02;45.01,53.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,56.48;43.31,56.54;43.115,56.54;43.115,56.68;43.31,56.68;43.31,56.74;43.63,56.74;43.63,56.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,58.86;52.97,58.92;52.14,58.92;52.14,59.26;46.375,59.26;46.375,59.215;46.085,59.215;46.085,59.445;46.375,59.445;46.375,59.4;52.28,59.4;52.28,59.06;52.97,59.06;52.97,59.12;53.29,59.12;53.29,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.93,59.2;41.93,59.26;41.735,59.26;41.735,59.4;41.93,59.4;41.93,59.46;42.25,59.46;42.25,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,59.2;43.31,59.46;43.63,59.46;43.63,59.4;44.705,59.4;44.705,59.445;44.995,59.445;44.995,59.215;44.705,59.215;44.705,59.26;43.63,59.26;43.63,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.89,59.2;53.89,59.26;52.815,59.26;52.815,59.215;52.525,59.215;52.525,59.445;52.815,59.445;52.815,59.4;53.89,59.4;53.89,59.46;54.21,59.46;54.21,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.23,56.48;44.23,56.74;44.55,56.74;44.55,56.68;44.705,56.68;44.705,56.725;44.995,56.725;44.995,56.495;44.705,56.495;44.705,56.54;44.55,56.54;44.55,56.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,56.48;45.61,56.54;45.415,56.54;45.415,56.68;45.61,56.68;45.61,56.74;45.93,56.74;45.93,56.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,60.22;38.71,60.28;38.555,60.28;38.555,60.235;38.265,60.235;38.265,60.465;38.555,60.465;38.555,60.42;38.71,60.42;38.71,60.48;39.03,60.48;39.03,60.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.39,60.22;42.39,60.48;42.71,60.48;42.71,60.42;42.865,60.42;42.865,60.465;43.155,60.465;43.155,60.235;42.865,60.235;42.865,60.28;42.71,60.28;42.71,60.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,60.22;43.31,60.48;43.63,60.48;43.63,60.42;43.825,60.42;43.825,60.28;43.63,60.28;43.63,60.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,60.22;53.43,60.28;53.235,60.28;53.235,60.42;53.43,60.42;53.43,60.48;53.75,60.48;53.75,60.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,60.56;45.61,60.62;45.415,60.62;45.415,60.76;45.61,60.76;45.61,60.82;45.93,60.82;45.93,60.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.07,60.56;46.07,60.82;46.39,60.82;46.39,60.76;50.685,60.76;50.685,60.805;50.975,60.805;50.975,60.575;50.685,60.575;50.685,60.62;46.39,60.62;46.39,60.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,55.46;45.15,55.52;42.695,55.52;42.695,55.475;42.405,55.475;42.405,55.705;42.695,55.705;42.695,55.66;45.15,55.66;45.15,55.72;45.47,55.72;45.47,55.66;46.16,55.66;46.16,56.68;46.53,56.68;46.53,56.74;46.85,56.74;46.85,56.68;47.005,56.68;47.005,56.725;47.295,56.725;47.295,56.495;47.005,56.495;47.005,56.54;46.85,56.54;46.85,56.48;46.53,56.48;46.53,56.54;46.3,56.54;46.3,55.52;45.47,55.52;45.47,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.83,56.48;48.83,56.74;49.15,56.74;49.15,56.68;50.685,56.68;50.685,56.725;50.975,56.725;50.975,56.495;50.685,56.495;50.685,56.54;49.15,56.54;49.15,56.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,60.9;43.31,60.96;39.935,60.96;39.935,60.915;39.645,60.915;39.645,61.145;39.935,61.145;39.935,61.1;43.31,61.1;43.31,61.16;43.63,61.16;43.63,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.53,60.9;46.53,60.96;46.335,60.96;46.335,61.1;46.53,61.1;46.53,61.16;46.85,61.16;46.85,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,60.9;49.29,60.96;49.095,60.96;49.095,61.1;49.29,61.1;49.29,61.16;49.61,61.16;49.61,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.05,60.9;52.05,60.96;51.895,60.96;51.895,60.915;51.605,60.915;51.605,61.145;51.895,61.145;51.895,61.1;52.05,61.1;52.05,61.16;52.37,61.16;52.37,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.35,60.9;54.35,60.96;54.155,60.96;54.155,61.1;54.35,61.1;54.35,61.16;54.67,61.16;54.67,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.59,53.76;51.59,54.02;51.91,54.02;51.91,53.96;52.065,53.96;52.065,54.005;52.355,54.005;52.355,53.775;52.065,53.775;52.065,53.82;51.91,53.82;51.91,53.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.51,55.12;52.51,55.18;52.315,55.18;52.315,55.32;52.51,55.32;52.51,55.38;52.83,55.38;52.83,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,57.5;43.31,57.76;43.63,57.76;43.63,57.7;43.785,57.7;43.785,57.745;44.075,57.745;44.075,57.515;43.785,57.515;43.785,57.56;43.63,57.56;43.63,57.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,57.5;45.15,57.76;45.47,57.76;45.47,57.7;47.005,57.7;47.005,57.745;47.295,57.745;47.295,57.7;47.45,57.7;47.45,57.76;47.77,57.76;47.77,57.5;47.45,57.5;47.45,57.56;47.295,57.56;47.295,57.515;47.005,57.515;47.005,57.56;45.47,57.56;45.47,57.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.23,61.24;44.23,61.3;42.61,61.3;42.61,61.255;42.32,61.255;42.32,61.485;42.61,61.485;42.61,61.44;44.23,61.44;44.23,61.5;44.55,61.5;44.55,61.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,61.24;45.15,61.5;45.47,61.5;45.47,61.44;47.005,61.44;47.005,61.485;47.295,61.485;47.295,61.255;47.005,61.255;47.005,61.3;45.47,61.3;45.47,61.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,61.24;49.75,61.3;49.555,61.3;49.555,61.44;49.75,61.44;49.75,61.5;50.07,61.5;50.07,61.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,61.24;53.43,61.3;53.19,61.3;53.19,61.255;52.9,61.255;52.9,61.485;53.19,61.485;53.19,61.44;53.43,61.44;53.43,61.5;53.75,61.5;53.75,61.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,57.5;53.43,57.56;53.235,57.56;53.235,57.7;53.43,57.7;53.43,57.76;53.75,57.76;53.75,57.7;54.35,57.7;54.35,57.76;54.67,57.76;54.67,57.5;54.35,57.5;54.35,57.56;53.75,57.56;53.75,57.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,61.92;38.71,62.18;39.03,62.18;39.03,62.12;40.105,62.12;40.105,62.165;40.395,62.165;40.395,61.935;40.105,61.935;40.105,61.98;39.03,61.98;39.03,61.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,61.92;43.31,62.18;43.63,62.18;43.63,62.12;45.165,62.12;45.165,62.165;45.455,62.165;45.455,62.12;47.45,62.12;47.45,62.18;47.77,62.18;47.77,61.92;47.45,61.92;47.45,61.98;45.455,61.98;45.455,61.935;45.165,61.935;45.165,61.98;43.63,61.98;43.63,61.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.91,55.46;47.91,55.52;47.715,55.52;47.715,55.66;47.91,55.66;47.91,55.72;48,55.72;48,56;50.44,56;50.44,55.72;50.53,55.72;50.53,55.46;50.21,55.46;50.21,55.72;50.3,55.72;50.3,55.86;48.14,55.86;48.14,55.72;48.23,55.72;48.23,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.525,57.515;52.525,57.745;52.6,57.745;52.6,57.9;50.53,57.9;50.53,57.84;50.21,57.84;50.21,58.1;50.53,58.1;50.53,58.04;52.74,58.04;52.74,57.745;52.815,57.745;52.815,57.515)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.99,58.18;46.99,58.44;47.31,58.44;47.31,58.38;48.845,58.38;48.845,58.425;49.135,58.425;49.135,58.195;48.845,58.195;48.845,58.24;47.31,58.24;47.31,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,63.28;49.29,63.54;49.61,63.54;49.61,63.48;53.06,63.48;53.06,63.62;52.97,63.62;52.97,63.68;52.775,63.68;52.775,63.82;52.97,63.82;52.97,63.88;53.29,63.88;53.29,63.62;53.2,63.62;53.2,63.34;49.61,63.34;49.61,63.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,63.96;38.71,64.22;39.03,64.22;39.03,64.16;39.185,64.16;39.185,64.205;39.475,64.205;39.475,63.975;39.185,63.975;39.185,64.02;39.03,64.02;39.03,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,63.62;38.25,63.88;38.57,63.88;38.57,63.82;43.86,63.82;43.86,63.96;43.77,63.96;43.77,64.02;43.335,64.02;43.335,64.16;43.77,64.16;43.77,64.22;44.09,64.22;44.09,63.96;44,63.96;44,63.82;49.84,63.82;49.84,63.975;49.765,63.975;49.765,64.205;50.055,64.205;50.055,63.975;49.98,63.975;49.98,63.68;38.57,63.68;38.57,63.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.23,63.96;44.23,64.22;44.55,64.22;44.55,64.16;44.745,64.16;44.745,64.02;44.55,64.02;44.55,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,63.96;45.15,64.02;44.955,64.02;44.955,64.16;45.15,64.16;45.15,64.22;45.47,64.22;45.47,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.945,55.475;41.945,55.705;42.02,55.705;42.02,55.86;41.79,55.86;41.79,55.8;41.47,55.8;41.47,56.06;41.79,56.06;41.79,56;43.31,56;43.31,56.06;43.63,56.06;43.63,56;45.61,56;45.61,56.06;45.93,56.06;45.93,55.8;45.61,55.8;45.61,55.86;43.63,55.86;43.63,55.8;43.31,55.8;43.31,55.86;42.16,55.86;42.16,55.705;42.235,55.705;42.235,55.475)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.39,64.3;42.39,64.36;38.555,64.36;38.555,64.315;38.265,64.315;38.265,64.545;38.555,64.545;38.555,64.5;42.39,64.5;42.39,64.56;42.71,64.56;42.71,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.13,64.3;51.13,64.56;51.45,64.56;51.45,64.5;53.445,64.5;53.445,64.545;53.735,64.545;53.735,64.5;54.35,64.5;54.35,64.56;54.67,64.56;54.67,64.3;54.35,64.3;54.35,64.36;53.735,64.36;53.735,64.315;53.445,64.315;53.445,64.36;51.45,64.36;51.45,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.53,55.8;46.53,56.06;46.85,56.06;46.85,56;47.045,56;47.045,55.86;46.85,55.86;46.85,55.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,55.46;48.37,55.72;48.69,55.72;48.69,55.66;48.885,55.66;48.885,55.52;48.69,55.52;48.69,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,64.3;49.29,64.36;43.155,64.36;43.155,64.315;42.865,64.315;42.865,64.545;42.94,64.545;42.94,64.7;39.49,64.7;39.49,64.64;39.17,64.64;39.17,64.9;39.49,64.9;39.49,64.84;43.08,64.84;43.08,64.545;43.155,64.545;43.155,64.5;49.29,64.5;49.29,64.56;49.61,64.56;49.61,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.825,63.975;54.825,64.205;54.9,64.205;54.9,64.7;52.83,64.7;52.83,64.64;52.51,64.64;52.51,64.7;48.69,64.7;48.69,64.64;48.37,64.64;48.37,64.9;48.69,64.9;48.69,64.84;52.51,64.84;52.51,64.9;52.83,64.9;52.83,64.84;55.04,64.84;55.04,64.205;55.115,64.205;55.115,63.975)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,65.66;48.37,65.72;48.175,65.72;48.175,65.86;48.37,65.86;48.37,65.92;48.69,65.92;48.69,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,65.66;49.29,65.72;49.095,65.72;49.095,65.86;49.29,65.86;49.29,65.92;49.61,65.92;49.61,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,65.66;49.75,65.92;50.07,65.92;50.07,65.86;50.265,65.86;50.265,65.72;50.07,65.72;50.07,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.05,65.66;52.05,65.72;51.855,65.72;51.855,65.86;52.05,65.86;52.05,65.92;52.37,65.92;52.37,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.59,55.46;51.59,55.52;51.395,55.52;51.395,55.66;51.59,55.66;51.59,55.72;51.91,55.72;51.91,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,58.52;39.17,58.58;38.975,58.58;38.975,58.72;39.17,58.72;39.17,58.78;39.49,58.78;39.49,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.705,65.675;44.705,65.72;39.72,65.72;39.72,66.015;39.645,66.015;39.645,66.245;39.935,66.245;39.935,66.015;39.86,66.015;39.86,65.86;44.705,65.86;44.705,65.905;44.995,65.905;44.995,65.675)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.01,58.52;41.01,58.58;40.815,58.58;40.815,58.72;41.01,58.72;41.01,58.78;41.33,58.78;41.33,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,66;39.17,66.06;38.975,66.06;38.975,66.2;39.17,66.2;39.17,66.26;39.49,66.26;39.49,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,66;40.55,66.26;40.87,66.26;40.87,66.2;41.945,66.2;41.945,66.245;42.235,66.245;42.235,66.015;41.945,66.015;41.945,66.06;40.87,66.06;40.87,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.39,66;42.39,66.26;42.71,66.26;42.71,66.2;46.545,66.2;46.545,66.245;46.835,66.245;46.835,66.015;46.545,66.015;46.545,66.06;42.71,66.06;42.71,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,66;50.21,66.06;47.755,66.06;47.755,66.015;47.465,66.015;47.465,66.245;47.755,66.245;47.755,66.2;50.21,66.2;50.21,66.26;50.53,66.26;50.53,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.45,58.52;47.45,58.58;47.255,58.58;47.255,58.72;47.45,58.72;47.45,58.78;47.77,58.78;47.77,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,58.52;48.37,58.78;48.69,58.78;48.69,58.72;48.88,58.72;48.88,58.58;48.69,58.58;48.69,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,58.52;49.29,58.58;49.095,58.58;49.095,58.72;49.29,58.72;49.29,58.78;49.61,58.78;49.61,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,66.34;43.31,66.4;43.115,66.4;43.115,66.54;43.31,66.54;43.31,66.6;43.63,66.6;43.63,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.77,66.34;43.77,66.6;44.09,66.6;44.09,66.54;45.625,66.54;45.625,66.585;45.915,66.585;45.915,66.355;45.625,66.355;45.625,66.4;44.09,66.4;44.09,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,66.34;52.97,66.6;53.29,66.6;53.29,66.54;54.365,66.54;54.365,66.585;54.655,66.585;54.655,66.355;54.365,66.355;54.365,66.4;53.29,66.4;53.29,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,58.52;49.75,58.78;50.07,58.78;50.07,58.72;50.225,58.72;50.225,58.765;50.515,58.765;50.515,58.535;50.225,58.535;50.225,58.58;50.07,58.58;50.07,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,56.14;38.71,56.2;38.555,56.2;38.555,56.155;38.265,56.155;38.265,56.385;38.555,56.385;38.555,56.34;38.71,56.34;38.71,56.4;39.03,56.4;39.03,56.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,56.14;39.17,56.4;39.49,56.4;39.49,56.34;41.025,56.34;41.025,56.385;41.315,56.385;41.315,56.34;42.85,56.34;42.85,56.4;43.17,56.4;43.17,56.14;42.85,56.14;42.85,56.2;41.315,56.2;41.315,56.155;41.025,56.155;41.025,56.2;39.49,56.2;39.49,56.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,56.14;50.21,56.2;49.595,56.2;49.595,56.155;49.305,56.155;49.305,56.385;49.595,56.385;49.595,56.34;50.21,56.34;50.21,56.4;50.53,56.4;50.53,56.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,55.46;52.97,55.52;52.775,55.52;52.775,55.66;52.97,55.66;52.97,55.72;53.29,55.72;53.29,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,58.18;38.71,58.44;39.03,58.44;39.03,58.38;42.94,58.38;42.94,58.875;42.865,58.875;42.865,59.105;43.155,59.105;43.155,58.875;43.08,58.875;43.08,58.24;39.03,58.24;39.03,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,58.86;38.71,59.12;39.03,59.12;39.03,59.06;40.105,59.06;40.105,59.105;40.395,59.105;40.395,58.875;40.105,58.875;40.105,58.92;39.03,58.92;39.03,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,67.02;40.55,67.28;40.87,67.28;40.87,67.22;41.065,67.22;41.065,67.08;40.87,67.08;40.87,67.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,67.36;43.31,67.62;43.63,67.62;43.63,67.56;47.465,67.56;47.465,67.605;47.755,67.605;47.755,67.375;47.465,67.375;47.465,67.42;43.63,67.42;43.63,67.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.77,58.86;43.77,58.92;43.575,58.92;43.575,59.06;43.77,59.06;43.77,59.12;44.09,59.12;44.09,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.985,39.155;52.985,39.385;53.06,39.385;53.06,39.54;48.69,39.54;48.69,39.48;48.37,39.48;48.37,39.54;48.215,39.54;48.215,39.495;47.925,39.495;47.925,39.54;44.075,39.54;44.075,39.495;43.785,39.495;43.785,39.725;44.075,39.725;44.075,39.68;47.925,39.68;47.925,39.725;48.215,39.725;48.215,39.68;48.37,39.68;48.37,39.74;48.69,39.74;48.69,39.68;53.2,39.68;53.2,39.385;53.275,39.385;53.275,39.155)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,52.06;52.97,52.32;53.06,52.32;53.06,52.46;43.17,52.46;43.17,52.4;42.85,52.4;42.85,52.66;43.17,52.66;43.17,52.6;48.92,52.6;48.92,53.095;48.845,53.095;48.845,53.325;49.135,53.325;49.135,53.095;49.06,53.095;49.06,52.6;53.2,52.6;53.2,52.32;53.29,52.32;53.29,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,53.08;39.17,53.095;39.16,53.095;39.16,53.14;38.975,53.14;38.975,53.28;39.16,53.28;39.16,53.325;39.17,53.325;39.17,53.34;39.49,53.34;39.49,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.01,53.08;41.01,53.14;40.815,53.14;40.815,53.28;41.01,53.28;41.01,53.34;41.33,53.34;41.33,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.13,41.86;51.13,41.92;50.935,41.92;50.935,42.06;51.13,42.06;51.13,42.12;51.45,42.12;51.45,41.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,53.08;45.61,53.14;45.415,53.14;45.415,53.28;45.61,53.28;45.61,53.34;45.93,53.34;45.93,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.99,53.08;46.99,53.14;46.835,53.14;46.835,53.095;46.545,53.095;46.545,53.325;46.835,53.325;46.835,53.28;46.99,53.28;46.99,53.34;47.31,53.34;47.31,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.91,53.08;47.91,53.14;47.715,53.14;47.715,53.28;47.91,53.28;47.91,53.34;48.23,53.34;48.23,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.67,44.58;50.67,44.64;50.475,44.64;50.475,44.78;50.67,44.78;50.67,44.84;50.99,44.84;50.99,44.78;53.445,44.78;53.445,44.825;53.735,44.825;53.735,44.78;54.825,44.78;54.825,44.825;55.115,44.825;55.115,44.595;54.825,44.595;54.825,44.64;53.735,44.64;53.735,44.595;53.445,44.595;53.445,44.64;50.99,44.64;50.99,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.45,39.14;47.45,39.2;47.255,39.2;47.255,39.34;47.45,39.34;47.45,39.4;47.77,39.4;47.77,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,44.92;45.15,44.98;44.955,44.98;44.955,45.12;45.15,45.12;45.15,45.18;45.47,45.18;45.47,44.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.53,44.92;46.53,45.18;46.85,45.18;46.85,45.12;47.91,45.12;47.91,45.18;48.23,45.18;48.23,44.92;47.91,44.92;47.91,44.98;46.85,44.98;46.85,44.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,44.92;50.21,45.18;50.53,45.18;50.53,45.12;51.605,45.12;51.605,45.165;51.895,45.165;51.895,44.935;51.605,44.935;51.605,44.98;50.53,44.98;50.53,44.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,43.9;53.43,43.96;47.295,43.96;47.295,43.915;47.005,43.915;47.005,44.145;47.295,44.145;47.295,44.1;53.43,44.1;53.43,44.16;53.75,44.16;53.75,43.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,41.86;52.97,42.12;53.29,42.12;53.29,42.06;54.365,42.06;54.365,42.105;54.655,42.105;54.655,41.875;54.365,41.875;54.365,41.92;53.29,41.92;53.29,41.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,39.14;49.75,39.4;50.07,39.4;50.07,39.34;52.355,39.34;52.355,39.385;52.645,39.385;52.645,39.155;52.355,39.155;52.355,39.2;50.07,39.2;50.07,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.13,39.82;51.13,39.88;50.935,39.88;50.935,40.02;51.13,40.02;51.13,40.08;51.45,40.08;51.45,39.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.23,45.26;44.23,45.52;44.55,45.52;44.55,45.46;46.99,45.46;46.99,45.52;47.31,45.52;47.31,45.26;46.99,45.26;46.99,45.32;44.55,45.32;44.55,45.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,39.14;40.55,39.2;40.355,39.2;40.355,39.34;40.55,39.34;40.55,39.4;40.87,39.4;40.87,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.09,45.6;40.09,45.86;40.41,45.86;40.41,45.8;41.025,45.8;41.025,45.845;41.315,45.845;41.315,45.615;41.025,45.615;41.025,45.66;40.41,45.66;40.41,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,40.16;39.17,40.22;38.975,40.22;38.975,40.36;39.17,40.36;39.17,40.42;39.49,40.42;39.49,40.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.93,45.6;41.93,45.86;42.25,45.86;42.25,45.8;44.69,45.8;44.69,45.86;45.01,45.86;45.01,45.6;44.69,45.6;44.69,45.66;42.25,45.66;42.25,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,45.6;45.61,45.66;45.415,45.66;45.415,45.8;45.61,45.8;45.61,45.86;45.93,45.86;45.93,45.8;48.37,45.8;48.37,45.86;48.69,45.86;48.69,45.6;48.37,45.6;48.37,45.66;45.93,45.66;45.93,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,45.6;49.75,45.86;50.07,45.86;50.07,45.8;54.365,45.8;54.365,45.845;54.655,45.845;54.655,45.615;54.365,45.615;54.365,45.66;50.07,45.66;50.07,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.63,46.62;39.63,46.88;39.95,46.88;39.95,46.82;44.23,46.82;44.23,46.88;44.55,46.88;44.55,46.62;44.23,46.62;44.23,46.68;39.95,46.68;39.95,46.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.99,46.62;46.99,46.68;46.795,46.68;46.795,46.82;46.99,46.82;46.99,46.88;47.31,46.88;47.31,46.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.67,46.62;50.67,46.68;48.675,46.68;48.675,46.635;48.385,46.635;48.385,46.865;48.675,46.865;48.675,46.82;50.67,46.82;50.67,46.88;50.99,46.88;50.99,46.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.67,38.46;50.67,38.52;50.475,38.52;50.475,38.66;50.67,38.66;50.67,38.72;50.99,38.72;50.99,38.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.09,46.96;40.09,47.22;40.41,47.22;40.41,47.16;49.29,47.16;49.29,47.22;49.61,47.22;49.61,46.96;49.29,46.96;49.29,47.02;40.41,47.02;40.41,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,47.3;39.17,47.36;39.015,47.36;39.015,47.315;38.725,47.315;38.725,47.545;39.015,47.545;39.015,47.5;39.17,47.5;39.17,47.56;39.49,47.56;39.49,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.53,47.3;46.53,47.56;46.85,47.56;46.85,47.5;47.005,47.5;47.005,47.545;47.295,47.545;47.295,47.315;47.005,47.315;47.005,47.36;46.85,47.36;46.85,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.13,47.3;51.13,47.36;50.975,47.36;50.975,47.315;50.685,47.315;50.685,47.545;50.975,47.545;50.975,47.5;51.13,47.5;51.13,47.56;51.45,47.56;51.45,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,47.3;48.37,47.36;47.58,47.36;47.58,47.65;47.54,47.65;47.54,47.655;47.465,47.655;47.465,47.885;47.755,47.885;47.755,47.655;47.72,47.655;47.72,47.5;48.37,47.5;48.37,47.56;48.69,47.56;48.69,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,37.44;53.43,37.5;48.675,37.5;48.675,37.455;48.385,37.455;48.385,37.685;48.675,37.685;48.675,37.64;53.43,37.64;53.43,37.7;53.75,37.7;53.75,37.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,47.3;49.75,47.56;50.07,47.56;50.07,47.5;50.3,47.5;50.3,47.655;50.225,47.655;50.225,47.885;50.515,47.885;50.515,47.655;50.44,47.655;50.44,47.36;50.07,47.36;50.07,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,46.96;50.21,47.22;50.53,47.22;50.53,47.16;51.68,47.16;51.68,47.655;51.605,47.655;51.605,47.885;51.895,47.885;51.895,47.655;51.82,47.655;51.82,47.02;50.53,47.02;50.53,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,41.18;44.69,41.24;44.495,41.24;44.495,41.38;44.69,41.38;44.69,41.44;45.01,41.44;45.01,41.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,39.14;53.43,39.4;53.75,39.4;53.75,39.34;53.945,39.34;53.945,39.2;53.75,39.2;53.75,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.09,41.86;40.09,41.92;39.895,41.92;39.895,42.06;40.09,42.06;40.09,42.12;40.41,42.12;40.41,42.06;41.56,42.06;41.56,42.4;45.625,42.4;45.625,42.445;45.915,42.445;45.915,42.215;45.625,42.215;45.625,42.26;41.7,42.26;41.7,41.92;40.41,41.92;40.41,41.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.39,47.64;42.39,47.9;42.71,47.9;42.71,47.84;42.9,47.84;42.9,47.7;42.71,47.7;42.71,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.77,47.64;43.77,47.655;43.76,47.655;43.76,47.885;43.77,47.885;43.77,47.9;44.09,47.9;44.09,47.84;44.26,47.84;44.26,47.7;44.09,47.7;44.09,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.45,42.88;47.45,42.94;47.255,42.94;47.255,43.08;47.45,43.08;47.45,43.14;47.77,43.14;47.77,42.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.35,39.14;54.35,39.4;54.67,39.4;54.67,39.34;54.865,39.34;54.865,39.2;54.67,39.2;54.67,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.845,47.655;48.845,47.885;48.895,47.885;48.895,48.18;49.29,48.18;49.29,48.24;49.61,48.24;49.61,47.98;49.29,47.98;49.29,48.04;49.035,48.04;49.035,47.885;49.135,47.885;49.135,47.655)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,47.98;53.43,48.04;53.235,48.04;53.235,48.18;53.43,48.18;53.43,48.24;53.75,48.24;53.75,47.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,48.32;38.71,48.58;39.03,48.58;39.03,48.52;41.025,48.52;41.025,48.565;41.315,48.565;41.315,48.335;41.025,48.335;41.025,48.38;39.03,48.38;39.03,48.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,41.86;50.21,41.92;48.895,41.92;48.895,42.26;47.195,42.26;47.195,42.215;46.905,42.215;46.905,42.445;47.195,42.445;47.195,42.4;49.035,42.4;49.035,42.06;50.21,42.06;50.21,42.12;50.53,42.12;50.53,41.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.645,47.995;39.645,48.225;39.935,48.225;39.935,48.18;41.56,48.18;41.56,48.52;41.93,48.52;41.93,48.58;42.25,48.58;42.25,48.32;41.93,48.32;41.93,48.38;41.7,48.38;41.7,48.04;39.935,48.04;39.935,47.995)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.23,48.32;44.23,48.38;44.035,48.38;44.035,48.52;44.23,48.52;44.23,48.58;44.55,48.58;44.55,48.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.7,48.23;45.7,48.335;45.625,48.335;45.625,48.565;45.915,48.565;45.915,48.37;46.07,48.37;46.07,48.58;46.39,48.58;46.39,48.32;46.3,48.32;46.3,48.23)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.325,47.655;43.325,47.885;43.4,47.885;43.4,48.18;44.92,48.18;44.92,47.84;47.14,47.84;47.14,48.52;48.83,48.52;48.83,48.58;49.15,48.58;49.15,48.32;48.83,48.32;48.83,48.38;47.28,48.38;47.28,47.7;44.78,47.7;44.78,48.04;43.54,48.04;43.54,47.885;43.615,47.885;43.615,47.655)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,39.14;45.15,39.2;43.15,39.2;43.15,39.155;42.86,39.155;42.86,39.385;43.15,39.385;43.15,39.34;45.15,39.34;45.15,39.4;45.47,39.4;45.47,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.93,49.34;41.93,49.6;42.25,49.6;42.25,49.54;45.165,49.54;45.165,49.585;45.455,49.585;45.455,49.355;45.165,49.355;45.165,49.4;42.25,49.4;42.25,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.45,49.34;47.45,49.6;47.77,49.6;47.77,49.54;47.925,49.54;47.925,49.585;48.215,49.585;48.215,49.355;47.925,49.355;47.925,49.4;47.77,49.4;47.77,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,39.14;38.25,39.4;38.57,39.4;38.57,39.34;38.765,39.34;38.765,39.2;38.57,39.2;38.57,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.67,49.68;50.67,49.74;50.055,49.74;50.055,49.695;49.765,49.695;49.765,49.925;50.055,49.925;50.055,49.88;50.67,49.88;50.67,49.94;50.99,49.94;50.99,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.59,49.68;51.59,49.695;51.485,49.695;51.485,49.925;51.59,49.925;51.59,49.94;51.91,49.94;51.91,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,49.34;39.17,49.6;39.49,49.6;39.49,49.54;39.89,49.54;39.89,50.035;39.815,50.035;39.815,50.265;40.105,50.265;40.105,50.035;40.03,50.035;40.03,49.88;46.16,49.88;46.16,50.035;46.085,50.035;46.085,50.265;46.375,50.265;46.375,50.035;46.3,50.035;46.3,49.88;46.76,49.88;46.76,49.6;46.85,49.6;46.85,49.34;46.53,49.34;46.53,49.6;46.62,49.6;46.62,49.74;40.03,49.74;40.03,49.4;39.49,49.4;39.49,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.83,49.68;48.83,49.74;47.08,49.74;47.08,50.035;47.005,50.035;47.005,50.265;47.295,50.265;47.295,50.035;47.22,50.035;47.22,49.88;48.83,49.88;48.83,49.94;49.15,49.94;49.15,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,50.02;38.71,50.08;38.515,50.08;38.515,50.22;38.71,50.22;38.71,50.28;39.03,50.28;39.03,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,50.02;42.85,50.08;42.655,50.08;42.655,50.22;42.85,50.22;42.85,50.28;43.17,50.28;43.17,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,50.02;52.97,50.08;52.775,50.08;52.775,50.22;52.97,50.22;52.97,50.28;53.29,50.28;53.29,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.89,50.02;53.89,50.08;53.695,50.08;53.695,50.22;53.89,50.22;53.89,50.28;54.21,50.28;54.21,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,44.58;39.17,44.64;38.975,44.64;38.975,44.78;39.17,44.78;39.17,44.84;39.49,44.84;39.49,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,41.18;48.37,41.44;48.46,41.44;48.46,41.875;48.385,41.875;48.385,42.105;48.675,42.105;48.675,41.875;48.6,41.875;48.6,41.44;48.69,41.44;48.69,41.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.19,49.925;39.19,50.155;39.265,50.155;39.265,50.36;39.17,50.36;39.17,50.62;39.49,50.62;39.49,50.36;39.405,50.36;39.405,50.155;39.48,50.155;39.48,49.925)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.45,41.86;47.45,41.92;44.535,41.92;44.535,41.875;44.245,41.875;44.245,42.105;44.535,42.105;44.535,42.06;47.45,42.06;47.45,42.12;47.77,42.12;47.77,42.06;47.925,42.06;47.925,42.105;48.215,42.105;48.215,41.875;47.925,41.875;47.925,41.92;47.77,41.92;47.77,41.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.83,50.36;48.83,50.62;49.15,50.62;49.15,50.56;51.045,50.56;51.045,50.605;51.335,50.605;51.335,50.375;51.045,50.375;51.045,50.42;49.15,50.42;49.15,50.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,42.2;49.29,42.46;49.61,42.46;49.61,42.4;50.685,42.4;50.685,42.445;50.975,42.445;50.975,42.215;50.685,42.215;50.685,42.26;49.61,42.26;49.61,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.91,50.02;47.91,50.08;47.755,50.08;47.755,50.035;47.465,50.035;47.465,50.265;47.755,50.265;47.755,50.22;47.91,50.22;47.91,50.28;48.23,50.28;48.23,50.22;52.14,50.22;52.14,50.36;52.05,50.36;52.05,50.62;52.37,50.62;52.37,50.36;52.28,50.36;52.28,50.08;48.23,50.08;48.23,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,50.36;53.43,50.42;52.815,50.42;52.815,50.375;52.525,50.375;52.525,50.605;52.815,50.605;52.815,50.56;53.43,50.56;53.43,50.62;53.75,50.62;53.75,50.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.01,44.58;41.01,44.64;40.855,44.64;40.855,44.595;40.565,44.595;40.565,44.825;40.855,44.825;40.855,44.78;41.01,44.78;41.01,44.84;41.33,44.84;41.33,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.01,50.7;41.01,50.76;40.815,50.76;40.815,50.9;41.01,50.9;41.01,50.96;41.33,50.96;41.33,50.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,50.7;49.29,50.76;49.095,50.76;49.095,50.9;49.29,50.9;49.29,50.96;49.61,50.96;49.61,50.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.39,51.04;42.39,51.3;42.71,51.3;42.71,51.24;43.325,51.24;43.325,51.285;43.615,51.285;43.615,51.055;43.325,51.055;43.325,51.1;42.71,51.1;42.71,51.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.45,51.04;47.45,51.1;47.295,51.1;47.295,51.055;47.005,51.055;47.005,51.285;47.295,51.285;47.295,51.24;47.45,51.24;47.45,51.3;47.77,51.3;47.77,51.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.785,50.035;43.785,50.265;43.86,50.265;43.86,50.56;44.69,50.56;44.69,50.62;45.01,50.62;45.01,50.56;48.455,50.56;48.455,51.24;54.81,51.24;54.81,51.3;55.13,51.3;55.13,51.04;54.81,51.04;54.81,51.1;48.595,51.1;48.595,50.42;45.01,50.42;45.01,50.36;44.69,50.36;44.69,50.42;44,50.42;44,50.265;44.075,50.265;44.075,50.035)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,52.06;49.75,52.12;42.695,52.12;42.695,52.075;42.405,52.075;42.405,52.305;42.695,52.305;42.695,52.26;49.75,52.26;49.75,52.32;50.07,52.32;50.07,52.26;50.225,52.26;50.225,52.305;50.515,52.305;50.515,52.075;50.225,52.075;50.225,52.12;50.07,52.12;50.07,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.35,52.06;54.35,52.12;54.155,52.12;54.155,52.26;54.35,52.26;54.35,52.32;54.67,52.32;54.67,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.09,39.48;40.09,39.74;40.41,39.74;40.41,39.68;41.025,39.68;41.025,39.725;41.315,39.725;41.315,39.495;41.025,39.495;41.025,39.54;40.41,39.54;40.41,39.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,52.74;44.69,52.8;44.495,52.8;44.495,52.94;44.69,52.94;44.69,53;45.01,53;45.01,52.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,44.58;42.85,44.84;43.17,44.84;43.17,44.78;43.77,44.78;43.77,44.84;44.09,44.84;44.09,44.78;46.085,44.78;46.085,44.825;46.375,44.825;46.375,44.595;46.085,44.595;46.085,44.64;44.09,44.64;44.09,44.58;43.77,44.58;43.77,44.64;43.17,44.64;43.17,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.025,42.215;41.025,42.445;41.1,42.445;41.1,42.74;49.75,42.74;49.75,42.8;50.07,42.8;50.07,42.54;49.75,42.54;49.75,42.6;41.24,42.6;41.24,42.445;41.315,42.445;41.315,42.215)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.73,42.88;55.73,43.14;56.05,43.14;56.05,43.08;56.205,43.08;56.205,43.125;56.495,43.125;56.495,42.895;56.205,42.895;56.205,42.94;56.05,42.94;56.05,42.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.11,46.96;57.11,47.02;56.495,47.02;56.495,46.975;56.205,46.975;56.205,47.205;56.495,47.205;56.495,47.16;57.11,47.16;57.11,47.22;57.43,47.22;57.43,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.23,46.96;67.23,47.02;67.035,47.02;67.035,47.16;67.23,47.16;67.23,47.22;67.55,47.22;67.55,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.39,42.54;65.39,42.6;65.195,42.6;65.195,42.74;65.39,42.74;65.39,42.8;65.71,42.8;65.71,42.74;66.785,42.74;66.785,42.785;67.075,42.785;67.075,42.555;66.785,42.555;66.785,42.6;65.71,42.6;65.71,42.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.87,52.06;59.87,52.32;60.19,52.32;60.19,52.26;61.25,52.26;61.25,52.32;61.57,52.32;61.57,52.06;61.25,52.06;61.25,52.12;60.19,52.12;60.19,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,39.14;66.31,39.2;66.115,39.2;66.115,39.34;66.31,39.34;66.31,39.4;66.63,39.4;66.63,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.77,52.4;66.77,52.46;66.575,52.46;66.575,52.6;66.77,52.6;66.77,52.66;67.09,52.66;67.09,52.4)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.87,42.88;59.87,43.14;60.19,43.14;60.19,43.08;61.265,43.08;61.265,43.125;61.555,43.125;61.555,42.895;61.265,42.895;61.265,42.94;60.19,42.94;60.19,42.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.505,39.155;58.505,39.385;58.795,39.385;58.795,39.34;58.965,39.34;58.965,39.385;59.255,39.385;59.255,39.155;58.965,39.155;58.965,39.2;58.795,39.2;58.795,39.155)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,47.3;58.03,47.56;58.35,47.56;58.35,47.5;58.505,47.5;58.505,47.545;58.795,47.545;58.795,47.315;58.505,47.315;58.505,47.36;58.35,47.36;58.35,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,52.74;60.33,53;60.65,53;60.65,52.94;61.265,52.94;61.265,52.985;61.555,52.985;61.555,52.755;61.265,52.755;61.265,52.8;60.65,52.8;60.65,52.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.71,52.74;61.71,53;62.03,53;62.03,52.94;64.025,52.94;64.025,52.985;64.315,52.985;64.315,52.755;64.025,52.755;64.025,52.8;62.03,52.8;62.03,52.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.47,52.74;64.47,53;64.79,53;64.79,52.94;64.985,52.94;64.985,52.8;64.79,52.8;64.79,52.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.325,43.915;66.325,43.96;65.94,43.96;65.94,44.255;65.865,44.255;65.865,44.485;66.155,44.485;66.155,44.255;66.08,44.255;66.08,44.1;66.325,44.1;66.325,44.145;66.615,44.145;66.615,43.915)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.105,44.935;63.105,45.165;63.18,45.165;63.18,45.32;62.03,45.32;62.03,45.26;61.71,45.26;61.71,45.32;59.255,45.32;59.255,45.275;58.965,45.275;58.965,45.505;59.255,45.505;59.255,45.46;61.71,45.46;61.71,45.52;62.03,45.52;62.03,45.46;63.32,45.46;63.32,45.165;63.395,45.165;63.395,44.935)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.49,52.06;58.49,52.32;58.58,52.32;58.58,52.6;59.96,52.6;59.96,53.095;59.885,53.095;59.885,53.325;60.175,53.325;60.175,53.095;60.1,53.095;60.1,52.46;58.72,52.46;58.72,52.32;58.81,52.32;58.81,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.87,38.8;59.87,38.86;57.875,38.86;57.875,38.815;57.585,38.815;57.585,39.045;57.875,39.045;57.875,39;59.87,39;59.87,39.06;60.19,39.06;60.19,38.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.25,37.44;61.25,37.7;61.57,37.7;61.57,37.64;64.025,37.64;64.025,37.685;64.315,37.685;64.315,37.455;64.025,37.455;64.025,37.5;61.57,37.5;61.57,37.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,42.54;58.03,42.6;57.875,42.6;57.875,42.555;57.585,42.555;57.585,42.785;57.875,42.785;57.875,42.74;58.03,42.74;58.03,42.8;58.35,42.8;58.35,42.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.19,49.68;56.19,49.94;56.51,49.94;56.51,49.88;57.125,49.88;57.125,49.925;57.415,49.925;57.415,49.695;57.125,49.695;57.125,49.74;56.51,49.74;56.51,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.65,46.62;56.65,46.88;56.97,46.88;56.97,46.82;59.5,46.82;59.5,47.655;59.425,47.655;59.425,47.885;59.715,47.885;59.715,47.655;59.64,47.655;59.64,46.68;56.97,46.68;56.97,46.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.65,44.58;56.65,44.84;56.97,44.84;56.97,44.78;58.07,44.78;58.07,44.825;58.36,44.825;58.36,44.595;58.07,44.595;58.07,44.64;56.97,44.64;56.97,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,44.58;59.41,44.84;59.73,44.84;59.73,44.78;61.265,44.78;61.265,44.825;61.555,44.825;61.555,44.595;61.265,44.595;61.265,44.64;59.73,44.64;59.73,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.65,53.08;56.65,53.14;56.455,53.14;56.455,53.28;56.65,53.28;56.65,53.34;56.97,53.34;56.97,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.95,53.08;58.95,53.095;58.94,53.095;58.94,53.14;58.755,53.14;58.755,53.28;58.94,53.28;58.94,53.325;58.95,53.325;58.95,53.34;59.27,53.34;59.27,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.79,53.08;60.79,53.14;60.595,53.14;60.595,53.28;60.79,53.28;60.79,53.34;61.11,53.34;61.11,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.09,53.08;63.09,53.34;63.41,53.34;63.41,53.28;63.565,53.28;63.565,53.325;63.855,53.325;63.855,53.095;63.565,53.095;63.565,53.14;63.41,53.14;63.41,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,41.52;60.33,41.78;60.65,41.78;60.65,41.72;67.245,41.72;67.245,41.765;67.535,41.765;67.535,41.535;67.245,41.535;67.245,41.58;60.65,41.58;60.65,41.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,44.58;66.31,44.84;66.63,44.84;66.63,44.78;67.245,44.78;67.245,44.825;67.535,44.825;67.535,44.595;67.245,44.595;67.245,44.64;66.63,44.64;66.63,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.585,44.255;57.585,44.485;57.875,44.485;57.875,44.44;58.58,44.44;58.58,45.66;56.51,45.66;56.51,45.6;56.19,45.6;56.19,45.66;56.035,45.66;56.035,45.615;55.745,45.615;55.745,45.845;56.035,45.845;56.035,45.8;56.19,45.8;56.19,45.86;56.51,45.86;56.51,45.8;58.72,45.8;58.72,44.44;62.185,44.44;62.185,44.485;62.475,44.485;62.475,44.255;62.185,44.255;62.185,44.3;57.875,44.3;57.875,44.255)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.73,47.64;55.73,47.7;55.535,47.7;55.535,47.84;55.73,47.84;55.73,47.9;56.05,47.9;56.05,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,47.64;60.33,47.9;60.65,47.9;60.65,47.84;60.805,47.84;60.805,47.885;61.095,47.885;61.095,47.655;60.805,47.655;60.805,47.7;60.65,47.7;60.65,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.95,49.68;58.95,49.94;59.04,49.94;59.04,50.08;58.795,50.08;58.795,50.035;58.505,50.035;58.505,50.08;56.955,50.08;56.955,50.035;56.665,50.035;56.665,50.08;56.05,50.08;56.05,50.02;55.73,50.02;55.73,50.28;56.05,50.28;56.05,50.22;56.665,50.22;56.665,50.265;56.955,50.265;56.955,50.22;58.505,50.22;58.505,50.265;58.795,50.265;58.795,50.22;59.18,50.22;59.18,49.94;59.27,49.94;59.27,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.55,50.02;63.55,50.28;63.87,50.28;63.87,50.22;64.025,50.22;64.025,50.265;64.315,50.265;64.315,50.035;64.025,50.035;64.025,50.08;63.87,50.08;63.87,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.55,47.64;63.55,47.9;63.87,47.9;63.87,47.84;65.405,47.84;65.405,47.885;65.695,47.885;65.695,47.655;65.405,47.655;65.405,47.7;63.87,47.7;63.87,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,45.6;60.33,45.66;60.135,45.66;60.135,45.8;60.33,45.8;60.33,45.86;60.65,45.86;60.65,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.665,47.655;56.665,47.885;56.74,47.885;56.74,48.18;58.045,48.18;58.045,48.225;58.335,48.225;58.335,47.995;58.045,47.995;58.045,48.04;56.88,48.04;56.88,47.885;56.955,47.885;56.955,47.655)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.345,42.215;60.345,42.445;60.42,42.445;60.42,42.74;63.55,42.74;63.55,42.8;63.87,42.8;63.87,42.54;63.55,42.54;63.55,42.6;60.56,42.6;60.56,42.445;60.635,42.445;60.635,42.215)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.47,44.24;64.47,44.3;62.935,44.3;62.935,44.255;62.645,44.255;62.645,44.485;62.935,44.485;62.935,44.44;64.47,44.44;64.47,44.5;64.79,44.5;64.79,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,39.14;55.27,39.4;55.59,39.4;55.59,39.34;56.665,39.34;56.665,39.385;56.955,39.385;56.955,39.155;56.665,39.155;56.665,39.2;55.59,39.2;55.59,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.01,47.98;64.01,48.04;63.815,48.04;63.815,48.18;64.01,48.18;64.01,48.24;64.33,48.24;64.33,47.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.77,47.98;66.77,48.04;66.615,48.04;66.615,47.995;66.325,47.995;66.325,48.225;66.615,48.225;66.615,48.18;66.77,48.18;66.77,48.24;67.09,48.24;67.09,47.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.19,41.86;56.19,41.92;56.035,41.92;56.035,41.875;55.745,41.875;55.745,42.105;56.035,42.105;56.035,42.06;56.19,42.06;56.19,42.12;56.51,42.12;56.51,41.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.47,40.16;64.47,40.42;64.79,40.42;64.79,40.36;67.245,40.36;67.245,40.405;67.535,40.405;67.535,40.36;67.69,40.36;67.69,40.42;68.01,40.42;68.01,40.16;67.69,40.16;67.69,40.22;67.535,40.22;67.535,40.175;67.245,40.175;67.245,40.22;64.79,40.22;64.79,40.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.71,42.2;61.71,42.26;61.515,42.26;61.515,42.4;61.71,42.4;61.71,42.46;62.03,42.46;62.03,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.425,50.035;59.425,50.265;59.5,50.265;59.5,50.76;55.59,50.76;55.59,50.7;55.27,50.7;55.27,50.96;55.59,50.96;55.59,50.9;64.47,50.9;64.47,50.96;64.79,50.96;64.79,50.7;64.47,50.7;64.47,50.76;59.64,50.76;59.64,50.265;59.715,50.265;59.715,50.035)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.77,50.7;66.77,50.76;66.575,50.76;66.575,50.9;66.77,50.9;66.77,50.96;67.09,50.96;67.09,50.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,42.2;66.31,42.26;66.115,42.26;66.115,42.4;66.31,42.4;66.31,42.46;66.63,42.46;66.63,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.39,44.24;65.39,44.3;65.195,44.3;65.195,44.44;65.39,44.44;65.39,44.5;65.71,44.5;65.71,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.23,42.2;21.23,42.26;18.315,42.26;18.315,42.215;18.025,42.215;18.025,42.445;18.315,42.445;18.315,42.4;21.23,42.4;21.23,42.46;21.55,42.46;21.55,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,54.78;13.41,55.04;13.73,55.04;13.73,54.98;29.14,54.98;29.14,55.18;29.075,55.18;29.075,55.32;29.51,55.32;29.51,55.38;29.83,55.38;29.83,55.32;30.43,55.32;30.43,55.38;30.52,55.38;30.52,55.66;31.9,55.66;31.9,56;34.34,56;34.34,55.52;34.045,55.52;34.045,55.475;33.755,55.475;33.755,55.705;34.045,55.705;34.045,55.66;34.2,55.66;34.2,55.86;32.04,55.86;32.04,55.52;30.66,55.52;30.66,55.38;30.75,55.38;30.75,55.12;30.43,55.12;30.43,55.18;29.83,55.18;29.83,55.12;29.51,55.12;29.51,55.18;29.28,55.18;29.28,54.84;13.73,54.84;13.73,54.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,44.24;34.11,44.3;26.84,44.3;26.84,44.98;20.54,44.98;20.54,44.825;20.615,44.825;20.615,44.595;20.325,44.595;20.325,44.825;20.4,44.825;20.4,45.12;26.98,45.12;26.98,44.44;34.11,44.44;34.11,44.5;34.43,44.5;34.43,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,56.14;17.55,56.4;17.87,56.4;17.87,56.34;25.37,56.34;25.37,56.4;25.69,56.4;25.69,56.14;25.37,56.14;25.37,56.2;17.87,56.2;17.87,56.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,57.5;15.71,57.56;15.515,57.56;15.515,57.7;15.71,57.7;15.71,57.76;16.03,57.76;16.03,57.7;17.565,57.7;17.565,57.745;17.64,57.745;17.64,58.04;18.47,58.04;18.47,58.1;18.79,58.1;18.79,58.04;20.325,58.04;20.325,58.085;20.615,58.085;20.615,57.855;20.54,57.855;20.54,57.7;23.99,57.7;23.99,57.76;24.31,57.76;24.31,57.7;24.465,57.7;24.465,57.745;24.755,57.745;24.755,57.515;24.465,57.515;24.465,57.56;24.31,57.56;24.31,57.5;23.99,57.5;23.99,57.56;20.4,57.56;20.4,57.855;20.325,57.855;20.325,57.9;18.79,57.9;18.79,57.84;18.47,57.84;18.47,57.9;17.78,57.9;17.78,57.745;17.855,57.745;17.855,57.515;17.565,57.515;17.565,57.56;16.03,57.56;16.03,57.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,50.02;26.75,50.08;23.62,50.08;23.62,50.76;13.73,50.76;13.73,50.7;13.41,50.7;13.41,50.96;13.73,50.96;13.73,50.9;23.76,50.9;23.76,50.22;26.75,50.22;26.75,50.28;27.07,50.28;27.07,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,51.04;16.63,51.3;16.95,51.3;16.95,51.24;29.05,51.24;29.05,51.3;29.37,51.3;29.37,51.04;29.05,51.04;29.05,51.1;16.95,51.1;16.95,51.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.27,60.22;9.27,60.48;9.59,60.48;9.59,60.42;27.21,60.42;27.21,60.48;27.53,60.48;27.53,60.22;27.21,60.22;27.21,60.28;9.59,60.28;9.59,60.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,60.9;20.31,61.16;20.63,61.16;20.63,61.1;21.705,61.1;21.705,61.145;21.995,61.145;21.995,60.915;21.705,60.915;21.705,60.96;20.63,60.96;20.63,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.35,52.4;31.35,52.46;15.8,52.46;15.8,53.095;15.725,53.095;15.725,53.325;16.015,53.325;16.015,53.095;15.94,53.095;15.94,52.6;31.35,52.6;31.35,52.66;31.67,52.66;31.67,52.4)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.23,44.24;21.23,44.3;21.035,44.3;21.035,44.44;21.23,44.44;21.23,44.5;21.55,44.5;21.55,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,52.8;20.4,53.095;20.325,53.095;20.325,53.14;19.71,53.14;19.71,53.08;19.39,53.08;19.39,53.34;19.71,53.34;19.71,53.28;20.325,53.28;20.325,53.325;20.615,53.325;20.615,53.095;20.54,53.095;20.54,52.94;27.76,52.94;27.76,53.095;27.685,53.095;27.685,53.325;27.975,53.325;27.975,53.095;27.9,53.095;27.9,52.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.865,63.295;19.865,63.525;20.155,63.525;20.155,63.48;23.16,63.48;23.16,63.975;23.085,63.975;23.085,64.205;23.375,64.205;23.375,64.16;25.14,64.16;25.14,63.82;32.27,63.82;32.27,63.88;32.59,63.88;32.59,63.62;32.27,63.62;32.27,63.68;25,63.68;25,64.02;23.375,64.02;23.375,63.975;23.3,63.975;23.3,63.34;20.155,63.34;20.155,63.295)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.205,53.095;10.205,53.325;10.28,53.325;10.28,53.62;13.41,53.62;13.41,53.68;13.73,53.68;13.73,53.42;13.41,53.42;13.41,53.48;10.42,53.48;10.42,53.325;10.495,53.325;10.495,53.095)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,53.42;16.63,53.48;16.435,53.48;16.435,53.62;16.63,53.62;16.63,53.68;16.95,53.68;16.95,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.47,64.3;18.47,64.56;18.79,64.56;18.79,64.5;21.23,64.5;21.23,64.56;21.55,64.56;21.55,64.3;21.23,64.3;21.23,64.36;18.79,64.36;18.79,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.01,53.42;18.01,53.68;18.33,53.68;18.33,53.62;18.945,53.62;18.945,53.665;19.235,53.665;19.235,53.435;18.945,53.435;18.945,53.48;18.33,53.48;18.33,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,53.42;23.07,53.48;21.995,53.48;21.995,53.435;21.705,53.435;21.705,53.48;20.155,53.48;20.155,53.435;19.865,53.435;19.865,53.665;20.155,53.665;20.155,53.62;21.705,53.62;21.705,53.665;21.995,53.665;21.995,53.62;23.07,53.62;23.07,53.68;23.39,53.68;23.39,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,53.42;32.27,53.48;31.195,53.48;31.195,53.435;30.905,53.435;30.905,53.665;31.195,53.665;31.195,53.62;32.27,53.62;32.27,53.68;32.59,53.68;32.59,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,53.42;35.03,53.48;34.835,53.48;34.835,53.62;35.03,53.62;35.03,53.68;35.35,53.68;35.35,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,64.64;15.71,64.9;16.03,64.9;16.03,64.84;31.825,64.84;31.825,64.885;32.115,64.885;32.115,64.84;35.49,64.84;35.49,64.9;35.81,64.9;35.81,64.64;35.49,64.64;35.49,64.7;32.115,64.7;32.115,64.655;31.825,64.655;31.825,64.7;16.03,64.7;16.03,64.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.87,53.42;36.87,53.48;36.675,53.48;36.675,53.62;36.87,53.62;36.87,53.68;37.19,53.68;37.19,53.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.345,53.435;14.345,53.665;14.42,53.665;14.42,53.82;14.19,53.82;14.19,53.76;13.87,53.76;13.87,54.02;14.19,54.02;14.19,53.96;14.56,53.96;14.56,53.665;14.635,53.665;14.635,53.435)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.61,53.76;22.61,53.82;18.775,53.82;18.775,53.775;18.485,53.775;18.485,54.005;18.775,54.005;18.775,53.96;22.61,53.96;22.61,54.02;22.93,54.02;22.93,53.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.61,47.98;22.61,48.04;17.855,48.04;17.855,47.995;17.565,47.995;17.565,48.225;17.855,48.225;17.855,48.18;22.61,48.18;22.61,48.24;22.93,48.24;22.93,47.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.35,67.02;8.35,67.28;8.67,67.28;8.67,67.22;23.62,67.22;23.62,67.375;23.545,67.375;23.545,67.605;23.835,67.605;23.835,67.56;34.57,67.56;34.57,67.62;34.89,67.62;34.89,67.36;34.57,67.36;34.57,67.42;23.835,67.42;23.835,67.375;23.76,67.375;23.76,67.08;8.67,67.08;8.67,67.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.39,55.46;19.39,55.72;19.71,55.72;19.71,55.66;23.545,55.66;23.545,55.705;23.835,55.705;23.835,55.475;23.545,55.475;23.545,55.52;19.71,55.52;19.71,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,68.72;11.57,68.98;11.89,68.98;11.89,68.92;13.73,68.92;13.73,69.6;33.19,69.6;33.19,69.66;33.51,69.66;33.51,69.4;33.19,69.4;33.19,69.46;13.87,69.46;13.87,68.78;11.89,68.78;11.89,68.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.74,55.365;32.74,55.46;32.73,55.46;32.73,55.72;33.05,55.72;33.05,55.46;33.03,55.46;33.03,55.365)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.99,60.9;23.99,60.96;23.795,60.96;23.795,61.1;23.99,61.1;23.99,61.16;24.31,61.16;24.31,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.37,60.9;25.37,60.96;24.755,60.96;24.755,60.915;24.465,60.915;24.465,61.145;24.755,61.145;24.755,61.1;25.37,61.1;25.37,61.16;25.69,61.16;25.69,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,60.9;27.67,60.96;27.475,60.96;27.475,61.1;27.67,61.1;27.67,61.16;27.99,61.16;27.99,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.05,60.9;29.05,60.96;28.615,60.96;28.615,61.1;29.05,61.1;29.05,61.16;29.37,61.16;29.37,61.1;30.43,61.1;30.43,61.16;30.75,61.16;30.75,60.9;30.43,60.9;30.43,60.96;29.37,60.96;29.37,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,60.9;34.11,60.96;33.955,60.96;33.955,60.915;33.665,60.915;33.665,61.145;33.955,61.145;33.955,61.1;34.11,61.1;34.11,61.16;34.43,61.16;34.43,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.49,60.9;35.49,60.96;35.32,60.96;35.32,61.1;35.49,61.1;35.49,61.16;35.81,61.16;35.81,61.145;35.82,61.145;35.82,60.915;35.81,60.915;35.81,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.43,56.14;30.43,56.2;28.435,56.2;28.435,56.155;28.145,56.155;28.145,56.385;28.435,56.385;28.435,56.34;30.43,56.34;30.43,56.4;30.75,56.4;30.75,56.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.35,60.9;31.35,61.16;31.67,61.16;31.67,61.1;33.28,61.1;33.28,61.44;34.8,61.44;34.8,61.145;34.875,61.145;34.875,60.915;34.585,60.915;34.585,61.145;34.66,61.145;34.66,61.3;33.42,61.3;33.42,60.96;31.67,60.96;31.67,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.13,61.24;28.13,61.5;28.45,61.5;28.45,61.44;29.525,61.44;29.525,61.485;29.815,61.485;29.815,61.255;29.525,61.255;29.525,61.3;28.45,61.3;28.45,61.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,61.24;32.27,61.3;32.075,61.3;32.075,61.44;32.27,61.44;32.27,61.5;32.59,61.5;32.59,61.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.99,55.46;23.99,55.72;24.31,55.72;24.31,55.66;28.145,55.66;28.145,55.705;28.435,55.705;28.435,55.475;28.145,55.475;28.145,55.52;24.31,55.52;24.31,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,57.84;23.07,57.9;21.995,57.9;21.995,57.855;21.705,57.855;21.705,58.085;21.995,58.085;21.995,58.04;23.07,58.04;23.07,58.1;23.39,58.1;23.39,57.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.97,61.58;29.97,61.64;29.775,61.64;29.775,61.78;29.97,61.78;29.97,61.84;30.29,61.84;30.29,61.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.345,60.575;37.345,60.62;36.04,60.62;36.04,61.64;34.89,61.64;34.89,61.58;34.57,61.58;34.57,61.64;33.51,61.64;33.51,61.58;33.19,61.58;33.19,61.84;33.51,61.84;33.51,61.78;34.57,61.78;34.57,61.84;34.89,61.84;34.89,61.78;36.18,61.78;36.18,60.76;37.345,60.76;37.345,60.805;37.635,60.805;37.635,60.575)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.97,63.28;29.97,63.34;29.815,63.34;29.815,63.295;29.525,63.295;29.525,63.525;29.815,63.525;29.815,63.48;29.97,63.48;29.97,63.54;30.29,63.54;30.29,63.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,57.84;32.73,58.1;33.05,58.1;33.05,58.04;33.205,58.04;33.205,58.085;33.495,58.085;33.495,58.04;33.65,58.04;33.65,58.1;33.97,58.1;33.97,57.84;33.65,57.84;33.65,57.9;33.495,57.9;33.495,57.855;33.205,57.855;33.205,57.9;33.05,57.9;33.05,57.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.41,63.62;36.41,63.68;32.82,63.68;32.82,63.975;32.745,63.975;32.745,64.205;33.035,64.205;33.035,63.975;32.96,63.975;32.96,63.82;36.41,63.82;36.41,63.88;36.73,63.88;36.73,63.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.15,63.96;22.15,64.22;22.47,64.22;22.47,64.16;22.625,64.16;22.625,64.205;22.915,64.205;22.915,63.975;22.625,63.975;22.625,64.02;22.47,64.02;22.47,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,63.96;28.59,64.02;28.395,64.02;28.395,64.16;28.59,64.16;28.59,64.22;28.91,64.22;28.91,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,63.96;33.65,64.02;33.455,64.02;33.455,64.16;33.65,64.16;33.65,64.22;33.97,64.22;33.97,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.57,63.96;34.57,64.02;34.4,64.02;34.4,64.16;34.57,64.16;34.57,64.22;34.89,64.22;34.89,64.205;34.9,64.205;34.9,63.975;34.89,63.975;34.89,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.87,63.96;36.87,64.22;37.19,64.22;37.19,64.16;37.345,64.16;37.345,64.205;37.635,64.205;37.635,63.975;37.345,63.975;37.345,64.02;37.19,64.02;37.19,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.53,58.18;23.53,58.24;21.535,58.24;21.535,58.195;21.245,58.195;21.245,58.425;21.535,58.425;21.535,58.38;23.53,58.38;23.53,58.44;23.85,58.44;23.85,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.91,58.18;24.91,58.24;24.295,58.24;24.295,58.195;24.005,58.195;24.005,58.425;24.295,58.425;24.295,58.38;24.91,58.38;24.91,58.44;25.23,58.44;25.23,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.13,58.18;28.13,58.24;27.055,58.24;27.055,58.195;26.765,58.195;26.765,58.425;27.055,58.425;27.055,58.38;28.13,58.38;28.13,58.44;28.45,58.44;28.45,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.19,64.3;33.19,64.36;21.995,64.36;21.995,64.315;21.705,64.315;21.705,64.545;21.995,64.545;21.995,64.5;33.19,64.5;33.19,64.56;33.51,64.56;33.51,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,64.3;35.03,64.36;34.415,64.36;34.415,64.315;34.125,64.315;34.125,64.545;34.415,64.545;34.415,64.5;35.03,64.5;35.03,64.56;35.35,64.56;35.35,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.41,64.3;36.41,64.36;36.215,64.36;36.215,64.5;36.41,64.5;36.41,64.56;36.73,64.56;36.73,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,57.84;27.67,58.1;27.99,58.1;27.99,58.04;29.135,58.04;29.135,58.535;28.895,58.535;28.895,58.765;29.185,58.765;29.185,58.72;29.275,58.72;29.275,57.9;27.99,57.9;27.99,57.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,58.18;32.27,58.24;29.6,58.24;29.6,58.535;29.525,58.535;29.525,58.765;29.815,58.765;29.815,58.535;29.74,58.535;29.74,58.38;32.27,58.38;32.27,58.44;32.59,58.44;32.59,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.37,58.52;25.37,58.78;25.69,58.78;25.69,58.72;25.845,58.72;25.845,58.765;26.135,58.765;26.135,58.535;25.845,58.535;25.845,58.58;25.69,58.58;25.69,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,58.52;30.89,58.78;31.21,58.78;31.21,58.72;33.65,58.72;33.65,58.78;33.97,58.78;33.97,58.52;33.65,58.52;33.65,58.58;31.21,58.58;31.21,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.61,55.8;22.61,56.06;22.93,56.06;22.93,56;25.83,56;25.83,56.06;26.15,56.06;26.15,56;29.425,56;29.425,56.045;29.715,56.045;29.715,55.815;29.425,55.815;29.425,55.86;26.15,55.86;26.15,55.8;25.83,55.8;25.83,55.86;22.93,55.86;22.93,55.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,58.86;27.21,59.12;27.53,59.12;27.53,59.06;27.685,59.06;27.685,59.105;27.975,59.105;27.975,58.875;27.685,58.875;27.685,58.92;27.53,58.92;27.53,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.23,65.66;21.23,65.92;21.55,65.92;21.55,65.86;22.165,65.86;22.165,65.905;22.455,65.905;22.455,65.675;22.165,65.675;22.165,65.72;21.55,65.72;21.55,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.13,65.66;28.13,65.72;24.755,65.72;24.755,65.675;24.465,65.675;24.465,65.905;24.755,65.905;24.755,65.86;28.13,65.86;28.13,65.92;28.45,65.92;28.45,65.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.41,58.86;36.41,59.12;36.73,59.12;36.73,59.06;37.345,59.06;37.345,59.105;37.635,59.105;37.635,58.875;37.345,58.875;37.345,58.92;36.73,58.92;36.73,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,55.18;34.66,55.475;34.585,55.475;34.585,55.705;34.875,55.705;34.875,55.475;34.8,55.475;34.8,55.32;36.04,55.32;36.04,55.475;35.965,55.475;35.965,55.705;36.04,55.705;36.04,56;36.41,56;36.41,56.06;36.73,56.06;36.73,55.8;36.41,55.8;36.41,55.86;36.18,55.86;36.18,55.705;36.255,55.705;36.255,55.475;36.18,55.475;36.18,55.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,66;27.67,66.06;25.215,66.06;25.215,66.015;24.925,66.015;24.925,66.245;25.215,66.245;25.215,66.2;27.67,66.2;27.67,66.26;27.99,66.26;27.99,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.97,66;29.97,66.06;29.775,66.06;29.775,66.2;29.97,66.2;29.97,66.26;30.29,66.26;30.29,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,66;30.89,66.26;31.21,66.26;31.21,66.2;35.49,66.2;35.49,66.26;35.81,66.26;35.81,66;35.49,66;35.49,66.06;31.21,66.06;31.21,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.53,53.76;23.53,54.02;23.85,54.02;23.85,53.96;26.765,53.96;26.765,54.005;27.055,54.005;27.055,53.775;26.765,53.775;26.765,53.82;23.85,53.82;23.85,53.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.05,53.76;29.05,53.82;28.855,53.82;28.855,53.96;29.05,53.96;29.05,54.02;29.37,54.02;29.37,53.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,53.76;29.51,54.02;29.83,54.02;29.83,53.96;29.985,53.96;29.985,54.005;30.275,54.005;30.275,53.775;29.985,53.775;29.985,53.82;29.83,53.82;29.83,53.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,59.2;32.73,59.26;32.575,59.26;32.575,59.215;32.285,59.215;32.285,59.445;32.575,59.445;32.575,59.4;32.73,59.4;32.73,59.46;33.05,59.46;33.05,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,66.34;28.59,66.6;28.91,66.6;28.91,66.54;30.43,66.54;30.43,66.6;30.75,66.6;30.75,66.54;32.745,66.54;32.745,66.585;33.035,66.585;33.035,66.355;32.745,66.355;32.745,66.4;30.75,66.4;30.75,66.34;30.43,66.34;30.43,66.4;28.91,66.4;28.91,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.19,66.34;33.19,66.6;33.51,66.6;33.51,66.54;34.325,66.54;34.325,66.585;34.615,66.585;34.615,66.355;34.325,66.355;34.325,66.4;33.51,66.4;33.51,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.41,66.34;36.41,66.4;36.215,66.4;36.215,66.54;36.41,66.54;36.41,66.6;36.73,66.6;36.73,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.35,55.12;31.35,55.18;31.155,55.18;31.155,55.32;31.35,55.32;31.35,55.38;31.67,55.38;31.67,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,66.34;35.03,66.6;35.12,66.6;35.12,66.74;29.355,66.74;29.355,66.695;29.065,66.695;29.065,66.925;29.355,66.925;29.355,66.88;35.26,66.88;35.26,66.6;35.35,66.6;35.35,66.54;35.545,66.54;35.545,66.4;35.35,66.4;35.35,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.37,66.68;25.37,66.74;25.175,66.74;25.175,66.88;25.37,66.88;25.37,66.94;25.69,66.94;25.69,66.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.145,66.695;28.145,66.925;28.22,66.925;28.22,67.22;31.825,67.22;31.825,67.265;32.115,67.265;32.115,67.035;31.825,67.035;31.825,67.08;28.36,67.08;28.36,66.925;28.435,66.925;28.435,66.695)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.97,54.78;29.97,54.84;29.775,54.84;29.775,54.98;29.97,54.98;29.97,55.04;30.29,55.04;30.29,54.98;33.275,54.98;33.275,55.365;33.2,55.365;33.2,55.595;33.49,55.595;33.49,55.365;33.415,55.365;33.415,54.84;30.29,54.84;30.29,54.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.41,55.12;36.41,55.38;36.43,55.38;36.43,55.595;36.72,55.595;36.72,55.38;36.73,55.38;36.73,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,59.2;34.11,59.26;33.915,59.26;33.915,59.4;34.11,59.4;34.11,59.46;34.43,59.46;34.43,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,55.46;35.03,55.72;35.35,55.72;35.35,55.66;35.58,55.66;35.58,56.2;31.12,56.2;31.12,56.045;31.195,56.045;31.195,55.815;30.905,55.815;30.905,56.045;30.98,56.045;30.98,56.34;35.72,56.34;35.72,55.66;35.785,55.66;35.785,55.52;35.35,55.52;35.35,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.19,60.56;33.19,60.62;22.915,60.62;22.915,60.575;22.625,60.575;22.625,60.805;22.915,60.805;22.915,60.76;33.19,60.76;33.19,60.82;33.51,60.82;33.51,60.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.19,60.9;10.19,60.96;9.995,60.96;9.995,61.1;10.19,61.1;10.19,61.16;10.51,61.16;10.51,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,61.92;10.65,62.18;10.97,62.18;10.97,62.12;12.045,62.12;12.045,62.165;12.335,62.165;12.335,61.935;12.045,61.935;12.045,61.98;10.97,61.98;10.97,61.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.025,60.915;18.025,61.145;18.315,61.145;18.315,61.1;19.48,61.1;19.48,61.92;19.39,61.92;19.39,62.18;19.71,62.18;19.71,62.12;19.865,62.12;19.865,62.165;20.155,62.165;20.155,61.935;19.865,61.935;19.865,61.98;19.71,61.98;19.71,61.92;19.62,61.92;19.62,60.96;18.315,60.96;18.315,60.915)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,62.94;6.97,63;6.775,63;6.775,63.14;6.97,63.14;6.97,63.2;7.29,63.2;7.29,62.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,63.28;14.33,63.54;14.65,63.54;14.65,63.48;17.565,63.48;17.565,63.525;17.855,63.525;17.855,63.48;18.93,63.48;18.93,63.54;19.25,63.54;19.25,63.28;18.93,63.28;18.93,63.34;17.855,63.34;17.855,63.295;17.565,63.295;17.565,63.34;14.65,63.34;14.65,63.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,60.9;13.41,61.16;13.73,61.16;13.73,61.1;13.925,61.1;13.925,60.96;13.73,60.96;13.73,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.03,53.76;12.03,53.82;11.835,53.82;11.835,53.96;12.03,53.96;12.03,54.02;12.35,54.02;12.35,53.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.945,65.675;18.945,65.72;18.1,65.72;18.1,66.015;18.025,66.015;18.025,66.245;18.315,66.245;18.315,66.015;18.24,66.015;18.24,65.86;18.945,65.86;18.945,65.905;19.235,65.905;19.235,65.675)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,60.9;17.09,60.96;16.895,60.96;16.895,61.1;17.09,61.1;17.09,61.16;17.41,61.16;17.41,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,58.86;6.97,59.12;7.29,59.12;7.29,59.06;7.445,59.06;7.445,59.105;7.735,59.105;7.735,59.06;12.965,59.06;12.965,59.105;13.255,59.105;13.255,59.06;15.71,59.06;15.71,59.12;16.03,59.12;16.03,58.86;15.71,58.86;15.71,58.92;13.255,58.92;13.255,58.875;12.965,58.875;12.965,58.92;7.735,58.92;7.735,58.875;7.445,58.875;7.445,58.92;7.29,58.92;7.29,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.19,66;10.19,66.06;9.995,66.06;9.995,66.2;10.19,66.2;10.19,66.26;10.51,66.26;10.51,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,66;10.65,66.26;10.97,66.26;10.97,66.2;11.165,66.2;11.165,66.06;10.97,66.06;10.97,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,66;14.33,66.06;14.135,66.06;14.135,66.2;14.33,66.2;14.33,66.26;14.65,66.26;14.65,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,63.96;6.97,64.22;7.29,64.22;7.29,64.16;8.365,64.16;8.365,64.205;8.655,64.205;8.655,63.975;8.365,63.975;8.365,64.02;7.29,64.02;7.29,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.27,63.96;9.27,64.02;9.075,64.02;9.075,64.16;9.27,64.16;9.27,64.22;9.59,64.22;9.59,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,63.96;11.11,64.02;10.495,64.02;10.495,63.975;10.205,63.975;10.205,64.205;10.495,64.205;10.495,64.16;11.11,64.16;11.11,64.22;11.43,64.22;11.43,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.39,63.96;19.39,64.02;18.315,64.02;18.315,63.975;18.025,63.975;18.025,64.205;18.315,64.205;18.315,64.16;19.39,64.16;19.39,64.22;19.71,64.22;19.71,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,63.96;20.31,64.22;20.63,64.22;20.63,64.205;20.815,64.205;20.815,63.975;20.63,63.975;20.63,63.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.93,58.86;18.93,58.92;18.735,58.92;18.735,59.06;18.93,59.06;18.93,59.12;19.25,59.12;19.25,58.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.365,58.195;8.365,58.425;8.655,58.425;8.655,58.38;9.285,58.38;9.285,58.425;9.575,58.425;9.575,58.195;9.285,58.195;9.285,58.24;8.655,58.24;8.655,58.195)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,66;17.09,66.26;17.41,66.26;17.41,66.2;17.565,66.2;17.565,66.245;17.64,66.245;17.64,66.54;18.7,66.54;18.7,66.2;20.325,66.2;20.325,66.245;20.615,66.245;20.615,66.015;20.325,66.015;20.325,66.06;18.56,66.06;18.56,66.4;17.78,66.4;17.78,66.245;17.855,66.245;17.855,66.015;17.565,66.015;17.565,66.06;17.41,66.06;17.41,66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,66.34;7.89,66.4;7.695,66.4;7.695,66.54;7.89,66.54;7.89,66.6;8.21,66.6;8.21,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,66.34;11.11,66.6;11.43,66.6;11.43,66.54;12.045,66.54;12.045,66.585;12.335,66.585;12.335,66.355;12.045,66.355;12.045,66.4;11.43,66.4;11.43,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,66.34;13.41,66.6;13.73,66.6;13.73,66.54;15.265,66.54;15.265,66.585;15.555,66.585;15.555,66.355;15.265,66.355;15.265,66.4;13.73,66.4;13.73,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.39,66.34;19.39,66.6;19.71,66.6;19.71,66.54;19.865,66.54;19.865,66.585;20.155,66.585;20.155,66.355;19.865,66.355;19.865,66.4;19.71,66.4;19.71,66.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,58.18;11.11,58.44;11.43,58.44;11.43,58.38;12.045,58.38;12.045,58.425;12.335,58.425;12.335,58.38;14.805,58.38;14.805,58.425;15.095,58.425;15.095,58.195;14.805,58.195;14.805,58.24;12.335,58.24;12.335,58.195;12.045,58.195;12.045,58.24;11.43,58.24;11.43,58.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.49,58.52;12.49,58.58;12.295,58.58;12.295,58.72;12.49,58.72;12.49,58.78;12.81,58.78;12.81,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.19,59.2;10.19,59.26;9.115,59.26;9.115,59.215;8.825,59.215;8.825,59.445;9.115,59.445;9.115,59.4;10.19,59.4;10.19,59.46;10.51,59.46;10.51,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,55.12;17.55,55.18;17.355,55.18;17.355,55.32;17.55,55.32;17.55,55.38;17.87,55.38;17.87,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.01,55.12;18.01,55.38;18.33,55.38;18.33,55.32;18.525,55.32;18.525,55.18;18.33,55.18;18.33,55.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,59.2;20.31,59.26;17.395,59.26;17.395,59.215;17.105,59.215;17.105,59.445;17.395,59.445;17.395,59.4;20.31,59.4;20.31,59.46;20.63,59.46;20.63,59.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.805,66.695;14.805,66.925;15.095,66.925;15.095,66.88;20.785,66.88;20.785,66.925;21.075,66.925;21.075,66.695;20.785,66.695;20.785,66.74;15.095,66.74;15.095,66.695)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,58.52;13.41,58.78;13.73,58.78;13.73,58.72;13.885,58.72;13.885,58.765;14.175,58.765;14.175,58.535;13.885,58.535;13.885,58.58;13.73,58.58;13.73,58.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (4.21,66.68;4.21,66.94;4.53,66.94;4.53,66.88;11.57,66.88;11.57,66.94;11.89,66.94;11.89,66.68;11.57,66.68;11.57,66.74;4.53,66.74;4.53,66.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,64.3;7.43,64.56;7.75,64.56;7.75,64.5;7.905,64.5;7.905,64.545;8.195,64.545;8.195,64.5;16.17,64.5;16.17,64.56;16.49,64.56;16.49,64.3;16.17,64.3;16.17,64.36;8.195,64.36;8.195,64.315;7.905,64.315;7.905,64.36;7.75,64.36;7.75,64.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,56.14;14.33,56.2;14.135,56.2;14.135,56.34;14.33,56.34;14.33,56.4;14.65,56.4;14.65,56.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.39,57.5;19.39,57.56;19.195,57.56;19.195,57.7;19.39,57.7;19.39,57.76;19.71,57.76;19.71,57.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,60.56;14.33,60.82;14.65,60.82;14.65,60.76;19.405,60.76;19.405,60.805;19.695,60.805;19.695,60.575;19.405,60.575;19.405,60.62;14.65,60.62;14.65,60.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,67.36;6.97,67.42;6.775,67.42;6.775,67.56;6.97,67.56;6.97,67.62;7.29,67.62;7.29,67.56;20.31,67.56;20.31,67.62;20.63,67.62;20.63,67.36;20.31,67.36;20.31,67.42;7.29,67.42;7.29,67.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,57.84;14.33,57.9;10.035,57.9;10.035,57.855;9.745,57.855;9.745,58.085;10.035,58.085;10.035,58.04;14.33,58.04;14.33,58.1;14.65,58.1;14.65,57.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,55.46;11.11,55.52;7.275,55.52;7.275,55.475;6.985,55.475;6.985,55.705;7.275,55.705;7.275,55.66;11.11,55.66;11.11,55.72;11.43,55.72;11.43,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.03,55.46;12.03,55.52;11.835,55.52;11.835,55.66;12.03,55.66;12.03,55.72;12.35,55.72;12.35,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,55.46;16.63,55.52;15.555,55.52;15.555,55.475;15.265,55.475;15.265,55.705;15.555,55.705;15.555,55.66;16.63,55.66;16.63,55.72;16.95,55.72;16.95,55.66;18.945,55.66;18.945,55.705;19.235,55.705;19.235,55.475;18.945,55.475;18.945,55.52;16.95,55.52;16.95,55.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.03,60.56;12.03,60.62;11.875,60.62;11.875,60.575;11.585,60.575;11.585,60.805;11.875,60.805;11.875,60.76;12.03,60.76;12.03,60.82;12.12,60.82;12.12,61.1;12.965,61.1;12.965,61.145;13.255,61.145;13.255,60.915;12.965,60.915;12.965,60.96;12.26,60.96;12.26,60.82;12.35,60.82;12.35,60.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,60.9;7.89,60.96;7.275,60.96;7.275,60.915;6.985,60.915;6.985,61.145;7.275,61.145;7.275,61.1;7.89,61.1;7.89,61.16;8.21,61.16;8.21,60.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.95,44.58;12.95,44.64;11.875,44.64;11.875,44.595;11.585,44.595;11.585,44.825;11.875,44.825;11.875,44.78;12.95,44.78;12.95,44.84;13.27,44.84;13.27,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,44.58;14.33,44.84;14.65,44.84;14.65,44.78;14.805,44.78;14.805,44.825;15.095,44.825;15.095,44.595;14.805,44.595;14.805,44.64;14.65,44.64;14.65,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,44.58;16.17,44.64;15.975,44.64;15.975,44.78;16.17,44.78;16.17,44.84;16.49,44.84;16.49,44.78;17.565,44.78;17.565,44.825;17.855,44.825;17.855,44.595;17.565,44.595;17.565,44.64;16.49,44.64;16.49,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.87,46.96;13.87,47.22;14.19,47.22;14.19,47.16;14.345,47.16;14.345,47.205;14.635,47.205;14.635,46.975;14.345,46.975;14.345,47.02;14.19,47.02;14.19,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,52.06;7.43,52.12;7.235,52.12;7.235,52.26;7.43,52.26;7.43,52.32;7.75,52.32;7.75,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,52.06;10.65,52.12;10.455,52.12;10.455,52.26;10.65,52.26;10.65,52.32;10.97,52.32;10.97,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,49.34;15.71,49.6;16.03,49.6;16.03,49.54;19.85,49.54;19.85,49.6;20.17,49.6;20.17,49.34;19.85,49.34;19.85,49.4;16.03,49.4;16.03,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.35,38.8;8.35,39.06;8.67,39.06;8.67,39;15.725,39;15.725,39.045;16.015,39.045;16.015,38.815;15.725,38.815;15.725,38.86;8.67,38.86;8.67,38.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,43.9;10.65,43.96;10.455,43.96;10.455,44.1;10.65,44.1;10.65,44.16;10.97,44.16;10.97,44.1;13.73,44.1;13.73,45.12;19.62,45.12;19.62,44.825;19.695,44.825;19.695,44.595;19.405,44.595;19.405,44.825;19.48,44.825;19.48,44.98;13.87,44.98;13.87,43.96;10.97,43.96;10.97,43.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,49.34;10.65,49.6;10.97,49.6;10.97,49.54;15.34,49.54;15.34,49.695;15.285,49.695;15.285,49.925;15.575,49.925;15.575,49.695;15.48,49.695;15.48,49.4;10.97,49.4;10.97,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,47.64;7.43,47.9;7.75,47.9;7.75,47.84;7.905,47.84;7.905,47.885;8.195,47.885;8.195,47.655;7.905,47.655;7.905,47.7;7.75,47.7;7.75,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,47.64;16.17,47.7;15.555,47.7;15.555,47.655;15.265,47.655;15.265,47.885;15.555,47.885;15.555,47.84;16.17,47.84;16.17,47.9;16.49,47.9;16.49,47.84;16.645,47.84;16.645,47.885;16.935,47.885;16.935,47.655;16.645,47.655;16.645,47.7;16.49,47.7;16.49,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.47,47.64;18.47,47.7;18.275,47.7;18.275,47.84;18.47,47.84;18.47,47.9;18.79,47.9;18.79,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.79,41.52;14.79,41.58;14.595,41.58;14.595,41.72;14.79,41.72;14.79,41.78;15.11,41.78;15.11,41.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,43.9;8.81,43.96;8.615,43.96;8.615,44.1;8.81,44.1;8.81,44.16;9.13,44.16;9.13,43.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.87,49.68;13.87,49.74;11.415,49.74;11.415,49.695;11.125,49.695;11.125,49.925;11.415,49.925;11.415,49.88;13.87,49.88;13.87,49.94;14.19,49.94;14.19,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,47.98;8.81,48.04;7.275,48.04;7.275,47.995;6.985,47.995;6.985,48.225;7.275,48.225;7.275,48.18;8.81,48.18;8.81,48.24;9.13,48.24;9.13,47.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.79,45.6;14.79,45.86;15.11,45.86;15.11,45.8;16.645,45.8;16.645,45.845;16.935,45.845;16.935,45.615;16.645,45.615;16.645,45.66;15.11,45.66;15.11,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,50.36;7.43,50.62;7.75,50.62;7.75,50.56;8.825,50.56;8.825,50.605;9.115,50.605;9.115,50.375;8.825,50.375;8.825,50.42;7.75,50.42;7.75,50.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,40.16;7.43,40.22;7.235,40.22;7.235,40.36;7.43,40.36;7.43,40.42;7.75,40.42;7.75,40.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.585,50.035;11.585,50.08;10.74,50.08;10.74,50.9;13.18,50.9;13.18,50.56;19.405,50.56;19.405,50.605;19.695,50.605;19.695,50.375;19.405,50.375;19.405,50.42;13.04,50.42;13.04,50.76;10.88,50.76;10.88,50.22;11.585,50.22;11.585,50.265;11.875,50.265;11.875,50.035)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,38.46;14.33,38.52;14.175,38.52;14.175,38.475;13.885,38.475;13.885,38.705;14.175,38.705;14.175,38.66;14.33,38.66;14.33,38.72;14.65,38.72;14.65,38.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,39.14;8.81,39.2;8.615,39.2;8.615,39.34;8.81,39.34;8.81,39.4;9.13,39.4;9.13,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.03,50.02;12.03,50.28;12.35,50.28;12.35,50.22;12.545,50.22;12.545,50.08;12.35,50.08;12.35,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.945,49.695;18.945,49.74;16.26,49.74;16.26,50.08;14.65,50.08;14.65,50.02;14.33,50.02;14.33,50.08;13.895,50.08;13.895,50.22;14.33,50.22;14.33,50.28;14.65,50.28;14.65,50.22;16.4,50.22;16.4,49.88;18.945,49.88;18.945,49.925;19.235,49.925;19.235,49.695)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,50.02;16.63,50.28;16.95,50.28;16.95,50.22;17.145,50.22;17.145,50.08;16.95,50.08;16.95,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,38.8;17.55,38.86;17.355,38.86;17.355,39;17.55,39;17.55,39.06;17.87,39.06;17.87,38.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.25,41.18;15.25,41.44;15.57,41.44;15.57,41.38;17.105,41.38;17.105,41.425;17.395,41.425;17.395,41.195;17.105,41.195;17.105,41.24;15.57,41.24;15.57,41.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,51.04;6.97,51.1;6.775,51.1;6.775,51.24;6.97,51.24;6.97,51.3;7.29,51.3;7.29,51.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,39.48;20.31,39.74;20.63,39.74;20.63,39.68;20.785,39.68;20.785,39.725;21.075,39.725;21.075,39.495;20.785,39.495;20.785,39.54;20.63,39.54;20.63,39.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.25,38.46;15.25,38.72;15.57,38.72;15.57,38.66;16.72,38.66;16.72,38.815;16.645,38.815;16.645,39.045;16.935,39.045;16.935,38.815;16.86,38.815;16.86,38.66;18.47,38.66;18.47,38.72;18.79,38.72;18.79,38.46;18.47,38.46;18.47,38.52;15.57,38.52;15.57,38.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.85,44.24;19.85,44.3;19.235,44.3;19.235,44.255;18.945,44.255;18.945,44.485;19.235,44.485;19.235,44.44;19.85,44.44;19.85,44.5;20.17,44.5;20.17,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,52.74;13.41,52.8;9.36,52.8;9.36,53.095;9.285,53.095;9.285,53.325;9.575,53.325;9.575,53.095;9.5,53.095;9.5,52.94;13.41,52.94;13.41,53;13.73,53;13.73,52.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,52.06;15.71,52.12;14.175,52.12;14.175,52.075;13.885,52.075;13.885,52.305;14.175,52.305;14.175,52.26;14.88,52.26;14.88,52.46;7.29,52.46;7.29,52.4;6.97,52.4;6.97,52.66;7.29,52.66;7.29,52.6;8.9,52.6;8.9,53.095;8.825,53.095;8.825,53.325;9.115,53.325;9.115,53.095;9.04,53.095;9.04,52.6;14.88,52.6;14.88,53.095;14.805,53.095;14.805,53.325;15.095,53.325;15.095,53.095;15.02,53.095;15.02,52.26;15.71,52.26;15.71,52.32;16.03,52.32;16.03,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,51.04;14.33,51.1;8.655,51.1;8.655,51.055;8.365,51.055;8.365,51.285;8.655,51.285;8.655,51.24;14.33,51.24;14.33,51.3;14.65,51.3;14.65,51.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.745,44.595;9.745,44.825;9.82,44.825;9.82,45.46;17.55,45.46;17.55,45.52;17.87,45.52;17.87,45.26;17.55,45.26;17.55,45.32;9.96,45.32;9.96,44.825;10.035,44.825;10.035,44.595)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.25,39.14;15.25,39.2;15.055,39.2;15.055,39.34;15.25,39.34;15.25,39.4;15.57,39.4;15.57,39.34;19.405,39.34;19.405,39.385;19.695,39.385;19.695,39.34;19.85,39.34;19.85,39.4;20.17,39.4;20.17,39.14;19.85,39.14;19.85,39.2;19.695,39.2;19.695,39.155;19.405,39.155;19.405,39.2;15.57,39.2;15.57,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,42.2;7.43,42.26;7.235,42.26;7.235,42.4;7.43,42.4;7.43,42.46;7.75,42.46;7.75,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.79,49.68;14.79,49.74;14.595,49.74;14.595,49.88;14.79,49.88;14.79,49.94;15.11,49.94;15.11,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.47,50.02;18.47,50.08;17.855,50.08;17.855,50.035;17.565,50.035;17.565,50.265;17.855,50.265;17.855,50.22;18.47,50.22;18.47,50.28;18.79,50.28;18.79,50.22;20.325,50.22;20.325,50.265;20.615,50.265;20.615,50.035;20.325,50.035;20.325,50.08;18.79,50.08;18.79,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,53.08;7.89,53.34;8.21,53.34;8.21,53.325;8.395,53.325;8.395,53.095;8.21,53.095;8.21,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.87,53.08;13.87,53.14;12.795,53.14;12.795,53.095;12.505,53.095;12.505,53.325;12.795,53.325;12.795,53.28;13.87,53.28;13.87,53.34;14.19,53.34;14.19,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,45.6;7.89,45.66;7.735,45.66;7.735,45.615;7.445,45.615;7.445,45.845;7.735,45.845;7.735,45.8;7.89,45.8;7.89,45.86;8.21,45.86;8.21,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,37.44;17.09,37.5;12.335,37.5;12.335,37.455;12.045,37.455;12.045,37.685;12.335,37.685;12.335,37.64;17.09,37.64;17.09,37.7;17.41,37.7;17.41,37.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.345,39.155;14.345,39.385;14.42,39.385;14.42,39.68;18.93,39.68;18.93,39.74;19.25,39.74;19.25,39.48;18.93,39.48;18.93,39.54;14.56,39.54;14.56,39.385;14.635,39.385;14.635,39.155)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,46.62;30.89,46.88;31.21,46.88;31.21,46.82;32.285,46.82;32.285,46.865;32.575,46.865;32.575,46.635;32.285,46.635;32.285,46.68;31.21,46.68;31.21,46.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.445,44.595;30.445,44.825;30.52,44.825;30.52,45.12;32.27,45.12;32.27,45.18;32.59,45.18;32.59,44.92;32.27,44.92;32.27,44.98;30.66,44.98;30.66,44.825;30.735,44.825;30.735,44.595)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,42.2;32.73,42.26;32.535,42.26;32.535,42.4;32.73,42.4;32.73,42.46;33.05,42.46;33.05,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.91,42.54;24.91,42.8;25.23,42.8;25.23,42.74;25.845,42.74;25.845,42.785;26.135,42.785;26.135,42.555;25.845,42.555;25.845,42.6;25.23,42.6;25.23,42.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,46.96;34.11,47.22;34.4,47.22;34.4,47.655;34.355,47.655;34.355,47.885;34.645,47.885;34.645,47.655;34.54,47.655;34.54,47.02;34.43,47.02;34.43,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,37.44;34.11,37.5;32.575,37.5;32.575,37.455;32.285,37.455;32.285,37.685;32.575,37.685;32.575,37.64;34.11,37.64;34.11,37.7;34.43,37.7;34.43,37.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,42.54;27.21,42.6;27.015,42.6;27.015,42.74;27.21,42.74;27.21,42.8;27.53,42.8;27.53,42.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,49.34;30.89,49.4;30.06,49.4;30.06,49.695;29.985,49.695;29.985,49.925;30.275,49.925;30.275,49.695;30.2,49.695;30.2,49.54;30.89,49.54;30.89,49.6;31.21,49.6;31.21,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.33,37.44;37.33,37.5;37.135,37.5;37.135,37.64;37.33,37.64;37.33,37.7;37.65,37.7;37.65,37.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.61,49.68;22.61,49.74;22.415,49.74;22.415,49.88;22.61,49.88;22.61,49.94;22.93,49.94;22.93,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,47.3;35.95,47.36;35.12,47.36;35.12,47.655;35.045,47.655;35.045,47.885;35.335,47.885;35.335,47.655;35.26,47.655;35.26,47.5;35.95,47.5;35.95,47.56;36.27,47.56;36.27,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,42.54;34.11,42.6;32.115,42.6;32.115,42.555;31.825,42.555;31.825,42.785;32.115,42.785;32.115,42.74;34.11,42.74;34.11,42.8;34.43,42.8;34.43,42.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,50.02;27.67,50.08;27.475,50.08;27.475,50.22;27.67,50.22;27.67,50.28;27.99,50.28;27.99,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,44.58;23.07,44.64;22.915,44.64;22.915,44.595;22.625,44.595;22.625,44.825;22.915,44.825;22.915,44.78;23.07,44.78;23.07,44.84;23.39,44.84;23.39,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,51.04;35.95,51.1;35.795,51.1;35.795,51.055;35.505,51.055;35.505,51.285;35.795,51.285;35.795,51.24;35.95,51.24;35.95,51.3;36.27,51.3;36.27,51.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.87,38.46;36.87,38.52;36.675,38.52;36.675,38.66;36.87,38.66;36.87,38.72;37.19,38.72;37.19,38.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.91,53.08;24.91,53.14;24.715,53.14;24.715,53.28;24.91,53.28;24.91,53.34;25.23,53.34;25.23,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.19,50.02;33.19,50.08;32.995,50.08;32.995,50.22;33.19,50.22;33.19,50.28;33.51,50.28;33.51,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,50.02;34.11,50.08;33.915,50.08;33.915,50.22;34.11,50.22;34.11,50.28;34.43,50.28;34.43,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,49.68;33.65,49.74;30.735,49.74;30.735,49.695;30.445,49.695;30.445,49.925;30.735,49.925;30.735,49.88;33.65,49.88;33.65,49.94;33.97,49.94;33.97,49.88;34.66,49.88;34.66,50.035;34.585,50.035;34.585,50.265;34.875,50.265;34.875,50.22;35.49,50.22;35.49,50.28;35.81,50.28;35.81,50.22;36.885,50.22;36.885,50.265;37.175,50.265;37.175,50.035;36.885,50.035;36.885,50.08;35.81,50.08;35.81,50.02;35.49,50.02;35.49,50.08;34.875,50.08;34.875,50.035;34.8,50.035;34.8,49.74;33.97,49.74;33.97,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.91,49.68;24.91,49.74;24.715,49.74;24.715,49.88;24.91,49.88;24.91,49.94;25.23,49.94;25.23,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.29,53.08;26.29,53.14;26.095,53.14;26.095,53.28;26.29,53.28;26.29,53.34;26.61,53.34;26.61,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,53.08;33.65,53.34;33.97,53.34;33.97,53.28;34.585,53.28;34.585,53.325;34.875,53.325;34.875,53.095;34.585,53.095;34.585,53.14;33.97,53.14;33.97,53.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.83,44.24;25.83,44.3;23.835,44.3;23.835,44.255;23.545,44.255;23.545,44.485;23.835,44.485;23.835,44.44;25.83,44.44;25.83,44.5;26.15,44.5;26.15,44.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.57,41.86;34.57,41.92;34.375,41.92;34.375,42.06;34.57,42.06;34.57,42.12;34.89,42.12;34.89,41.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.83,49.68;25.83,49.74;25.635,49.74;25.635,49.88;25.83,49.88;25.83,49.94;26.15,49.94;26.15,49.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,52.06;23.07,52.32;23.39,52.32;23.39,52.26;25.845,52.26;25.845,52.305;26.135,52.305;26.135,52.075;25.845,52.075;25.845,52.12;23.39,52.12;23.39,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.29,44.58;26.29,44.64;25.215,44.64;25.215,44.595;24.925,44.595;24.925,44.825;25.215,44.825;25.215,44.78;26.29,44.78;26.29,44.84;26.61,44.84;26.61,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,52.06;26.75,52.32;27.07,52.32;27.07,52.26;29.97,52.26;29.97,52.32;30.29,52.32;30.29,52.06;29.97,52.06;29.97,52.12;27.07,52.12;27.07,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,52.06;30.89,52.32;31.21,52.32;31.21,52.26;32.285,52.26;32.285,52.305;32.575,52.305;32.575,52.075;32.285,52.075;32.285,52.12;31.21,52.12;31.21,52.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.61,46.96;22.61,47.22;22.93,47.22;22.93,47.16;24.925,47.16;24.925,47.205;25.215,47.205;25.215,46.975;24.925,46.975;24.925,47.02;22.93,47.02;22.93,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.29,47.98;26.29,48.24;26.61,48.24;26.61,48.18;27.225,48.18;27.225,48.225;27.515,48.225;27.515,47.995;27.225,47.995;27.225,48.04;26.61,48.04;26.61,47.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.81,50.02;31.81,50.08;31.455,50.08;31.455,50.035;31.165,50.035;31.165,50.08;29.6,50.08;29.6,50.42;24.295,50.42;24.295,50.375;24.005,50.375;24.005,50.605;24.295,50.605;24.295,50.56;29.74,50.56;29.74,50.22;31.165,50.22;31.165,50.265;31.455,50.265;31.455,50.22;31.81,50.22;31.81,50.28;32.13,50.28;32.13,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,42.2;35.03,42.26;34.835,42.26;34.835,42.4;35.03,42.4;35.03,42.46;35.35,42.46;35.35,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.79,46.96;37.79,47.02;37.595,47.02;37.595,47.16;37.79,47.16;37.79,47.22;38.11,47.22;38.11,46.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,50.36;23.07,50.42;22.875,50.42;22.875,50.56;23.07,50.56;23.07,50.62;23.39,50.62;23.39,50.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,45.6;32.73,45.86;33.05,45.86;33.05,45.8;33.205,45.8;33.205,45.845;33.495,45.845;33.495,45.615;33.205,45.615;33.205,45.66;33.05,45.66;33.05,45.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,43.9;27.21,43.96;23.375,43.96;23.375,43.915;23.085,43.915;23.085,44.145;23.375,44.145;23.375,44.1;27.21,44.1;27.21,44.16;27.53,44.16;27.53,43.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,45.26;28.59,45.52;28.91,45.52;28.91,45.46;34.2,45.46;34.2,45.8;35.49,45.8;35.49,45.86;35.81,45.86;35.81,45.8;36.425,45.8;36.425,45.845;36.715,45.845;36.715,45.615;36.425,45.615;36.425,45.66;35.81,45.66;35.81,45.6;35.49,45.6;35.49,45.66;34.34,45.66;34.34,45.32;28.91,45.32;28.91,45.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,44.58;29.51,44.64;28.435,44.64;28.435,44.595;28.145,44.595;28.145,44.825;28.435,44.825;28.435,44.78;29.51,44.78;29.51,44.84;29.83,44.84;29.83,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,44.58;32.73,44.64;31.655,44.64;31.655,44.595;31.365,44.595;31.365,44.825;31.655,44.825;31.655,44.78;32.73,44.78;32.73,44.84;33.05,44.84;33.05,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.49,44.58;35.49,44.64;35.295,44.64;35.295,44.78;35.49,44.78;35.49,44.84;35.81,44.84;35.81,44.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.985,46.975;29.985,47.02;28.22,47.02;28.22,47.36;27.055,47.36;27.055,47.315;26.765,47.315;26.765,47.545;27.055,47.545;27.055,47.5;28.36,47.5;28.36,47.16;29.985,47.16;29.985,47.205;30.275,47.205;30.275,46.975)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,39.82;27.21,39.88;26.135,39.88;26.135,39.835;25.845,39.835;25.845,40.065;26.135,40.065;26.135,40.02;27.21,40.02;27.21,40.08;27.53,40.08;27.53,39.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.085,38.815;23.085,39.045;23.375,39.045;23.375,39;25,39;25,39.14;24.91,39.14;24.91,39.4;25.23,39.4;25.23,39.14;25.14,39.14;25.14,38.86;23.375,38.86;23.375,38.815)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.43,39.48;30.43,39.54;28.435,39.54;28.435,39.495;28.145,39.495;28.145,39.725;28.435,39.725;28.435,39.68;30.43,39.68;30.43,39.74;30.75,39.74;30.75,39.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,48.32;32.27,48.58;32.59,48.58;32.59,48.52;35.03,48.52;35.03,48.58;35.35,48.58;35.35,48.32;35.03,48.32;35.03,48.38;32.59,48.38;32.59,48.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.79,50.02;37.79,50.08;37.595,50.08;37.595,50.22;37.79,50.22;37.79,50.28;38.11,50.28;38.11,50.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.005,39.155;24.005,39.385;24.08,39.385;24.08,40.36;26.29,40.36;26.29,40.42;26.61,40.42;26.61,40.36;29.525,40.36;29.525,40.405;29.815,40.405;29.815,40.175;29.525,40.175;29.525,40.22;26.61,40.22;26.61,40.16;26.29,40.16;26.29,40.22;24.22,40.22;24.22,39.385;24.295,39.385;24.295,39.155)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,39.48;35.95,39.54;35.335,39.54;35.335,39.495;35.045,39.495;35.045,39.725;35.335,39.725;35.335,39.68;35.95,39.68;35.95,39.74;36.27,39.74;36.27,39.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.83,47.64;25.83,47.7;25.395,47.7;25.395,47.84;25.83,47.84;25.83,47.9;26.15,47.9;26.15,47.84;30.905,47.84;30.905,47.885;31.195,47.885;31.195,47.655;30.905,47.655;30.905,47.7;26.15,47.7;26.15,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.33,48.32;37.33,48.38;36.255,48.38;36.255,48.335;35.965,48.335;35.965,48.565;36.255,48.565;36.255,48.52;37.33,48.52;37.33,48.58;37.65,48.58;37.65,48.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,39.48;23.07,39.74;23.39,39.74;23.39,39.68;23.545,39.68;23.545,39.725;23.835,39.725;23.835,39.495;23.545,39.495;23.545,39.54;23.39,39.54;23.39,39.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.19,47.64;33.19,47.655;33.18,47.655;33.18,47.7;32.995,47.7;32.995,47.84;33.18,47.84;33.18,47.885;33.19,47.885;33.19,47.9;33.51,47.9;33.51,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,47.64;33.65,47.9;33.97,47.9;33.97,47.84;34.165,47.84;34.165,47.7;33.97,47.7;33.97,47.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.605,50.035;28.605,50.265;28.895,50.265;28.895,50.22;29.065,50.22;29.065,50.265;29.355,50.265;29.355,50.035;29.065,50.035;29.065,50.08;28.895,50.08;28.895,50.035)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.29,42.2;26.29,42.26;25.675,42.26;25.675,42.215;25.385,42.215;25.385,42.445;25.675,42.445;25.675,42.4;26.29,42.4;26.29,42.46;26.61,42.46;26.61,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,49.34;35.95,49.4;32.2,49.4;32.2,49.355;31.91,49.355;31.91,49.585;32.2,49.585;32.2,49.54;35.95,49.54;35.95,49.6;36.27,49.6;36.27,49.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,42.2;30.89,42.26;30.695,42.26;30.695,42.4;30.89,42.4;30.89,42.46;31.21,42.46;31.21,42.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.11,39.14;34.11,39.4;34.43,39.4;34.43,39.34;37.805,39.34;37.805,39.385;38.095,39.385;38.095,39.155;37.805,39.155;37.805,39.2;34.43,39.2;34.43,39.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,47.3;29.51,47.36;29.315,47.36;29.315,47.5;29.51,47.5;29.51,47.56;29.83,47.56;29.83,47.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,43.9;28.59,43.96;28.395,43.96;28.395,44.1;28.59,44.1;28.59,44.16;28.91,44.16;28.91,43.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.35,38.46;31.35,38.52;30.735,38.52;30.735,38.475;30.445,38.475;30.445,38.705;30.735,38.705;30.735,38.66;31.35,38.66;31.35,38.72;31.67,38.72;31.67,38.46)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.925,38.475;24.925,38.705;25.215,38.705;25.215,38.66;25.46,38.66;25.46,38.815;25.385,38.815;25.385,39.045;25.675,39.045;25.675,38.815;25.6,38.815;25.6,38.52;25.215,38.52;25.215,38.475)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.105,17.735;17.105,17.965;17.18,17.965;17.18,18.26;29.985,18.26;29.985,18.305;30.275,18.305;30.275,18.075;29.985,18.075;29.985,18.12;17.32,18.12;17.32,17.965;17.395,17.965;17.395,17.735)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,18.06;10.65,18.32;10.97,18.32;10.97,18.26;16.72,18.26;16.72,18.6;32.285,18.6;32.285,18.645;32.575,18.645;32.575,18.6;33.205,18.6;33.205,18.645;33.495,18.645;33.495,18.415;33.205,18.415;33.205,18.46;32.575,18.46;32.575,18.415;32.285,18.415;32.285,18.46;16.86,18.46;16.86,18.12;10.97,18.12;10.97,18.06)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,19.76;17.09,20.02;17.41,20.02;17.41,19.96;18.945,19.96;18.945,20.005;19.235,20.005;19.235,19.96;19.94,19.96;19.94,20.455;19.865,20.455;19.865,20.685;20.155,20.685;20.155,20.455;20.08,20.455;20.08,19.96;23.99,19.96;23.99,20.02;24.31,20.02;24.31,19.76;23.99,19.76;23.99,19.82;19.235,19.82;19.235,19.775;18.945,19.775;18.945,19.82;17.41,19.82;17.41,19.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.23,20.44;21.23,20.5;21.035,20.5;21.035,20.64;21.23,20.64;21.23,20.7;21.55,20.7;21.55,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.905,20.795;30.905,21.025;31.195,21.025;31.195,20.98;33.765,20.98;33.765,20.84;31.195,20.84;31.195,20.795)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.645,20.455;16.645,20.685;16.72,20.685;16.72,20.84;14.19,20.84;14.19,20.78;13.87,20.78;13.87,21.04;14.19,21.04;14.19,20.98;16.86,20.98;16.86,20.685;16.935,20.685;16.935,20.455)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.325,20.455;20.325,20.685;20.4,20.685;20.4,20.84;18.79,20.84;18.79,20.78;18.47,20.78;18.47,21.04;18.79,21.04;18.79,20.98;20.54,20.98;20.54,20.685;20.615,20.685;20.615,20.455)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,20.78;28.59,20.84;23.16,20.84;23.16,21.135;23.085,21.135;23.085,21.365;23.375,21.365;23.375,21.135;23.3,21.135;23.3,20.98;28.59,20.98;28.59,21.04;28.91,21.04;28.91,20.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.61,22.14;22.61,22.2;19.695,22.2;19.695,22.155;19.405,22.155;19.405,22.385;19.695,22.385;19.695,22.34;22.61,22.34;22.61,22.4;22.93,22.4;22.93,22.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.47,5.82;18.47,6.08;18.79,6.08;18.79,6.02;20.4,6.02;20.4,6.515;20.325,6.515;20.325,6.745;20.4,6.745;20.4,7.04;23.76,7.04;23.76,6.7;24.22,6.7;24.22,6.36;30.52,6.36;30.52,7.04;31.58,7.04;31.58,6.7;32.745,6.7;32.745,6.745;33.035,6.745;33.035,6.515;32.745,6.515;32.745,6.56;31.44,6.56;31.44,6.9;30.66,6.9;30.66,6.22;24.08,6.22;24.08,6.56;23.62,6.56;23.62,6.9;20.54,6.9;20.54,6.745;20.615,6.745;20.615,6.515;20.54,6.515;20.54,5.88;18.79,5.88;18.79,5.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.005,25.555;24.005,25.6;16.72,25.6;16.72,25.895;16.645,25.895;16.645,26.125;16.935,26.125;16.935,25.895;16.86,25.895;16.86,25.74;21.78,25.74;21.78,25.895;21.705,25.895;21.705,26.125;21.995,26.125;21.995,25.895;21.92,25.895;21.92,25.74;24.005,25.74;24.005,25.785;24.295,25.785;24.295,25.555)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,25.89;17.64,26.235;17.565,26.235;17.565,26.465;17.855,26.465;17.855,26.235;17.78,26.235;17.78,26.03;18.485,26.03;18.485,26.125;18.775,26.125;18.775,26.11;19.02,26.11;19.02,26.42;20.4,26.42;20.4,26.56;20.31,26.56;20.31,26.82;20.63,26.82;20.63,26.76;25.14,26.76;25.14,26.465;25.215,26.465;25.215,26.235;24.925,26.235;24.925,26.465;25,26.465;25,26.62;20.63,26.62;20.63,26.56;20.54,26.56;20.54,26.28;19.16,26.28;19.16,25.97;18.775,25.97;18.775,25.895;18.7,25.895;18.7,25.89)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.105,28.275;17.105,28.505;17.18,28.505;17.18,28.66;16.03,28.66;16.03,28.6;15.71,28.6;15.71,28.86;16.03,28.86;16.03,28.8;23.07,28.8;23.07,28.86;23.39,28.86;23.39,28.6;23.07,28.6;23.07,28.66;17.32,28.66;17.32,28.505;17.395,28.505;17.395,28.275)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.685,28.615;27.685,28.845;27.76,28.845;27.76,29;20.63,29;20.63,28.94;20.31,28.94;20.31,29.2;20.63,29.2;20.63,29.14;27.76,29.14;27.76,29.48;28.59,29.48;28.59,29.54;28.91,29.54;28.91,29.28;28.59,29.28;28.59,29.34;27.9,29.34;27.9,28.845;27.975,28.845;27.975,28.615)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,30.3;23.07,30.36;19.94,30.36;19.94,30.995;19.865,30.995;19.865,31.04;16.26,31.04;16.26,31.335;16.185,31.335;16.185,31.565;16.475,31.565;16.475,31.335;16.4,31.335;16.4,31.18;19.865,31.18;19.865,31.225;20.155,31.225;20.155,30.995;20.08,30.995;20.08,30.5;23.07,30.5;23.07,30.56;23.39,30.56;23.39,30.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.705,31.335;21.705,31.38;19.94,31.38;19.94,31.66;19.85,31.66;19.85,31.92;20.17,31.92;20.17,31.66;20.08,31.66;20.08,31.52;21.705,31.52;21.705,31.565;21.995,31.565;21.995,31.335)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.105,31.335;17.105,31.565;17.395,31.565;17.395,31.52;19.48,31.52;19.48,32.015;19.405,32.015;19.405,32.245;19.695,32.245;19.695,32.2;27.67,32.2;27.67,32.26;27.99,32.26;27.99,32;27.67,32;27.67,32.06;19.695,32.06;19.695,32.015;19.62,32.015;19.62,31.38;17.395,31.38;17.395,31.335)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.39,4.8;19.39,5.06;19.71,5.06;19.71,5;31.81,5;31.81,5.06;32.13,5.06;32.13,4.8;31.81,4.8;31.81,4.86;19.71,4.86;19.71,4.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.87,34.04;13.87,34.1;7.735,34.1;7.735,34.055;7.445,34.055;7.445,34.285;7.735,34.285;7.735,34.24;13.87,34.24;13.87,34.3;13.96,34.3;13.96,34.58;21,34.58;21,34.24;22.625,34.24;22.625,34.285;22.915,34.285;22.915,34.24;28.13,34.24;28.13,34.3;28.45,34.3;28.45,34.04;28.13,34.04;28.13,34.1;22.915,34.1;22.915,34.055;22.625,34.055;22.625,34.1;20.86,34.1;20.86,34.44;20.08,34.44;20.08,34.3;20.17,34.3;20.17,34.04;19.85,34.04;19.85,34.3;19.94,34.3;19.94,34.44;14.1,34.44;14.1,34.3;14.19,34.3;14.19,34.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,34.04;32.27,34.1;28.68,34.1;28.68,34.44;28.22,34.44;28.22,34.72;28.13,34.72;28.13,34.78;21.535,34.78;21.535,34.735;21.245,34.735;21.245,34.78;21.09,34.78;21.09,34.72;20.77,34.72;20.77,34.78;20.17,34.78;20.17,34.72;19.85,34.72;19.85,34.98;20.17,34.98;20.17,34.92;20.77,34.92;20.77,34.98;21.09,34.98;21.09,34.92;21.245,34.92;21.245,34.965;21.535,34.965;21.535,34.92;28.13,34.92;28.13,34.98;28.45,34.98;28.45,34.72;28.36,34.72;28.36,34.58;28.82,34.58;28.82,34.24;32.27,34.24;32.27,34.3;32.59,34.3;32.59,34.24;34.57,34.24;34.57,34.3;34.89,34.3;34.89,34.04;34.57,34.04;34.57,34.1;32.59,34.1;32.59,34.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,35.8;10.74,36.14;9.13,36.14;9.13,36.08;8.81,36.08;8.81,36.34;9.13,36.34;9.13,36.28;10.88,36.28;10.88,35.94;20.4,35.94;20.4,36.28;24.005,36.28;24.005,36.325;24.295,36.325;24.295,36.095;24.005,36.095;24.005,36.14;20.54,36.14;20.54,35.8)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,36.42;17.55,36.68;17.87,36.68;17.87,36.62;23.62,36.62;23.62,36.775;23.545,36.775;23.545,37.005;23.835,37.005;23.835,36.775;23.76,36.775;23.76,36.48;17.87,36.48;17.87,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,7.18;17.09,7.44;17.41,7.44;17.41,7.38;21.23,7.38;21.23,7.44;21.55,7.44;21.55,7.18;21.23,7.18;21.23,7.24;17.41,7.24;17.41,7.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.35,7.52;8.35,7.78;8.67,7.78;8.67,7.72;20.325,7.72;20.325,7.765;20.615,7.765;20.615,7.72;22.15,7.72;22.15,7.78;22.47,7.78;22.47,7.72;33.65,7.72;33.65,7.78;33.97,7.78;33.97,7.52;33.65,7.52;33.65,7.58;22.47,7.58;22.47,7.52;22.15,7.52;22.15,7.58;20.615,7.58;20.615,7.535;20.325,7.535;20.325,7.58;8.67,7.58;8.67,7.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,11.26;16.63,11.32;16.475,11.32;16.475,11.275;16.185,11.275;16.185,11.505;16.26,11.505;16.26,11.66;13.04,11.66;13.04,11.955;12.965,11.955;12.965,12.185;13.255,12.185;13.255,11.955;13.18,11.955;13.18,11.8;16.4,11.8;16.4,11.505;16.475,11.505;16.475,11.46;16.63,11.46;16.63,11.52;16.95,11.52;16.95,11.46;20.4,11.46;20.4,12.34;19.62,12.34;19.62,12.185;19.695,12.185;19.695,11.955;19.405,11.955;19.405,12.185;19.48,12.185;19.48,12.48;20.54,12.48;20.54,11.46;28.13,11.46;28.13,11.52;28.45,11.52;28.45,11.46;31.35,11.46;31.35,11.52;31.67,11.52;31.67,11.46;36.41,11.46;36.41,11.52;36.73,11.52;36.73,11.46;36.885,11.46;36.885,11.505;37.175,11.505;37.175,11.275;36.885,11.275;36.885,11.32;36.73,11.32;36.73,11.26;36.41,11.26;36.41,11.32;31.67,11.32;31.67,11.26;31.35,11.26;31.35,11.32;28.45,11.32;28.45,11.26;28.13,11.26;28.13,11.32;16.95,11.32;16.95,11.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.53,12.96;23.53,13.02;21.075,13.02;21.075,12.975;20.785,12.975;20.785,13.205;21.075,13.205;21.075,13.16;23.53,13.16;23.53,13.22;23.85,13.22;23.85,12.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.69,14.66;21.69,14.92;21.78,14.92;21.78,15.06;19.235,15.06;19.235,15.015;18.945,15.015;18.945,15.245;19.235,15.245;19.235,15.2;21.92,15.2;21.92,14.92;22.01,14.92;22.01,14.86;23.07,14.86;23.07,14.92;23.39,14.92;23.39,14.66;23.07,14.66;23.07,14.72;22.01,14.72;22.01,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.15,15;22.15,15.26;22.24,15.26;22.24,15.4;18.775,15.4;18.775,15.355;18.485,15.355;18.485,15.585;18.775,15.585;18.775,15.54;22.38,15.54;22.38,15.26;22.47,15.26;22.47,15.2;23.53,15.2;23.53,15.26;23.85,15.26;23.85,15;23.53,15;23.53,15.06;22.47,15.06;22.47,15)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.565,15.015;17.565,15.245;17.64,15.245;17.64,15.88;23.53,15.88;23.53,15.94;23.85,15.94;23.85,15.88;25.845,15.88;25.845,15.925;26.135,15.925;26.135,15.695;25.845,15.695;25.845,15.74;23.85,15.74;23.85,15.68;23.53,15.68;23.53,15.74;17.78,15.74;17.78,15.245;17.855,15.245;17.855,15.015)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.23,6.5;21.23,6.56;21.035,6.56;21.035,6.7;21.23,6.7;21.23,6.76;21.55,6.76;21.55,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,16.7;16.17,16.96;16.49,16.96;16.49,16.9;24.005,16.9;24.005,16.945;24.295,16.945;24.295,16.715;24.005,16.715;24.005,16.76;16.49,16.76;16.49,16.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,17.04;13.41,17.3;13.73,17.3;13.73,17.24;24.925,17.24;24.925,17.285;25.215,17.285;25.215,17.055;24.925,17.055;24.925,17.1;13.73,17.1;13.73,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.145,25.895;28.145,26.125;28.22,26.125;28.22,26.42;29.51,26.42;29.51,26.48;29.83,26.48;29.83,26.42;32.96,26.42;32.96,26.125;33.035,26.125;33.035,25.895;32.745,25.895;32.745,26.125;32.82,26.125;32.82,26.28;29.83,26.28;29.83,26.22;29.51,26.22;29.51,26.28;28.36,26.28;28.36,26.125;28.435,26.125;28.435,25.895)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.53,21.12;23.53,21.38;23.85,21.38;23.85,21.32;25.385,21.32;25.385,21.365;25.675,21.365;25.675,21.32;26.75,21.32;26.75,21.38;27.07,21.38;27.07,21.12;26.75,21.12;26.75,21.18;25.675,21.18;25.675,21.135;25.385,21.135;25.385,21.18;23.85,21.18;23.85,21.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.15,27.92;22.15,27.98;21.995,27.98;21.995,27.935;21.705,27.935;21.705,28.165;21.995,28.165;21.995,28.12;22.15,28.12;22.15,28.18;22.47,28.18;22.47,28.12;22.625,28.12;22.625,28.165;22.915,28.165;22.915,27.935;22.625,27.935;22.625,27.98;22.47,27.98;22.47,27.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,27.58;27.67,27.84;27.76,27.84;27.76,27.98;24.54,27.98;24.54,28.275;24.465,28.275;24.465,28.505;24.755,28.505;24.755,28.275;24.68,28.275;24.68,28.12;30.43,28.12;30.43,28.18;30.75,28.18;30.75,28.12;31.44,28.12;31.44,28.275;31.365,28.275;31.365,28.505;31.655,28.505;31.655,28.275;31.58,28.275;31.58,27.98;30.75,27.98;30.75,27.92;30.43,27.92;30.43,27.98;27.9,27.98;27.9,27.84;27.99,27.84;27.99,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.53,28.26;23.53,28.32;23.335,28.32;23.335,28.46;23.53,28.46;23.53,28.52;23.85,28.52;23.85,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,28.26;29.51,28.32;29.355,28.32;29.355,28.275;29.065,28.275;29.065,28.505;29.355,28.505;29.355,28.46;29.51,28.46;29.51,28.52;29.83,28.52;29.83,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.89,28.26;30.89,28.32;30.695,28.32;30.695,28.46;30.89,28.46;30.89,28.52;31.21,28.52;31.21,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,22.14;27.67,22.2;26.84,22.2;26.84,22.495;26.765,22.495;26.765,22.725;27.055,22.725;27.055,22.495;26.98,22.495;26.98,22.34;27.67,22.34;27.67,22.4;27.99,22.4;27.99,22.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.97,28.6;29.97,28.66;28.895,28.66;28.895,28.615;28.605,28.615;28.605,28.845;28.895,28.845;28.895,28.8;29.97,28.8;29.97,28.86;30.29,28.86;30.29,28.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,29.28;26.75,29.34;26.555,29.34;26.555,29.48;26.75,29.48;26.75,29.54;27.07,29.54;27.07,29.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,22.48;35.95,22.54;32.115,22.54;32.115,22.495;31.825,22.495;31.825,22.725;32.115,22.725;32.115,22.68;35.95,22.68;35.95,22.74;36.27,22.74;36.27,22.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,30.98;26.75,31.04;23.375,31.04;23.375,30.995;23.085,30.995;23.085,31.225;23.375,31.225;23.375,31.18;26.75,31.18;26.75,31.24;27.07,31.24;27.07,30.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.475,22.82;21.475,23.04;21.23,23.04;21.23,23.08;21.55,23.08;21.55,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,30.98;33.65,31.04;33.28,31.04;33.28,31.335;33.205,31.335;33.205,31.565;33.495,31.565;33.495,31.335;33.42,31.335;33.42,31.18;33.65,31.18;33.65,31.24;33.97,31.24;33.97,30.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,31.32;28.59,31.58;28.665,31.58;28.665,31.36;28.91,31.36;28.91,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,31.32;32.27,31.58;32.59,31.58;32.59,31.565;32.805,31.565;32.805,31.335;32.59,31.335;32.59,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,22.82;28.59,22.88;28.395,22.88;28.395,23.02;28.59,23.02;28.59,23.08;28.91,23.08;28.91,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.57,31.66;34.57,31.72;34.375,31.72;34.375,31.86;34.57,31.86;34.57,31.92;34.89,31.92;34.89,31.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,22.82;29.51,22.88;29.315,22.88;29.315,23.02;29.51,23.02;29.51,23.08;29.83,23.08;29.83,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.43,32;30.43,32.06;30.235,32.06;30.235,32.2;30.43,32.2;30.43,32.26;30.75,32.26;30.75,32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,32;32.73,32.06;32.535,32.06;32.535,32.2;32.73,32.2;32.73,32.26;33.05,32.26;33.05,32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,33.36;32.73,33.42;33.05,33.42;33.05,33.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,33.56;32.73,33.62;33.05,33.62;33.05,33.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.29,33.7;26.29,33.76;26.095,33.76;26.095,33.9;26.29,33.9;26.29,33.96;26.61,33.96;26.61,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.43,22.82;30.43,23.08;30.75,23.08;30.75,23.065;30.905,23.065;30.905,22.835;30.75,22.835;30.75,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,22.82;33.65,22.88;33.455,22.88;33.455,23.02;33.65,23.02;33.65,23.08;33.97,23.08;33.97,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.13,23.16;28.13,23.22;27.935,23.22;27.935,23.36;28.13,23.36;28.13,23.42;28.45,23.42;28.45,23.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.87,34.72;36.87,34.78;29.75,34.78;29.75,34.735;29.46,34.735;29.46,34.965;29.75,34.965;29.75,34.92;36.87,34.92;36.87,34.98;37.19,34.98;37.19,34.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,35.74;23.07,35.8;22.915,35.8;22.915,35.755;22.625,35.755;22.625,35.985;22.915,35.985;22.915,35.94;23.07,35.94;23.07,36;23.39,36;23.39,35.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.29,35.74;26.29,35.8;26.095,35.8;26.095,35.94;26.29,35.94;26.29,36;26.61,36;26.61,35.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.745,22.835;32.745,23.065;32.82,23.065;32.82,23.36;34.11,23.36;34.11,23.42;34.43,23.42;34.43,23.16;34.11,23.16;34.11,23.22;32.96,23.22;32.96,23.065;33.035,23.065;33.035,22.835)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,36.08;28.59,36.14;28.435,36.14;28.435,36.095;28.145,36.095;28.145,36.325;28.435,36.325;28.435,36.28;28.59,36.28;28.59,36.34;28.91,36.34;28.91,36.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.67,21.12;27.67,21.18;27.475,21.18;27.475,21.32;27.67,21.32;27.67,21.38;27.99,21.38;27.99,21.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,36.76;27.21,36.82;27.015,36.82;27.015,36.96;27.21,36.96;27.21,37.02;27.53,37.02;27.53,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,36.76;28.59,37.02;28.91,37.02;28.91,36.96;29.065,36.96;29.065,37.005;29.355,37.005;29.355,36.96;29.985,36.96;29.985,37.005;30.275,37.005;30.275,36.775;29.985,36.775;29.985,36.82;29.355,36.82;29.355,36.775;29.065,36.775;29.065,36.82;28.91,36.82;28.91,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,36.42;35.03,36.48;33.28,36.48;33.28,36.775;33.205,36.775;33.205,36.82;31.67,36.82;31.67,36.76;31.35,36.76;31.35,37.02;31.67,37.02;31.67,36.96;33.205,36.96;33.205,37.005;33.495,37.005;33.495,36.775;33.42,36.775;33.42,36.62;35.03,36.62;35.03,36.68;35.35,36.68;35.35,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.95,36.76;35.95,36.82;35.795,36.82;35.795,36.775;35.505,36.775;35.505,37.005;35.795,37.005;35.795,36.96;35.95,36.96;35.95,37.02;36.27,37.02;36.27,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.985,22.835;29.985,23.065;30.06,23.065;30.06,23.7;30.89,23.7;30.89,23.76;31.21,23.76;31.21,23.5;30.89,23.5;30.89,23.56;30.2,23.56;30.2,23.065;30.275,23.065;30.275,22.835)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.585,23.175;34.585,23.405;34.66,23.405;34.66,23.9;33.51,23.9;33.51,23.84;33.19,23.84;33.19,23.9;28.45,23.9;28.45,23.84;28.13,23.84;28.13,24.1;28.45,24.1;28.45,24.04;33.19,24.04;33.19,24.1;33.51,24.1;33.51,24.04;34.8,24.04;34.8,23.405;34.875,23.405;34.875,23.175)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,24.86;29.51,25.12;29.83,25.12;29.83,25.06;29.985,25.06;29.985,25.105;30.275,25.105;30.275,24.875;29.985,24.875;29.985,24.92;29.83,24.92;29.83,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.49,25.2;35.49,25.26;33.74,25.26;33.74,25.555;33.665,25.555;33.665,25.785;33.955,25.785;33.955,25.555;33.88,25.555;33.88,25.4;35.49,25.4;35.49,25.46;35.81,25.46;35.81,25.2)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.43,21.12;30.43,21.38;30.75,21.38;30.75,21.32;32.285,21.32;32.285,21.365;32.575,21.365;32.575,21.135;32.285,21.135;32.285,21.18;30.75,21.18;30.75,21.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,25.88;26.75,25.94;26.555,25.94;26.555,26.08;26.75,26.08;26.75,26.14;27.07,26.14;27.07,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.97,25.88;29.97,25.94;29.775,25.94;29.775,26.08;29.97,26.08;29.97,26.14;30.29,26.14;30.29,26.08;31.825,26.08;31.825,26.125;32.115,26.125;32.115,25.895;31.825,25.895;31.825,25.94;30.29,25.94;30.29,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.15,26.22;22.15,26.28;21.955,26.28;21.955,26.42;22.15,26.42;22.15,26.48;22.47,26.48;22.47,26.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,26.22;23.07,26.28;22.875,26.28;22.875,26.42;23.07,26.42;23.07,26.48;23.39,26.48;23.39,26.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,26.22;27.21,26.28;26.135,26.28;26.135,26.235;25.845,26.235;25.845,26.465;26.135,26.465;26.135,26.42;27.21,26.42;27.21,26.48;27.53,26.48;27.53,26.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,28.94;11.57,29;11.375,29;11.375,29.14;11.57,29.14;11.57,29.2;11.89,29.2;11.89,28.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.945,28.955;18.945,29.185;19.02,29.185;19.02,29.34;13.73,29.34;13.73,29.28;13.41,29.28;13.41,29.34;8.21,29.34;8.21,29.28;7.89,29.28;7.89,29.54;8.21,29.54;8.21,29.48;13.41,29.48;13.41,29.54;13.73,29.54;13.73,29.48;19.16,29.48;19.16,29.185;19.235,29.185;19.235,28.955)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,24.86;17.09,24.92;16.015,24.92;16.015,24.875;15.725,24.875;15.725,25.105;15.8,25.105;15.8,25.6;15.095,25.6;15.095,25.555;14.805,25.555;14.805,25.785;15.095,25.785;15.095,25.74;15.94,25.74;15.94,25.105;16.015,25.105;16.015,25.06;17.09,25.06;17.09,25.12;17.41,25.12;17.41,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,22.14;11.57,22.2;11.415,22.2;11.415,22.155;11.125,22.155;11.125,22.385;11.415,22.385;11.415,22.34;11.57,22.34;11.57,22.4;11.89,22.4;11.89,22.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,30.3;11.57,30.56;11.89,30.56;11.89,30.5;14.345,30.5;14.345,30.545;14.635,30.545;14.635,30.315;14.345,30.315;14.345,30.36;11.89,30.36;11.89,30.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,30.64;11.11,30.9;11.43,30.9;11.43,30.84;12.045,30.84;12.045,30.885;12.335,30.885;12.335,30.655;12.045,30.655;12.045,30.7;11.43,30.7;11.43,30.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,30.64;15.71,30.7;15.515,30.7;15.515,30.84;15.71,30.84;15.71,30.9;16.03,30.9;16.03,30.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,30.98;20.31,31.24;20.63,31.24;20.63,31.18;20.825,31.18;20.825,31.04;20.63,31.04;20.63,30.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.87,22.48;13.87,22.74;14.19,22.74;14.19,22.68;14.345,22.68;14.345,22.725;14.635,22.725;14.635,22.495;14.345,22.495;14.345,22.54;14.19,22.54;14.19,22.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,30.3;6.97,30.36;6.775,30.36;6.775,30.5;6.97,30.5;6.97,30.56;7.29,30.56;7.29,30.5;7.905,30.5;7.905,30.545;8.195,30.545;8.195,30.5;10.74,30.5;10.74,31.285;10.67,31.285;10.67,31.515;10.96,31.515;10.96,31.285;10.88,31.285;10.88,30.36;8.195,30.36;8.195,30.315;7.905,30.315;7.905,30.36;7.29,30.36;7.29,30.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,25.88;7.43,25.94;7.235,25.94;7.235,26.08;7.43,26.08;7.43,26.14;7.75,26.14;7.75,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,25.88;7.89,26.14;8.21,26.14;8.21,26.08;8.365,26.08;8.365,26.125;8.655,26.125;8.655,25.895;8.365,25.895;8.365,25.94;8.21,25.94;8.21,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,31.32;8.81,31.38;8.615,31.38;8.615,31.52;8.81,31.52;8.81,31.58;9.13,31.58;9.13,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.73,31.32;9.73,31.335;9.655,31.335;9.655,31.565;9.73,31.565;9.73,31.58;10.05,31.58;10.05,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.49,31.32;12.49,31.38;12.295,31.38;12.295,31.52;12.49,31.52;12.49,31.58;12.81,31.58;12.81,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,31.32;13.41,31.38;13.215,31.38;13.215,31.52;13.41,31.52;13.41,31.58;13.73,31.58;13.73,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,25.88;10.65,25.94;10.455,25.94;10.455,26.08;10.65,26.08;10.65,26.14;10.97,26.14;10.97,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,25.88;11.57,25.94;11.375,25.94;11.375,26.08;11.57,26.08;11.57,26.14;11.89,26.14;11.89,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,25.88;16.17,25.94;14.175,25.94;14.175,25.895;13.885,25.895;13.885,26.125;14.175,26.125;14.175,26.08;16.17,26.08;16.17,26.14;16.49,26.14;16.49,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,25.88;20.31,25.94;20.115,25.94;20.115,26.08;20.31,26.08;20.31,26.14;20.63,26.14;20.63,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.21,31.445;10.21,31.675;10.285,31.675;10.285,32;10.19,32;10.19,32.26;10.51,32.26;10.51,32;10.425,32;10.425,31.675;10.5,31.675;10.5,31.445)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.25,32;15.25,32.26;15.57,32.26;15.57,32.2;17.565,32.2;17.565,32.245;17.855,32.245;17.855,32.015;17.565,32.015;17.565,32.06;15.57,32.06;15.57,32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,22.14;16.17,22.2;15.975,22.2;15.975,22.34;16.17,22.34;16.17,22.4;16.49,22.4;16.49,22.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,22.14;17.55,22.2;17.355,22.2;17.355,22.34;17.55,22.34;17.55,22.4;17.64,22.4;17.64,22.54;15.8,22.54;15.8,22.835;15.625,22.835;15.625,23.065;15.915,23.065;15.915,23.02;15.94,23.02;15.94,22.68;17.78,22.68;17.78,22.4;17.87,22.4;17.87,22.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.73,26.22;9.73,26.28;7.275,26.28;7.275,26.235;6.985,26.235;6.985,26.465;7.275,26.465;7.275,26.42;9.73,26.42;9.73,26.48;10.05,26.48;10.05,26.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,33.02;7.43,33.28;7.75,33.28;7.75,33.22;12.49,33.22;12.49,33.28;12.81,33.28;12.81,33.02;12.49,33.02;12.49,33.08;7.75,33.08;7.75,33.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,33.02;20.31,33.08;20.115,33.08;20.115,33.22;20.31,33.22;20.31,33.28;20.63,33.28;20.63,33.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.01,26.22;18.01,26.48;18.33,26.48;18.33,26.42;18.525,26.42;18.525,26.28;18.33,26.28;18.33,26.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,23.16;11.57,23.22;11.375,23.22;11.375,23.36;11.57,23.36;11.57,23.42;11.89,23.42;11.89,23.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,33.7;14.33,33.96;14.65,33.96;14.65,33.92;14.405,33.92;14.405,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,33.7;16.63,33.96;16.95,33.96;16.95,33.9;17.105,33.9;17.105,33.945;17.395,33.945;17.395,33.715;17.105,33.715;17.105,33.76;16.95,33.76;16.95,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.93,33.7;18.93,33.96;19.25,33.96;19.25,33.9;19.405,33.9;19.405,33.945;19.695,33.945;19.695,33.715;19.405,33.715;19.405,33.76;19.25,33.76;19.25,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.77,33.7;20.77,33.76;20.575,33.76;20.575,33.9;20.77,33.9;20.77,33.96;21.09,33.96;21.09,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,23.16;17.09,23.22;16.895,23.22;16.895,23.36;17.09,23.36;17.09,23.42;17.41,23.42;17.41,23.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,22.82;7.89,22.88;7.695,22.88;7.695,23.02;7.89,23.02;7.89,23.08;8.21,23.08;8.21,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.35,22.82;8.35,23.08;8.67,23.08;8.67,23.02;8.825,23.02;8.825,23.065;9.115,23.065;9.115,22.835;8.825,22.835;8.825,22.88;8.67,22.88;8.67,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.73,34.72;9.73,34.78;9.05,34.78;9.05,34.735;8.76,34.735;8.76,34.965;9.05,34.965;9.05,34.92;9.73,34.92;9.73,34.98;10.05,34.98;10.05,34.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,34.72;17.55,34.78;16.475,34.78;16.475,34.735;16.185,34.735;16.185,34.965;16.475,34.965;16.475,34.92;17.55,34.92;17.55,34.98;17.87,34.98;17.87,34.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.045,25.895;12.045,26.125;12.12,26.125;12.12,26.42;13.73,26.42;13.73,26.76;13.87,26.76;13.87,26.82;14.19,26.82;14.19,26.56;13.87,26.56;13.87,26.28;12.26,26.28;12.26,26.125;12.335,26.125;12.335,25.895)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,22.48;13.41,22.54;10.74,22.54;10.74,22.82;10.65,22.82;10.65,22.88;10.455,22.88;10.455,23.02;10.65,23.02;10.65,23.08;10.97,23.08;10.97,22.82;10.88,22.82;10.88,22.68;13.41,22.68;13.41,22.74;13.73,22.74;13.73,22.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,35.74;7.43,35.8;7.235,35.8;7.235,35.94;7.43,35.94;7.43,36;7.75,36;7.75,35.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.73,35.74;9.73,35.8;9.535,35.8;9.535,35.94;9.73,35.94;9.73,36;10.05,36;10.05,35.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,27.58;11.11,27.64;10.035,27.64;10.035,27.595;9.745,27.595;9.745,27.825;10.035,27.825;10.035,27.78;11.11,27.78;11.11,27.84;11.43,27.84;11.43,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,27.58;16.63,27.64;16.015,27.64;16.015,27.595;15.725,27.595;15.725,27.825;16.015,27.825;16.015,27.78;16.63,27.78;16.63,27.84;16.95,27.84;16.95,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,27.58;20.31,27.64;20.115,27.64;20.115,27.78;20.31,27.78;20.31,27.84;20.63,27.84;20.63,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,27.58;17.09,27.84;17.18,27.84;17.18,27.98;16.475,27.98;16.475,27.935;16.185,27.935;16.185,28.165;16.475,28.165;16.475,28.12;17.32,28.12;17.32,27.84;17.41,27.84;17.41,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.95,36.42;12.95,36.48;12.755,36.48;12.755,36.62;12.95,36.62;12.95,36.68;13.27,36.68;13.27,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.87,36.42;13.87,36.68;14.19,36.68;14.19,36.62;14.385,36.62;14.385,36.48;14.19,36.48;14.19,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.25,36.42;15.25,36.48;15.055,36.48;15.055,36.62;15.25,36.62;15.25,36.68;15.57,36.68;15.57,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,27.92;14.33,27.98;14.175,27.98;14.175,27.935;13.885,27.935;13.885,28.165;14.175,28.165;14.175,28.12;14.33,28.12;14.33,28.18;14.65,28.18;14.65,27.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.35,36.76;8.35,36.82;8.155,36.82;8.155,36.96;8.35,36.96;8.35,37.02;8.67,37.02;8.67,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,36.76;8.81,37.02;9.13,37.02;9.13,36.96;9.325,36.96;9.325,36.82;9.13,36.82;9.13,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.77,36.76;20.77,37.02;20.845,37.02;20.845,36.8;21.09,36.8;21.09,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.01,27.92;18.01,28.18;18.33,28.18;18.33,28.12;19.85,28.12;19.85,28.18;20.17,28.18;20.17,27.92;19.85,27.92;19.85,27.98;18.33,27.98;18.33,27.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.03,22.82;12.03,22.88;11.835,22.88;11.835,23.02;12.03,23.02;12.03,23.08;12.35,23.08;12.35,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.785,27.595;20.785,27.825;20.86,27.825;20.86,28.32;17.855,28.32;17.855,28.275;17.565,28.275;17.565,28.505;17.855,28.505;17.855,28.46;21,28.46;21,27.825;21.075,27.825;21.075,27.595)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,23.84;6.97,23.9;6.775,23.9;6.775,24.04;6.97,24.04;6.97,24.1;7.29,24.1;7.29,24.04;13.87,24.04;13.87,24.1;14.19,24.1;14.19,24.04;16.17,24.04;16.17,24.1;16.49,24.1;16.49,23.84;16.17,23.84;16.17,23.9;14.19,23.9;14.19,23.84;13.87,23.84;13.87,23.9;7.29,23.9;7.29,23.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,28.26;7.43,28.32;7.235,28.32;7.235,28.46;7.43,28.46;7.43,28.52;7.75,28.52;7.75,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,28.26;7.89,28.52;8.21,28.52;8.21,28.46;8.405,28.46;8.405,28.32;8.21,28.32;8.21,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.19,28.26;10.19,28.32;9.115,28.32;9.115,28.275;8.825,28.275;8.825,28.505;9.115,28.505;9.115,28.46;10.19,28.46;10.19,28.52;10.51,28.52;10.51,28.46;12.045,28.46;12.045,28.505;12.335,28.505;12.335,28.275;12.045,28.275;12.045,28.32;10.51,28.32;10.51,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,22.82;16.63,22.88;16.195,22.88;16.195,23.02;16.63,23.02;16.63,23.08;16.95,23.08;16.95,23.02;18.93,23.02;18.93,23.08;19.25,23.08;19.25,22.82;18.93,22.82;18.93,22.88;16.95,22.88;16.95,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,24.86;8.81,25.12;9.13,25.12;9.13,25.06;9.745,25.06;9.745,25.105;10.035,25.105;10.035,24.875;9.745,24.875;9.745,24.92;9.13,24.92;9.13,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.93,24.86;18.93,24.92;18.735,24.92;18.735,25.06;18.93,25.06;18.93,25.12;19.25,25.12;19.25,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,28.6;6.97,28.86;7.29,28.86;7.29,28.8;10.665,28.8;10.665,28.845;10.955,28.845;10.955,28.615;10.665,28.615;10.665,28.66;7.29,28.66;7.29,28.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.965,28.275;12.965,28.505;13.255,28.505;13.255,28.46;13.73,28.46;13.73,28.8;13.87,28.8;13.87,28.86;14.19,28.86;14.19,28.6;13.87,28.6;13.87,28.46;14.805,28.46;14.805,28.505;15.095,28.505;15.095,28.275;14.805,28.275;14.805,28.32;13.255,28.32;13.255,28.275)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.03,21.12;12.03,21.38;12.35,21.38;12.35,21.32;16.645,21.32;16.645,21.365;16.935,21.365;16.935,21.135;16.645,21.135;16.645,21.18;12.35,21.18;12.35,21.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,24.86;11.57,24.92;11.135,24.92;11.135,25.06;11.57,25.06;11.57,25.12;11.66,25.12;11.66,25.4;12.505,25.4;12.505,25.445;12.795,25.445;12.795,25.215;12.505,25.215;12.505,25.26;11.8,25.26;11.8,25.12;11.89,25.12;11.89,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,19.42;15.71,19.48;12.795,19.48;12.795,19.435;12.505,19.435;12.505,19.665;12.795,19.665;12.795,19.62;15.71,19.62;15.71,19.68;16.03,19.68;16.03,19.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.665,19.775;10.665,20.005;10.955,20.005;10.955,19.96;15.265,19.96;15.265,20.005;15.555,20.005;15.555,19.775;15.265,19.775;15.265,19.82;10.955,19.82;10.955,19.775)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,20.1;8.81,20.16;8.615,20.16;8.615,20.3;8.81,20.3;8.81,20.36;9.13,20.36;9.13,20.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,17.72;17.55,17.98;17.87,17.98;17.87,17.92;18.945,17.92;18.945,17.965;19.235,17.965;19.235,17.735;18.945,17.735;18.945,17.78;17.87,17.78;17.87,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.97,6.84;6.97,6.9;6.775,6.9;6.775,7.04;6.97,7.04;6.97,7.1;7.29,7.1;7.29,6.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.27,20.44;9.27,20.5;9.075,20.5;9.075,20.64;9.27,20.64;9.27,20.7;9.59,20.7;9.59,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.19,20.44;10.19,20.5;9.995,20.5;9.995,20.64;10.19,20.64;10.19,20.7;10.51,20.7;10.51,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,20.44;11.11,20.5;10.915,20.5;10.915,20.64;11.11,20.64;11.11,20.7;11.43,20.7;11.43,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,20.44;11.57,20.7;11.89,20.7;11.89,20.64;12.085,20.64;12.085,20.5;11.89,20.5;11.89,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.41,20.44;13.41,20.5;13.215,20.5;13.215,20.64;13.41,20.64;13.41,20.7;13.73,20.7;13.73,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,9.22;20.31,9.28;20.115,9.28;20.115,9.42;20.31,9.42;20.31,9.48;20.63,9.48;20.63,9.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,9.56;17.55,9.82;17.87,9.82;17.87,9.76;18.93,9.76;18.93,9.82;19.25,9.82;19.25,9.56;18.93,9.56;18.93,9.62;17.87,9.62;17.87,9.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.27,9.9;9.27,10.16;9.59,10.16;9.59,10.1;10.305,10.1;10.305,9.96;9.59,9.96;9.59,9.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,9.9;15.71,10.16;16.03,10.16;16.03,10.1;16.185,10.1;16.185,10.145;16.475,10.145;16.475,9.915;16.185,9.915;16.185,9.96;16.03,9.96;16.03,9.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,10.24;7.89,10.3;7.695,10.3;7.695,10.44;7.89,10.44;7.89,10.5;8.21,10.5;8.21,10.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,10.24;14.33,10.3;9.115,10.3;9.115,10.255;8.825,10.255;8.825,10.485;9.115,10.485;9.115,10.44;14.33,10.44;14.33,10.5;14.65,10.5;14.65,10.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.09,10.24;17.09,10.5;17.41,10.5;17.41,10.44;18.025,10.44;18.025,10.485;18.315,10.485;18.315,10.255;18.025,10.255;18.025,10.3;17.41,10.3;17.41,10.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.71,11.26;15.71,11.32;14.635,11.32;14.635,11.275;14.345,11.275;14.345,11.505;14.635,11.505;14.635,11.46;15.71,11.46;15.71,11.52;16.03,11.52;16.03,11.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.27,11.6;9.27,11.66;9.075,11.66;9.075,11.8;9.27,11.8;9.27,11.86;9.59,11.86;9.59,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,6.16;16.17,6.22;15.975,6.22;15.975,6.36;16.17,6.36;16.17,6.42;16.49,6.42;16.49,6.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.35,11.94;8.35,12.2;8.67,12.2;8.67,12.14;8.865,12.14;8.865,12;8.67,12;8.67,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,11.94;10.65,12;10.455,12;10.455,12.14;10.65,12.14;10.65,12.2;10.97,12.2;10.97,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,11.94;11.57,12;11.375,12;11.375,12.14;11.57,12.14;11.57,12.2;11.89,12.2;11.89,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.47,11.6;18.47,11.66;16.72,11.66;16.72,11.955;16.645,11.955;16.645,12;14.65,12;14.65,11.94;14.33,11.94;14.33,12;14.175,12;14.175,11.955;13.885,11.955;13.885,12.185;14.175,12.185;14.175,12.14;14.33,12.14;14.33,12.2;14.65,12.2;14.65,12.14;16.645,12.14;16.645,12.185;16.935,12.185;16.935,11.955;16.86,11.955;16.86,11.8;18.47,11.8;18.47,11.86;18.79,11.86;18.79,11.8;19.94,11.8;19.94,11.955;19.865,11.955;19.865,12.185;20.155,12.185;20.155,11.955;20.08,11.955;20.08,11.66;18.79,11.66;18.79,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,20.44;14.33,20.5;14.135,20.5;14.135,20.64;14.33,20.64;14.33,20.7;14.65,20.7;14.65,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.81,12.28;8.81,12.54;9.13,12.54;9.13,12.48;9.745,12.48;9.745,12.525;10.035,12.525;10.035,12.295;9.745,12.295;9.745,12.34;9.13,12.34;9.13,12.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.01,12.28;18.01,12.34;17.855,12.34;17.855,12.295;17.565,12.295;17.565,12.525;17.855,12.525;17.855,12.48;18.01,12.48;18.01,12.54;18.33,12.54;18.33,12.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.905,11.955;7.905,12.185;7.98,12.185;7.98,12.48;8.44,12.48;8.44,12.82;17.09,12.82;17.09,12.88;17.41,12.88;17.41,12.62;17.09,12.62;17.09,12.68;8.58,12.68;8.58,12.34;8.12,12.34;8.12,12.185;8.195,12.185;8.195,11.955)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,6.16;16.63,6.42;16.95,6.42;16.95,6.36;17.105,6.36;17.105,6.405;17.395,6.405;17.395,6.175;17.105,6.175;17.105,6.22;16.95,6.22;16.95,6.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,12.96;14.33,13.02;13.715,13.02;13.715,12.975;13.425,12.975;13.425,13.205;13.715,13.205;13.715,13.16;14.33,13.16;14.33,13.22;14.65,13.22;14.65,12.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,20.44;17.55,20.5;17.355,20.5;17.355,20.64;17.55,20.64;17.55,20.7;17.87,20.7;17.87,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,14.32;11.57,14.38;10.495,14.38;10.495,14.335;10.205,14.335;10.205,14.565;10.495,14.565;10.495,14.52;11.57,14.52;11.57,14.58;11.89,14.58;11.89,14.52;19.48,14.52;19.48,14.675;19.405,14.675;19.405,14.905;19.695,14.905;19.695,14.675;19.62,14.675;19.62,14.38;11.89,14.38;11.89,14.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.65,14.66;10.65,14.72;10.455,14.72;10.455,14.86;10.65,14.86;10.65,14.92;10.97,14.92;10.97,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,14.66;7.43,14.92;7.75,14.92;7.75,14.86;9.36,14.86;9.36,15.015;9.285,15.015;9.285,15.245;9.575,15.245;9.575,15.2;11.34,15.2;11.34,14.86;13.41,14.86;13.41,14.92;13.73,14.92;13.73,14.66;13.41,14.66;13.41,14.72;11.2,14.72;11.2,15.06;9.575,15.06;9.575,15.015;9.5,15.015;9.5,14.72;7.75,14.72;7.75,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.31,17.72;20.31,17.98;20.63,17.98;20.63,17.92;20.785,17.92;20.785,17.965;21.075,17.965;21.075,17.735;20.785,17.735;20.785,17.78;20.63,17.78;20.63,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.55,6.5;17.55,6.56;16.015,6.56;16.015,6.515;15.725,6.515;15.725,6.745;16.015,6.745;16.015,6.7;17.55,6.7;17.55,6.76;17.87,6.76;17.87,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.33,15;14.33,15.06;14.135,15.06;14.135,15.2;14.33,15.2;14.33,15.26;14.65,15.26;14.65,15)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.17,15;16.17,15.06;16.015,15.06;16.015,15.015;15.725,15.015;15.725,15.245;16.015,15.245;16.015,15.2;16.17,15.2;16.17,15.26;16.49,15.26;16.49,15)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.825,15.015;8.825,15.245;8.9,15.245;8.9,15.54;13.885,15.54;13.885,15.585;14.175,15.585;14.175,15.355;13.885,15.355;13.885,15.4;12.72,15.4;12.72,15.245;12.795,15.245;12.795,15.015;12.505,15.015;12.505,15.245;12.58,15.245;12.58,15.4;9.04,15.4;9.04,15.245;9.115,15.245;9.115,15.015)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.025,6.515;18.025,6.745;18.1,6.745;18.1,7.04;18.47,7.04;18.47,7.1;18.79,7.1;18.79,6.84;18.47,6.84;18.47,6.9;18.24,6.9;18.24,6.745;18.315,6.745;18.315,6.515)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.39,6.5;19.39,6.76;19.71,6.76;19.71,6.7;19.905,6.7;19.905,6.56;19.71,6.56;19.71,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,15.34;16.63,15.4;16.435,15.4;16.435,15.54;16.63,15.54;16.63,15.6;16.95,15.6;16.95,15.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,15.68;7.43,15.94;7.75,15.94;7.75,15.88;7.905,15.88;7.905,15.925;8.195,15.925;8.195,15.695;7.905,15.695;7.905,15.74;7.75,15.74;7.75,15.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,15.68;11.57,15.74;11.375,15.74;11.375,15.88;11.57,15.88;11.57,15.94;11.89,15.94;11.89,15.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.19,17.72;10.19,17.78;9.575,17.78;9.575,17.735;9.285,17.735;9.285,17.965;9.575,17.965;9.575,17.92;10.19,17.92;10.19,17.98;10.51,17.98;10.51,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.87,17.72;13.87,17.78;13.255,17.78;13.255,17.735;12.965,17.735;12.965,17.965;13.255,17.965;13.255,17.92;13.87,17.92;13.87,17.98;14.19,17.98;14.19,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.27,16.7;9.27,16.96;9.59,16.96;9.59,16.9;10.205,16.9;10.205,16.945;10.495,16.945;10.495,16.715;10.205,16.715;10.205,16.76;9.59,16.76;9.59,16.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.35,18.4;8.35,18.46;7.735,18.46;7.735,18.415;7.445,18.415;7.445,18.645;7.735,18.645;7.735,18.6;8.35,18.6;8.35,18.66;8.67,18.66;8.67,18.6;11.125,18.6;11.125,18.645;11.415,18.645;11.415,18.415;11.125,18.415;11.125,18.46;8.67,18.46;8.67,18.4)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.11,19.42;11.11,19.48;9.575,19.48;9.575,19.435;9.285,19.435;9.285,19.665;9.575,19.665;9.575,19.62;11.11,19.62;11.11,19.68;11.43,19.68;11.43,19.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.43,17.38;7.43,17.44;7.235,17.44;7.235,17.58;7.43,17.58;7.43,17.64;7.75,17.64;7.75,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.89,17.38;7.89,17.64;8.21,17.64;8.21,17.58;8.405,17.58;8.405,17.44;8.21,17.44;8.21,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.57,17.38;11.57,17.44;11.415,17.44;11.415,17.395;11.125,17.395;11.125,17.625;11.415,17.625;11.415,17.58;11.57,17.58;11.57,17.64;11.89,17.64;11.89,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.63,17.38;16.63,17.44;16.435,17.44;16.435,17.58;16.63,17.58;16.63,17.64;16.95,17.64;16.95,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.79,11.94;37.79,12;37.595,12;37.595,12.14;37.79,12.14;37.79,12.2;38.11,12.2;38.11,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,12.185;26.78,12.23;27.04,12.23;27.04,12.185)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,5.82;27.21,5.88;25.675,5.88;25.675,5.835;25.385,5.835;25.385,6.065;25.675,6.065;25.675,6.02;27.21,6.02;27.21,6.08;27.53,6.08;27.53,5.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.45,20.1;24.45,20.16;24.255,20.16;24.255,20.3;24.45,20.3;24.45,20.36;24.77,20.36;24.77,20.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,6.5;23.07,6.56;22.875,6.56;22.875,6.7;23.07,6.7;23.07,6.76;23.39,6.76;23.39,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,12.28;23.07,12.34;22.915,12.34;22.915,12.295;22.625,12.295;22.625,12.525;22.915,12.525;22.915,12.48;23.07,12.48;23.07,12.54;23.39,12.54;23.39,12.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,8.54;32.73,8.8;33.05,8.8;33.05,8.74;33.665,8.74;33.665,8.785;33.955,8.785;33.955,8.74;35.03,8.74;35.03,8.8;35.35,8.8;35.35,8.54;35.03,8.54;35.03,8.6;33.955,8.6;33.955,8.555;33.665,8.555;33.665,8.6;33.05,8.6;33.05,8.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.59,17.38;28.59,17.44;28.395,17.44;28.395,17.58;28.59,17.58;28.59,17.64;28.91,17.64;28.91,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.91,19.76;24.91,20.02;25.23,20.02;25.23,19.96;28.605,19.96;28.605,20.005;28.895,20.005;28.895,19.96;29.14,19.96;29.14,20.455;29.065,20.455;29.065,20.685;29.355,20.685;29.355,20.455;29.28,20.455;29.28,19.96;34.11,19.96;34.11,20.02;34.43,20.02;34.43,19.76;34.11,19.76;34.11,19.82;28.895,19.82;28.895,19.775;28.605,19.775;28.605,19.82;25.23,19.82;25.23,19.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.65,9.56;33.65,9.62;30.735,9.62;30.735,9.575;30.445,9.575;30.445,9.805;30.735,9.805;30.735,9.76;33.65,9.76;33.65,9.82;33.97,9.82;33.97,9.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.07,9.9;23.07,9.915;23.255,9.915;23.255,9.96;23.39,9.96;23.39,9.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.545,5.835;23.545,6.065;23.62,6.065;23.62,6.22;22.93,6.22;22.93,6.16;22.61,6.16;22.61,6.42;22.93,6.42;22.93,6.36;23.76,6.36;23.76,6.065;23.835,6.065;23.835,5.835)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,6.5;26.75,6.56;26.135,6.56;26.135,6.515;25.845,6.515;25.845,6.745;26.135,6.745;26.135,6.7;26.75,6.7;26.75,6.76;27.07,6.76;27.07,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.57,14.66;34.57,14.72;34.375,14.72;34.375,14.86;34.57,14.86;34.57,14.92;34.89,14.92;34.89,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.605,6.515;28.605,6.745;28.68,6.745;28.68,6.9;26.595,6.9;26.595,6.855;26.305,6.855;26.305,6.9;24.77,6.9;24.77,6.84;24.45,6.84;24.45,7.1;24.77,7.1;24.77,7.04;26.305,7.04;26.305,7.085;26.595,7.085;26.595,7.04;28.82,7.04;28.82,6.745;28.895,6.745;28.895,6.515)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.255,10.1;23.255,10.145;23.07,10.145;23.07,10.16;23.39,10.16;23.39,10.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.49,6.16;35.49,6.22;30.98,6.22;30.98,6.515;30.905,6.515;30.905,6.745;31.195,6.745;31.195,6.515;31.12,6.515;31.12,6.36;35.49,6.36;35.49,6.42;35.81,6.42;35.81,6.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,6.84;29.51,6.9;29.315,6.9;29.315,7.04;29.51,7.04;29.51,7.1;29.83,7.1;29.83,6.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.41,6.84;36.41,6.9;36.215,6.9;36.215,7.04;36.41,7.04;36.41,7.1;36.73,7.1;36.73,6.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.13,6.5;28.13,6.56;27.975,6.56;27.975,6.515;27.685,6.515;27.685,6.745;27.975,6.745;27.975,6.7;28.13,6.7;28.13,6.76;28.45,6.76;28.45,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,15;26.75,15.06;26.555,15.06;26.555,15.2;26.75,15.2;26.75,15.26;27.07,15.26;27.07,15)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.525,13.995;29.525,14.225;29.6,14.225;29.6,15.06;27.975,15.06;27.975,15.015;27.685,15.015;27.685,15.06;27.53,15.06;27.53,15;27.21,15;27.21,15.26;27.53,15.26;27.53,15.2;27.685,15.2;27.685,15.245;27.975,15.245;27.975,15.2;29.74,15.2;29.74,14.52;32.73,14.52;32.73,14.58;33.05,14.58;33.05,14.32;32.73,14.32;32.73,14.38;29.74,14.38;29.74,14.225;29.815,14.225;29.815,13.995)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.73,15;32.73,15.06;32.535,15.06;32.535,15.2;32.73,15.2;32.73,15.26;33.05,15.26;33.05,15)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.49,15;35.49,15.26;35.81,15.26;35.81,15.2;35.965,15.2;35.965,15.245;36.255,15.245;36.255,15.015;35.965,15.015;35.965,15.06;35.81,15.06;35.81,15)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,10.24;26.75,10.5;27.07,10.5;27.07,10.44;27.685,10.44;27.685,10.485;27.975,10.485;27.975,10.255;27.685,10.255;27.685,10.3;27.07,10.3;27.07,10.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.81,10.24;31.81,10.3;30.735,10.3;30.735,10.255;30.445,10.255;30.445,10.485;30.735,10.485;30.735,10.44;31.81,10.44;31.81,10.5;32.13,10.5;32.13,10.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.35,14.66;31.35,14.92;31.44,14.92;31.44,15.4;29.815,15.4;29.815,15.355;29.525,15.355;29.525,15.585;29.815,15.585;29.815,15.54;31.58,15.54;31.58,14.92;31.67,14.92;31.67,14.86;31.825,14.86;31.825,14.905;32.115,14.905;32.115,14.675;31.825,14.675;31.825,14.72;31.67,14.72;31.67,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.81,5.82;31.81,5.88;31.615,5.88;31.615,6.02;31.81,6.02;31.81,6.08;32.13,6.08;32.13,5.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.61,20.44;22.61,20.5;22.415,20.5;22.415,20.64;22.61,20.64;22.61,20.7;22.93,20.7;22.93,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.81,11.6;31.81,11.615;32.13,11.615;32.13,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,20.44;27.21,20.5;26.135,20.5;26.135,20.455;25.845,20.455;25.845,20.5;23.835,20.5;23.835,20.455;23.545,20.455;23.545,20.685;23.835,20.685;23.835,20.64;25.845,20.64;25.845,20.685;26.135,20.685;26.135,20.64;27.21,20.64;27.21,20.7;27.53,20.7;27.53,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.51,11.6;29.51,11.66;29.315,11.66;29.315,11.8;29.51,11.8;29.51,11.86;29.83,11.86;29.83,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.605,15.355;28.605,15.585;28.68,15.585;28.68,15.74;27.53,15.74;27.53,15.68;27.21,15.68;27.21,15.94;27.53,15.94;27.53,15.88;34.57,15.88;34.57,15.94;34.89,15.94;34.89,15.68;34.57,15.68;34.57,15.74;28.82,15.74;28.82,15.585;28.895,15.585;28.895,15.355)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.81,11.845;31.81,11.86;32.13,11.86;32.13,11.845)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,11.91;26.78,11.955;27.04,11.955;27.04,11.91)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.19,20.44;33.19,20.5;30.735,20.5;30.735,20.455;30.445,20.455;30.445,20.685;30.735,20.685;30.735,20.64;33.19,20.64;33.19,20.7;33.51,20.7;33.51,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.57,16.7;34.57,16.96;34.89,16.96;34.89,16.9;35.045,16.9;35.045,16.945;35.335,16.945;35.335,16.715;35.045,16.715;35.045,16.76;34.89,16.76;34.89,16.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.03,6.5;35.03,6.56;34.835,6.56;34.835,6.7;35.03,6.7;35.03,6.76;35.35,6.76;35.35,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,17.04;27.21,17.1;25.92,17.1;25.92,17.395;25.845,17.395;25.845,17.625;26.135,17.625;26.135,17.395;26.06,17.395;26.06,17.24;27.21,17.24;27.21,17.3;27.53,17.3;27.53,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.085,17.395;23.085,17.625;23.16,17.625;23.16,17.92;27.685,17.92;27.685,17.965;27.975,17.965;27.975,17.92;29.74,17.92;29.74,17.625;29.815,17.625;29.815,17.395;29.525,17.395;29.525,17.625;29.6,17.625;29.6,17.78;27.975,17.78;27.975,17.735;27.685,17.735;27.685,17.78;23.3,17.78;23.3,17.625;23.375,17.625;23.375,17.395)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.27,17.72;32.27,17.78;32.115,17.78;32.115,17.735;31.825,17.735;31.825,17.965;32.115,17.965;32.115,17.92;32.27,17.92;32.27,17.98;32.59,17.98;32.59,17.92;34.11,17.92;34.11,17.98;34.43,17.98;34.43,17.72;34.11,17.72;34.11,17.78;32.59,17.78;32.59,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.15,6.5;22.15,6.56;21.955,6.56;21.955,6.7;22.15,6.7;22.15,6.76;22.47,6.76;22.47,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.21,11.94;27.21,12.2;27.53,12.2;27.53,12.14;27.725,12.14;27.725,12;27.53,12;27.53,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.69,17.38;21.69,17.44;21.495,17.44;21.495,17.58;21.69,17.58;21.69,17.64;22.01,17.64;22.01,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.15,17.38;22.15,17.64;22.47,17.64;22.47,17.58;22.665,17.58;22.665,17.44;22.47,17.44;22.47,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.53,17.38;23.53,17.64;23.85,17.64;23.85,17.58;24.045,17.58;24.045,17.44;23.85,17.44;23.85,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.75,17.38;26.75,17.44;26.555,17.44;26.555,17.58;26.75,17.58;26.75,17.64;27.07,17.64;27.07,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.57,11.26;57.57,11.32;51.68,11.32;51.68,11.955;51.605,11.955;51.605,12.185;51.895,12.185;51.895,11.955;51.82,11.955;51.82,11.46;57.57,11.46;57.57,11.52;57.89,11.52;57.89,11.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.245,8.555;67.245,8.6;56.28,8.6;56.28,9.28;47.77,9.28;47.77,9.22;47.45,9.22;47.45,9.48;47.77,9.48;47.77,9.42;53.98,9.42;53.98,9.575;53.905,9.575;53.905,9.805;54.195,9.805;54.195,9.575;54.12,9.575;54.12,9.42;56.28,9.42;56.28,9.575;56.205,9.575;56.205,9.805;56.495,9.805;56.495,9.76;56.65,9.76;56.65,9.82;56.97,9.82;56.97,9.56;56.65,9.56;56.65,9.62;56.495,9.62;56.495,9.575;56.42,9.575;56.42,8.74;67.245,8.74;67.245,8.785;67.535,8.785;67.535,8.555)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.89,20.44;53.89,20.5;52.14,20.5;52.14,20.84;49.98,20.84;49.98,20.685;50.055,20.685;50.055,20.455;49.765,20.455;49.765,20.685;49.84,20.685;49.84,20.84;47.31,20.84;47.31,20.78;46.99,20.78;46.99,20.84;45.38,20.84;45.38,20.685;45.455,20.685;45.455,20.455;45.165,20.455;45.165,20.685;45.24,20.685;45.24,20.98;46.99,20.98;46.99,21.04;47.31,21.04;47.31,20.98;52.28,20.98;52.28,20.64;53.89,20.64;53.89,20.7;54.21,20.7;54.21,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.63,20.78;62.63,20.84;62.435,20.84;62.435,20.98;62.63,20.98;62.63,21.04;62.95,21.04;62.95,20.78)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,6.84;42.85,7.1;43.17,7.1;43.17,7.04;47.465,7.04;47.465,7.085;47.755,7.085;47.755,7.04;57.57,7.04;57.57,7.1;57.89,7.1;57.89,6.84;57.57,6.84;57.57,6.9;47.755,6.9;47.755,6.855;47.465,6.855;47.465,6.9;43.17,6.9;43.17,6.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,15.68;52.97,15.94;53.29,15.94;53.29,15.88;53.905,15.88;53.905,15.925;54.195,15.925;54.195,15.88;55.27,15.88;55.27,15.94;55.59,15.94;55.59,15.68;55.27,15.68;55.27,15.74;54.195,15.74;54.195,15.695;53.905,15.695;53.905,15.74;53.29,15.74;53.29,15.68)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.785,20.455;43.785,20.5;42.94,20.5;42.94,21.12;42.85,21.12;42.85,21.38;43.17,21.38;43.17,21.12;43.08,21.12;43.08,20.64;43.785,20.64;43.785,20.685;44.075,20.685;44.075,20.455)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.365,20.455;54.365,20.685;54.44,20.685;54.44,20.84;53.98,20.84;53.98,21.18;49.61,21.18;49.61,21.12;49.29,21.12;49.29,21.38;49.61,21.38;49.61,21.32;54.12,21.32;54.12,20.98;54.58,20.98;54.58,20.685;54.655,20.685;54.655,20.455)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.405,20.115;65.405,20.16;62.03,20.16;62.03,20.5;61.555,20.5;61.555,20.455;61.265,20.455;61.265,20.685;61.34,20.685;61.34,20.84;60.19,20.84;60.19,20.78;59.87,20.78;59.87,20.84;59.18,20.84;59.18,20.685;59.255,20.685;59.255,20.455;58.965,20.455;58.965,20.685;59.04,20.685;59.04,20.84;56.28,20.84;56.28,21.135;56.205,21.135;56.205,21.18;56.05,21.18;56.05,21.12;55.73,21.12;55.73,21.18;55.535,21.18;55.535,21.32;55.73,21.32;55.73,21.38;56.05,21.38;56.05,21.32;56.205,21.32;56.205,21.365;56.495,21.365;56.495,21.135;56.42,21.135;56.42,20.98;59.87,20.98;59.87,21.04;60.19,21.04;60.19,20.98;61.48,20.98;61.48,20.685;61.555,20.685;61.555,20.64;62.17,20.64;62.17,20.3;64.56,20.3;64.56,20.455;64.485,20.455;64.485,20.685;64.775,20.685;64.775,20.455;64.7,20.455;64.7,20.3;65.405,20.3;65.405,20.345;65.695,20.345;65.695,20.115)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.605,30.995;51.605,31.225;51.68,31.225;51.68,31.38;51.22,31.38;51.22,31.72;48.69,31.72;48.69,31.66;48.37,31.66;48.37,31.92;48.69,31.92;48.69,31.86;54.44,31.86;54.44,32;54.35,32;54.35,32.26;54.67,32.26;54.67,32;54.58,32;54.58,31.86;55.745,31.86;55.745,31.905;56.035,31.905;56.035,31.675;55.745,31.675;55.745,31.72;51.36,31.72;51.36,31.52;51.82,31.52;51.82,31.225;51.895,31.225;51.895,30.995)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.67,9.56;50.67,9.82;50.99,9.82;50.99,9.76;53.445,9.76;53.445,9.805;53.52,9.805;53.52,10.1;60.33,10.1;60.33,10.16;60.65,10.16;60.65,9.9;60.33,9.9;60.33,9.96;55.96,9.96;55.96,9.805;56.035,9.805;56.035,9.575;55.745,9.575;55.745,9.805;55.82,9.805;55.82,9.96;53.66,9.96;53.66,9.805;53.735,9.805;53.735,9.575;53.445,9.575;53.445,9.62;50.99,9.62;50.99,9.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.53,33.02;46.53,33.08;42.48,33.08;42.48,33.42;40.64,33.42;40.64,33.715;40.565,33.715;40.565,33.945;40.855,33.945;40.855,33.715;40.78,33.715;40.78,33.56;42.62,33.56;42.62,33.22;46.53,33.22;46.53,33.28;46.85,33.28;46.85,33.22;48,33.22;48,33.715;47.925,33.715;47.925,33.945;48,33.945;48,34.24;58.03,34.24;58.03,34.3;58.35,34.3;58.35,34.24;58.965,34.24;58.965,34.285;59.255,34.285;59.255,34.055;58.965,34.055;58.965,34.1;58.35,34.1;58.35,34.04;58.03,34.04;58.03,34.1;48.14,34.1;48.14,33.945;48.215,33.945;48.215,33.715;48.14,33.715;48.14,33.08;46.85,33.08;46.85,33.02)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.17,11.94;39.17,12.2;39.49,12.2;39.49,12.14;40.565,12.14;40.565,12.185;40.855,12.185;40.855,12.14;46.16,12.14;46.16,12.48;47.91,12.48;47.91,12.54;48.23,12.54;48.23,12.48;61.265,12.48;61.265,12.525;61.555,12.525;61.555,12.295;61.265,12.295;61.265,12.34;48.23,12.34;48.23,12.28;47.91,12.28;47.91,12.34;46.3,12.34;46.3,12;40.855,12;40.855,11.955;40.565,11.955;40.565,12;39.49,12;39.49,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.245,6.515;67.245,6.56;65.02,6.56;65.02,6.9;58.12,6.9;58.12,7.24;56.05,7.24;56.05,7.18;55.73,7.18;55.73,7.44;55.82,7.44;55.82,7.58;49.07,7.58;49.07,7.535;48.78,7.535;48.78,7.765;49.07,7.765;49.07,7.72;55.96,7.72;55.96,7.44;56.05,7.44;56.05,7.38;58.26,7.38;58.26,7.04;65.16,7.04;65.16,6.7;67.245,6.7;67.245,6.745;67.535,6.745;67.535,6.515)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.13,23.84;51.13,24.1;51.45,24.1;51.45,24.04;59.885,24.04;59.885,24.085;60.175,24.085;60.175,23.855;59.885,23.855;59.885,23.9;51.45,23.9;51.45,23.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,6.16;55.27,6.22;55.015,6.22;55.015,6.36;55.27,6.36;55.27,6.42;55.59,6.42;55.59,6.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.05,24.86;52.05,25.12;52.37,25.12;52.37,25.06;56.28,25.06;56.28,25.215;56.205,25.215;56.205,25.445;56.495,25.445;56.495,25.215;56.42,25.215;56.42,25.06;60.805,25.06;60.805,25.105;61.095,25.105;61.095,24.875;60.805,24.875;60.805,24.92;52.37,24.92;52.37,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,8.88;55.27,8.94;55.075,8.94;55.075,9.08;55.27,9.08;55.27,9.14;55.59,9.14;55.59,8.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.09,13.98;40.09,14.24;40.41,14.24;40.41,14.18;48,14.18;48,14.52;54.9,14.52;54.9,14.675;54.825,14.675;54.825,14.905;55.115,14.905;55.115,14.86;60.345,14.86;60.345,14.905;60.635,14.905;60.635,14.675;60.345,14.675;60.345,14.72;55.115,14.72;55.115,14.675;55.04,14.675;55.04,14.38;48.14,14.38;48.14,14.04;40.41,14.04;40.41,13.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.89,18.4;53.89,18.66;54.21,18.66;54.21,18.6;58.965,18.6;58.965,18.645;59.255,18.645;59.255,18.415;58.965,18.415;58.965,18.46;54.21,18.46;54.21,18.4)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,25.88;58.03,25.94;54.715,25.94;54.715,26.08;58.03,26.08;58.03,26.14;58.35,26.14;58.35,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,27.92;59.41,28.18;59.73,28.18;59.73,28.12;61.265,28.12;61.265,28.165;61.555,28.165;61.555,27.935;61.265,27.935;61.265,27.98;59.73,27.98;59.73,27.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.79,27.58;60.79,27.84;61.11,27.84;61.11,27.78;62.72,27.78;62.72,28.275;62.645,28.275;62.645,28.505;62.935,28.505;62.935,28.275;62.86,28.275;62.86,27.78;63.565,27.78;63.565,27.825;63.855,27.825;63.855,27.595;63.565,27.595;63.565,27.64;61.11,27.64;61.11,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,21.12;58.03,21.18;57.835,21.18;57.835,21.32;58.03,21.32;58.03,21.38;58.35,21.38;58.35,21.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,31.32;60.33,31.38;60.135,31.38;60.135,31.52;60.33,31.52;60.33,31.58;60.65,31.58;60.65,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.71,31.32;61.71,31.58;62.03,31.58;62.03,31.52;63.565,31.52;63.565,31.565;63.855,31.565;63.855,31.335;63.565,31.335;63.565,31.38;62.03,31.38;62.03,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,31.66;59.41,31.92;59.73,31.92;59.73,31.86;59.885,31.86;59.885,31.905;60.175,31.905;60.175,31.675;59.885,31.675;59.885,31.72;59.73,31.72;59.73,31.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,31.66;66.31,31.72;66.155,31.72;66.155,31.675;65.865,31.675;65.865,31.905;66.155,31.905;66.155,31.86;66.31,31.86;66.31,31.92;66.63,31.92;66.63,31.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.785,22.155;66.785,22.2;66.4,22.2;66.4,22.495;66.325,22.495;66.325,22.725;66.615,22.725;66.615,22.495;66.54,22.495;66.54,22.34;66.785,22.34;66.785,22.385;67.075,22.385;67.075,22.155)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.965,25.895;58.965,26.125;59.04,26.125;59.04,26.28;58.795,26.28;58.795,26.235;58.505,26.235;58.505,26.28;56.05,26.28;56.05,26.22;55.73,26.22;55.73,26.48;56.05,26.48;56.05,26.42;58.505,26.42;58.505,26.465;58.795,26.465;58.795,26.42;60.33,26.42;60.33,26.48;60.65,26.48;60.65,26.22;60.33,26.22;60.33,26.28;59.18,26.28;59.18,26.125;59.255,26.125;59.255,26.08;59.365,26.08;59.365,25.94;59.255,25.94;59.255,25.895)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.505,31.335;58.505,31.565;58.58,31.565;58.58,31.86;59.04,31.86;59.04,32.2;60.33,32.2;60.33,32.26;60.65,32.26;60.65,32;60.33,32;60.33,32.06;59.18,32.06;59.18,31.72;58.72,31.72;58.72,31.565;58.795,31.565;58.795,31.335)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,22.48;59.41,22.54;58.695,22.54;58.695,22.68;59.41,22.68;59.41,22.74;59.73,22.74;59.73,22.48)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,33.36;59.41,33.42;57.775,33.42;57.775,33.56;59.41,33.56;59.41,33.62;59.73,33.62;59.73,33.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.85,26.22;65.85,26.48;66.17,26.48;66.17,26.42;66.325,26.42;66.325,26.465;66.615,26.465;66.615,26.235;66.325,26.235;66.325,26.28;66.17,26.28;66.17,26.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.49,33.7;58.49,33.96;58.81,33.96;58.81,33.9;59.885,33.9;59.885,33.945;60.175,33.945;60.175,33.9;61.71,33.9;61.71,33.96;62.03,33.96;62.03,33.7;61.71,33.7;61.71,33.76;60.175,33.76;60.175,33.715;59.885,33.715;59.885,33.76;58.81,33.76;58.81,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.23,33.7;67.23,33.76;67.035,33.76;67.035,33.9;67.23,33.9;67.23,33.96;67.55,33.96;67.55,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.87,28.26;59.87,28.52;60.19,28.52;60.19,28.46;61.725,28.46;61.725,28.505;62.015,28.505;62.015,28.275;61.725,28.275;61.725,28.32;60.19,28.32;60.19,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,29.28;66.31,29.34;66.115,29.34;66.115,29.48;66.31,29.48;66.31,29.54;66.63,29.54;66.63,29.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,34.38;66.31,34.44;66.115,34.44;66.115,34.58;66.31,34.58;66.31,34.64;66.63,34.64;66.63,34.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.95,26.56;58.95,26.82;59.27,26.82;59.27,26.76;60.85,26.76;60.85,26.805;61.14,26.805;61.14,26.575;60.85,26.575;60.85,26.62;59.27,26.62;59.27,26.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.63,22.82;62.63,23.08;62.95,23.08;62.95,23.02;63.565,23.02;63.565,23.065;63.855,23.065;63.855,22.835;63.565,22.835;63.565,22.88;62.95,22.88;62.95,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.69,22.82;67.69,22.88;67.495,22.88;67.495,23.02;67.69,23.02;67.69,23.08;68.01,23.08;68.01,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.025,36.435;64.025,36.665;64.315,36.665;64.315,36.62;64.485,36.62;64.485,36.665;64.775,36.665;64.775,36.435;64.485,36.435;64.485,36.48;64.315,36.48;64.315,36.435)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.85,23.5;65.85,23.56;65.655,23.56;65.655,23.7;65.85,23.7;65.85,23.76;66.17,23.76;66.17,23.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,36.42;60.33,36.68;60.65,36.68;60.65,36.62;62.185,36.62;62.185,36.665;62.475,36.665;62.475,36.435;62.185,36.435;62.185,36.48;60.65,36.48;60.65,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.11,27.58;57.11,27.84;57.43,27.84;57.43,27.78;60.345,27.78;60.345,27.825;60.635,27.825;60.635,27.595;60.345,27.595;60.345,27.64;57.43,27.64;57.43,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,30.64;58.03,30.7;57.835,30.7;57.835,30.84;58.03,30.84;58.03,30.9;58.35,30.9;58.35,30.64)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,36.76;55.27,37.02;55.59,37.02;55.59,36.96;58.965,36.96;58.965,37.005;59.255,37.005;59.255,36.775;58.965,36.775;58.965,36.82;55.59,36.82;55.59,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.25,36.76;61.25,36.82;61.055,36.82;61.055,36.96;61.25,36.96;61.25,37.02;61.57,37.02;61.57,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.55,24.86;63.55,24.92;62.015,24.92;62.015,24.875;61.725,24.875;61.725,25.105;62.015,25.105;62.015,25.06;63.55,25.06;63.55,25.12;63.87,25.12;63.87,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,30.98;66.31,31.04;66.115,31.04;66.115,31.18;66.31,31.18;66.31,31.24;66.63,31.24;66.63,30.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.85,27.58;65.85,27.64;65.655,27.64;65.655,27.78;65.85,27.78;65.85,27.84;66.17,27.84;66.17,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,27.92;58.03,27.98;58.35,27.98;58.35,27.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.33,30.3;60.33,30.56;60.65,30.56;60.65,30.5;61.34,30.5;61.34,31.04;56.05,31.04;56.05,30.98;55.73,30.98;55.73,31.24;56.05,31.24;56.05,31.18;59.04,31.18;59.04,31.335;58.965,31.335;58.965,31.565;59.255,31.565;59.255,31.335;59.18,31.335;59.18,31.18;61.34,31.18;61.34,31.335;61.265,31.335;61.265,31.565;61.555,31.565;61.555,31.335;61.48,31.335;61.48,30.5;62.185,30.5;62.185,30.545;62.475,30.545;62.475,30.5;66.785,30.5;66.785,30.545;67.075,30.545;67.075,30.315;66.785,30.315;66.785,30.36;62.475,30.36;62.475,30.315;62.185,30.315;62.185,30.36;60.65,30.36;60.65,30.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.03,28.12;58.03,28.18;58.35,28.18;58.35,28.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.09,25.88;63.09,25.94;62.895,25.94;62.895,26.08;63.09,26.08;63.09,26.14;63.41,26.14;63.41,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.69,25.88;67.69,25.94;67.495,25.94;67.495,26.08;67.69,26.08;67.69,26.14;68.01,26.14;68.01,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.67,27.58;50.67,27.64;49.135,27.64;49.135,27.595;48.845,27.595;48.845,27.825;49.135,27.825;49.135,27.78;50.67,27.78;50.67,27.84;50.99,27.84;50.99,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.83,30.3;48.83,30.36;48.635,30.36;48.635,30.5;48.83,30.5;48.83,30.56;49.15,30.56;49.15,30.3)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,26.56;42.85,26.62;42.235,26.62;42.235,26.575;41.945,26.575;41.945,26.805;42.235,26.805;42.235,26.76;42.85,26.76;42.85,26.82;43.17,26.82;43.17,26.56)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.765,33.035;49.765,33.265;50.055,33.265;50.055,33.22;51.68,33.22;51.68,33.375;51.605,33.375;51.605,33.605;51.895,33.605;51.895,33.375;51.82,33.375;51.82,33.08;50.055,33.08;50.055,33.035)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,33.36;43.31,33.42;43.115,33.42;43.115,33.56;43.31,33.56;43.31,33.62;43.63,33.62;43.63,33.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.785,28.275;43.785,28.505;44.075,28.505;44.075,28.46;44.705,28.46;44.705,28.505;44.995,28.505;44.995,28.275;44.705,28.275;44.705,28.32;44.075,28.32;44.075,28.275)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,25.54;43.31,25.8;43.63,25.8;43.63,25.74;47.465,25.74;47.465,25.785;47.54,25.785;47.54,26.42;50.21,26.42;50.21,26.48;50.53,26.48;50.53,26.22;50.21,26.22;50.21,26.28;47.68,26.28;47.68,25.785;47.755,25.785;47.755,25.555;47.465,25.555;47.465,25.6;43.63,25.6;43.63,25.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.47,33.7;41.47,33.76;41.315,33.76;41.315,33.715;41.025,33.715;41.025,33.945;41.315,33.945;41.315,33.9;41.47,33.9;41.47,33.96;41.79,33.96;41.79,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.77,33.36;43.77,33.62;43.86,33.62;43.86,33.76;42.25,33.76;42.25,33.7;41.93,33.7;41.93,33.96;42.25,33.96;42.25,33.9;44,33.9;44,33.62;44.09,33.62;44.09,33.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.23,33.7;44.23,33.96;44.55,33.96;44.55,33.9;47.465,33.9;47.465,33.945;47.755,33.945;47.755,33.715;47.465,33.715;47.465,33.76;44.55,33.76;44.55,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,33.7;50.21,33.76;50.015,33.76;50.015,33.9;50.21,33.9;50.21,33.96;50.53,33.96;50.53,33.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.385,30.995;48.385,31.225;48.675,31.225;48.675,31.18;51.145,31.18;51.145,31.225;51.435,31.225;51.435,30.995;51.145,30.995;51.145,31.04;48.675,31.04;48.675,30.995)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.71,30.98;38.71,31.04;38.515,31.04;38.515,31.18;38.71,31.18;38.71,31.24;39.03,31.24;39.03,30.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,34.04;42.85,34.1;39.015,34.1;39.015,34.055;38.725,34.055;38.725,34.285;39.015,34.285;39.015,34.24;42.85,34.24;42.85,34.3;43.17,34.3;43.17,34.24;43.785,34.24;43.785,34.285;44.075,34.285;44.075,34.055;43.785,34.055;43.785,34.1;43.17,34.1;43.17,34.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.51,30.98;52.51,31.24;52.83,31.24;52.83,31.18;54.345,31.18;54.345,31.225;54.635,31.225;54.635,30.995;54.345,30.995;54.345,31.04;52.83,31.04;52.83,30.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,27.58;48.37,27.64;48.215,27.64;48.215,27.595;47.925,27.595;47.925,27.825;48.215,27.825;48.215,27.78;48.37,27.78;48.37,27.84;48.69,27.84;48.69,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.545,34.055;46.545,34.285;46.62,34.285;46.62,34.44;39.49,34.44;39.49,34.38;39.17,34.38;39.17,34.64;39.49,34.64;39.49,34.58;48.37,34.58;48.37,34.64;48.69,34.64;48.69,34.38;48.37,34.38;48.37,34.44;46.76,34.44;46.76,34.285;46.835,34.285;46.835,34.055)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,27.58;42.85,27.64;40.64,27.64;40.64,27.935;40.565,27.935;40.565,28.165;40.855,28.165;40.855,27.935;40.78,27.935;40.78,27.78;42.85,27.78;42.85,27.84;43.17,27.84;43.17,27.58)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,28.26;42.85,28.32;42.655,28.32;42.655,28.46;42.85,28.46;42.85,28.52;43.17,28.52;43.17,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,22.82;45.61,23.08;45.93,23.08;45.93,23.02;46.125,23.02;46.125,22.88;45.93,22.88;45.93,22.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.53,28.26;46.53,28.32;46.335,28.32;46.335,28.46;46.53,28.46;46.53,28.52;46.85,28.52;46.85,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,28.26;48.37,28.52;48.69,28.52;48.69,28.505;48.825,28.505;48.825,28.46;49.29,28.46;49.29,28.52;49.61,28.52;49.61,28.26;49.29,28.26;49.29,28.32;48.825,28.32;48.825,28.275;48.69,28.275;48.69,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.165,22.835;45.165,22.88;42.02,22.88;42.02,23.22;39.03,23.22;39.03,23.16;38.71,23.16;38.71,23.42;39.03,23.42;39.03,23.36;42.16,23.36;42.16,23.02;45.165,23.02;45.165,23.065;45.455,23.065;45.455,22.835)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.845,36.435;48.845,36.665;49.135,36.665;49.135,36.62;49.305,36.62;49.305,36.665;49.595,36.665;49.595,36.435;49.305,36.435;49.305,36.48;49.135,36.48;49.135,36.435)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,21.12;48.37,21.18;48.175,21.18;48.175,21.32;48.37,21.32;48.37,21.38;48.69,21.38;48.69,21.12)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,23.16;50.21,23.42;50.53,23.42;50.53,23.36;51.145,23.36;51.145,23.405;51.435,23.405;51.435,23.175;51.145,23.175;51.145,23.22;50.53,23.22;50.53,23.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.525,23.175;52.525,23.22;51.68,23.22;51.68,23.56;48.69,23.56;48.69,23.5;48.37,23.5;48.37,23.76;48.69,23.76;48.69,23.7;51.82,23.7;51.82,23.36;52.525,23.36;52.525,23.405;52.815,23.405;52.815,23.175)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.13,28.26;51.13,28.32;50.935,28.32;50.935,28.46;51.13,28.46;51.13,28.52;51.45,28.52;51.45,28.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.23,36.42;44.23,36.48;44.035,36.48;44.035,36.62;44.23,36.62;44.23,36.68;44.55,36.68;44.55,36.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.99,27.92;46.99,27.98;41.775,27.98;41.775,27.935;41.485,27.935;41.485,28.165;41.775,28.165;41.775,28.12;46.99,28.12;46.99,28.18;47.31,28.18;47.31,27.92)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.47,36.08;41.47,36.34;41.79,36.34;41.79,36.28;42.48,36.28;42.48,36.775;42.62,36.775;42.62,36.14;41.79,36.14;41.79,36.08)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.09,35.74;40.09,36;40.41,36;40.41,35.94;47.08,35.94;47.08,36.775;47.005,36.775;47.005,37.005;47.295,37.005;47.295,36.96;49.765,36.96;49.765,37.005;50.055,37.005;50.055,36.775;49.98,36.775;49.98,36.62;52.51,36.62;52.51,36.68;52.83,36.68;52.83,36.42;52.51,36.42;52.51,36.48;49.84,36.48;49.84,36.775;49.765,36.775;49.765,36.82;47.295,36.82;47.295,36.775;47.22,36.775;47.22,35.8;40.41,35.8;40.41,35.74)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.465,22.835;47.465,23.065;47.54,23.065;47.54,23.22;43.4,23.22;43.4,23.855;43.325,23.855;43.325,23.9;43.17,23.9;43.17,23.84;42.85,23.84;42.85,24.1;43.17,24.1;43.17,24.04;43.325,24.04;43.325,24.085;43.615,24.085;43.615,23.855;43.54,23.855;43.54,23.36;47.68,23.36;47.68,23.065;47.755,23.065;47.755,22.835)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.99,23.84;46.99,24.1;47.31,24.1;47.31,24.04;47.465,24.04;47.465,24.085;47.755,24.085;47.755,23.855;47.465,23.855;47.465,23.9;47.31,23.9;47.31,23.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.165,28.275;45.165,28.505;45.24,28.505;45.24,28.66;39.03,28.66;39.03,28.6;38.71,28.6;38.71,28.86;39.03,28.86;39.03,28.8;45.38,28.8;45.38,28.505;45.455,28.505;45.455,28.275)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.72,30.7;39.72,31.32;39.63,31.32;39.63,31.38;39.195,31.38;39.195,31.52;39.63,31.52;39.63,31.58;39.95,31.58;39.95,31.32;39.86,31.32;39.86,30.84;54.9,30.84;54.9,31.335;54.825,31.335;54.825,31.565;55.115,31.565;55.115,31.335;55.04,31.335;55.04,30.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,36.76;44.69,36.82;42.695,36.82;42.695,36.96;44.69,36.96;44.69,37.02;45.01,37.02;45.01,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.13,36.76;51.13,36.82;50.935,36.82;50.935,36.96;51.13,36.96;51.13,37.02;51.45,37.02;51.45,36.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,31.32;43.31,31.38;43.115,31.38;43.115,31.52;43.31,31.52;43.31,31.58;43.63,31.58;43.63,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.43,31.32;53.43,31.38;53.235,31.38;53.235,31.52;53.43,31.52;53.43,31.58;53.75,31.58;53.75,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.25,24.86;38.25,25.12;38.57,25.12;38.57,25.06;40.105,25.06;40.105,25.105;40.395,25.105;40.395,24.875;40.105,24.875;40.105,24.92;38.57,24.92;38.57,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.89,31.32;53.89,31.58;54.21,31.58;54.21,31.52;54.405,31.52;54.405,31.38;54.21,31.38;54.21,31.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.21,28.6;50.21,28.86;50.53,28.86;50.53,28.8;51.605,28.8;51.605,28.845;51.895,28.845;51.895,28.615;51.605,28.615;51.605,28.66;50.53,28.66;50.53,28.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.645,25.555;39.645,25.785;39.935,25.785;39.935,25.74;42.405,25.74;42.405,25.785;42.695,25.785;42.695,25.555;42.405,25.555;42.405,25.6;39.935,25.6;39.935,25.555)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,24.86;49.29,24.92;42.94,24.92;42.94,25.555;42.865,25.555;42.865,25.785;43.155,25.785;43.155,25.555;43.08,25.555;43.08,25.06;49.29,25.06;49.29,25.12;49.61,25.12;49.61,24.86)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.83,25.54;48.83,25.6;48.635,25.6;48.635,25.74;48.83,25.74;48.83,25.8;49.15,25.8;49.15,25.54)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,28.6;52.97,28.66;52.775,28.66;52.775,28.8;52.97,28.8;52.97,28.86;53.29,28.86;53.29,28.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.77,28.94;43.77,29;42.695,29;42.695,28.955;42.405,28.955;42.405,29.185;42.695,29.185;42.695,29.14;43.77,29.14;43.77,29.2;44.09,29.2;44.09,28.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,29.28;40.55,29.34;40.395,29.34;40.395,29.295;40.105,29.295;40.105,29.525;40.395,29.525;40.395,29.48;40.55,29.48;40.55,29.54;40.87,29.54;40.87,29.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,25.88;40.55,26.14;40.87,26.14;40.87,26.08;44.705,26.08;44.705,26.125;44.995,26.125;44.995,25.895;44.705,25.895;44.705,25.94;40.87,25.94;40.87,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,25.88;45.15,26.14;45.47,26.14;45.47,26.08;45.625,26.08;45.625,26.125;45.915,26.125;45.915,25.895;45.625,25.895;45.625,25.94;45.47,25.94;45.47,25.88)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,22.14;48.37,22.2;48.175,22.2;48.175,22.34;48.37,22.34;48.37,22.4;48.69,22.4;48.69,22.14)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.59,29.28;51.59,29.34;50.975,29.34;50.975,29.295;50.685,29.295;50.685,29.525;50.975,29.525;50.975,29.48;51.59,29.48;51.59,29.54;51.91,29.54;51.91,29.48;53.43,29.48;53.43,29.54;53.75,29.54;53.75,29.28;53.43,29.28;53.43,29.34;51.91,29.34;51.91,29.28)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.05,32;52.05,32.06;50.975,32.06;50.975,32.015;50.685,32.015;50.685,32.245;50.975,32.245;50.975,32.2;52.05,32.2;52.05,32.26;52.37,32.26;52.37,32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.01,17.04;41.01,17.3;41.33,17.3;41.33,17.24;42.405,17.24;42.405,17.285;42.695,17.285;42.695,17.055;42.405,17.055;42.405,17.1;41.33,17.1;41.33,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.85,9.22;42.85,9.28;42.695,9.28;42.695,9.235;42.405,9.235;42.405,9.465;42.695,9.465;42.695,9.42;42.85,9.42;42.85,9.48;43.17,9.48;43.17,9.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,17.04;52.97,17.1;50.055,17.1;50.055,17.055;49.765,17.055;49.765,17.285;50.055,17.285;50.055,17.24;52.97,17.24;52.97,17.3;53.29,17.3;53.29,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,9.22;44.69,9.28;44.535,9.28;44.535,9.235;44.245,9.235;44.245,9.465;44.535,9.465;44.535,9.42;44.69,9.42;44.69,9.48;45.01,9.48;45.01,9.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,19.76;49.75,19.82;49.595,19.82;49.595,19.775;49.305,19.775;49.305,20.005;49.595,20.005;49.595,19.96;49.75,19.96;49.75,20.02;50.07,20.02;50.07,19.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.63,6.84;39.63,6.9;39.435,6.9;39.435,7.04;39.63,7.04;39.63,7.1;39.95,7.1;39.95,6.84)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.63,9.9;39.63,9.96;39.035,9.96;39.035,10.1;39.63,10.1;39.63,10.16;39.95,10.16;39.95,9.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,12.96;44.69,13.02;44.495,13.02;44.495,13.16;44.69,13.16;44.69,13.22;45.01,13.22;45.01,12.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,9.9;40.55,10.16;40.87,10.16;40.87,10.1;41.025,10.1;41.025,10.145;41.315,10.145;41.315,9.915;41.025,9.915;41.025,9.96;40.87,9.96;40.87,9.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,11.6;45.61,11.86;45.93,11.86;45.93,11.8;47.005,11.8;47.005,11.845;47.295,11.845;47.295,11.8;48.37,11.8;48.37,11.86;48.69,11.86;48.69,11.6;48.37,11.6;48.37,11.66;47.295,11.66;47.295,11.615;47.005,11.615;47.005,11.66;45.93,11.66;45.93,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,11.6;52.97,11.66;52.775,11.66;52.775,11.8;52.97,11.8;52.97,11.86;53.29,11.86;53.29,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.145,20.115;51.145,20.345;51.435,20.345;51.435,20.3;52.985,20.3;52.985,20.345;53.275,20.345;53.275,20.115;52.985,20.115;52.985,20.16;51.435,20.16;51.435,20.115)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.97,13.98;52.97,14.04;51.895,14.04;51.895,13.995;51.605,13.995;51.605,14.225;51.895,14.225;51.895,14.18;52.97,14.18;52.97,14.24;53.29,14.24;53.29,13.98)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.83,14.66;48.83,14.92;49.15,14.92;49.15,14.86;50.21,14.86;50.21,14.92;50.53,14.92;50.53,14.86;53.52,14.86;53.52,15.015;53.445,15.015;53.445,15.245;53.735,15.245;53.735,15.015;53.66,15.015;53.66,14.72;50.53,14.72;50.53,14.66;50.21,14.66;50.21,14.72;49.15,14.72;49.15,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.485,15.015;41.485,15.245;41.56,15.245;41.56,15.54;42.85,15.54;42.85,15.6;43.17,15.6;43.17,15.34;42.85,15.34;42.85,15.4;41.7,15.4;41.7,15.245;41.775,15.245;41.775,15.015)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.525,9.915;52.525,9.96;50.415,9.96;50.415,10.1;52.525,10.1;52.525,10.145;52.815,10.145;52.815,9.915)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.83,11.94;48.83,12;46.835,12;46.835,11.955;46.545,11.955;46.545,12.185;46.835,12.185;46.835,12.14;48.83,12.14;48.83,12.2;49.15,12.2;49.15,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.75,11.94;49.75,12;49.555,12;49.555,12.14;49.75,12.14;49.75,12.2;50.07,12.2;50.07,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.91,20.44;47.91,20.7;48.23,20.7;48.23,20.64;48.425,20.64;48.425,20.5;48.23,20.5;48.23,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.545,19.775;46.545,20.005;46.835,20.005;46.835,19.96;48.92,19.96;48.92,20.3;50.3,20.3;50.3,20.455;50.225,20.455;50.225,20.685;50.515,20.685;50.515,20.64;51.59,20.64;51.59,20.7;51.91,20.7;51.91,20.44;51.59,20.44;51.59,20.5;50.515,20.5;50.515,20.455;50.44,20.455;50.44,20.16;49.06,20.16;49.06,19.82;46.835,19.82;46.835,19.775)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.39,17.72;42.39,17.98;42.71,17.98;42.71,17.92;43.785,17.92;43.785,17.965;44.075,17.965;44.075,17.92;44.23,17.92;44.23,17.98;44.55,17.98;44.55,17.72;44.23,17.72;44.23,17.78;44.075,17.78;44.075,17.735;43.785,17.735;43.785,17.78;42.71,17.78;42.71,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.59,19.42;51.59,19.68;51.91,19.68;51.91,19.62;52.065,19.62;52.065,19.665;52.355,19.665;52.355,19.435;52.065,19.435;52.065,19.48;51.91,19.48;51.91,19.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,17.72;45.61,17.98;45.93,17.98;45.93,17.92;50.225,17.92;50.225,17.965;50.515,17.965;50.515,17.735;50.225,17.735;50.225,17.78;45.93,17.78;45.93,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.59,17.72;51.59,17.78;51.395,17.78;51.395,17.92;51.59,17.92;51.59,17.98;51.91,17.98;51.91,17.72)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.91,16.7;47.91,16.96;48.23,16.96;48.23,16.9;48.845,16.9;48.845,16.945;49.135,16.945;49.135,16.715;48.845,16.715;48.845,16.76;48.23,16.76;48.23,16.7)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.165,16.715;45.165,16.76;40.64,16.76;40.64,17.1;40.415,17.1;40.415,17.24;40.78,17.24;40.78,16.9;45.165,16.9;45.165,16.945;45.455,16.945;45.455,16.715)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.55,11.26;40.55,11.32;40.395,11.32;40.395,11.275;40.105,11.275;40.105,11.505;40.395,11.505;40.395,11.46;40.55,11.46;40.55,11.52;40.87,11.52;40.87,11.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,7.18;44.69,7.24;44.495,7.24;44.495,7.38;44.69,7.38;44.69,7.44;45.01,7.44;45.01,7.18)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.07,19.76;46.07,19.82;43.155,19.82;43.155,19.775;42.865,19.775;42.865,20.005;43.155,20.005;43.155,19.96;46.07,19.96;46.07,20.02;46.39,20.02;46.39,19.76)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,10.24;48.37,10.5;48.69,10.5;48.69,10.44;51.605,10.44;51.605,10.485;51.895,10.485;51.895,10.255;51.605,10.255;51.605,10.3;48.69,10.3;48.69,10.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.91,18.4;47.91,18.46;47.715,18.46;47.715,18.6;47.91,18.6;47.91,18.66;48.23,18.66;48.23,18.4)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.37,18.4;48.37,18.66;48.69,18.66;48.69,18.6;48.845,18.6;48.845,18.645;49.135,18.645;49.135,18.415;48.845,18.415;48.845,18.46;48.69,18.46;48.69,18.4)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.45,6.16;47.45,6.22;46.835,6.22;46.835,6.175;46.545,6.175;46.545,6.405;46.835,6.405;46.835,6.36;47.45,6.36;47.45,6.42;47.77,6.42;47.77,6.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.69,14.66;44.69,14.72;43.155,14.72;43.155,14.675;42.865,14.675;42.865,14.905;43.155,14.905;43.155,14.86;44.69,14.86;44.69,14.92;45.01,14.92;45.01,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.61,6.5;45.61,6.56;45.415,6.56;45.415,6.7;45.61,6.7;45.61,6.76;45.93,6.76;45.93,6.5)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,12.62;49.29,12.68;49.095,12.68;49.095,12.82;49.29,12.82;49.29,12.88;49.61,12.88;49.61,12.62)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.485,6.515;41.485,6.745;41.775,6.745;41.775,6.7;42.48,6.7;42.48,7.535;42.405,7.535;42.405,7.765;42.695,7.765;42.695,7.72;43.31,7.72;43.31,7.78;43.63,7.78;43.63,7.52;43.31,7.52;43.31,7.58;42.695,7.58;42.695,7.535;42.62,7.535;42.62,6.56;41.775,6.56;41.775,6.515)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.07,17.38;46.07,17.44;45.875,17.44;45.875,17.58;46.07,17.58;46.07,17.64;46.39,17.64;46.39,17.58;47.45,17.58;47.45,17.64;47.77,17.64;47.77,17.38;47.45,17.38;47.45,17.44;46.39,17.44;46.39,17.38)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.31,11.26;43.31,11.32;41.775,11.32;41.775,11.275;41.485,11.275;41.485,11.505;41.775,11.505;41.775,11.46;43.31,11.46;43.31,11.52;43.63,11.52;43.63,11.46;47.45,11.46;47.45,11.52;47.77,11.52;47.77,11.46;49.84,11.46;49.84,11.8;50.3,11.8;50.3,11.955;50.225,11.955;50.225,12.185;50.515,12.185;50.515,11.955;50.44,11.955;50.44,11.66;49.98,11.66;49.98,11.32;47.77,11.32;47.77,11.26;47.45,11.26;47.45,11.32;43.63,11.32;43.63,11.26)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.29,15.34;49.29,15.4;49.035,15.4;49.035,15.54;49.29,15.54;49.29,15.6;49.61,15.6;49.61,15.34)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.15,19.42;45.15,19.48;44.995,19.48;44.995,19.435;44.705,19.435;44.705,19.665;44.995,19.665;44.995,19.62;45.15,19.62;45.15,19.68;45.47,19.68;45.47,19.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.01,6.36;64.01,6.42;64.33,6.42;64.33,6.36)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.49,17.04;58.49,17.1;57.775,17.1;57.775,17.24;58.49,17.24;58.49,17.3;58.81,17.3;58.81,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.77,20.44;66.77,20.5;65.235,20.5;65.235,20.455;64.945,20.455;64.945,20.685;65.235,20.685;65.235,20.64;66.77,20.64;66.77,20.7;67.09,20.7;67.09,20.44)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.77,19.42;66.77,19.48;66.575,19.48;66.575,19.62;66.77,19.62;66.77,19.68;67.09,19.68;67.09,19.42)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,17.04;59.41,17.3;59.73,17.3;59.73,17.24;59.885,17.24;59.885,17.285;60.175,17.285;60.175,17.055;59.885,17.055;59.885,17.1;59.73,17.1;59.73,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.01,17.04;64.01,17.1;63.815,17.1;63.815,17.24;64.01,17.24;64.01,17.3;64.33,17.3;64.33,17.04)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,12.185;65.88,12.23;66.14,12.23;66.14,12.185)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,17.1;60.42,17.38;60.33,17.38;60.33,17.44;60.135,17.44;60.135,17.58;60.33,17.58;60.33,17.64;60.65,17.64;60.65,17.38;60.56,17.38;60.56,17.24;63.18,17.24;63.18,17.395;63.105,17.395;63.105,17.625;63.395,17.625;63.395,17.395;63.32,17.395;63.32,17.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.965,15.015;58.965,15.245;59.04,15.245;59.04,15.54;60.33,15.54;60.33,15.6;60.65,15.6;60.65,15.34;60.33,15.34;60.33,15.4;59.18,15.4;59.18,15.245;59.255,15.245;59.255,15.015)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,11.94;66.31,12.2;66.63,12.2;66.63,12.14;66.825,12.14;66.825,12;66.63,12;66.63,11.94)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.01,6.16;64.01,6.22;64.33,6.22;64.33,6.16)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.49,20.1;58.49,20.36;58.81,20.36;58.81,20.3;59.885,20.3;59.885,20.345;60.175,20.345;60.175,20.115;59.885,20.115;59.885,20.16;58.81,20.16;58.81,20.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,7.52;66.31,7.58;66.115,7.58;66.115,7.72;66.31,7.72;66.31,7.78;66.63,7.78;66.63,7.52)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.265,17.395;61.265,17.625;61.34,17.625;61.34,17.78;60.19,17.78;60.19,17.72;59.87,17.72;59.87,17.98;60.19,17.98;60.19,17.92;64.485,17.92;64.485,17.965;64.775,17.965;64.775,17.92;65.405,17.92;65.405,17.965;65.695,17.965;65.695,17.735;65.405,17.735;65.405,17.78;64.775,17.78;64.775,17.735;64.485,17.735;64.485,17.78;61.48,17.78;61.48,17.625;61.555,17.625;61.555,17.58;62.185,17.58;62.185,17.625;62.475,17.625;62.475,17.395;62.185,17.395;62.185,17.44;61.555,17.44;61.555,17.395)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.85,15;65.85,15.06;65.695,15.06;65.695,15.015;65.405,15.015;65.405,15.245;65.695,15.245;65.695,15.2;65.85,15.2;65.85,15.26;66.17,15.26;66.17,15)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.585,15.015;57.585,15.245;57.66,15.245;57.66,15.74;56.97,15.74;56.97,15.68;56.65,15.68;56.65,15.74;56.215,15.74;56.215,15.88;56.65,15.88;56.65,15.94;56.97,15.94;56.97,15.88;59.87,15.88;59.87,15.94;60.19,15.94;60.19,15.68;59.87,15.68;59.87,15.74;57.8,15.74;57.8,15.245;57.875,15.245;57.875,15.015)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,11.91;65.88,11.955;66.14,11.955;66.14,11.91)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.85,10.24;65.85,10.5;66.17,10.5;66.17,10.44;66.325,10.44;66.325,10.485;66.615,10.485;66.615,10.255;66.325,10.255;66.325,10.3;66.17,10.3;66.17,10.24)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.205,5.835;56.205,6.065;56.495,6.065;56.495,6.02;59.04,6.02;59.04,6.175;58.965,6.175;58.965,6.405;59.255,6.405;59.255,6.175;59.18,6.175;59.18,5.88;56.495,5.88;56.495,5.835)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.57,9.22;57.57,9.28;57.375,9.28;57.375,9.42;57.57,9.42;57.57,9.48;57.89,9.48;57.89,9.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.63,10.1;62.63,10.16;62.95,10.16;62.95,10.1)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,19.82;58.12,20.455;58.045,20.455;58.045,20.685;58.335,20.685;58.335,20.455;58.26,20.455;58.26,19.96;60.42,19.96;60.42,20.44;60.33,20.44;60.33,20.5;60.135,20.5;60.135,20.64;60.33,20.64;60.33,20.7;60.65,20.7;60.65,20.44;60.56,20.44;60.56,19.82)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.27,12.96;55.27,13.22;55.59,13.22;55.59,13.16;60.345,13.16;60.345,13.205;60.635,13.205;60.635,12.975;60.345,12.975;60.345,13.02;55.59,13.02;55.59,12.96)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,14.66;66.31,14.72;64.56,14.72;64.56,15.015;64.485,15.015;64.485,15.245;64.775,15.245;64.775,15.015;64.7,15.015;64.7,14.86;66.31,14.86;66.31,14.92;66.63,14.92;66.63,14.66)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.31,9.22;66.31,9.28;59.255,9.28;59.255,9.235;58.965,9.235;58.965,9.465;59.255,9.465;59.255,9.42;66.31,9.42;66.31,9.48;66.63,9.48;66.63,9.22)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.41,11.6;59.41,11.66;59.155,11.66;59.155,11.8;59.41,11.8;59.41,11.86;59.73,11.86;59.73,11.6)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.63,9.9;62.63,9.96;62.95,9.96;62.95,9.9)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.09,14.32;63.09,14.38;59.255,14.38;59.255,14.335;58.965,14.335;58.965,14.565;59.255,14.565;59.255,14.52;63.09,14.52;63.09,14.58;63.41,14.58;63.41,14.32)
+
+
+ -
+
+ '68/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.55,20.44;63.55,20.5;63.355,20.5;63.355,20.64;63.55,20.64;63.55,20.7;63.87,20.7;63.87,20.44)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.335,37.155;15.335,37.305;15.485,37.305;15.485,37.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,37.155;33.735,37.305;33.885,37.305;33.885,37.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,37.155;34.655,37.305;34.805,37.305;34.805,37.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,37.155;37.875,37.305;38.025,37.305;38.025,37.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,37.155;60.415,37.305;60.565,37.305;60.565,37.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,67.925;62.085,68.075;62.235,68.075;62.235,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,67.925;62.405,68.075;62.555,68.075;62.555,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71.915,67.415;71.915,67.565;72.065,67.565;72.065,67.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,66.735;59.495,66.885;59.645,66.885;59.645,66.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,66.395;60.415,66.545;60.565,66.545;60.565,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.875,66.395;60.875,66.545;61.025,66.545;61.025,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.015,66.395;65.015,66.545;65.165,66.545;65.165,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,66.395;65.935,66.545;66.085,66.545;66.085,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,66.055;58.115,66.205;58.265,66.205;58.265,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.175,66.055;63.175,66.205;63.325,66.205;63.325,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.855,66.055;66.855,66.205;67.005,66.205;67.005,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.555,65.715;64.555,65.865;64.705,65.865;64.705,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.475,65.715;65.475,65.865;65.625,65.865;65.625,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,64.355;59.495,64.505;59.645,64.505;59.645,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.175,64.355;63.175,64.505;63.325,64.505;63.325,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.875,64.015;60.875,64.165;61.025,64.165;61.025,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.035,63.675;59.035,63.825;59.185,63.825;59.185,63.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.015,63.335;65.015,63.485;65.165,63.485;65.165,63.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,62.995;56.275,63.145;56.425,63.145;56.425,62.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,62.485;61.125,62.635;61.275,62.635;61.275,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,62.485;61.445,62.635;61.595,62.635;61.595,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,62.485;61.765,62.635;61.915,62.635;61.915,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,62.485;62.085,62.635;62.235,62.635;62.235,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,62.485;62.405,62.635;62.555,62.635;62.555,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,61.975;59.955,62.125;60.105,62.125;60.105,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,61.295;56.735,61.445;56.885,61.445;56.885,61.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,60.955;56.275,61.105;56.425,61.105;56.425,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,60.955;58.115,61.105;58.265,61.105;58.265,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,60.955;59.495,61.105;59.645,61.105;59.645,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.095,60.955;64.095,61.105;64.245,61.105;64.245,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.015,60.955;65.015,61.105;65.165,61.105;65.165,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,60.615;60.415,60.765;60.565,60.765;60.565,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,60.615;66.395,60.765;66.545,60.765;66.545,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.195,60.275;57.195,60.425;57.345,60.425;57.345,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,59.255;59.955,59.405;60.105,59.405;60.105,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,59.255;65.935,59.405;66.085,59.405;66.085,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.315,59.255;67.315,59.405;67.465,59.405;67.465,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.555,58.915;64.555,59.065;64.705,59.065;64.705,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.855,58.915;66.855,59.065;67.005,59.065;67.005,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,58.575;55.815,58.725;55.965,58.725;55.965,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.575,58.575;58.575,58.725;58.725,58.725;58.725,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.475,58.575;65.475,58.725;65.625,58.725;65.625,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,58.575;66.395,58.725;66.545,58.725;66.545,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,58.235;56.735,58.385;56.885,58.385;56.885,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,58.235;60.415,58.385;60.565,58.385;60.565,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.095,58.235;64.095,58.385;64.245,58.385;64.245,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,57.555;55.355,57.705;55.505,57.705;55.505,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,57.045;61.125,57.195;61.275,57.195;61.275,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,57.045;61.445,57.195;61.595,57.195;61.595,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,57.045;61.765,57.195;61.915,57.195;61.915,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,57.045;62.085,57.195;62.235,57.195;62.235,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,57.045;62.405,57.195;62.555,57.195;62.555,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,56.535;56.275,56.685;56.425,56.685;56.425,56.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,56.535;59.955,56.685;60.105,56.685;60.105,56.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.035,56.195;59.035,56.345;59.185,56.345;59.185,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.315,56.195;67.315,56.345;67.465,56.345;67.465,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,55.855;55.355,56.005;55.505,56.005;55.505,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.775,55.855;67.775,56.005;67.925,56.005;67.925,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,55.515;60.415,55.665;60.565,55.665;60.565,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.015,55.515;65.015,55.665;65.165,55.665;65.165,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,55.515;66.395,55.665;66.545,55.665;66.545,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,55.175;59.955,55.325;60.105,55.325;60.105,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.335,55.175;61.335,55.325;61.485,55.325;61.485,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.475,55.175;65.475,55.325;65.625,55.325;65.625,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,54.835;56.735,54.985;56.885,54.985;56.885,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.715,54.835;62.715,54.985;62.865,54.985;62.865,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.635,54.835;63.635,54.985;63.785,54.985;63.785,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.335,53.475;61.335,53.625;61.485,53.625;61.485,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,69.115;56.275,69.265;56.425,69.265;56.425,69.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,67.925;61.125,68.075;61.275,68.075;61.275,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,67.925;61.445,68.075;61.595,68.075;61.595,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,67.925;61.765,68.075;61.915,68.075;61.915,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,60.955;46.615,61.105;46.765,61.105;46.765,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,56.535;46.615,56.685;46.765,56.685;46.765,56.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,55.855;46.615,56.005;46.765,56.005;46.765,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,65.715;52.135,65.865;52.285,65.865;52.285,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,66.395;53.055,66.545;53.205,66.545;53.205,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,64.695;48.455,64.845;48.605,64.845;48.605,64.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.595,64.695;52.595,64.845;52.745,64.845;52.745,64.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,64.355;49.375,64.505;49.525,64.505;49.525,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,64.355;51.215,64.505;51.365,64.505;51.365,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,64.355;54.435,64.505;54.585,64.505;54.585,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,64.015;52.135,64.165;52.285,64.165;52.285,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,63.675;53.055,63.825;53.205,63.825;53.205,63.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,65.715;49.375,65.865;49.525,65.865;49.525,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,63.335;49.375,63.485;49.525,63.485;49.525,63.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,65.715;49.835,65.865;49.985,65.865;49.985,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,66.055;50.295,66.205;50.445,66.205;50.445,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,61.975;47.535,62.125;47.685,62.125;47.685,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,61.975;53.515,62.125;53.665,62.125;53.665,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,65.715;48.455,65.865;48.605,65.865;48.605,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.095,66.735;41.095,66.885;41.245,66.885;41.245,66.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,64.015;38.795,64.165;38.945,64.165;38.945,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,64.015;43.855,64.165;44.005,64.165;44.005,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,64.015;44.315,64.165;44.465,64.165;44.465,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,64.015;45.235,64.165;45.385,64.165;45.385,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,65.205;42.405,65.355;42.555,65.355;42.555,65.205)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,67.415;43.395,67.565;43.545,67.565;43.545,67.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,63.675;38.335,63.825;38.485,63.825;38.485,63.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,64.695;39.255,64.845;39.405,64.845;39.405,64.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,67.075;40.635,67.225;40.785,67.225;40.785,67.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,66.055;39.255,66.205;39.405,66.205;39.405,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,63.335;42.475,63.485;42.625,63.485;42.625,63.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,63.335;44.775,63.485;44.925,63.485;44.925,63.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,65.205;41.125,65.355;41.275,65.355;41.275,65.205)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,64.355;42.475,64.505;42.625,64.505;42.625,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,66.055;40.635,66.205;40.785,66.205;40.785,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,66.055;42.475,66.205;42.625,66.205;42.625,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,65.205;41.445,65.355;41.595,65.355;41.595,65.205)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,66.395;38.795,66.545;38.945,66.545;38.945,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,66.395;43.395,66.545;43.545,66.545;43.545,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,66.395;43.855,66.545;44.005,66.545;44.005,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,65.715;38.335,65.865;38.485,65.865;38.485,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,61.975;38.335,62.125;38.485,62.125;38.485,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,61.975;38.795,62.125;38.945,62.125;38.945,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,61.975;43.395,62.125;43.545,62.125;43.545,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,65.205;41.765,65.355;41.915,65.355;41.915,65.205)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,65.205;42.085,65.355;42.235,65.355;42.235,65.205)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,67.075;45.235,67.225;45.385,67.225;45.385,67.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,68.435;40.635,68.585;40.785,68.585;40.785,68.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,59.255;39.255,59.405;39.405,59.405;39.405,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,59.255;42.015,59.405;42.165,59.405;42.165,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,59.255;43.395,59.405;43.545,59.405;43.545,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.155,60.615;46.155,60.765;46.305,60.765;46.305,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,60.955;43.395,61.105;43.545,61.105;43.545,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,60.615;40.635,60.765;40.785,60.765;40.785,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,56.535;43.395,56.685;43.545,56.685;43.545,56.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,56.535;44.315,56.685;44.465,56.685;44.465,56.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,56.535;45.695,56.685;45.845,56.685;45.845,56.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,58.915;38.795,59.065;38.945,59.065;38.945,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,58.915;43.855,59.065;44.005,59.065;44.005,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,60.275;38.795,60.425;38.945,60.425;38.945,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,56.195;38.795,56.345;38.945,56.345;38.945,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,56.195;39.255,56.345;39.405,56.345;39.405,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,56.195;42.935,56.345;43.085,56.345;43.085,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,60.275;42.475,60.425;42.625,60.425;42.625,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,58.575;38.335,58.725;38.485,58.725;38.485,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.555,55.855;41.555,56.005;41.705,56.005;41.705,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,55.855;43.395,56.005;43.545,56.005;43.545,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,55.855;45.695,56.005;45.845,56.005;45.845,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,58.575;39.255,58.725;39.405,58.725;39.405,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.095,58.575;41.095,58.725;41.245,58.725;41.245,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,60.275;43.395,60.425;43.545,60.425;43.545,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,55.515;40.175,55.665;40.325,55.665;40.325,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,55.515;45.235,55.665;45.385,55.665;45.385,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,60.615;45.695,60.765;45.845,60.765;45.845,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,59.765;41.125,59.915;41.275,59.915;41.275,59.765)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,59.765;41.445,59.915;41.595,59.915;41.595,59.765)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,58.235;38.795,58.385;38.945,58.385;38.945,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,59.765;41.765,59.915;41.915,59.915;41.915,59.765)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,59.765;42.085,59.915;42.235,59.915;42.235,59.765)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.715,54.835;39.715,54.985;39.865,54.985;39.865,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,54.835;45.235,54.985;45.385,54.985;45.385,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,59.765;42.405,59.915;42.555,59.915;42.555,59.765)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.095,57.895;41.095,58.045;41.245,58.045;41.245,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.155,57.895;46.155,58.045;46.305,58.045;46.305,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,54.325;41.125,54.475;41.275,54.475;41.275,54.325)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,54.325;41.445,54.475;41.595,54.475;41.595,54.325)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,54.325;41.765,54.475;41.915,54.475;41.915,54.325)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,54.325;42.085,54.475;42.235,54.475;42.235,54.325)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,54.325;42.405,54.475;42.555,54.475;42.555,54.325)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,53.815;42.015,53.965;42.165,53.965;42.165,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,53.815;44.775,53.965;44.925,53.965;44.925,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.715,53.475;39.715,53.625;39.865,53.625;39.865,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,53.475;43.855,53.625;44.005,53.625;44.005,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.155,53.475;46.155,53.625;46.305,53.625;46.305,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,57.555;42.015,57.705;42.165,57.705;42.165,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,57.555;43.395,57.705;43.545,57.705;43.545,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,57.555;45.235,57.705;45.385,57.705;45.385,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,61.295;44.315,61.445;44.465,61.445;44.465,61.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,61.295;45.235,61.445;45.385,61.445;45.385,61.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,60.955;49.375,61.105;49.525,61.105;49.525,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,60.955;52.135,61.105;52.285,61.105;52.285,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,60.955;54.435,61.105;54.585,61.105;54.585,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,55.175;49.835,55.325;49.985,55.325;49.985,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.595,55.175;52.595,55.325;52.745,55.325;52.745,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.895,55.175;54.895,55.325;55.045,55.325;55.045,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,56.535;48.915,56.685;49.065,56.685;49.065,56.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,58.575;47.535,58.725;47.685,58.725;47.685,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,57.895;50.295,58.045;50.445,58.045;50.445,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,58.575;48.455,58.725;48.605,58.725;48.605,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,58.575;49.375,58.725;49.525,58.725;49.525,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,54.835;53.515,54.985;53.665,54.985;53.665,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,58.575;49.835,58.725;49.985,58.725;49.985,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,56.195;50.295,56.345;50.445,56.345;50.445,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,56.195;53.515,56.345;53.665,56.345;53.665,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,57.555;47.535,57.705;47.685,57.705;47.685,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,57.555;53.515,57.705;53.665,57.705;53.665,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,57.555;54.435,57.705;54.585,57.705;54.585,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,61.295;53.515,61.445;53.665,61.445;53.665,61.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,58.915;47.995,59.065;48.145,59.065;48.145,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,58.915;53.055,59.065;53.205,59.065;53.205,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,58.915;53.515,59.065;53.665,59.065;53.665,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.675,53.815;51.675,53.965;51.825,53.965;51.825,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.975,59.255;53.975,59.405;54.125,59.405;54.125,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.075,58.235;47.075,58.385;47.225,58.385;47.225,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,60.275;53.515,60.425;53.665,60.425;53.665,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,53.475;48.455,53.625;48.605,53.625;48.605,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,53.475;53.515,53.625;53.665,53.625;53.665,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,55.515;47.995,55.665;48.145,55.665;48.145,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,55.515;48.455,55.665;48.605,55.665;48.605,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,55.515;50.295,55.665;50.445,55.665;50.445,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.675,55.515;51.675,55.665;51.825,55.665;51.825,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,55.515;53.055,55.665;53.205,55.665;53.205,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,61.295;49.835,61.445;49.985,61.445;49.985,61.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,49.395;46.615,49.545;46.765,49.545;46.765,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,47.355;46.615,47.505;46.765,47.505;46.765,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,45.315;43.395,45.465;43.545,45.465;43.545,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,45.315;44.315,45.465;44.465,45.465;44.465,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.075,45.315;47.075,45.465;47.225,45.465;47.225,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,45.315;48.915,45.465;49.065,45.465;49.065,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,44.975;46.615,45.125;46.765,45.125;46.765,44.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,42.935;46.615,43.085;46.765,43.085;46.765,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,50.415;48.915,50.565;49.065,50.565;49.065,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,50.415;52.135,50.565;52.285,50.565;52.285,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,50.415;53.515,50.565;53.665,50.565;53.665,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,50.075;47.995,50.225;48.145,50.225;48.145,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,50.075;53.055,50.225;53.205,50.225;53.205,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.975,50.075;53.975,50.225;54.125,50.225;54.125,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,49.735;48.915,49.885;49.065,49.885;49.065,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.755,49.735;50.755,49.885;50.905,49.885;50.905,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.675,49.735;51.675,49.885;51.825,49.885;51.825,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,53.135;47.995,53.285;48.145,53.285;48.145,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,49.395;47.535,49.545;47.685,49.545;47.685,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,49.395;53.055,49.545;53.205,49.545;53.205,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,48.375;48.915,48.525;49.065,48.525;49.065,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.755,48.375;50.755,48.525;50.905,48.525;50.905,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.975,48.375;53.975,48.525;54.125,48.525;54.125,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,48.035;49.375,48.185;49.525,48.185;49.525,48.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,48.035;53.515,48.185;53.665,48.185;53.665,48.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,47.695;52.135,47.845;52.285,47.845;52.285,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,52.795;49.375,52.945;49.525,52.945;49.525,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,47.355;48.455,47.505;48.605,47.505;48.605,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,47.355;49.835,47.505;49.985,47.505;49.985,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,47.355;51.215,47.505;51.365,47.505;51.365,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,47.015;49.375,47.165;49.525,47.165;49.525,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,47.015;50.295,47.165;50.445,47.165;50.445,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.075,46.675;47.075,46.825;47.225,46.825;47.225,46.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.755,46.675;50.755,46.825;50.905,46.825;50.905,46.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,45.655;48.455,45.805;48.605,45.805;48.605,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,45.655;49.835,45.805;49.985,45.805;49.985,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,52.115;49.835,52.265;49.985,52.265;49.985,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,52.115;53.055,52.265;53.205,52.265;53.205,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,52.115;54.435,52.265;54.585,52.265;54.585,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,51.095;47.535,51.245;47.685,51.245;47.685,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.895,51.095;54.895,51.245;55.045,51.245;55.045,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,50.755;49.375,50.905;49.525,50.905;49.525,50.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.075,53.135;47.075,53.285;47.225,53.285;47.225,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,50.075;42.935,50.225;43.085,50.225;43.085,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.095,50.755;41.095,50.905;41.245,50.905;41.245,50.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,52.455;42.935,52.605;43.085,52.605;43.085,52.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,50.415;38.335,50.565;38.485,50.565;38.485,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,47.695;38.335,47.845;38.485,47.845;38.485,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,47.695;40.635,47.845;40.785,47.845;40.785,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,47.695;42.475,47.845;42.625,47.845;42.625,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,47.695;43.855,47.845;44.005,47.845;44.005,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,50.415;39.255,50.565;39.405,50.565;39.405,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,47.355;39.255,47.505;39.405,47.505;39.405,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,50.415;42.475,50.565;42.625,50.565;42.625,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,50.415;44.775,50.565;44.925,50.565;44.925,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,49.395;39.255,49.545;39.405,49.545;39.405,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,49.395;42.015,49.545;42.165,49.545;42.165,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,47.015;40.175,47.165;40.325,47.165;40.325,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,51.095;42.475,51.245;42.625,51.245;42.625,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,52.795;44.775,52.945;44.925,52.945;44.925,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.715,46.675;39.715,46.825;39.865,46.825;39.865,46.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,46.675;44.315,46.825;44.465,46.825;44.465,46.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,52.455;42.015,52.605;42.165,52.605;42.165,52.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,48.885;41.125,49.035;41.275,49.035;41.275,48.885)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,45.655;40.175,45.805;40.325,45.805;40.325,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,45.655;42.015,45.805;42.165,45.805;42.165,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,45.655;44.775,45.805;44.925,45.805;44.925,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,45.655;45.695,45.805;45.845,45.805;45.845,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,48.885;41.445,49.035;41.595,49.035;41.595,48.885)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,48.885;41.765,49.035;41.915,49.035;41.915,48.885)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,48.885;42.085,49.035;42.235,49.035;42.235,48.885)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,48.885;42.405,49.035;42.555,49.035;42.555,48.885)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,48.375;38.795,48.525;38.945,48.525;38.945,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,48.375;42.015,48.525;42.165,48.525;42.165,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,48.375;44.315,48.525;44.465,48.525;44.465,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.155,48.375;46.155,48.525;46.305,48.525;46.305,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,53.135;38.335,53.285;38.485,53.285;38.485,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,53.135;39.255,53.285;39.405,53.285;39.405,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.095,53.135;41.095,53.285;41.245,53.285;41.245,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,53.135;45.695,53.285;45.845,53.285;45.845,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,50.075;38.795,50.225;38.945,50.225;38.945,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,43.445;41.125,43.595;41.275,43.595;41.275,43.445)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,43.445;41.445,43.595;41.595,43.595;41.595,43.445)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,43.445;41.765,43.595;41.915,43.595;41.915,43.445)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,43.445;42.085,43.595;42.235,43.595;42.235,43.445)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,43.445;42.405,43.595;42.555,43.595;42.555,43.445)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,44.975;45.235,45.125;45.385,45.125;45.385,44.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,42.595;40.635,42.745;40.785,42.745;40.785,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,42.255;39.255,42.405;39.405,42.405;39.405,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,41.915;40.175,42.065;40.325,42.065;40.325,41.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.155,41.575;46.155,41.725;46.305,41.725;46.305,41.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,41.235;38.335,41.385;38.485,41.385;38.485,41.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,41.235;44.775,41.385;44.925,41.385;44.925,41.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,40.215;39.255,40.365;39.405,40.365;39.405,40.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,39.535;38.795,39.685;38.945,39.685;38.945,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,39.535;40.175,39.685;40.325,39.685;40.325,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,39.195;38.335,39.345;38.485,39.345;38.485,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,39.195;40.635,39.345;40.785,39.345;40.785,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,39.195;45.235,39.345;45.385,39.345;45.385,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,38.005;41.125,38.155;41.275,38.155;41.275,38.005)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,38.005;41.445,38.155;41.595,38.155;41.595,38.005)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,38.005;41.765,38.155;41.915,38.155;41.915,38.005)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,38.005;42.085,38.155;42.235,38.155;42.235,38.005)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,38.005;42.405,38.155;42.555,38.155;42.555,38.005)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,37.495;40.635,37.645;40.785,37.645;40.785,37.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,44.635;39.255,44.785;39.405,44.785;39.405,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.095,44.635;41.095,44.785;41.245,44.785;41.245,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,44.635;42.935,44.785;43.085,44.785;43.085,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,44.635;43.855,44.785;44.005,44.785;44.005,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,43.955;45.695,44.105;45.845,44.105;45.845,43.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,44.975;50.295,45.125;50.445,45.125;50.445,44.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,39.875;51.215,40.025;51.365,40.025;51.365,39.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,42.935;47.535,43.085;47.685,43.085;47.685,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,42.935;47.995,43.085;48.145,43.085;48.145,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,39.535;48.455,39.685;48.605,39.685;48.605,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,43.955;53.515,44.105;53.665,44.105;53.665,43.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,42.595;49.835,42.745;49.985,42.745;49.985,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,44.975;54.435,45.125;54.585,45.125;54.585,44.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,39.195;47.535,39.345;47.685,39.345;47.685,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,39.195;49.835,39.345;49.985,39.345;49.985,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,39.195;53.515,39.345;53.665,39.345;53.665,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,39.195;54.435,39.345;54.585,39.345;54.585,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.755,38.515;50.755,38.665;50.905,38.665;50.905,38.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,42.255;49.375,42.405;49.525,42.405;49.525,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,42.255;52.135,42.405;52.285,42.405;52.285,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,44.975;47.995,45.125;48.145,45.125;48.145,44.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,41.915;47.535,42.065;47.685,42.065;47.685,41.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,41.915;50.295,42.065;50.445,42.065;50.445,41.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,41.915;51.215,42.065;51.365,42.065;51.365,41.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,37.495;53.515,37.645;53.665,37.645;53.665,37.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,41.915;53.055,42.065;53.205,42.065;53.205,41.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.755,44.635;50.755,44.785;50.905,44.785;50.905,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,44.295;51.215,44.445;51.365,44.445;51.365,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,44.295;54.435,44.445;54.585,44.445;54.585,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,41.235;48.455,41.385;48.605,41.385;48.605,41.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.475,44.295;65.475,44.445;65.625,44.445;65.625,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.875,53.135;60.875,53.285;61.025,53.285;61.025,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.175,53.135;63.175,53.285;63.325,53.285;63.325,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,43.955;56.735,44.105;56.885,44.105;56.885,43.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,50.755;55.355,50.905;55.505,50.905;55.505,50.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,47.355;58.115,47.505;58.265,47.505;58.265,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.555,50.755;64.555,50.905;64.705,50.905;64.705,50.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.855,50.755;66.855,50.905;67.005,50.905;67.005,50.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.855,52.455;66.855,52.605;67.005,52.605;67.005,52.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.195,47.015;57.195,47.165;57.345,47.165;57.345,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.315,47.015;67.315,47.165;67.465,47.165;67.465,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,53.135;56.735,53.285;56.885,53.285;56.885,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,42.935;55.815,43.085;55.965,43.085;55.965,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.575,42.935;58.575,43.085;58.725,43.085;58.725,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,42.935;59.955,43.085;60.105,43.085;60.105,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.035,53.135;59.035,53.285;59.185,53.285;59.185,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,52.795;56.275,52.945;56.425,52.945;56.425,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,42.595;58.115,42.745;58.265,42.745;58.265,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.635,42.595;63.635,42.745;63.785,42.745;63.785,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.475,42.595;65.475,42.745;65.625,42.745;65.625,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.575,52.115;58.575,52.265;58.725,52.265;58.725,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,46.675;56.735,46.825;56.885,46.825;56.885,46.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,46.165;61.125,46.315;61.275,46.315;61.275,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.795,42.255;61.795,42.405;61.945,42.405;61.945,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,42.255;66.395,42.405;66.545,42.405;66.545,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,46.165;61.445,46.315;61.595,46.315;61.595,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,46.165;61.765,46.315;61.915,46.315;61.915,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,46.165;62.085,46.315;62.235,46.315;62.235,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,46.165;62.405,46.315;62.555,46.315;62.555,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,52.115;59.955,52.265;60.105,52.265;60.105,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,41.915;56.275,42.065;56.425,42.065;56.425,41.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.335,52.115;61.335,52.265;61.485,52.265;61.485,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,41.575;60.415,41.725;60.565,41.725;60.565,41.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.035,50.415;59.035,50.565;59.185,50.565;59.185,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,51.605;61.125,51.755;61.275,51.755;61.275,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,51.605;61.445,51.755;61.595,51.755;61.595,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,40.725;61.125,40.875;61.275,40.875;61.275,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,40.725;61.445,40.875;61.595,40.875;61.595,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,40.725;61.765,40.875;61.915,40.875;61.915,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,40.725;62.085,40.875;62.235,40.875;62.235,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,40.725;62.405,40.875;62.555,40.875;62.555,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,51.605;61.765,51.755;61.915,51.755;61.915,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.555,40.215;64.555,40.365;64.705,40.365;64.705,40.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.775,40.215;67.775,40.365;67.925,40.365;67.925,40.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,45.655;56.275,45.805;56.425,45.805;56.425,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,45.655;60.415,45.805;60.565,45.805;60.565,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,51.605;62.085,51.755;62.235,51.755;62.235,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,48.375;56.275,48.525;56.425,48.525;56.425,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,51.605;62.405,51.755;62.555,51.755;62.555,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,50.075;55.355,50.225;55.505,50.225;55.505,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,45.315;58.115,45.465;58.265,45.465;58.265,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.795,45.315;61.795,45.465;61.945,45.465;61.945,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.095,48.035;64.095,48.185;64.245,48.185;64.245,48.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.855,48.035;66.855,48.185;67.005,48.185;67.005,48.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,50.075;55.815,50.225;55.965,50.225;55.965,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,39.195;55.355,39.345;55.505,39.345;55.505,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,39.195;66.395,39.345;66.545,39.345;66.545,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,38.855;59.955,39.005;60.105,39.005;60.105,38.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.635,50.075;63.635,50.225;63.785,50.225;63.785,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.335,38.515;61.335,38.665;61.485,38.665;61.485,38.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,52.795;60.415,52.945;60.565,52.945;60.565,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.795,52.795;61.795,52.945;61.945,52.945;61.945,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.555,52.795;64.555,52.945;64.705,52.945;64.705,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,47.695;55.815,47.845;55.965,47.845;55.965,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,47.695;60.415,47.845;60.565,47.845;60.565,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.635,47.695;63.635,47.845;63.785,47.845;63.785,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,44.635;56.735,44.785;56.885,44.785;56.885,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.335,37.495;61.335,37.645;61.485,37.645;61.485,37.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,44.635;59.495,44.785;59.645,44.785;59.645,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,44.635;66.395,44.785;66.545,44.785;66.545,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.275,49.735;56.275,49.885;56.425,49.885;56.425,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.035,49.735;59.035,49.885;59.185,49.885;59.185,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.555,44.295;64.555,44.445;64.705,44.445;64.705,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,51.605;21.125,51.755;21.275,51.755;21.275,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,62.485;21.125,62.635;21.275,62.635;21.275,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,57.045;21.125,57.195;21.275,57.195;21.275,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,67.925;21.125,68.075;21.275,68.075;21.275,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,46.165;21.125,46.315;21.275,46.315;21.275,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,40.725;21.125,40.875;21.275,40.875;21.275,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,55.175;29.595,55.325;29.745,55.325;29.745,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,53.815;29.595,53.965;29.745,53.965;29.745,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,66.395;35.115,66.545;35.265,66.545;35.265,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,61.635;30.055,61.785;30.205,61.785;30.205,61.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,61.635;33.275,61.785;33.425,61.785;33.425,61.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,61.635;34.655,61.785;34.805,61.785;34.805,61.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,66.395;36.495,66.545;36.645,66.545;36.645,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,64.695;35.575,64.845;35.725,64.845;35.725,64.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,69.455;33.275,69.605;33.425,69.605;33.425,69.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,66.735;35.575,66.885;35.725,66.885;35.725,66.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,64.355;33.275,64.505;33.425,64.505;33.425,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,64.355;35.115,64.505;35.265,64.505;35.265,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,64.355;36.495,64.505;36.645,64.505;36.645,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,66.395;30.515,66.545;30.665,66.545;30.665,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,67.415;34.655,67.565;34.805,67.565;34.805,67.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,64.015;33.735,64.165;33.885,64.165;33.885,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,66.395;33.275,66.545;33.425,66.545;33.425,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,64.015;34.655,64.165;34.805,64.165;34.805,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.955,64.015;36.955,64.165;37.105,64.165;37.105,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,66.055;30.055,66.205;30.205,66.205;30.205,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,66.055;30.975,66.205;31.125,66.205;31.125,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,66.055;35.575,66.205;35.725,66.205;35.725,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,63.675;32.355,63.825;32.505,63.825;32.505,63.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,63.675;36.495,63.825;36.645,63.825;36.645,63.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,63.335;30.055,63.485;30.205,63.485;30.205,63.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,67.925;21.445,68.075;21.595,68.075;21.595,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,67.925;21.765,68.075;21.915,68.075;21.915,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,64.015;22.235,64.165;22.385,64.165;22.385,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,64.015;28.675,64.165;28.825,64.165;28.825,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,62.485;21.765,62.635;21.915,62.635;21.915,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,62.485;22.085,62.635;22.235,62.635;22.235,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,62.485;22.405,62.635;22.555,62.635;22.555,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,62.995;22.235,63.145;22.385,63.145;22.385,62.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,66.055;27.755,66.205;27.905,66.205;27.905,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,65.715;21.315,65.865;21.465,65.865;21.465,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,64.355;21.315,64.505;21.465,64.505;21.465,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.455,66.735;25.455,66.885;25.605,66.885;25.605,66.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,65.715;28.215,65.865;28.365,65.865;28.365,65.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,66.395;28.675,66.545;28.825,66.545;28.825,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,62.485;21.445,62.635;21.595,62.635;21.595,62.485)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,67.925;22.085,68.075;22.235,68.075;22.235,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,67.925;22.405,68.075;22.555,68.075;22.555,67.925)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.455,68.435;25.455,68.585;25.605,68.585;25.605,68.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.995,58.235;24.995,58.385;25.145,58.385;25.145,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,57.895;23.155,58.045;23.305,58.045;23.305,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,57.895;27.755,58.045;27.905,58.045;27.905,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,60.275;27.295,60.425;27.445,60.425;27.445,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.075,57.555;24.075,57.705;24.225,57.705;24.225,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.455,56.195;25.455,56.345;25.605,56.345;25.605,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.075,60.955;24.075,61.105;24.225,61.105;24.225,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,53.815;22.695,53.965;22.845,53.965;22.845,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,53.815;23.615,53.965;23.765,53.965;23.765,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.135,53.815;29.135,53.965;29.285,53.965;29.285,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.455,60.955;25.455,61.105;25.605,61.105;25.605,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,60.955;27.755,61.105;27.905,61.105;27.905,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.135,60.955;29.135,61.105;29.285,61.105;29.285,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,53.475;23.155,53.625;23.305,53.625;23.305,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,55.855;22.695,56.005;22.845,56.005;22.845,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.915,55.855;25.915,56.005;26.065,56.005;26.065,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,57.045;21.445,57.195;21.595,57.195;21.595,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,57.045;21.765,57.195;21.915,57.195;21.915,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.075,55.515;24.075,55.665;24.225,55.665;24.225,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,57.045;22.085,57.195;22.235,57.195;22.235,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,58.915;27.295,59.065;27.445,59.065;27.445,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,57.045;22.405,57.195;22.555,57.195;22.555,57.045)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,58.235;28.215,58.385;28.365,58.385;28.365,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,58.235;23.615,58.385;23.765,58.385;23.765,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,61.295;28.215,61.445;28.365,61.445;28.365,61.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.455,58.575;25.455,58.725;25.605,58.725;25.605,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,54.835;33.735,54.985;33.885,54.985;33.885,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,60.955;31.435,61.105;31.585,61.105;31.585,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,60.275;32.815,60.425;32.965,60.425;32.965,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,60.955;34.195,61.105;34.345,61.105;34.345,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,60.955;35.575,61.105;35.725,61.105;35.725,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.955,57.555;36.955,57.705;37.105,57.705;37.105,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,56.195;30.515,56.345;30.665,56.345;30.665,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,58.235;32.355,58.385;32.505,58.385;32.505,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,55.515;32.815,55.665;32.965,55.665;32.965,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,55.515;35.115,55.665;35.265,55.665;35.265,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,53.815;31.435,53.965;31.585,53.965;31.585,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,61.295;32.355,61.445;32.505,61.445;32.505,61.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,57.895;32.815,58.045;32.965,58.045;32.965,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,57.895;33.735,58.045;33.885,58.045;33.885,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,53.475;32.355,53.625;32.505,53.625;32.505,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,53.475;35.115,53.625;35.265,53.625;35.265,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.955,53.475;36.955,53.625;37.105,53.625;37.105,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,59.255;32.815,59.405;32.965,59.405;32.965,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,59.255;34.195,59.405;34.345,59.405;34.345,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,60.955;30.515,61.105;30.665,61.105;30.665,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,60.615;33.275,60.765;33.425,60.765;33.425,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.955,58.575;36.955,58.725;37.105,58.725;37.105,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,55.175;30.515,55.325;30.665,55.325;30.665,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,55.175;31.435,55.325;31.585,55.325;31.585,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,55.175;36.495,55.325;36.645,55.325;36.645,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,55.175;37.875,55.325;38.025,55.325;38.025,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,58.915;36.495,59.065;36.645,59.065;36.645,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,60.615;35.115,60.765;35.265,60.765;35.265,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,57.555;32.355,57.705;32.505,57.705;32.505,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,55.855;36.495,56.005;36.645,56.005;36.645,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,55.855;37.875,56.005;38.025,56.005;38.025,55.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,54.835;30.055,54.985;30.205,54.985;30.205,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,58.575;30.055,58.725;30.205,58.725;30.205,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,58.575;30.975,58.725;31.125,58.725;31.125,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,58.575;33.735,58.725;33.885,58.725;33.885,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,57.555;19.475,57.705;19.625,57.705;19.625,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.275,66.055;10.275,66.205;10.425,66.205;10.425,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,66.055;10.735,66.205;10.885,66.205;10.885,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,54.835;13.495,54.985;13.645,54.985;13.645,54.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,68.775;11.655,68.925;11.805,68.925;11.805,68.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,68.435;7.975,68.585;8.125,68.585;8.125,68.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.355,60.275;9.355,60.425;9.505,60.425;9.505,60.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,66.395;7.975,66.545;8.125,66.545;8.125,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,66.395;13.495,66.545;13.645,66.545;13.645,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,64.015;7.055,64.165;7.205,64.165;7.205,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.355,64.015;9.355,64.165;9.505,64.165;9.505,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,64.015;11.195,64.165;11.345,64.165;11.345,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,64.015;19.475,64.165;19.625,64.165;19.625,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,64.015;20.395,64.165;20.545,64.165;20.545,64.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,66.395;19.475,66.545;19.625,66.545;19.625,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,64.695;15.795,64.845;15.945,64.845;15.945,64.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,67.415;7.055,67.565;7.205,67.565;7.205,67.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.115,53.815;12.115,53.965;12.265,53.965;12.265,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,53.815;13.955,53.965;14.105,53.965;14.105,53.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,64.355;7.515,64.505;7.665,64.505;7.665,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,55.515;11.195,55.665;11.345,55.665;11.345,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.115,55.515;12.115,55.665;12.265,55.665;12.265,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,57.895;14.415,58.045;14.565,58.045;14.565,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,55.515;16.715,55.665;16.865,55.665;16.865,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,55.515;19.475,55.665;19.625,55.665;19.625,55.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,57.895;18.555,58.045;18.705,58.045;18.705,57.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.275,59.255;10.275,59.405;10.425,59.405;10.425,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,53.475;13.495,53.625;13.645,53.625;13.645,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,53.475;16.715,53.625;16.865,53.625;16.865,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.095,53.475;18.095,53.625;18.245,53.625;18.245,53.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,60.955;7.975,61.105;8.125,61.105;8.125,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.275,60.955;10.275,61.105;10.425,61.105;10.425,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,64.355;16.255,64.505;16.405,64.505;16.405,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,64.355;18.555,64.505;18.705,64.505;18.705,64.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,59.255;20.395,59.405;20.545,59.405;20.545,59.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,60.955;13.495,61.105;13.645,61.105;13.645,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,56.195;14.415,56.345;14.565,56.345;14.565,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,66.055;14.415,66.205;14.565,66.205;14.565,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,66.055;17.175,66.205;17.325,66.205;17.325,66.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,56.195;17.635,56.345;17.785,56.345;17.785,56.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,62.995;7.055,63.145;7.205,63.145;7.205,62.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,67.415;20.395,67.565;20.545,67.565;20.545,67.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.115,60.615;12.115,60.765;12.265,60.765;12.265,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,60.615;14.415,60.765;14.565,60.765;14.565,60.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,58.235;11.195,58.385;11.345,58.385;11.345,58.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,58.915;7.055,59.065;7.205,59.065;7.205,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,58.915;15.795,59.065;15.945,59.065;15.945,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,58.915;19.015,59.065;19.165,59.065;19.165,58.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,55.175;17.635,55.325;17.785,55.325;17.785,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.095,55.175;18.095,55.325;18.245,55.325;18.245,55.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,60.955;17.175,61.105;17.325,61.105;17.325,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.435,67.075;8.435,67.225;8.585,67.225;8.585,67.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,60.955;20.395,61.105;20.545,61.105;20.545,60.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,66.735;11.655,66.885;11.805,66.885;11.805,66.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,63.335;14.415,63.485;14.565,63.485;14.565,63.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,63.335;19.015,63.485;19.165,63.485;19.165,63.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (4.295,66.735;4.295,66.885;4.445,66.885;4.445,66.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.575,58.575;12.575,58.725;12.725,58.725;12.725,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,58.575;13.495,58.725;13.645,58.725;13.645,58.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,66.395;11.195,66.545;11.345,66.545;11.345,66.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,61.975;10.735,62.125;10.885,62.125;10.885,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,61.975;19.475,62.125;19.625,62.125;19.625,61.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,57.555;15.795,57.705;15.945,57.705;15.945,57.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,50.415;7.515,50.565;7.665,50.565;7.665,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,45.315;17.635,45.465;17.785,45.465;17.785,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,51.095;14.415,51.245;14.565,51.245;14.565,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,43.955;8.895,44.105;9.045,44.105;9.045,43.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,43.955;10.735,44.105;10.885,44.105;10.885,43.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,51.095;16.715,51.245;16.865,51.245;16.865,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,53.135;7.975,53.285;8.125,53.285;8.125,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.875,41.575;14.875,41.725;15.025,41.725;15.025,41.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,53.135;13.955,53.285;14.105,53.285;14.105,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,53.135;19.475,53.285;19.625,53.285;19.625,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.335,41.235;15.335,41.385;15.485,41.385;15.485,41.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,49.395;10.735,49.545;10.885,49.545;10.885,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,49.395;15.795,49.545;15.945,49.545;15.945,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.935,49.395;19.935,49.545;20.085,49.545;20.085,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,50.755;13.495,50.905;13.645,50.905;13.645,50.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.115,50.075;12.115,50.225;12.265,50.225;12.265,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,50.075;14.415,50.225;14.565,50.225;14.565,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,40.215;7.515,40.365;7.665,40.365;7.665,40.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,50.075;16.715,50.225;16.865,50.225;16.865,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,48.035;8.895,48.185;9.045,48.185;9.045,48.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,49.735;13.955,49.885;14.105,49.885;14.105,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.035,44.635;13.035,44.785;13.185,44.785;13.185,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,39.535;19.015,39.685;19.165,39.685;19.165,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,39.535;20.395,39.685;20.545,39.685;20.545,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,44.635;14.415,44.785;14.565,44.785;14.565,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,52.795;13.495,52.945;13.645,52.945;13.645,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,39.195;8.895,39.345;9.045,39.345;9.045,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.335,39.195;15.335,39.345;15.485,39.345;15.485,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.935,39.195;19.935,39.345;20.085,39.345;20.085,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,44.635;16.255,44.785;16.405,44.785;16.405,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,45.655;7.975,45.805;8.125,45.805;8.125,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.875,45.655;14.875,45.805;15.025,45.805;15.025,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.875,49.735;14.875,49.885;15.025,49.885;15.025,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,50.075;18.555,50.225;18.705,50.225;18.705,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,47.015;13.955,47.165;14.105,47.165;14.105,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.435,38.855;8.435,39.005;8.585,39.005;8.585,38.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,38.855;17.635,39.005;17.785,39.005;17.785,38.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,52.455;7.055,52.605;7.205,52.605;7.205,52.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,38.515;14.415,38.665;14.565,38.665;14.565,38.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.335,38.515;15.335,38.665;15.485,38.665;15.485,38.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,38.515;18.555,38.665;18.705,38.665;18.705,38.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,51.095;7.055,51.245;7.205,51.245;7.205,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,42.255;7.515,42.405;7.665,42.405;7.665,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,47.695;7.515,47.845;7.665,47.845;7.665,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,52.115;7.515,52.265;7.665,52.265;7.665,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,52.115;10.735,52.265;10.885,52.265;10.885,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,37.495;17.175,37.645;17.325,37.645;17.325,37.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,37.495;18.555,37.645;18.705,37.645;18.705,37.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,52.115;15.795,52.265;15.945,52.265;15.945,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,47.695;16.255,47.845;16.405,47.845;16.405,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.935,44.295;19.935,44.445;20.085,44.445;20.085,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,47.695;18.555,47.845;18.705,47.845;18.705,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,51.095;36.035,51.245;36.185,51.245;36.185,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,47.015;22.695,47.165;22.845,47.165;22.845,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,47.015;34.195,47.165;34.345,47.165;34.345,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,47.015;37.875,47.165;38.025,47.165;38.025,47.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,44.975;32.355,45.125;32.505,45.125;32.505,44.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,42.255;21.315,42.405;21.465,42.405;21.465,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.375,42.255;26.375,42.405;26.525,42.405;26.525,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,42.255;30.975,42.405;31.125,42.405;31.125,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,42.255;32.815,42.405;32.965,42.405;32.965,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,42.255;35.115,42.405;35.265,42.405;35.265,42.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,44.975;35.115,45.125;35.265,45.125;35.265,44.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,49.395;30.975,49.545;31.125,49.545;31.125,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,48.035;22.695,48.185;22.845,48.185;22.845,48.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.375,48.035;26.375,48.185;26.525,48.185;26.525,48.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,49.395;36.035,49.545;36.185,49.545;36.185,49.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,41.915;34.655,42.065;34.805,42.065;34.805,41.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,51.605;21.445,51.755;21.595,51.755;21.595,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,46.675;30.975,46.825;31.125,46.825;31.125,46.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,46.675;33.275,46.825;33.425,46.825;33.425,46.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,51.605;21.765,51.755;21.915,51.755;21.915,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,44.635;23.155,44.785;23.305,44.785;23.305,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.375,44.635;26.375,44.785;26.525,44.785;26.525,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,44.635;29.595,44.785;29.745,44.785;29.745,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,44.635;32.815,44.785;32.965,44.785;32.965,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,44.635;35.575,44.785;35.725,44.785;35.725,44.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,51.605;22.085,51.755;22.235,51.755;22.235,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.995,53.135;24.995,53.285;25.145,53.285;25.145,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.375,53.135;26.375,53.285;26.525,53.285;26.525,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,53.135;33.735,53.285;33.885,53.285;33.885,53.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,50.075;26.835,50.225;26.985,50.225;26.985,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,40.725;21.445,40.875;21.595,40.875;21.595,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,40.725;21.765,40.875;21.915,40.875;21.915,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,40.725;22.085,40.875;22.235,40.875;22.235,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,40.725;22.405,40.875;22.555,40.875;22.555,40.725)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,50.075;27.755,50.225;27.905,50.225;27.905,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.895,50.075;31.895,50.225;32.045,50.225;32.045,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.915,47.695;25.915,47.845;26.065,47.845;26.065,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,46.165;21.445,46.315;21.595,46.315;21.595,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,46.165;21.765,46.315;21.915,46.315;21.915,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,46.165;22.085,46.315;22.235,46.315;22.235,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.375,40.215;26.375,40.365;26.525,40.365;26.525,40.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,46.165;22.405,46.315;22.555,46.315;22.555,46.165)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,44.295;21.315,44.445;21.465,44.445;21.465,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.915,44.295;25.915,44.445;26.065,44.445;26.065,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,39.875;27.295,40.025;27.445,40.025;27.445,39.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,44.295;34.195,44.445;34.345,44.445;34.345,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,44.295;37.875,44.445;38.025,44.445;38.025,44.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,47.695;33.275,47.845;33.425,47.845;33.425,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,39.535;23.155,39.685;23.305,39.685;23.305,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,39.535;30.515,39.685;30.665,39.685;30.665,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,39.535;36.035,39.685;36.185,39.685;36.185,39.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,47.695;33.735,47.845;33.885,47.845;33.885,47.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,49.735;22.695,49.885;22.845,49.885;22.845,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,52.795;29.595,52.945;29.745,52.945;29.745,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.995,49.735;24.995,49.885;25.145,49.885;25.145,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.915,49.735;25.915,49.885;26.065,49.885;26.065,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,49.735;33.735,49.885;33.885,49.885;33.885,49.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.995,39.195;24.995,39.345;25.145,39.345;25.145,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,39.195;34.195,39.345;34.345,39.345;34.345,39.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,52.795;34.195,52.945;34.345,52.945;34.345,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,52.795;35.575,52.945;35.725,52.945;35.725,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,52.795;36.495,52.945;36.645,52.945;36.645,52.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,43.955;27.295,44.105;27.445,44.105;27.445,43.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,43.955;28.675,44.105;28.825,44.105;28.825,43.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,48.375;32.355,48.525;32.505,48.525;32.505,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,45.655;32.815,45.805;32.965,45.805;32.965,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,45.655;35.575,45.805;35.725,45.805;35.725,45.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,48.375;35.115,48.525;35.265,48.525;35.265,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.415,48.375;37.415,48.525;37.565,48.525;37.565,48.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,50.075;33.275,50.225;33.425,50.225;33.425,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,38.855;27.295,39.005;27.445,39.005;27.445,38.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,47.355;29.595,47.505;29.745,47.505;29.745,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,47.355;36.035,47.505;36.185,47.505;36.185,47.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,42.935;27.755,43.085;27.905,43.085;27.905,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,42.935;32.815,43.085;32.965,43.085;32.965,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,38.515;31.435,38.665;31.585,38.665;31.585,38.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.955,38.515;36.955,38.665;37.105,38.665;37.105,38.515)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,52.455;31.435,52.605;31.585,52.605;31.585,52.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.955,52.455;36.955,52.605;37.105,52.605;37.105,52.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,42.935;37.875,43.085;38.025,43.085;38.025,42.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,50.075;34.195,50.225;34.345,50.225;34.345,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,50.075;35.575,50.225;35.725,50.225;35.725,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,50.075;37.875,50.225;38.025,50.225;38.025,50.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,51.605;22.405,51.755;22.555,51.755;22.555,51.605)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,45.315;28.675,45.465;28.825,45.465;28.825,45.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,50.415;23.155,50.565;23.305,50.565;23.305,50.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,37.495;34.195,37.645;34.345,37.645;34.345,37.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.415,37.495;37.415,37.645;37.565,37.645;37.565,37.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.995,42.595;24.995,42.745;25.145,42.745;25.145,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,52.115;23.155,52.265;23.305,52.265;23.305,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,52.115;26.835,52.265;26.985,52.265;26.985,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,52.115;30.055,52.265;30.205,52.265;30.205,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,52.115;30.975,52.265;31.125,52.265;31.125,52.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,42.595;27.295,42.745;27.445,42.745;27.445,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,42.595;34.195,42.745;34.345,42.745;34.345,42.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.135,51.095;29.135,51.245;29.285,51.245;29.285,51.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,35.285;21.125,35.435;21.275,35.435;21.275,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,29.845;21.125,29.995;21.275,29.995;21.275,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,24.405;21.125,24.555;21.275,24.555;21.275,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,18.965;21.125,19.115;21.275,19.115;21.275,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,13.525;21.125,13.675;21.275,13.675;21.275,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.125,8.085;21.125,8.235;21.275,8.235;21.275,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,36.475;35.115,36.625;35.265,36.625;35.265,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,36.135;28.675,36.285;28.825,36.285;28.825,36.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,35.795;23.155,35.945;23.305,35.945;23.305,35.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.375,35.795;26.375,35.945;26.525,35.945;26.525,35.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,36.815;27.295,36.965;27.445,36.965;27.445,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,35.285;21.445,35.435;21.595,35.435;21.595,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,35.285;21.765,35.435;21.915,35.435;21.915,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,35.285;22.085,35.435;22.235,35.435;22.235,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,35.285;22.405,35.435;22.555,35.435;22.555,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,34.775;28.215,34.925;28.365,34.925;28.365,34.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.955,34.775;36.955,34.925;37.105,34.925;37.105,34.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,34.095;28.215,34.245;28.365,34.245;28.365,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,34.095;32.355,34.245;32.505,34.245;32.505,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,34.095;34.655,34.245;34.805,34.245;34.805,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.375,33.755;26.375,33.905;26.525,33.905;26.525,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,33.755;36.035,33.905;36.185,33.905;36.185,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,33.415;32.815,33.565;32.965,33.565;32.965,33.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,32.055;27.755,32.205;27.905,32.205;27.905,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,32.055;30.515,32.205;30.665,32.205;30.665,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,32.055;32.815,32.205;32.965,32.205;32.965,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,31.715;34.655,31.865;34.805,31.865;34.805,31.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.415,31.715;37.415,31.865;37.565,31.865;37.565,31.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,31.375;28.675,31.525;28.825,31.525;28.825,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,31.375;32.355,31.525;32.505,31.525;32.505,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,31.035;26.835,31.185;26.985,31.185;26.985,31.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,31.035;33.735,31.185;33.885,31.185;33.885,31.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,30.355;23.155,30.505;23.305,30.505;23.305,30.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,36.815;27.755,36.965;27.905,36.965;27.905,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,29.845;21.445,29.995;21.595,29.995;21.595,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,29.845;21.765,29.995;21.915,29.995;21.915,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,29.845;22.085,29.995;22.235,29.995;22.235,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,29.845;22.405,29.995;22.555,29.995;22.555,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,29.335;26.835,29.485;26.985,29.485;26.985,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,29.335;28.675,29.485;28.825,29.485;28.825,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,28.655;23.155,28.805;23.305,28.805;23.305,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,28.655;30.055,28.805;30.205,28.805;30.205,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,28.315;23.615,28.465;23.765,28.465;23.765,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,28.315;29.595,28.465;29.745,28.465;29.745,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,28.315;30.975,28.465;31.125,28.465;31.125,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,27.975;22.235,28.125;22.385,28.125;22.385,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,27.975;30.515,28.125;30.665,28.125;30.665,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,27.635;27.755,27.785;27.905,27.785;27.905,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,26.275;22.235,26.425;22.385,26.425;22.385,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,26.275;23.155,26.425;23.305,26.425;23.305,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,26.275;27.295,26.425;27.445,26.425;27.445,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,26.275;29.595,26.425;29.745,26.425;29.745,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,25.935;26.835,26.085;26.985,26.085;26.985,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.055,25.935;30.055,26.085;30.205,26.085;30.205,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,25.255;35.575,25.405;35.725,25.405;35.725,25.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,24.915;29.595,25.065;29.745,25.065;29.745,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,36.815;28.675,36.965;28.825,36.965;28.825,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,24.405;21.445,24.555;21.595,24.555;21.595,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,24.405;21.765,24.555;21.915,24.555;21.915,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,24.405;22.085,24.555;22.235,24.555;22.235,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,24.405;22.405,24.555;22.555,24.555;22.555,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,23.895;28.215,24.045;28.365,24.045;28.365,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,23.895;33.275,24.045;33.425,24.045;33.425,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,23.555;30.975,23.705;31.125,23.705;31.125,23.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,23.215;28.215,23.365;28.365,23.365;28.365,23.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,23.215;34.195,23.365;34.345,23.365;34.345,23.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,22.875;21.315,23.025;21.465,23.025;21.465,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,22.875;28.675,23.025;28.825,23.025;28.825,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,22.875;29.595,23.025;29.745,23.025;29.745,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,22.875;30.515,23.025;30.665,23.025;30.665,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,22.875;33.735,23.025;33.885,23.025;33.885,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,22.535;36.035,22.685;36.185,22.685;36.185,22.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,22.195;22.695,22.345;22.845,22.345;22.845,22.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,22.195;27.755,22.345;27.905,22.345;27.905,22.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,21.175;23.615,21.325;23.765,21.325;23.765,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,21.175;26.835,21.325;26.985,21.325;26.985,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.755,21.175;27.755,21.325;27.905,21.325;27.905,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,21.175;30.515,21.325;30.665,21.325;30.665,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,21.175;33.275,21.325;33.425,21.325;33.425,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,36.815;31.435,36.965;31.585,36.965;31.585,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,36.815;36.035,36.965;36.185,36.965;36.185,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.515,36.475;30.515,36.625;30.665,36.625;30.665,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.335,36.475;15.335,36.625;15.485,36.625;15.485,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,36.475;17.635,36.625;17.785,36.625;17.785,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,28.315;7.515,28.465;7.665,28.465;7.665,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,28.315;7.975,28.465;8.125,28.465;8.125,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.275,28.315;10.275,28.465;10.425,28.465;10.425,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.855,36.815;20.855,36.965;21.005,36.965;21.005,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,33.075;7.515,33.225;7.665,33.225;7.665,33.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.575,33.075;12.575,33.225;12.725,33.225;12.725,33.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,27.975;14.415,28.125;14.565,28.125;14.565,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.095,27.975;18.095,28.125;18.245,28.125;18.245,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.935,27.975;19.935,28.125;20.085,28.125;20.085,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,33.075;20.395,33.225;20.545,33.225;20.545,33.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.275,32.055;10.275,32.205;10.425,32.205;10.425,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,27.635;11.195,27.785;11.345,27.785;11.345,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,27.635;16.715,27.785;16.865,27.785;16.865,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,27.635;17.175,27.785;17.325,27.785;17.325,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,27.635;20.395,27.785;20.545,27.785;20.545,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.335,32.055;15.335,32.205;15.485,32.205;15.485,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,26.615;13.955,26.765;14.105,26.765;14.105,26.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,26.615;20.395,26.765;20.545,26.765;20.545,26.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.815,26.275;9.815,26.425;9.965,26.425;9.965,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.095,26.275;18.095,26.425;18.245,26.425;18.245,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.435,36.815;8.435,36.965;8.585,36.965;8.585,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,36.135;8.895,36.285;9.045,36.285;9.045,36.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.815,34.775;9.815,34.925;9.965,34.925;9.965,34.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.935,31.715;19.935,31.865;20.085,31.865;20.085,31.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,25.935;7.515,26.085;7.665,26.085;7.665,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,25.935;7.975,26.085;8.125,26.085;8.125,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,25.935;10.735,26.085;10.885,26.085;10.885,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,25.935;11.655,26.085;11.805,26.085;11.805,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,25.935;16.255,26.085;16.405,26.085;16.405,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,25.935;20.395,26.085;20.545,26.085;20.545,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,34.775;17.635,34.925;17.785,34.925;17.785,34.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.935,34.775;19.935,34.925;20.085,34.925;20.085,34.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,25.255;16.255,25.405;16.405,25.405;16.405,25.255)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,31.375;8.895,31.525;9.045,31.525;9.045,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,24.915;8.895,25.065;9.045,25.065;9.045,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,24.915;11.655,25.065;11.805,25.065;11.805,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,24.915;17.175,25.065;17.325,25.065;17.325,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,24.915;19.015,25.065;19.165,25.065;19.165,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.815,31.375;9.815,31.525;9.965,31.525;9.965,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.575,31.375;12.575,31.525;12.725,31.525;12.725,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,31.375;13.495,31.525;13.645,31.525;13.645,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.855,34.775;20.855,34.925;21.005,34.925;21.005,34.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,36.815;8.895,36.965;9.045,36.965;9.045,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,31.035;20.395,31.185;20.545,31.185;20.545,31.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,23.895;7.055,24.045;7.205,24.045;7.205,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,23.895;13.955,24.045;14.105,24.045;14.105,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,23.895;16.255,24.045;16.405,24.045;16.405,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,35.795;7.515,35.945;7.665,35.945;7.665,35.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,34.095;13.955,34.245;14.105,34.245;14.105,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,30.695;11.195,30.845;11.345,30.845;11.345,30.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,23.215;11.655,23.365;11.805,23.365;11.805,23.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,23.215;17.175,23.365;17.325,23.365;17.325,23.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,30.695;15.795,30.845;15.945,30.845;15.945,30.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,30.355;7.055,30.505;7.205,30.505;7.205,30.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,22.875;7.975,23.025;8.125,23.025;8.125,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.435,22.875;8.435,23.025;8.585,23.025;8.585,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,22.875;10.735,23.025;10.885,23.025;10.885,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.115,22.875;12.115,23.025;12.265,23.025;12.265,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,22.875;16.715,23.025;16.865,23.025;16.865,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,22.875;19.015,23.025;19.165,23.025;19.165,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,30.355;11.655,30.505;11.805,30.505;11.805,30.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.935,34.095;19.935,34.245;20.085,34.245;20.085,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.815,35.795;9.815,35.945;9.965,35.945;9.965,35.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.035,36.475;13.035,36.625;13.185,36.625;13.185,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,36.475;13.955,36.625;14.105,36.625;14.105,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,22.535;13.495,22.685;13.645,22.685;13.645,22.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,22.535;13.955,22.685;14.105,22.685;14.105,22.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,33.755;14.415,33.905;14.565,33.905;14.565,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,22.195;11.655,22.345;11.805,22.345;11.805,22.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,22.195;16.255,22.345;16.405,22.345;16.405,22.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,22.195;17.635,22.345;17.785,22.345;17.785,22.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,33.755;16.715,33.905;16.865,33.905;16.865,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,29.335;7.975,29.485;8.125,29.485;8.125,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.115,21.175;12.115,21.325;12.265,21.325;12.265,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,29.335;13.495,29.485;13.645,29.485;13.645,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,33.755;19.015,33.905;19.165,33.905;19.165,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.855,33.755;20.855,33.905;21.005,33.905;21.005,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,28.995;11.655,29.145;11.805,29.145;11.805,28.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,28.995;20.395,29.145;20.545,29.145;20.545,28.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,28.655;7.055,28.805;7.205,28.805;7.205,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,28.655;13.955,28.805;14.105,28.805;14.105,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,28.655;15.795,28.805;15.945,28.805;15.945,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.275,20.495;10.275,20.645;10.425,20.645;10.425,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,20.495;11.195,20.645;11.345,20.645;11.345,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,20.495;11.655,20.645;11.805,20.645;11.805,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,20.495;13.495,20.645;13.645,20.645;13.645,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,20.495;14.415,20.645;14.565,20.645;14.565,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,20.495;17.635,20.645;17.785,20.645;17.785,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,20.155;8.895,20.305;9.045,20.305;9.045,20.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,19.815;17.175,19.965;17.325,19.965;17.325,19.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.195,19.475;11.195,19.625;11.345,19.625;11.345,19.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,19.475;15.795,19.625;15.945,19.625;15.945,19.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,20.835;13.955,20.985;14.105,20.985;14.105,20.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.435,18.455;8.435,18.605;8.585,18.605;8.585,18.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,18.115;10.735,18.265;10.885,18.265;10.885,18.115)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.275,17.775;10.275,17.925;10.425,17.925;10.425,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.955,17.775;13.955,17.925;14.105,17.925;14.105,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,17.775;17.635,17.925;17.785,17.925;17.785,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,17.775;20.395,17.925;20.545,17.925;20.545,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,17.435;7.515,17.585;7.665,17.585;7.665,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,17.435;7.975,17.585;8.125,17.585;8.125,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,17.435;11.655,17.585;11.805,17.585;11.805,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,17.435;16.715,17.585;16.865,17.585;16.865,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,17.095;13.495,17.245;13.645,17.245;13.645,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.355,16.755;9.355,16.905;9.505,16.905;9.505,16.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,16.755;16.255,16.905;16.405,16.905;16.405,16.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,15.735;7.515,15.885;7.665,15.885;7.665,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,15.735;11.655,15.885;11.805,15.885;11.805,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,15.395;16.715,15.545;16.865,15.545;16.865,15.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,15.055;14.415,15.205;14.565,15.205;14.565,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,15.055;16.255,15.205;16.405,15.205;16.405,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.515,14.715;7.515,14.865;7.665,14.865;7.665,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,14.715;10.735,14.865;10.885,14.865;10.885,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.495,14.715;13.495,14.865;13.645,14.865;13.645,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,14.375;11.655,14.525;11.805,14.525;11.805,14.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,20.835;18.555,20.985;18.705,20.985;18.705,20.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,13.015;14.415,13.165;14.565,13.165;14.565,13.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,12.675;17.175,12.825;17.325,12.825;17.325,12.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,12.675;19.015,12.825;19.165,12.825;19.165,12.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.895,12.335;8.895,12.485;9.045,12.485;9.045,12.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.095,12.335;18.095,12.485;18.245,12.485;18.245,12.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.435,11.995;8.435,12.145;8.585,12.145;8.585,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.735,11.995;10.735,12.145;10.885,12.145;10.885,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.655,11.995;11.655,12.145;11.805,12.145;11.805,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,11.995;14.415,12.145;14.565,12.145;14.565,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.355,11.655;9.355,11.805;9.505,11.805;9.505,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,11.655;18.555,11.805;18.705,11.805;18.705,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,11.315;15.795,11.465;15.945,11.465;15.945,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,11.315;16.715,11.465;16.865,11.465;16.865,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.975,10.295;7.975,10.445;8.125,10.445;8.125,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.415,10.295;14.415,10.445;14.565,10.445;14.565,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,10.295;17.175,10.445;17.325,10.445;17.325,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.355,9.955;9.355,10.105;9.505,10.105;9.505,9.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.795,9.955;15.795,10.105;15.945,10.105;15.945,9.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,9.615;17.635,9.765;17.785,9.765;17.785,9.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.015,9.615;19.015,9.765;19.165,9.765;19.165,9.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.395,9.275;20.395,9.425;20.545,9.425;20.545,9.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.355,20.495;9.355,20.645;9.505,20.645;9.505,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.435,7.575;8.435,7.725;8.585,7.725;8.585,7.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.175,7.235;17.175,7.385;17.325,7.385;17.325,7.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.055,6.895;7.055,7.045;7.205,7.045;7.205,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,6.895;18.555,7.045;18.705,7.045;18.705,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.635,6.555;17.635,6.705;17.785,6.705;17.785,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,6.555;19.475,6.705;19.625,6.705;19.625,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.255,6.215;16.255,6.365;16.405,6.365;16.405,6.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.715,6.215;16.715,6.365;16.865,6.365;16.865,6.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.555,5.875;18.555,6.025;18.705,6.025;18.705,5.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.475,4.855;19.475,5.005;19.625,5.005;19.625,4.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,14.715;23.155,14.865;23.305,14.865;23.305,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,14.715;31.435,14.865;31.585,14.865;31.585,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,14.715;34.655,14.865;34.805,14.865;34.805,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,17.775;32.355,17.925;32.505,17.925;32.505,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,14.375;32.815,14.525;32.965,14.525;32.965,14.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.975,14.035;30.975,14.185;31.125,14.185;31.125,14.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,17.775;34.195,17.925;34.345,17.925;34.345,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,13.525;21.445,13.675;21.595,13.675;21.595,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,13.525;21.765,13.675;21.915,13.675;21.915,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,13.525;22.085,13.675;22.235,13.675;22.235,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,13.525;22.405,13.675;22.555,13.675;22.555,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.195,19.815;34.195,19.965;34.345,19.965;34.345,19.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,13.015;23.615,13.165;23.765,13.165;23.765,13.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,20.495;22.695,20.645;22.845,20.645;22.845,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,20.495;27.295,20.645;27.445,20.645;27.445,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.275,20.495;33.275,20.645;33.425,20.645;33.425,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.775,17.435;21.775,17.585;21.925,17.585;21.925,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,12.335;23.155,12.485;23.305,12.485;23.305,12.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,17.435;22.235,17.585;22.385,17.585;22.385,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,17.435;23.615,17.585;23.765,17.585;23.765,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,17.435;26.835,17.585;26.985,17.585;26.985,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,17.435;28.675,17.585;28.825,17.585;28.825,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,11.995;26.835,12.145;26.985,12.145;26.985,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,11.995;27.295,12.145;27.445,12.145;27.445,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,11.995;37.875,12.145;38.025,12.145;38.025,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,18.965;21.445,19.115;21.595,19.115;21.595,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,17.095;27.295,17.245;27.445,17.245;27.445,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,11.655;29.595,11.805;29.745,11.805;29.745,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.895,11.655;31.895,11.805;32.045,11.805;32.045,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,11.655;36.035,11.805;36.185,11.805;36.185,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,18.965;21.765,19.115;21.915,19.115;21.915,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,18.965;22.085,19.115;22.235,19.115;22.235,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,11.315;28.215,11.465;28.365,11.465;28.365,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.435,11.315;31.435,11.465;31.585,11.465;31.585,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,11.315;36.495,11.465;36.645,11.465;36.645,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,16.755;34.655,16.905;34.805,16.905;34.805,16.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,18.965;22.405,19.115;22.555,19.115;22.555,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.675,20.835;28.675,20.985;28.825,20.985;28.825,20.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,10.295;26.835,10.445;26.985,10.445;26.985,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.895,10.295;31.895,10.445;32.045,10.445;32.045,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.355,10.295;32.355,10.445;32.505,10.445;32.505,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,15.735;23.615,15.885;23.765,15.885;23.765,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,15.735;27.295,15.885;27.445,15.885;27.445,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,9.955;23.155,10.105;23.305,10.105;23.305,9.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.655,15.735;34.655,15.885;34.805,15.885;34.805,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,18.455;33.735,18.605;33.885,18.605;33.885,18.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,9.615;33.735,9.765;33.885,9.765;33.885,9.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.535,20.155;24.535,20.305;24.685,20.305;24.685,20.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,8.595;32.815,8.745;32.965,8.745;32.965,8.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,8.595;35.115,8.745;35.265,8.745;35.265,8.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.035,20.155;36.035,20.305;36.185,20.305;36.185,20.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.445,8.085;21.445,8.235;21.595,8.235;21.595,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.765,8.085;21.765,8.235;21.915,8.235;21.915,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.085,8.085;22.085,8.235;22.235,8.235;22.235,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.405,8.085;22.405,8.235;22.555,8.235;22.555,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,15.055;22.235,15.205;22.385,15.205;22.385,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,7.575;22.235,7.725;22.385,7.725;22.385,7.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,7.575;33.735,7.725;33.885,7.725;33.885,7.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.615,15.055;23.615,15.205;23.765,15.205;23.765,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,7.235;21.315,7.385;21.465,7.385;21.465,7.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,15.055;26.835,15.205;26.985,15.205;26.985,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,15.055;27.295,15.205;27.445,15.205;27.445,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.535,6.895;24.535,7.045;24.685,7.045;24.685,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.595,6.895;29.595,7.045;29.745,7.045;29.745,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.735,6.895;33.735,7.045;33.885,7.045;33.885,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.495,6.895;36.495,7.045;36.645,7.045;36.645,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.875,6.895;37.875,7.045;38.025,7.045;38.025,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.815,15.055;32.815,15.205;32.965,15.205;32.965,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,15.055;35.575,15.205;35.725,15.205;35.725,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,6.555;21.315,6.705;21.465,6.705;21.465,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.235,6.555;22.235,6.705;22.385,6.705;22.385,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.155,6.555;23.155,6.705;23.305,6.705;23.305,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.835,6.555;26.835,6.705;26.985,6.705;26.985,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.215,6.555;28.215,6.705;28.365,6.705;28.365,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.115,6.555;35.115,6.705;35.265,6.705;35.265,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.315,20.495;21.315,20.645;21.465,20.645;21.465,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.075,19.815;24.075,19.965;24.225,19.965;24.225,19.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.695,6.215;22.695,6.365;22.845,6.365;22.845,6.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.575,6.215;35.575,6.365;35.725,6.365;35.725,6.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.995,19.815;24.995,19.965;25.145,19.965;25.145,19.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.295,5.875;27.295,6.025;27.445,6.025;27.445,5.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.895,5.875;31.895,6.025;32.045,6.025;32.045,5.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.775,14.715;21.775,14.865;21.925,14.865;21.925,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.895,4.855;31.895,5.005;32.045,5.005;32.045,4.855)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,31.715;59.495,31.865;59.645,31.865;59.645,31.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,31.715;66.395,31.865;66.545,31.865;66.545,31.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.195,27.635;57.195,27.785;57.345,27.785;57.345,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.875,27.635;60.875,27.785;61.025,27.785;61.025,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,27.635;65.935,27.785;66.085,27.785;66.085,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,34.095;58.115,34.245;58.265,34.245;58.265,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.035,26.615;59.035,26.765;59.185,26.765;59.185,26.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,35.285;61.445,35.435;61.595,35.435;61.595,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,35.285;61.765,35.435;61.915,35.435;61.915,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,35.285;62.085,35.435;62.235,35.435;62.235,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,26.275;55.815,26.425;55.965,26.425;55.965,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,26.275;60.415,26.425;60.565,26.425;60.565,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,26.275;65.935,26.425;66.085,26.425;66.085,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,31.375;60.415,31.525;60.565,31.525;60.565,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.795,31.375;61.795,31.525;61.945,31.525;61.945,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,35.285;62.405,35.435;62.555,35.435;62.555,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,25.935;58.115,26.085;58.265,26.085;58.265,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.175,25.935;63.175,26.085;63.325,26.085;63.325,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.775,25.935;67.775,26.085;67.925,26.085;67.925,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,31.035;55.815,31.185;55.965,31.185;55.965,31.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,31.035;66.395,31.185;66.545,31.185;66.545,31.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,30.695;58.115,30.845;58.265,30.845;58.265,30.695)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.635,24.915;63.635,25.065;63.785,25.065;63.785,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,30.355;60.415,30.505;60.565,30.505;60.565,30.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.575,33.755;58.575,33.905;58.725,33.905;58.725,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.795,33.755;61.795,33.905;61.945,33.905;61.945,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,24.405;61.125,24.555;61.275,24.555;61.275,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,24.405;61.445,24.555;61.595,24.555;61.595,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,24.405;61.765,24.555;61.915,24.555;61.915,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,24.405;62.085,24.555;62.235,24.555;62.235,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,24.405;62.405,24.555;62.555,24.555;62.555,24.405)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.315,33.755;67.315,33.905;67.465,33.905;67.465,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,29.845;61.125,29.995;61.275,29.995;61.275,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,29.845;61.445,29.995;61.595,29.995;61.595,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,29.845;61.765,29.995;61.915,29.995;61.915,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,23.555;65.935,23.705;66.085,23.705;66.085,23.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,29.845;62.085,29.995;62.235,29.995;62.235,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,29.845;62.405,29.995;62.555,29.995;62.555,29.845)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,33.415;59.495,33.565;59.645,33.565;59.645,33.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,29.335;66.395,29.485;66.545,29.485;66.545,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.715,22.875;62.715,23.025;62.865,23.025;62.865,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.775,22.875;67.775,23.025;67.925,23.025;67.925,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,22.535;59.495,22.685;59.645,22.685;59.645,22.535)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,34.435;66.395,34.585;66.545,34.585;66.545,34.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,36.475;60.415,36.625;60.565,36.625;60.565,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,36.815;55.355,36.965;55.505,36.965;55.505,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,21.175;55.815,21.325;55.965,21.325;55.965,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,21.175;58.115,21.325;58.265,21.325;58.265,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,28.315;59.955,28.465;60.105,28.465;60.105,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,32.055;60.415,32.205;60.565,32.205;60.565,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.335,36.815;61.335,36.965;61.485,36.965;61.485,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.115,27.975;58.115,28.125;58.265,28.125;58.265,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,27.975;59.495,28.125;59.645,28.125;59.645,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,35.285;61.125,35.435;61.275,35.435;61.275,35.285)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,24.915;52.135,25.065;52.285,25.065;52.285,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.715,31.375;39.715,31.525;39.865,31.525;39.865,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,33.755;50.295,33.905;50.445,33.905;50.445,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,30.355;48.915,30.505;49.065,30.505;49.065,30.355)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,31.375;43.395,31.525;43.545,31.525;43.545,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,31.375;53.515,31.525;53.665,31.525;53.665,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,26.275;50.295,26.425;50.445,26.425;50.445,26.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,27.125;41.125,27.275;41.275,27.275;41.275,27.125)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,27.125;41.445,27.275;41.595,27.275;41.595,27.125)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,27.125;41.765,27.275;41.915,27.275;41.915,27.125)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.975,31.375;53.975,31.525;54.125,31.525;54.125,31.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,27.125;42.085,27.275;42.235,27.275;42.235,27.125)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,27.125;42.405,27.275;42.555,27.275;42.555,27.125)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,36.475;42.015,36.625;42.165,36.625;42.165,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,33.415;38.795,33.565;38.945,33.565;38.945,33.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,34.095;42.935,34.245;43.085,34.245;43.085,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,36.815;44.775,36.965;44.925,36.965;44.925,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,23.895;42.935,24.045;43.085,24.045;43.085,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.075,23.895;47.075,24.045;47.225,24.045;47.225,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,23.895;51.215,24.045;51.365,24.045;51.365,23.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,36.815;51.215,36.965;51.365,36.965;51.365,36.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,23.555;48.455,23.705;48.605,23.705;48.605,23.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,31.035;38.795,31.185;38.945,31.185;38.945,31.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.595,31.035;52.595,31.185;52.745,31.185;52.745,31.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,25.935;40.635,26.085;40.785,26.085;40.785,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,33.415;43.395,33.565;43.545,33.565;43.545,33.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,33.415;43.855,33.565;44.005,33.565;44.005,33.415)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,23.215;38.335,23.365;38.485,23.365;38.485,23.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,23.215;38.795,23.365;38.945,23.365;38.945,23.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,23.215;50.295,23.365;50.445,23.365;50.445,23.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,25.935;45.235,26.085;45.385,26.085;45.385,25.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,36.475;44.315,36.625;44.465,36.625;44.465,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,29.335;40.635,29.485;40.785,29.485;40.785,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.675,29.335;51.675,29.485;51.825,29.485;51.825,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.515,29.335;53.515,29.485;53.665,29.485;53.665,29.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,27.635;48.455,27.785;48.605,27.785;48.605,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,35.795;40.175,35.945;40.325,35.945;40.325,35.795)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.595,36.475;52.595,36.625;52.745,36.625;52.745,36.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.855,28.995;43.855,29.145;44.005,29.145;44.005,28.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,33.075;46.615,33.225;46.765,33.225;46.765,33.075)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,32.565;41.125,32.715;41.275,32.715;41.275,32.565)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,22.875;45.695,23.025;45.845,23.025;45.845,22.875)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,26.615;42.935,26.765;43.085,26.765;43.085,26.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.755,27.635;50.755,27.785;50.905,27.785;50.905,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,32.565;41.445,32.715;41.595,32.715;41.595,32.565)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,32.565;41.765,32.715;41.915,32.715;41.915,32.565)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,32.565;42.085,32.715;42.235,32.715;42.235,32.565)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,25.595;38.795,25.745;38.945,25.745;38.945,25.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.795,28.655;38.795,28.805;38.945,28.805;38.945,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,28.655;50.295,28.805;50.445,28.805;50.445,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,28.655;53.055,28.805;53.205,28.805;53.205,28.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,32.565;42.405,32.715;42.555,32.715;42.555,32.565)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,34.435;39.255,34.585;39.405,34.585;39.405,34.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,22.195;48.455,22.345;48.605,22.345;48.605,22.195)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,21.685;41.125,21.835;41.275,21.835;41.275,21.685)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,21.685;41.445,21.835;41.595,21.835;41.595,21.685)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,21.685;41.765,21.835;41.915,21.835;41.915,21.685)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,21.685;42.085,21.835;42.235,21.835;42.235,21.685)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,21.685;42.405,21.835;42.555,21.835;42.555,21.685)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,34.435;48.455,34.585;48.605,34.585;48.605,34.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,25.595;43.395,25.745;43.545,25.745;43.545,25.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,25.595;48.915,25.745;49.065,25.745;49.065,25.595)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,31.715;48.455,31.865;48.605,31.865;48.605,31.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,28.315;42.935,28.465;43.085,28.465;43.085,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.615,28.315;46.615,28.465;46.765,28.465;46.765,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,21.175;42.935,21.325;43.085,21.325;43.085,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,21.175;48.455,21.325;48.605,21.325;48.605,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,21.175;49.375,21.325;49.525,21.325;49.525,21.175)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,34.095;38.335,34.245;38.485,34.245;38.485,34.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,33.755;40.175,33.905;40.325,33.905;40.325,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,28.315;48.455,28.465;48.605,28.465;48.605,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,28.315;49.375,28.465;49.525,28.465;49.525,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.215,28.315;51.215,28.465;51.365,28.465;51.365,28.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.555,33.755;41.555,33.905;41.705,33.905;41.705,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.135,32.055;52.135,32.205;52.285,32.205;52.285,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.435,32.055;54.435,32.205;54.585,32.205;54.585,32.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,27.635;42.935,27.785;43.085,27.785;43.085,27.635)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.015,33.755;42.015,33.905;42.165,33.905;42.165,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.555,36.135;41.555,36.285;41.705,36.285;41.705,36.135)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.075,27.975;47.075,28.125;47.225,28.125;47.225,27.975)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,33.755;44.315,33.905;44.465,33.905;44.465,33.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.335,24.915;38.335,25.065;38.485,25.065;38.485,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,24.915;49.375,25.065;49.525,25.065;49.525,24.915)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,11.315;40.635,11.465;40.785,11.465;40.785,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,11.315;43.395,11.465;43.545,11.465;43.545,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,11.315;47.535,11.465;47.685,11.465;47.685,11.315)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,10.805;41.125,10.955;41.275,10.955;41.275,10.805)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,10.805;41.445,10.955;41.595,10.955;41.595,10.805)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,10.805;41.765,10.955;41.915,10.955;41.915,10.805)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,10.805;42.085,10.955;42.235,10.955;42.235,10.805)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,10.805;42.405,10.955;42.555,10.955;42.555,10.805)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.295,14.715;50.295,14.865;50.445,14.865;50.445,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,16.245;41.125,16.395;41.275,16.395;41.275,16.245)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,16.245;41.445,16.395;41.595,16.395;41.595,16.245)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,14.375;39.255,14.525;39.405,14.525;39.405,14.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,16.245;41.765,16.395;41.915,16.395;41.915,16.245)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.175,14.035;40.175,14.185;40.325,14.185;40.325,14.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,10.295;43.395,10.445;43.545,10.445;43.545,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,10.295;48.455,10.445;48.605,10.445;48.605,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,14.035;53.055,14.185;53.205,14.185;53.205,14.035)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,16.245;42.085,16.395;42.235,16.395;42.235,16.245)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,16.245;42.405,16.395;42.555,16.395;42.555,16.245)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.715,9.955;39.715,10.105;39.865,10.105;39.865,9.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,9.955;40.635,10.105;40.785,10.105;40.785,9.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.675,17.775;51.675,17.925;51.825,17.925;51.825,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.235,19.475;45.235,19.625;45.385,19.625;45.385,19.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,18.455;47.995,18.605;48.145,18.605;48.145,18.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.755,9.615;50.755,9.765;50.905,9.765;50.905,9.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,18.455;48.455,18.605;48.605,18.605;48.605,18.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,9.275;42.935,9.425;43.085,9.425;43.085,9.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,9.275;44.775,9.425;44.925,9.425;44.925,9.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,9.275;47.535,9.425;47.685,9.425;47.685,9.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.975,18.455;53.975,18.605;54.125,18.605;54.125,18.455)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,13.015;44.775,13.165;44.925,13.165;44.925,13.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.635,15.735;40.635,15.885;40.785,15.885;40.785,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,15.735;53.055,15.885;53.205,15.885;53.205,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,12.675;49.375,12.825;49.525,12.825;49.525,12.675)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.675,19.475;51.675,19.625;51.825,19.625;51.825,19.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,15.395;42.935,15.545;43.085,15.545;43.085,15.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,15.395;49.375,15.545;49.525,15.545;49.525,15.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,12.335;42.935,12.485;43.085,12.485;43.085,12.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,12.335;47.995,12.485;48.145,12.485;48.145,12.335)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.395,7.575;43.395,7.725;43.545,7.725;43.545,7.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,20.495;47.995,20.645;48.145,20.645;48.145,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.675,20.495;51.675,20.645;51.825,20.645;51.825,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,7.235;44.775,7.385;44.925,7.385;44.925,7.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.155,19.815;46.155,19.965;46.305,19.965;46.305,19.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,19.815;49.835,19.965;49.985,19.965;49.985,19.815)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.155,17.435;46.155,17.585;46.305,17.585;46.305,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,17.435;47.535,17.585;47.685,17.585;47.685,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.975,20.495;53.975,20.645;54.125,20.645;54.125,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.255,11.995;39.255,12.145;39.405,12.145;39.405,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,11.995;48.915,12.145;49.065,12.145;49.065,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.715,6.895;39.715,7.045;39.865,7.045;39.865,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.935,6.895;42.935,7.045;43.085,7.045;43.085,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.835,11.995;49.835,12.145;49.985,12.145;49.985,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,20.495;42.475,20.645;42.625,20.645;42.625,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.095,17.095;41.095,17.245;41.245,17.245;41.245,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.375,17.095;49.375,17.245;49.525,17.245;49.525,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.075,20.835;47.075,20.985;47.225,20.985;47.225,20.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,17.095;53.055,17.245;53.205,17.245;53.205,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,6.555;45.695,6.705;45.845,6.705;45.845,6.555)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.475,17.775;42.475,17.925;42.625,17.925;42.625,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,11.655;45.695,11.805;45.845,11.805;45.845,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.455,11.655;48.455,11.805;48.605,11.805;48.605,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.055,11.655;53.055,11.805;53.205,11.805;53.205,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.535,6.215;47.535,6.365;47.685,6.365;47.685,6.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.315,17.775;44.315,17.925;44.465,17.925;44.465,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.695,17.775;45.695,17.925;45.845,17.925;45.845,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.995,16.755;47.995,16.905;48.145,16.905;48.145,16.755)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.125,5.365;41.125,5.515;41.275,5.515;41.275,5.365)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.445,5.365;41.445,5.515;41.595,5.515;41.595,5.365)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.765,5.365;41.765,5.515;41.915,5.515;41.915,5.365)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.085,5.365;42.085,5.515;42.235,5.515;42.235,5.365)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.405,5.365;42.405,5.515;42.555,5.515;42.555,5.365)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.775,14.715;44.775,14.865;44.925,14.865;44.925,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.915,14.715;48.915,14.865;49.065,14.865;49.065,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,14.715;66.395,14.865;66.545,14.865;66.545,14.715)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,8.085;61.125,8.235;61.275,8.235;61.275,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,8.085;61.445,8.235;61.595,8.235;61.595,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,8.085;61.765,8.235;61.915,8.235;61.915,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,8.085;62.085,8.235;62.235,8.235;62.235,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,8.085;62.405,8.235;62.555,8.235;62.555,8.085)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,15.395;60.415,15.545;60.565,15.545;60.565,15.395)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,18.965;61.125,19.115;61.275,19.115;61.275,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,17.775;59.955,17.925;60.105,17.925;60.105,17.775)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.175,14.375;63.175,14.525;63.325,14.525;63.325,14.375)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,7.575;66.395,7.725;66.545,7.725;66.545,7.575)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,17.435;60.415,17.585;60.565,17.585;60.565,17.435)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,18.965;61.445,19.115;61.595,19.115;61.595,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,18.965;61.765,19.115;61.915,19.115;61.915,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.815,7.235;55.815,7.385;55.965,7.385;55.965,7.235)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,18.965;62.085,19.115;62.235,19.115;62.235,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,18.965;62.405,19.115;62.555,19.115;62.555,18.965)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.855,20.495;66.855,20.645;67.005,20.645;67.005,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,15.055;65.935,15.205;66.085,15.205;66.085,15.055)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,11.995;65.935,12.145;66.085,12.145;66.085,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.935,10.295;65.935,10.445;66.085,10.445;66.085,10.295)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,11.995;66.395,12.145;66.545,12.145;66.545,11.995)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.575,17.095;58.575,17.245;58.725,17.245;58.725,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.125,13.525;61.125,13.675;61.275,13.675;61.275,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.655,6.895;57.655,7.045;57.805,7.045;57.805,6.895)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.445,13.525;61.445,13.675;61.595,13.675;61.595,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.765,13.525;61.765,13.675;61.915,13.675;61.915,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,9.955;60.415,10.105;60.565,10.105;60.565,9.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.715,9.955;62.715,10.105;62.865,10.105;62.865,9.955)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.085,13.525;62.085,13.675;62.235,13.675;62.235,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,20.835;59.955,20.985;60.105,20.985;60.105,20.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.715,20.835;62.715,20.985;62.865,20.985;62.865,20.835)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.405,13.525;62.405,13.675;62.555,13.675;62.555,13.525)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,17.095;59.495,17.245;59.645,17.245;59.645,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.095,17.095;64.095,17.245;64.245,17.245;64.245,17.095)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,9.615;56.735,9.765;56.885,9.765;56.885,9.615)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.495,11.655;59.495,11.805;59.645,11.805;59.645,11.655)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.575,20.155;58.575,20.305;58.725,20.305;58.725,20.155)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,13.015;55.355,13.165;55.505,13.165;55.505,13.015)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,6.215;55.355,6.365;55.505,6.365;55.505,6.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.095,6.215;64.095,6.365;64.245,6.365;64.245,6.215)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,15.735;55.355,15.885;55.505,15.885;55.505,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.655,9.275;57.655,9.425;57.805,9.425;57.805,9.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.395,9.275;66.395,9.425;66.545,9.425;66.545,9.275)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.355,8.935;55.355,9.085;55.505,9.085;55.505,8.935)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.735,15.735;56.735,15.885;56.885,15.885;56.885,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.955,15.735;59.955,15.885;60.105,15.885;60.105,15.735)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.415,20.495;60.415,20.645;60.565,20.645;60.565,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.635,20.495;63.635,20.645;63.785,20.645;63.785,20.495)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.855,19.475;66.855,19.625;67.005,19.625;67.005,19.475)
+
+
+ -
+
+ '68/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.655,11.315;57.655,11.465;57.805,11.465;57.805,11.315)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.87,71;19.87,75;20.15,75;20.15,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.85,71;25.85,75;26.13,75;26.13,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.37,71;31.37,75;31.65,75;31.65,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.35,71;37.35,75;37.63,75;37.63,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.87,71;42.87,75;43.15,75;43.15,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.85,71;48.85,75;49.13,75;49.13,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.37,71;54.37,75;54.65,75;54.65,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.35,71;60.35,75;60.63,75;60.63,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.87,71;65.87,75;66.15,75;66.15,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71.85,71;71.85,75;72.13,75;72.13,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (2.85,71;2.85,75;3.13,75;3.13,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.37,71;8.37,75;8.65,75;8.65,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.35,71;14.35,75;14.63,75;14.63,71)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.75,0;55.75,4;56.03,4;56.03,0)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.49,0;18.49,4;18.77,4;18.77,0)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[10]',r90 20.01,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[11]',r90 25.99,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[12]',r90 31.51,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[13]',r90 37.49,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[14]',r90 43.01,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[15]',r90 48.99,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[16]',r90 54.51,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[17]',r90 60.49,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[18]',r90 66.01,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[19]',r90 71.99,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[7]',r90 2.99,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[8]',r90 8.51,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[9]',r90 14.49,73)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('osc',r90 55.89,2)
+
+
+ -
+
+ '69/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('resetb',r90 18.63,2)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.44,37.05;8.44,38.77;8.58,38.77;8.58,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,33.99;14.42,38.43;14.56,38.43;14.56,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.88,6.645;14.88,41.49;15.02,41.49;15.02,6.645)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.34,37.39;15.34,38.43;15.48,38.43;15.48,37.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,33.99;16.72,48.55;16.86,48.55;16.86,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,37.41;17.12,37.67;17.38,37.67;17.38,37.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,36.71;17.64,37.67;17.78,37.67;17.78,36.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,37.41;18.5,37.73;18.76,37.73;18.76,37.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.02,37.245;19.02,39.45;19.16,39.45;19.16,37.245)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.35,71;37.35,75;37.63,75;37.63,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,35.01;19.94,39.11;20.08,39.11;20.08,35.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,37.05;27.3,38.77;27.44,38.77;27.44,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.68,37.05;28.68,43.87;28.82,43.87;28.82,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.42,48.805;37.42,71;37.56,71;37.56,48.805)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.35,48.435;37.35,48.805;37.63,48.805;37.63,48.435)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.36,48.29;37.36,48.435;37.62,48.435;37.62,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.42,48.135;37.42,48.29;37.56,48.29;37.56,48.135)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.44,37.05;31.44,38.43;31.58,38.43;31.58,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,37.41;34.14,37.73;34.4,37.73;34.4,37.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,37.39;34.66,41.83;34.8,41.83;34.8,37.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,36.71;35.12,42.17;35.26,42.17;35.26,36.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.04,37.05;36.04,39.45;36.18,39.45;36.18,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.96,35.01;36.96,38.43;37.1,38.43;37.1,35.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.36,37.41;37.36,37.73;37.62,37.73;37.62,37.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.42,31.95;37.42,37.41;37.56,37.41;37.56,31.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,37.39;37.88,42.85;38.02,42.85;38.02,37.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,34.41;38.8,39.45;38.94,39.45;38.94,34.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,34.67;39.26,40.13;39.4,40.13;39.4,34.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.36,31.63;37.36,31.95;37.62,31.95;37.62,31.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.72,31.61;39.72,46.59;39.86,46.59;39.86,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.18,36.03;40.18,39.45;40.32,39.45;40.32,36.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,37.41;40.58,37.73;40.84,37.73;40.84,37.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.78,37.05;44.78,41.15;44.92,41.15;44.92,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,26.17;45.24,39.11;45.38,39.11;45.38,26.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.7,23.11;45.7,43.87;45.84,43.87;45.84,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.76,27.87;50.76,38.43;50.9,38.43;50.9,27.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.22,37.05;51.22,39.03;51.36,39.03;51.36,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,32.29;52.14,42.17;52.28,42.17;52.28,32.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,28.89;53.06,41.83;53.2,41.83;53.2,28.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,37.41;53.46,37.73;53.72,37.73;53.72,37.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.98,31.61;53.98,39.71;54.12,39.71;54.12,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.44,32.29;54.44,39.11;54.58,39.11;54.58,32.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.36,37.05;55.36,39.11;55.5,39.11;55.5,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,31.27;55.82,42.85;55.96,42.85;55.96,31.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,6.645;56.28,41.83;56.42,41.83;56.42,6.645)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.2,27.87;57.2,43.79;57.34,43.79;57.34,27.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.66,33.73;57.66,55.915;57.8,55.915;57.8,33.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,34.33;58.12,42.51;58.26,42.51;58.26,34.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.04,26.85;59.04,49.65;59.18,49.65;59.18,26.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,36.62;59.96,38.77;60.1,38.77;60.1,36.62)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,37.39;60.42,41.49;60.56,41.49;60.56,37.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,37.41;61.28,37.73;61.54,37.73;61.54,37.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,34.67;66.4,39.11;66.54,39.11;66.54,34.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.32,33.99;67.32,46.93;67.46,46.93;67.46,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.78,31.125;67.78,40.13;67.92,40.13;67.92,31.125)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,53.37;38.34,56.595;38.48,56.595;38.48,53.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,56.11;38.74,56.43;39,56.43;39,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,56.11;39.2,56.43;39.46,56.43;39.46,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,47.93;40.64,57.275;40.78,57.275;40.78,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.56,56.09;41.56,57.9;41.7,57.9;41.7,56.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,56.11;42.88,56.43;43.14,56.43;43.14,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.86,55.605;43.86,58.07;44,58.07;44,55.605)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.78,54.05;44.78,63.25;44.92,63.25;44.92,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.08,56;47.08,58.15;47.22,58.15;47.22,56)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,55.75;48,57.275;48.14,57.275;48.14,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,55.75;48.46,58.49;48.6,58.49;48.6,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.92,50.65;48.92,56.45;49.06,56.45;49.06,50.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,55.41;49.84,58.49;49.98,58.49;49.98,55.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,56.11;50.24,56.43;50.5,56.43;50.5,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.22,54.925;51.22,64.27;51.36,64.27;51.36,54.925)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.6,55.41;52.6,64.61;52.74,64.61;52.74,55.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,56.11;53.46,56.43;53.72,56.43;53.72,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.98,52.26;53.98,59.17;54.12,59.17;54.12,52.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.9,55.41;54.9,59.315;55.04,59.315;55.04,55.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.36,56.09;55.36,57.47;55.5,57.47;55.5,56.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,52.26;55.82,58.49;55.96,58.49;55.96,52.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,55.07;56.74,58.15;56.88,58.15;56.88,55.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.2,47.25;57.2,60.19;57.34,60.19;57.34,47.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.59,55.915;57.59,56.285;57.87,56.285;57.87,55.915)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.58,52.885;58.58,58.49;58.72,58.49;58.72,52.885)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,56.11;58.98,56.43;59.24,56.43;59.24,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,55.605;59.96,56.45;60.1,56.45;60.1,55.605)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,55.75;60.42,58.15;60.56,58.15;60.56,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.02,55.75;65.02,60.87;65.16,60.87;65.16,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.48,55.41;65.48,58.49;65.62,58.49;65.62,55.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,55.75;66.4,58.49;66.54,58.49;66.54,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.26,56.11;67.26,56.43;67.52,56.43;67.52,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.71,55.915;67.71,56.285;67.99,56.285;67.99,55.915)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.87,71.81;65.87,75;66.15,75;66.15,71.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,58.49;55.76,58.81;56.02,58.81;56.02,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,57.47;55.3,57.79;55.56,57.79;55.56,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.87,71.67;65.87,71.81;67.46,71.81;67.46,71.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,69.03;56.22,69.35;56.48,69.35;56.48,69.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,56.77;56.28,60.87;56.42,60.87;56.42,56.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,58.47;56.74,61.21;56.88,61.21;56.88,58.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,61.19;58.12,65.97;58.26,65.97;58.26,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,64.59;59.5,66.65;59.64,66.65;59.64,64.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,64.27;59.44,64.59;59.7,64.59;59.7,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,63.59;58.98,63.91;59.24,63.91;59.24,63.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,60.87;58.06,61.19;58.32,61.19;58.32,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.14,60.19;57.14,60.51;57.4,60.51;57.4,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,58.15;56.68,58.47;56.94,58.47;56.94,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,56.45;56.22,56.77;56.48,56.77;56.48,56.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,63.23;56.28,69.03;56.42,69.03;56.42,63.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,67.05;60.42,71;60.56,71;60.56,67.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.04,59.4;59.04,63.59;59.18,63.59;59.18,59.4)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,61.19;59.5,64.27;59.64,64.27;59.64,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,62.21;59.96,66.91;60.1,66.91;60.1,62.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,66.31;60.36,66.63;60.62,66.63;60.62,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.82,66.31;60.82,66.63;61.08,66.63;61.08,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.96,66.31;64.96,66.63;65.22,66.63;65.22,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,66.31;65.88,66.63;66.14,66.63;66.14,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,61.89;59.9,62.21;60.16,62.21;60.16,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,60.87;59.44,61.19;59.7,61.19;59.7,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,60.85;60.42,66.31;60.56,66.31;60.56,60.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.88,64.25;60.88,66.31;61.02,66.31;61.02,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.12,65.97;63.12,66.29;63.38,66.29;63.38,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.18,64.59;63.18,65.97;63.32,65.97;63.32,64.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.5,65.63;64.5,65.95;64.76,65.95;64.76,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.12,64.27;63.12,64.59;63.38,64.59;63.38,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.82,63.93;60.82,64.25;61.08,64.25;61.08,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,62.375;61.07,62.745;62.61,62.745;62.61,62.375)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.04,60.87;64.04,61.19;64.3,61.19;64.3,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,60.53;60.36,60.85;60.62,60.85;60.62,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,59.4;59.9,59.49;60.16,59.49;60.16,59.4)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.04,59.26;59.04,59.4;60.16,59.4;60.16,59.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,59.17;59.9,59.26;60.16,59.26;60.16,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.52,58.49;58.52,58.81;58.78,58.81;58.78,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,67.815;61.07,68.185;62.61,68.185;62.61,67.815)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,66.65;59.44,66.97;59.7,66.97;59.7,66.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,56.77;59.96,59.17;60.1,59.17;60.1,56.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.1,58.47;64.1,60.87;64.24,60.87;64.24,58.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.56,59.15;64.56,65.63;64.7,65.63;64.7,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.02,63.57;65.02,66.31;65.16,66.31;65.16,63.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.42,65.63;65.42,65.95;65.68,65.95;65.68,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.96,63.25;64.96,63.57;65.22,63.57;65.22,63.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.02,61.19;65.02,63.25;65.16,63.25;65.16,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.96,60.87;64.96,61.19;65.22,61.19;65.22,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.5,58.83;64.5,59.15;64.76,59.15;64.76,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,58.15;60.36,58.47;60.62,58.47;60.62,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.04,58.15;64.04,58.47;64.3,58.47;64.3,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,56.45;59.9,56.77;60.16,56.77;60.16,56.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,66.91;59.96,67.05;60.56,67.05;60.56,66.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,65.97;58.06,66.29;58.32,66.29;58.32,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,62.91;56.22,63.23;56.48,63.23;56.48,62.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,56.935;61.07,57.305;62.61,57.305;62.61,56.935)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,61.21;56.68,61.53;56.94,61.53;56.94,61.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.48,58.81;65.48,65.63;65.62,65.63;65.62,58.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.94,59.49;65.94,66.31;66.08,66.31;66.08,59.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.8,65.97;66.8,66.29;67.06,66.29;67.06,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,60.53;66.34,60.85;66.6,60.85;66.6,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,59.17;65.88,59.49;66.14,59.49;66.14,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,58.81;66.4,60.53;66.54,60.53;66.54,58.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.86,59.15;66.86,65.97;67,65.97;67,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.32,59.49;67.32,71.67;67.46,71.67;67.46,59.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71.85,71;71.85,75;72.13,75;72.13,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71.92,67.65;71.92,71;72.06,71;72.06,67.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71.86,67.33;71.86,67.65;72.12,67.65;72.12,67.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.26,59.17;67.26,59.49;67.52,59.49;67.52,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.8,58.83;66.8,59.15;67.06,59.15;67.06,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.42,58.49;65.42,58.81;65.68,58.81;65.68,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,58.49;66.34,58.81;66.6,58.81;66.6,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,60.87;56.22,61.19;56.48,61.19;56.48,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.87,71;65.87,71.67;66.15,71.67;66.15,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.32,56.43;67.32,59.17;67.46,59.17;67.46,56.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.35,71;60.35,75;60.63,75;60.63,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.83,59.315;54.83,59.685;55.11,59.685;55.11,59.315)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.92,56.77;48.92,71;49.06,71;49.06,56.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,64.59;49.38,65.63;49.52,65.63;49.52,64.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,61.53;49.84,65.63;49.98,65.63;49.98,61.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,58.13;50.3,65.97;50.44,65.97;50.44,58.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,64.25;52.14,65.63;52.28,65.63;52.28,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,63.91;53.06,66.31;53.2,66.31;53.2,63.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.44,64.59;54.44,71;54.58,71;54.58,64.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,58.21;42.94,71;43.08,71;43.08,58.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,62.405;43.4,66.31;43.54,66.31;43.54,62.405)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.86,64.25;43.86,66.31;44,66.31;44,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,64.25;44.32,66.795;44.46,66.795;44.46,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,64.25;45.24,66.99;45.38,66.99;45.38,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,64.93;48.46,65.63;48.6,65.63;48.6,64.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,64.93;39.26,65.97;39.4,65.97;39.4,64.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,60.85;40.64,65.97;40.78,65.97;40.78,60.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,63.91;38.34,65.63;38.48,65.63;38.48,63.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,64.25;38.8,66.31;38.94,66.31;38.94,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.09,61.355;46.09,61.725;46.37,61.725;46.37,61.355)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.1,60.53;46.1,60.85;46.36,60.85;46.36,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.7,58.58;45.7,58.72;46.3,58.72;46.3,58.58)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.1,57.81;46.1,58.13;46.36,58.13;46.36,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.09,56.595;46.09,56.965;46.37,56.965;46.37,56.595)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,65.63;49.78,65.95;50.04,65.95;50.04,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,66.31;53,66.63;53.26,66.63;53.26,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,65.97;50.24,66.29;50.5,66.29;50.5,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,65.63;48.4,65.95;48.66,65.95;48.66,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,65.63;49.32,65.95;49.58,65.95;49.58,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,65.63;52.08,65.95;52.34,65.95;52.34,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.85,71;48.85,75;49.13,75;49.13,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.37,71;54.37,75;54.65,75;54.65,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,66.63;43.4,67.33;43.54,67.33;43.54,66.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.87,71;42.87,75;43.15,75;43.15,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.25,66.795;44.25,67.165;44.53,67.165;44.53,66.795)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,66.99;45.18,67.31;45.44,67.31;45.44,66.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,68.35;40.58,68.67;40.84,68.67;40.84,68.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,67.31;40.64,68.35;40.78,68.35;40.78,67.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,66.99;40.58,67.31;40.84,67.31;40.84,66.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,66.31;43.34,66.63;43.6,66.63;43.6,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,66.65;41.04,66.97;41.3,66.97;41.3,66.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,66.31;38.74,66.63;39,66.63;39,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,65.63;38.28,65.95;38.54,65.95;38.54,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,66.31;43.8,66.63;44.06,66.63;44.06,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,65.97;42.42,66.06;42.68,66.06;42.68,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,65.97;39.2,66.29;39.46,66.29;39.46,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,65.97;40.58,66.29;40.84,66.29;40.84,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.1,66.2;41.1,66.65;41.24,66.65;41.24,66.2)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,66.2;42.42,66.29;42.68,66.29;42.68,66.2)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.1,66.06;41.1,66.2;42.68,66.2;42.68,66.06)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,67.33;43.34,67.65;43.6,67.65;43.6,67.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.33,62.035;43.33,62.405;43.61,62.405;43.61,62.035)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,61.89;43.34,62.035;43.6,62.035;43.6,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,61.735;43.4,61.89;43.54,61.89;43.54,61.735)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,58.49;41.04,58.81;41.3,58.81;41.3,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,64.61;39.2,64.93;39.46,64.93;39.46,64.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,63.93;38.74,64.25;39,64.25;39,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,63.59;38.28,63.91;38.54,63.91;38.54,63.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.27,62.035;38.27,62.405;38.55,62.405;38.55,62.035)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,62.21;38.8,63.93;38.94,63.93;38.94,62.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,61.53;44.32,63.93;44.46,63.93;44.46,61.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,63.25;44.72,63.57;44.98,63.57;44.98,63.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,61.21;44.26,61.53;44.52,61.53;44.52,61.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,60.93;43.34,61.19;43.6,61.19;43.6,60.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,60.87;43.34,60.93;44,60.93;44,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,60.79;43.4,60.87;44,60.87;44,60.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,60.19;43.34,60.51;43.6,60.51;43.6,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,59.49;43.4,60.19;43.54,60.19;43.54,59.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,59.17;43.34,59.49;43.6,59.49;43.6,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.86,59.15;43.86,60.79;44,60.79;44,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.25,59.315;44.25,59.685;44.53,59.685;44.53,59.315)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,58.83;43.8,59.15;44.06,59.15;44.06,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,58.07;42.94,58.21;44,58.21;44,58.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,57.47;41.96,57.79;42.22,57.79;42.22,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,57.47;43.34,57.79;43.6,57.79;43.6,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,56.77;43.4,57.47;43.54,57.47;43.54,56.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,56.45;43.34,56.77;43.6,56.77;43.6,56.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,57.645;38.34,58.49;38.48,58.49;38.48,57.645)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.95,58.635;41.95,59.005;42.23,59.005;42.23,58.635)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.02,57.79;42.02,58.635;42.16,58.635;42.16,57.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,58.04;41.04,58.13;41.3,58.13;41.3,58.04)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,58.15;38.74,58.47;39,58.47;39,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,56.43;38.8,58.15;38.94,58.15;38.94,56.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,56.43;39.26,58.49;39.4,58.49;39.4,56.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,57.81;41.04,57.9;41.3,57.9;41.3,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,61.89;38.28,62.035;38.54,62.035;38.54,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,61.89;38.74,62.21;39,62.21;39,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,57.9;41.04,58.04;41.7,58.04;41.7,57.9)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,65.095;41.07,65.465;42.61,65.465;42.61,65.095)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,64.445;42.42,64.59;42.68,64.59;42.68,64.445)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.41,64.075;42.41,64.445;42.69,64.445;42.69,64.075)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.57,57.275;40.57,57.645;40.85,57.645;40.85,57.275)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,59.17;41.96,59.49;42.22,59.49;42.22,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.1,58.13;41.1,58.49;41.24,58.49;41.24,58.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,58.83;38.74,59.15;39,59.15;39,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,58.81;39.26,59.17;39.4,59.17;39.4,58.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.02,59.005;42.02,59.17;42.16,59.17;42.16,59.005)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.27,57.275;38.27,57.645;38.55,57.645;38.55,57.275)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,56.77;44.32,59.315;44.46,59.315;44.46,56.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,56.45;44.26,56.77;44.52,56.77;44.52,56.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.27,56.595;38.27,56.965;38.55,56.965;38.55,56.595)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,61.53;45.24,63.93;45.38,63.93;45.38,61.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,61.21;45.18,61.53;45.44,61.53;45.44,61.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,58.49;38.28,58.81;38.54,58.81;38.54,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,60.53;45.64,60.85;45.9,60.85;45.9,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,58.49;39.2,58.81;39.46,58.81;39.46,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.7,58.72;45.7,60.53;45.84,60.53;45.84,58.72)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,63.93;43.8,64.25;44.06,64.25;44.06,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,63.93;44.26,64.25;44.52,64.25;44.52,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,57.47;45.18,57.79;45.44,57.79;45.44,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,56.68;45.24,57.47;45.38,57.47;45.38,56.68)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,56.68;45.64,56.77;45.9,56.77;45.9,56.68)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,56.54;45.24,56.68;45.9,56.68;45.9,56.54)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,63.93;45.18,64.25;45.44,64.25;45.44,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,56.45;45.64,56.54;45.9,56.54;45.9,56.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,63.25;42.42,63.57;42.68,63.57;42.68,63.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,60.53;40.58,60.85;40.84,60.85;40.84,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,60.51;42.48,63.25;42.62,63.25;42.62,60.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,60.19;38.74,60.51;39,60.51;39,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,60.19;42.42,60.51;42.68,60.51;42.68,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,59.15;38.8,60.19;38.94,60.19;38.94,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,59.655;41.07,60.025;42.61,60.025;42.61,59.655)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,59.17;39.2,59.49;39.46,59.49;39.46,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,57.47;54.38,57.79;54.64,57.79;54.64,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,58.49;49.32,58.635;49.58,58.635;49.58,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.54,64.61;52.54,64.93;52.8,64.93;52.8,64.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,63.93;52.08,64.25;52.34,64.25;52.34,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,61.19;52.14,63.93;52.28,63.93;52.28,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,60.87;52.08,61.19;52.34,61.19;52.34,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,58.325;52.14,60.87;52.28,60.87;52.28,58.325)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.07,57.955;52.07,58.325;52.35,58.325;52.35,57.955)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,58.49;49.78,58.81;50.04,58.81;50.04,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,64.61;48.4,64.93;48.66,64.93;48.66,64.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,56.45;48.86,56.77;49.12,56.77;49.12,56.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.93,57.275;47.93,57.645;48.21,57.645;48.21,57.275)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,56.45;46.56,56.77;46.82,56.77;46.82,56.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.55,64.075;46.55,64.445;46.83,64.445;46.83,64.075)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,64.27;54.38,64.59;54.64,64.59;54.64,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,63.59;53,63.91;53.26,63.91;53.26,63.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.47,57.955;47.47,58.325;47.75,58.325;47.75,57.955)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,57.79;47.54,57.955;47.68,57.955;47.68,57.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,64.27;51.16,64.59;51.42,64.59;51.42,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,57.81;50.24,58.13;50.5,58.13;50.5,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,56.43;50.3,57.81;50.44,57.81;50.44,56.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,64.27;49.32,64.59;49.58,64.59;49.58,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,63.25;49.32,63.57;49.58,63.57;49.58,63.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,61.89;53.46,62.21;53.72,62.21;53.72,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,60.85;46.16,61.355;46.3,61.355;46.3,60.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.62,61.19;46.62,64.075;46.76,64.075;46.76,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,61.89;47.48,62.21;47.74,62.21;47.74,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,60.87;46.56,61.19;46.82,61.19;46.82,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,61.53;53.52,61.89;53.66,61.89;53.66,61.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,61.21;53.46,61.53;53.72,61.53;53.72,61.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,61.19;49.38,63.25;49.52,63.25;49.52,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,60.93;53.52,61.21;53.66,61.21;53.66,60.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,58.13;46.16,58.58;46.3,58.58;46.3,58.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,60.79;53.06,60.93;53.66,60.93;53.66,60.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,60.87;54.38,61.19;54.64,61.19;54.64,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,57.47;47.48,57.79;47.74,57.79;47.74,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,56.965;46.16,57.81;46.3,57.81;46.3,56.965)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,59.15;53.06,60.79;53.2,60.79;53.2,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,60.19;53.46,60.51;53.72,60.51;53.72,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,59.15;53.52,60.19;53.66,60.19;53.66,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.62,56.77;46.62,60.87;46.76,60.87;46.76,56.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,58.81;47.54,61.89;47.68,61.89;47.68,58.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.93,60.675;47.93,61.045;48.21,61.045;48.21,60.675)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,59.15;48,60.675;48.14,60.675;48.14,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,58.83;47.94,59.15;48.2,59.15;48.2,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,58.49;47.48,58.81;47.74,58.81;47.74,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,58.49;48.4,58.81;48.66,58.81;48.66,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,58.15;47.02,58.47;47.28,58.47;47.28,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.92,59.17;53.92,59.49;54.18,59.49;54.18,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,58.83;53,59.15;53.26,59.15;53.26,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,58.83;53.46,59.15;53.72,59.15;53.72,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,57.47;53.46,57.79;53.72,57.79;53.72,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,56.43;53.52,57.47;53.66,57.47;53.66,56.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,61.21;49.78,61.53;50.04,61.53;50.04,61.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,60.87;49.32,61.19;49.58,61.19;49.58,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.44,57.79;54.44,60.87;54.58,60.87;54.58,57.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.31,58.635;49.31,59.005;49.59,59.005;49.59,58.635)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.1,48.29;46.1,48.61;46.36,48.61;46.36,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,41.81;46.16,48.29;46.3,48.29;46.3,41.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,46.82;47.02,46.91;47.28,46.91;47.28,46.82)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,46.82;47.54,49.31;47.68,49.31;47.68,46.82)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,45.21;48,47.87;48.14,47.87;48.14,45.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,45.89;48.46,47.27;48.6,47.27;48.6,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.92,45.55;48.92,48.29;49.06,48.29;49.06,45.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,42.49;49.38,46.93;49.52,46.93;49.52,42.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,45.89;49.84,47.27;49.98,47.27;49.98,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.18,45.89;40.18,46.93;40.32,46.93;40.32,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.02,45.89;42.02,48.29;42.16,48.29;42.16,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,46.765;42.48,47.61;42.62,47.61;42.62,46.765)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,44.87;42.94,49.99;43.08,49.99;43.08,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,45.55;43.4,55.77;43.54,55.77;43.54,45.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,44.87;39.26,47.27;39.4,47.27;39.4,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,45.21;50.3,46.93;50.44,46.93;50.44,45.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.7,46.59;50.7,46.91;50.96,46.91;50.96,46.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,46.765;52.14,47.61;52.28,47.61;52.28,46.765)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.1,41.49;46.1,41.81;46.36,41.81;46.36,41.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,44.61;53.52,47.19;53.66,47.19;53.66,44.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.44,45.21;54.44,52.03;54.58,52.03;54.58,45.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,55.86;45.64,56;47.22,56;47.22,55.86)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.66,46.59;39.66,46.91;39.92,46.91;39.92,46.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,55.43;45.18,55.49;46.76,55.49;46.76,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,55.35;45.24,55.43;46.76,55.43;46.76,55.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.86,44.87;43.86,47.61;44,47.61;44,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,46.59;44.26,46.91;44.52,46.91;44.52,46.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.78,45.89;44.78,50.33;44.92,50.33;44.92,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,46.085;45.24,54.75;45.38,54.75;45.38,46.085)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.1,53.39;46.1,53.71;46.36,53.71;46.36,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.7,45.89;45.7,53.05;45.84,53.05;45.84,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.09,52.515;46.09,52.885;46.37,52.885;46.37,52.515)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.62,47.59;46.62,49.115;46.76,49.115;46.76,47.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,47.27;48.4,47.59;48.66,47.59;48.66,47.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,55.605;53,55.75;53.26,55.75;53.26,55.605)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.54,55.09;52.54,55.41;52.8,55.41;52.8,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.99,55.235;52.99,55.605;53.27,55.605;53.27,55.235)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.62,53.73;51.62,54.05;51.88,54.05;51.88,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.61,51.835;51.61,52.205;51.89,52.205;51.89,51.835)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.68,49.97;51.68,51.835;51.82,51.835;51.82,49.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,50.33;52.08,50.65;52.34,50.65;52.34,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.7,49.65;50.7,49.97;50.96,49.97;50.96,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.62,49.65;51.62,49.97;51.88,49.97;51.88,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.76,48.61;50.76,49.65;50.9,49.65;50.9,48.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.68,48.805;51.68,49.65;51.82,49.65;51.82,48.805)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.7,48.29;50.7,48.61;50.96,48.61;50.96,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.61,48.435;51.61,48.805;51.89,48.805;51.89,48.435)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,46.93;50.24,47.25;50.5,47.25;50.5,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,47.27;46.56,47.59;46.82,47.59;46.82,47.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.76,46.91;50.76,48.29;50.9,48.29;50.9,46.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,47.93;52.14,50.33;52.28,50.33;52.28,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,47.61;52.08,47.93;52.34,47.93;52.34,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,47.445;51.16,47.59;51.42,47.59;51.42,47.445)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.15,47.075;51.15,47.445;51.43,47.445;51.43,47.075)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.55,49.115;46.55,49.485;46.83,49.485;46.83,49.115)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,47.95;49.32,48.27;49.58,48.27;49.58,47.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.6,47.33;52.6,55.09;52.74,55.09;52.74,47.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,52.94;53.06,55.235;53.2,55.235;53.2,52.94)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,54.75;53.46,55.07;53.72,55.07;53.72,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,53.71;53.52,54.75;53.66,54.75;53.66,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,53.39;53.46,53.71;53.72,53.71;53.72,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,52.8;53.06,52.94;53.66,52.94;53.66,52.8)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,52.03;53,52.35;53.26,52.35;53.26,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,50.31;53.06,52.03;53.2,52.03;53.2,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,51.41;53.52,52.8;53.66,52.8;53.66,51.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,52.26;54.38,52.35;54.64,52.35;54.64,52.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.98,52.12;53.98,52.26;54.64,52.26;54.64,52.12)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,52.03;54.38,52.12;54.64,52.12;54.64,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,51.27;53.52,51.41;54.12,51.41;54.12,51.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,50.33;53.46,50.65;53.72,50.65;53.72,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,49.99;53,50.31;53.26,50.31;53.26,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,49.63;53.06,49.99;53.2,49.99;53.2,49.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,49.31;53,49.63;53.26,49.63;53.26,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,48.27;53.52,50.33;53.66,50.33;53.66,48.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.98,50.31;53.98,51.27;54.12,51.27;54.12,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.92,49.99;53.92,50.31;54.18,50.31;54.18,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.98,48.61;53.98,49.99;54.12,49.99;54.12,48.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.92,48.29;53.92,48.61;54.18,48.61;54.18,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,47.95;53.46,48.27;53.72,48.27;53.72,47.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.6,47.19;52.6,47.33;53.66,47.33;53.66,47.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,47.25;49.38,47.95;49.52,47.95;49.52,47.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,47.59;49.84,52.03;49.98,52.03;49.98,47.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,47.27;49.78,47.59;50.04,47.59;50.04,47.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,56;46.56,56.09;46.82,56.09;46.82,56)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,46.93;49.32,47.25;49.58,47.25;49.58,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,55.77;46.56,55.86;46.82,55.86;46.82,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,49.31;47.48,49.63;47.74,49.63;47.74,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.39,48.435;48.39,48.805;48.67,48.805;48.67,48.435)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,55.43;47.94,55.75;48.2,55.75;48.2,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,55.43;48.4,55.75;48.66,55.75;48.66,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,47.25;50.3,55.43;50.44,55.43;50.44,47.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.92,48.61;48.92,49.65;49.06,49.65;49.06,48.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,48.01;48.46,48.435;48.6,48.435;48.6,48.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,48.29;48.86,48.61;49.12,48.61;49.12,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.62,55.43;51.62,55.75;51.88,55.75;51.88,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.15,54.555;51.15,54.925;51.43,54.925;51.43,54.555)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.68,54.05;51.68,55.43;51.82,55.43;51.82,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,52.885;46.16,53.39;46.3,53.39;46.3,52.885)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,47.87;48,48.01;48.6,48.01;48.6,47.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.62,52.26;46.62,55.35;46.76,55.35;46.76,52.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,53.71;48.46,55.43;48.6,55.43;48.6,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,53.39;48.4,53.71;48.66,53.71;48.66,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,53.05;47.02,53.37;47.28,53.37;47.28,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,53.05;47.94,53.37;48.2,53.37;48.2,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,52.12;46.16,52.26;46.76,52.26;46.76,52.12)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,48.61;46.16,52.12;46.3,52.12;46.3,48.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.08,51.525;47.08,53.05;47.22,53.05;47.22,51.525)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,52.09;48,53.05;48.14,53.05;48.14,52.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.39,52.09;48.39,52.205;48.67,52.205;48.67,52.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,51.95;48,52.09;48.67,52.09;48.67,51.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.39,51.835;48.39,51.95;48.67,51.95;48.67,51.835)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.01,51.155;47.01,51.525;47.29,51.525;47.29,51.155)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,51.01;47.48,51.33;47.74,51.33;47.74,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.93,51.155;47.93,51.525;48.21,51.525;48.21,51.155)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,49.63;47.54,51.01;47.68,51.01;47.68,49.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,50.31;48,51.155;48.14,51.155;48.14,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,55.43;50.24,55.75;50.5,55.75;50.5,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,55.09;49.78,55.41;50.04,55.41;50.04,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.31,53.195;49.31,53.565;49.59,53.565;49.59,53.195)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,53.03;49.38,53.195;49.52,53.195;49.52,53.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,52.71;49.32,53.03;49.58,53.03;49.58,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,52.03;49.78,52.35;50.04,52.35;50.04,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,50.845;49.32,50.99;49.58,50.99;49.58,50.845)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,50.33;48.86,50.65;49.12,50.65;49.12,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.31,50.475;49.31,50.845;49.59,50.845;49.59,50.475)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,49.99;47.94,50.31;48.2,50.31;48.2,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,49.65;48.86,49.97;49.12,49.97;49.12,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,49.485;46.56,49.63;46.82,49.63;46.82,49.485)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,51.01;42.42,51.33;42.68,51.33;42.68,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,50.33;42.42,50.65;42.68,50.65;42.68,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,50.165;42.48,50.33;42.62,50.33;42.62,50.165)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,50.31;42.94,52.37;43.08,52.37;43.08,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,55.43;40.12,55.75;40.38,55.75;40.38,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,55.77;45.64,55.86;45.9,55.86;45.9,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,53.37;39.26,55.235;39.4,55.235;39.4,53.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.41,49.795;42.41,50.165;42.69,50.165;42.69,49.795)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,49.99;42.88,50.31;43.14,50.31;43.14,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,49.31;41.96,49.63;42.22,49.63;42.22,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,48.775;41.07,49.145;42.61,49.145;42.61,48.775)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,48.29;41.96,48.61;42.22,48.61;42.22,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,47.61;40.58,47.93;40.84,47.93;40.84,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,46.93;40.12,47.25;40.38,47.25;40.38,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.66,54.75;39.66,55.07;39.92,55.07;39.92,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,50.65;38.34,53.05;38.48,53.05;38.48,50.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,50.33;38.28,50.65;38.54,50.65;38.54,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,50.56;39.2,50.65;39.46,50.65;39.46,50.56)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,50.42;39.2,50.56;39.86,50.56;39.86,50.42)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,50.33;39.2,50.42;39.46,50.42;39.46,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,55.49;45.18,55.75;45.44,55.75;45.44,55.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.72,53.71;39.72,54.75;39.86,54.75;39.86,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.66,53.39;39.66,53.71;39.92,53.71;39.92,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,53.05;38.28,53.37;38.54,53.37;38.54,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,53.05;39.2,53.37;39.46,53.37;39.46,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,54.75;45.18,55.07;45.44,55.07;45.44,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,53.73;44.72,54.05;44.98,54.05;44.98,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,52.71;44.72,53.03;44.98,53.03;44.98,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.78,50.65;44.78,52.71;44.92,52.71;44.92,50.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,50.33;44.72,50.65;44.98,50.65;44.98,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,48.29;44.26,48.61;44.52,48.61;44.52,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,47.61;43.8,47.93;44.06,47.93;44.06,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.5,55.77;41.5,56.09;41.76,56.09;41.76,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,47.25;37.88,49.99;38.02,49.99;38.02,47.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,47.93;38.34,50.33;38.48,50.33;38.48,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,54.215;41.07,54.585;42.61,54.585;42.61,54.215)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,53.73;41.96,54.05;42.22,54.05;42.22,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,53.05;45.64,53.37;45.9,53.37;45.9,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,53.96;42.94,56.11;43.08,56.11;43.08,53.96)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,55.77;43.34,56.09;43.6,56.09;43.6,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,53.82;42.48,53.96;43.08,53.96;43.08,53.82)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.02,53.565;42.02,53.73;42.16,53.73;42.16,53.565)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,53.05;41.04,53.37;41.3,53.37;41.3,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.95,53.195;41.95,53.565;42.23,53.565;42.23,53.195)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,47.61;42.42,47.93;42.68,47.93;42.68,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,49.99;38.74,50.31;39,50.31;39,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,49.31;39.2,49.63;39.46,49.63;39.46,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,48.29;38.74,48.61;39,48.61;39,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,47.61;38.28,47.93;38.54,47.93;38.54,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.79,55.235;43.79,55.605;44.07,55.605;44.07,55.235)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.86,53.71;43.86,55.235;44,55.235;44,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.25,54.555;44.25,54.925;44.53,54.925;44.53,54.555)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,53.39;43.8,53.71;44.06,53.71;44.06,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,48.61;44.32,54.555;44.46,54.555;44.46,48.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,47.59;39.26,49.31;39.4,49.31;39.4,47.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,47.27;39.2,47.59;39.46,47.59;39.46,47.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,46.93;37.82,47.25;38.08,47.25;38.08,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,48.61;38.8,49.99;38.94,49.99;38.94,48.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,49.99;37.82,50.31;38.08,50.31;38.08,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,55.77;37.82,56.09;38.08,56.09;38.08,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,55.605;37.88,55.77;38.02,55.77;38.02,55.605)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.81,55.235;37.81,55.605;38.09,55.605;38.09,55.235)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.72,46.91;39.72,50.42;39.86,50.42;39.86,46.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.18,47.25;40.18,55.43;40.32,55.43;40.32,47.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,55.09;37.82,55.235;38.08,55.235;38.08,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,54.935;37.88,55.09;38.02,55.09;38.02,54.935)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.1,50.99;41.1,53.05;41.24,53.05;41.24,50.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,52.37;41.96,52.69;42.22,52.69;42.22,52.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,50.67;41.04,50.99;41.3,50.99;41.3,50.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.02,49.63;42.02,52.37;42.16,52.37;42.16,49.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,51.33;42.48,53.82;42.62,53.82;42.62,51.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,56;45.64,56.09;45.9,56.09;45.9,56)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.19,55.235;39.19,55.605;39.47,55.605;39.47,55.235)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,52.37;42.88,52.69;43.14,52.69;43.14,52.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,39.45;40.12,39.77;40.38,39.77;40.38,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,45.57;44.72,45.89;44.98,45.89;44.98,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.17,45.715;45.17,46.085;45.45,46.085;45.45,45.715)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,42.17;39.2,42.49;39.46,42.49;39.46,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,39.11;40.58,39.43;40.84,39.43;40.84,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,37.73;40.64,39.11;40.78,39.11;40.78,37.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,37.895;41.07,38.265;42.61,38.265;42.61,37.895)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,40.45;39.26,42.17;39.4,42.17;39.4,40.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,40.13;39.2,40.45;39.46,40.45;39.46,40.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,39.43;45.24,44.89;45.38,44.89;45.38,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,44.78;39.2,44.87;39.46,44.87;39.46,44.78)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,44.64;38.8,44.78;39.46,44.78;39.46,44.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,44.21;37.82,44.53;38.08,44.53;38.08,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,43.17;37.88,44.21;38.02,44.21;38.02,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,42.85;37.82,43.17;38.08,43.17;38.08,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,43.87;45.64,44.19;45.9,44.19;45.9,43.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,39.11;45.18,39.43;45.44,39.43;45.44,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,39.45;38.74,39.77;39,39.77;39,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,39.11;38.28,39.43;38.54,39.43;38.54,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,45.57;45.64,45.89;45.9,45.89;45.9,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,45.23;44.26,45.55;44.52,45.55;44.52,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,44.89;45.18,45.21;45.44,45.21;45.44,44.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,44.725;41.04,44.87;41.3,44.87;41.3,44.725)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.03,44.61;41.03,44.725;41.31,44.725;41.31,44.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,44.47;40.64,44.61;41.31,44.61;41.31,44.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.41,46.395;42.41,46.765;42.69,46.765;42.69,46.395)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,45.57;40.12,45.89;40.38,45.89;40.38,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,41.15;38.28,41.47;38.54,41.47;38.54,41.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,39.43;38.34,41.15;38.48,41.15;38.48,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,39.77;38.8,44.64;38.94,44.64;38.94,39.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,44.55;39.2,44.64;39.46,44.64;39.46,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,45.57;41.96,45.89;42.22,45.89;42.22,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,44.55;42.88,44.87;43.14,44.87;43.14,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,44.55;43.8,44.87;44.06,44.87;44.06,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,42.83;40.64,44.47;40.78,44.47;40.78,42.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.03,44.355;41.03,44.47;41.31,44.47;41.31,44.355)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,45.23;43.34,45.55;43.6,45.55;43.6,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,43.335;41.07,43.705;42.61,43.705;42.61,43.335)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,42.51;40.58,42.83;40.84,42.83;40.84,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,45.55;44.32,46.59;44.46,46.59;44.46,45.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,41.83;40.12,42.15;40.38,42.15;40.38,41.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.18,39.77;40.18,41.83;40.32,41.83;40.32,39.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,41.15;44.72,41.47;44.98,41.47;44.98,41.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,39.11;54.38,39.43;54.64,39.43;54.64,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,42.83;49.84,45.57;49.98,45.57;49.98,42.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,42.85;47.48,43.17;47.74,43.17;47.74,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,42.85;47.94,43.17;48.2,43.17;48.2,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,46.59;47.02,46.68;47.28,46.68;47.28,46.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.08,45.55;47.08,46.59;47.22,46.59;47.22,45.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,44.89;54.38,45.21;54.64,45.21;54.64,44.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,44.53;53.52,44.61;54.58,44.61;54.58,44.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,44.47;53.52,44.53;54.64,44.53;54.64,44.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,44.21;54.38,44.47;54.64,44.47;54.64,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,43.87;53.46,44.19;53.72,44.19;53.72,43.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,42.17;52.08,42.49;52.34,42.49;52.34,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,41.83;51.16,42.15;51.42,42.15;51.42,41.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.22,40.11;51.22,41.83;51.36,41.83;51.36,40.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,39.79;51.16,40.11;51.42,40.11;51.42,39.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.76,39.03;50.76,39.17;51.36,39.17;51.36,39.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.7,38.43;50.7,38.75;50.96,38.75;50.96,38.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,45.57;48.4,45.89;48.66,45.89;48.66,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.47,45.715;47.47,46.085;47.75,46.085;47.75,45.715)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.07,46.395;52.07,46.765;52.35,46.765;52.35,46.395)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,41.83;53,42.15;53.26,42.15;53.26,41.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,44.89;50.24,45.21;50.5,45.21;50.5,44.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,39.85;53.52,43.87;53.66,43.87;53.66,39.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,39.71;53.52,39.85;54.12,39.85;54.12,39.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,39.11;53.46,39.43;53.72,39.43;53.72,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,37.73;53.52,39.11;53.66,39.11;53.66,37.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,42.51;49.78,42.83;50.04,42.83;50.04,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,42.17;49.32,42.49;49.58,42.49;49.58,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,41.83;47.48,42.15;47.74,42.15;47.74,41.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,45.23;47.02,45.55;47.28,45.55;47.28,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,39.43;47.54,41.83;47.68,41.83;47.68,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,41.15;48.4,41.47;48.66,41.47;48.66,41.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,39.77;48.46,41.15;48.6,41.15;48.6,39.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,39.45;48.4,39.77;48.66,39.77;48.66,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,39.43;49.84,42.51;49.98,42.51;49.98,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,42.15;50.3,44.89;50.44,44.89;50.44,42.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.7,44.55;50.7,44.87;50.96,44.87;50.96,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,41.83;50.24,42.15;50.5,42.15;50.5,41.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,39.11;47.48,39.43;47.74,39.43;47.74,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,39.11;49.78,39.43;50.04,39.43;50.04,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.76,39.17;50.76,44.55;50.9,44.55;50.9,39.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.15,44.355;51.15,44.725;51.43,44.725;51.43,44.355)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,44.21;51.16,44.355;51.42,44.355;51.42,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,42.49;52.14,46.395;52.28,46.395;52.28,42.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,44.89;46.56,45.21;46.82,45.21;46.82,44.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.62,43.17;46.62,44.89;46.76,44.89;46.76,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,43.17;47.54,45.715;47.68,45.715;47.68,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,46.68;47.02,46.82;47.68,46.82;47.68,46.68)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,45.23;48.86,45.55;49.12,45.55;49.12,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,44.89;47.94,45.21;48.2,45.21;48.2,44.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,43.17;48,44.89;48.14,44.89;48.14,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,42.85;46.56,43.17;46.82,43.17;46.82,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,45.57;49.78,45.89;50.04,45.89;50.04,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,45.89;56.28,48.29;56.42,48.29;56.42,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,46.65;56.68,46.91;56.94,46.91;56.94,46.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,45.55;58.12,47.27;58.26,47.27;58.26,45.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.58,43.17;58.58,52.03;58.72,52.03;58.72,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.36,39.43;55.36,47.755;55.5,47.755;55.5,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,44.87;59.5,50.42;59.64,50.42;59.64,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,43.17;59.96,52.03;60.1,52.03;60.1,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,45.89;60.42,47.61;60.56,47.61;60.56,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.18,44.045;63.18,52.46;63.32,52.46;63.32,44.045)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.64,42.83;63.64,47.61;63.78,47.61;63.78,42.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.42,55.09;65.42,55.41;65.68,55.41;65.68,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.58,54.75;63.58,55.07;63.84,55.07;63.84,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.96,55.43;64.96,55.75;65.22,55.75;65.22,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.72,55.77;67.72,55.915;67.98,55.915;67.98,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.64,50.31;63.64,54.75;63.78,54.75;63.78,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.03,53.195;64.03,53.565;64.31,53.565;64.31,53.195)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.58,49.99;63.58,50.31;63.84,50.31;63.84,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.64,47.93;63.64,49.99;63.78,49.99;63.78,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.1,48.27;64.1,53.195;64.24,53.195;64.24,48.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.5,52.71;64.5,53.03;64.76,53.03;64.76,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.56,50.99;64.56,52.71;64.7,52.71;64.7,50.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.8,52.37;66.8,52.69;67.06,52.69;67.06,52.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.86,50.99;66.86,52.37;67,52.37;67,50.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.5,50.67;64.5,50.99;64.76,50.99;64.76,50.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.8,50.67;66.8,50.99;67.06,50.99;67.06,50.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.86,48.27;66.86,50.67;67,50.67;67,48.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.04,47.95;64.04,48.27;64.3,48.27;64.3,47.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.8,47.95;66.8,48.27;67.06,48.27;67.06,47.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.58,47.61;63.58,47.93;63.84,47.93;63.84,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,55.43;66.34,55.75;66.6,55.75;66.6,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.26,46.93;67.26,47.25;67.52,47.25;67.52,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,55.43;60.36,55.75;60.62,55.75;60.62,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,49.65;56.22,49.97;56.48,49.97;56.48,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,49.485;55.82,49.99;55.96,49.99;55.96,49.485)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,49.97;56.28,52.12;56.42,52.12;56.42,49.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.75,49.115;55.75,49.485;56.03,49.485;56.03,49.115)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.29,47.755;55.29,48.125;55.57,48.125;55.57,47.755)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,55.09;59.9,55.235;60.16,55.235;60.16,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,55.09;61.28,55.41;61.54,55.41;61.54,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,47.93;55.82,49.115;55.96,49.115;55.96,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,54.935;59.96,55.09;60.1,55.09;60.1,54.935)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.34,53.71;61.34,55.09;61.48,55.09;61.48,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.66,54.75;62.66,55.07;62.92,55.07;62.92,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,48.29;56.22,48.61;56.48,48.61;56.48,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,53.37;59.5,53.45;61.02,53.45;61.02,53.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,53.39;61.28,53.71;61.54,53.71;61.54,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,53.05;58.98,53.37;59.24,53.37;59.24,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,53.31;59.5,53.37;61.08,53.37;61.08,53.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.51,52.515;58.51,52.885;58.79,52.885;58.79,52.515)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.52,52.03;58.52,52.35;58.78,52.35;58.78,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,47.27;58.06,47.59;58.32,47.59;58.32,47.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.84,55.09;54.84,55.41;55.1,55.41;55.1,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.29,52.515;55.29,52.885;55.57,52.885;55.57,52.515)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,50.56;58.98,50.65;59.24,50.65;59.24,50.56)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,50.56;59.5,53.31;59.64,53.31;59.64,50.56)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.82,53.05;60.82,53.31;61.08,53.31;61.08,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,52.71;60.36,53.03;60.62,53.03;60.62,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.74,52.71;61.74,53.03;62,53.03;62,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,52.03;59.9,52.35;60.16,52.35;60.16,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,50.42;58.98,50.56;59.64,50.56;59.64,50.42)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,50.33;58.98,50.42;59.24,50.42;59.24,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,49.65;58.98,49.97;59.24,49.97;59.24,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,55.77;55.3,56.09;55.56,56.09;55.56,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,47.61;55.76,47.93;56.02,47.93;56.02,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.84,51.01;54.84,51.33;55.1,51.33;55.1,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.9,50.22;54.9,51.01;55.04,51.01;55.04,50.22)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,47.93;60.42,52.71;60.56,52.71;60.56,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,52.26;61.28,52.35;61.54,52.35;61.54,52.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.8,52.26;61.8,52.71;61.94,52.71;61.94,52.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.72,52.6;62.72,54.75;62.86,54.75;62.86,52.6)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.11,53.195;63.11,53.565;63.39,53.565;63.39,53.195)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.12,53.05;63.12,53.195;63.38,53.195;63.38,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.72,52.46;62.72,52.6;63.32,52.6;63.32,52.46)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,52.12;61.28,52.26;61.94,52.26;61.94,52.12)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,52.03;61.28,52.12;61.54,52.12;61.54,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,51.495;61.07,51.865;62.61,51.865;62.61,51.495)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,47.61;60.36,47.93;60.62,47.93;60.62,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.36,50.99;55.36,52.515;55.5,52.515;55.5,50.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,54.75;56.68,55.07;56.94,55.07;56.94,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.67,53.195;56.67,53.565;56.95,53.565;56.95,53.195)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,53.05;56.68,53.195;56.94,53.195;56.94,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,52.77;56.22,53.03;56.48,53.03;56.48,52.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.04,53.37;59.04,56.11;59.18,56.11;59.18,53.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.67,52.77;56.67,52.885;56.95,52.885;56.95,52.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,52.71;56.22,52.77;56.95,52.77;56.95,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,52.63;56.28,52.71;56.95,52.71;56.95,52.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.67,52.515;56.67,52.63;56.95,52.63;56.95,52.515)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,52.12;55.82,52.26;56.42,52.26;56.42,52.12)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,50.67;55.3,50.99;55.56,50.99;55.56,50.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,50.22;55.3,50.31;55.56,50.31;55.56,50.22)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.9,50.08;54.9,50.22;55.56,50.22;55.56,50.08)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,49.99;55.3,50.08;55.56,50.08;55.56,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,49.99;55.76,50.31;56.02,50.31;56.02,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.14,46.93;57.14,47.25;57.4,47.25;57.4,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,46.91;56.74,52.515;56.88,52.515;56.88,46.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.89,55.235;59.89,55.605;60.17,55.605;60.17,55.235)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.74,45.23;61.74,45.55;62,45.55;62,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,42.85;59.9,43.17;60.16,43.17;60.16,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.8,42.49;61.8,45.23;61.94,45.23;61.94,42.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,45.23;58.06,45.55;58.32,45.55;58.32,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,42.83;58.12,45.23;58.26,45.23;58.26,42.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,44.55;59.44,44.87;59.7,44.87;59.7,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,44.64;56.28,44.78;56.94,44.78;56.94,44.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,42.85;55.76,43.17;56.02,43.17;56.02,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,45.57;56.22,45.89;56.48,45.89;56.48,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,39.11;55.3,39.43;55.56,39.43;55.56,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,45.29;56.28,45.57;56.42,45.57;56.42,45.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,45.15;55.82,45.29;56.42,45.29;56.42,45.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,43.17;55.82,45.15;55.96,45.15;55.96,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,44.78;56.68,44.87;56.94,44.87;56.94,44.78)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.22,41.83;56.22,42.15;56.48,42.15;56.48,41.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,46.59;56.68,46.65;57.34,46.65;57.34,46.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.52,42.85;58.52,43.17;58.78,43.17;58.78,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,42.51;58.06,42.83;58.32,42.83;58.32,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,46.51;56.74,46.59;57.34,46.59;57.34,46.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.11,43.675;63.11,44.045;63.39,44.045;63.39,43.675)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,46.055;61.07,46.425;62.61,46.425;62.61,46.055)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,45.57;60.36,45.89;60.62,45.89;60.62,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.74,42.17;61.74,42.49;62,42.49;62,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,41.49;60.36,41.81;60.62,41.81;60.62,41.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,38.77;59.9,39.09;60.16,39.09;60.16,38.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.28,42.15;56.28,44.64;56.42,44.64;56.42,42.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,44.55;56.68,44.64;56.94,44.64;56.94,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,40.615;61.07,40.985;62.61,40.985;62.61,40.615)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,38.43;61.28,38.75;61.54,38.75;61.54,38.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.34,37.73;61.34,38.43;61.48,38.43;61.48,37.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,43.93;56.68,44.19;56.94,44.19;56.94,43.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.2,43.93;57.2,46.51;57.34,46.51;57.34,43.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,43.87;56.68,43.93;57.34,43.93;57.34,43.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,43.79;56.74,43.87;57.34,43.87;57.34,43.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.42,42.51;65.42,42.83;65.68,42.83;65.68,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,42.49;66.4,44.55;66.54,44.55;66.54,42.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,42.17;66.34,42.49;66.6,42.49;66.6,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.5,40.13;64.5,40.45;64.76,40.45;64.76,40.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,39.43;66.4,42.17;66.54,42.17;66.54,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,39.11;66.34,39.43;66.6,39.43;66.6,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.42,44.21;65.42,44.53;65.68,44.53;65.68,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.58,42.51;63.58,42.83;63.84,42.83;63.84,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,44.55;66.34,44.87;66.6,44.87;66.6,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.5,44.21;64.5,44.53;64.76,44.53;64.76,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.56,40.45;64.56,44.21;64.7,44.21;64.7,40.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.72,40.13;67.72,40.45;67.98,40.45;67.98,40.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.48,42.83;65.48,44.21;65.62,44.21;65.62,42.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.76,50.31;27.76,57.81;27.9,57.81;27.9,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.14,54.05;29.14,56.54;29.28,56.54;29.28,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.06,55.07;30.06,58.49;30.2,58.49;30.2,55.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.45,55.915;30.45,56.285;30.73,56.285;30.73,55.915)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,55.32;30.98,58.49;31.12,58.49;31.12,55.32)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.44,55.41;31.44,60.87;31.58,60.87;31.58,55.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.9,50.31;31.9,71.67;32.04,71.67;32.04,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.36,53.71;32.36,57.47;32.5,57.47;32.5,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.28,50.31;33.28,60.53;33.42,60.53;33.42,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,55.07;33.74,57.81;33.88,57.81;33.88,55.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,44.44;34.66,61.55;34.8,61.55;34.8,44.44)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.5,56.09;36.5,58.83;36.64,58.83;36.64,56.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.96,53.71;36.96,57.47;37.1,57.47;37.1,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.9,48.27;8.9,57.955;9.04,57.955;9.04,48.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.2,55.75;11.2,58.15;11.34,58.15;11.34,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.12,55.75;12.12,60.53;12.26,60.53;12.26,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,55.07;13.5,58.49;13.64,58.49;13.64,55.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,54.05;13.96,67.475;14.1,67.475;14.1,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,56.11;14.36,56.43;14.62,56.43;14.62,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,52.35;15.8,57.47;15.94,57.47;15.94,52.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.26,48.69;16.26,64.27;16.4,64.27;16.4,48.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,63.51;19.94,63.65;20.54,63.65;20.54,63.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,56.11;17.58,56.43;17.84,56.43;17.84,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.48,55.75;19.48,57.47;19.62,57.47;19.62,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.16,53.71;23.16,57.81;23.3,57.81;23.3,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.62,54.05;23.62,58.15;23.76,58.15;23.76,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.08,55.75;24.08,57.47;24.22,57.47;24.22,55.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25,53.37;25,58.15;25.14,58.15;25.14,53.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.4,56.11;25.4,56.43;25.66,56.43;25.66,56.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.92,56.09;25.92,71;26.06,71;26.06,56.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,58.07;28.22,58.15;29.28,58.15;29.28,58.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.5,64.59;36.5,66.31;36.64,66.31;36.64,64.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,64.25;20.4,67.33;20.54,67.33;20.54,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.32,64.59;21.32,65.63;21.46,65.63;21.46,64.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.46,61.19;25.46,66.65;25.6,66.65;25.6,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,64.25;34.66,67.33;34.8,67.33;34.8,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,64.59;35.12,66.31;35.26,66.31;35.26,64.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,66.31;28.62,66.63;28.88,66.63;28.88,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.76,61.19;27.76,65.97;27.9,65.97;27.9,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,61.53;28.22,65.63;28.36,65.63;28.36,61.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.68,64.25;28.68,66.31;28.82,66.31;28.82,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,63.93;28.62,64.25;28.88,64.25;28.88,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.06,63.57;30.06,65.97;30.2,65.97;30.2,63.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.52,61.19;30.52,66.31;30.66,66.31;30.66,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,59.4;30.98,65.97;31.12,65.97;31.12,59.4)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,58.15;28.16,58.21;29.28,58.21;29.28,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,66.29;35.58,66.65;35.72,66.65;35.72,66.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,66.31;36.44,66.63;36.7,66.63;36.7,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,65.97;35.52,66.29;35.78,66.29;35.78,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.37,71;31.37,71.67;31.65,71.67;31.65,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,66.31;30.46,66.63;30.72,66.63;30.72,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,66.31;33.22,66.63;33.48,66.63;33.48,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,69.37;33.22,69.69;33.48,69.69;33.48,69.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.28,66.63;33.28,69.37;33.42,69.37;33.42,66.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,65.97;30,66.29;30.26,66.29;30.26,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,67.33;34.6,67.65;34.86,67.65;34.86,67.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.37,71.81;31.37,75;31.65,75;31.65,71.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,66.65;35.52,66.97;35.78,66.97;35.78,66.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,65.97;30.92,66.29;31.18,66.29;31.18,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,66.31;35.06,66.63;35.32,66.63;35.32,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.37,71.67;31.37,71.81;32.04,71.81;32.04,71.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.4,66.65;25.4,66.97;25.66,66.97;25.66,66.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,65.63;21.26,65.95;21.52,65.95;21.52,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.4,68.35;25.4,68.67;25.66,68.67;25.66,68.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,67.815;21.07,68.185;22.61,68.185;22.61,67.815)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,67.33;20.34,67.65;20.6,67.65;20.6,67.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.85,71;25.85,75;26.13,75;26.13,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,65.97;27.7,66.29;27.96,66.29;27.96,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.46,66.97;25.46,68.35;25.6,68.35;25.6,66.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,65.63;28.16,65.95;28.42,65.95;28.42,65.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.94,58.15;24.94,58.47;25.2,58.47;25.2,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.02,57.47;24.02,57.79;24.28,57.79;24.28,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,61.19;20.4,63.51;20.54,63.51;20.54,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.24,63.23;22.24,63.93;22.38,63.93;22.38,63.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.46,56.43;25.46,58.49;25.6,58.49;25.6,56.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,62.91;22.18,63.23;22.44,63.23;22.44,62.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,62.375;21.07,62.745;22.61,62.745;22.61,62.375)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,57.81;27.7,58.13;27.96,58.13;27.96,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,60.87;20.34,61.19;20.6,61.19;20.6,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.02,60.87;24.02,61.19;24.28,61.19;24.28,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.4,60.87;25.4,61.19;25.66,61.19;25.66,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,59.49;20.4,60.87;20.54,60.87;20.54,59.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,59.17;20.34,59.49;20.6,59.49;20.6,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,58.15;23.56,58.47;23.82,58.47;23.82,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,57.81;23.1,58.13;23.36,58.13;23.36,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,64.27;21.26,64.59;21.52,64.59;21.52,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,56.935;21.07,57.305;22.61,57.305;22.61,56.935)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,61.21;28.16,61.53;28.42,61.53;28.42,61.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,63.93;20.34,64.25;20.6,64.25;20.6,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,63.93;22.18,64.25;22.44,64.25;22.44,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.23,60.675;27.23,61.045;27.51,61.045;27.51,60.675)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,60.87;27.7,61.19;27.96,61.19;27.96,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,60.51;27.3,60.675;27.44,60.675;27.44,60.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,60.19;27.24,60.51;27.5,60.51;27.5,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,59.15;27.3,60.19;27.44,60.19;27.44,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,58.83;27.24,59.15;27.5,59.15;27.5,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,58.21;28.16,58.47;28.42,58.47;28.42,58.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.08,57.79;24.08,60.87;24.22,60.87;24.22,57.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.4,58.49;25.4,58.81;25.66,58.81;25.66,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,60.85;35.12,64.27;35.26,64.27;35.26,60.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,61.19;35.58,64.61;35.72,64.61;35.72,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,64.27;35.06,64.59;35.32,64.59;35.32,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,64.27;36.44,64.59;36.7,64.59;36.7,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.9,63.93;36.9,64.25;37.16,64.25;37.16,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,63.59;36.44,63.91;36.7,63.91;36.7,63.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,60.87;35.52,61.19;35.78,61.19;35.78,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,60.53;35.06,60.85;35.32,60.85;35.32,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.28,61.87;33.28,64.27;33.42,64.27;33.42,61.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.5,59.15;36.5,63.59;36.64,63.59;36.64,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,58.83;36.44,59.15;36.7,59.15;36.7,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,63.93;33.68,64.25;33.94,64.25;33.94,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.96,58.81;36.96,63.93;37.1,63.93;37.1,58.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.9,58.49;36.9,58.81;37.16,58.81;37.16,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.9,57.47;36.9,57.79;37.16,57.79;37.16,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,56.68;29.6,59.26;29.74,59.26;29.74,56.68)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,58.49;30,58.81;30.26,58.81;30.26,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,58.49;30.92,58.81;31.18,58.81;31.18,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,63.93;34.6,64.25;34.86,64.25;34.86,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,61.55;33.22,61.87;33.48,61.87;33.48,61.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,61.21;32.3,61.53;32.56,61.53;32.56,61.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,60.53;33.22,60.85;33.48,60.85;33.48,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,60.19;32.76,60.51;33.02,60.51;33.02,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,59.49;32.82,60.19;32.96,60.19;32.96,59.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,59.17;32.76,59.49;33.02,59.49;33.02,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,58.15;32.3,58.47;32.56,58.47;32.56,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,64.27;33.22,64.59;33.48,64.59;33.48,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,63.59;32.3,63.91;32.56,63.91;32.56,63.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.36,57.79;32.36,58.15;32.5,58.15;32.5,57.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,58.13;32.82,59.17;32.96,59.17;32.96,58.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,57.81;32.76,58.13;33.02,58.13;33.02,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,57.47;32.3,57.79;32.56,57.79;32.56,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,63.25;30,63.57;30.26,63.57;30.26,63.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.06,61.87;30.06,63.25;30.2,63.25;30.2,61.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,61.55;30,61.87;30.26,61.87;30.26,61.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.14,56.54;29.14,56.68;29.74,56.68;29.74,56.54)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,64.61;35.52,64.93;35.78,64.93;35.78,64.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,58.81;33.74,63.93;33.88,63.93;33.88,58.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,61.55;34.6,61.87;34.86,61.87;34.86,61.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,60.87;34.14,61.19;34.4,61.19;34.4,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.08,60.87;29.08,61.19;29.34,61.19;29.34,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,60.87;30.46,61.19;30.72,61.19;30.72,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.2,59.49;34.2,60.87;34.34,60.87;34.34,59.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,59.17;34.14,59.49;34.4,59.49;34.4,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.36,61.53;32.36,63.59;32.5,63.59;32.5,61.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,58.49;33.68,58.81;33.94,58.81;33.94,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,57.81;33.68,58.13;33.94,58.13;33.94,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.14,58.21;29.14,60.87;29.28,60.87;29.28,58.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,59.26;29.6,59.4;31.12,59.4;31.12,59.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,60.87;31.38,61.19;31.64,61.19;31.64,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,56.285;30.46,56.43;30.72,56.43;30.72,56.285)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.37,71;8.37,75;8.65,75;8.65,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.18,61.19;17.18,65.97;17.32,65.97;17.32,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,64.27;18.5,64.59;18.76,64.59;18.76,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,60.87;17.12,61.19;17.38,61.19;17.38,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.56,58.13;18.56,64.27;18.7,64.27;18.7,58.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.48,64.25;19.48,66.31;19.62,66.31;19.62,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,63.93;19.42,64.25;19.68,64.25;19.68,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,63.25;18.96,63.57;19.22,63.57;19.22,63.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.02,59.15;19.02,63.25;19.16,63.25;19.16,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.48,62.21;19.48,63.93;19.62,63.93;19.62,62.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,63.65;19.94,71;20.08,71;20.08,63.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.35,71.81;14.35,75;14.63,75;14.63,71.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.35,71.67;14.35,71.81;15.94,71.81;15.94,71.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.35,71;14.35,71.67;14.63,71.67;14.63,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,66.31;7.92,66.63;8.18,66.63;8.18,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,66.31;11.14,66.63;11.4,66.63;11.4,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,66.31;13.44,66.63;13.7,66.63;13.7,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,64.27;7.46,64.59;7.72,64.59;7.72,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,63.93;7,64.25;7.26,64.25;7.26,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.06,63.23;7.06,63.93;7.2,63.93;7.2,63.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,62.91;7,63.23;7.26,63.23;7.26,62.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,63.085;7.52,64.27;7.66,64.27;7.66,63.085)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.06,59.15;7.06,62.91;7.2,62.91;7.2,59.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,61.89;19.42,62.21;19.68,62.21;19.68,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.45,62.715;7.45,63.085;7.73,63.085;7.73,62.715)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,61.19;7.98,66.31;8.12,66.31;8.12,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.22,65.97;10.22,66.29;10.48,66.29;10.48,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,65.97;10.68,66.29;10.94,66.29;10.94,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.3,63.93;9.3,64.25;9.56,64.25;9.56,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,60.87;7.92,61.19;8.18,61.19;8.18,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.36,60.51;9.36,63.93;9.5,63.93;9.5,60.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.28,61.19;10.28,65.97;10.42,65.97;10.42,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,62.21;10.74,65.97;10.88,65.97;10.88,62.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.2,64.25;11.2,66.31;11.34,66.31;11.34,64.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,58.83;18.96,59.15;19.22,59.15;19.22,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,63.93;11.14,64.25;11.4,64.25;11.4,63.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,57.81;18.5,58.13;18.76,58.13;18.76,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,61.89;10.68,62.21;10.94,62.21;10.94,61.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,57.47;19.42,57.79;19.68,57.79;19.68,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,61.725;13.5,66.31;13.64,66.31;13.64,61.725)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.43,61.355;13.43,61.725;13.71,61.725;13.71,61.355)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,61.19;13.5,61.355;13.64,61.355;13.64,61.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (2.85,71.81;2.85,75;3.13,75;3.13,71.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.99,72.235;6.99,72.605;7.27,72.605;7.27,72.235)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (2.85,71.67;2.85,71.81;4.44,71.81;4.44,71.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (2.85,71;2.85,71.67;3.13,71.67;3.13,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (4.3,66.97;4.3,71.67;4.44,71.67;4.44,66.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.22,60.87;10.22,61.19;10.48,61.19;10.48,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,60.87;13.44,61.19;13.7,61.19;13.7,60.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.3,60.19;9.3,60.51;9.56,60.51;9.56,60.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.28,59.49;10.28,60.87;10.42,60.87;10.42,59.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.06,60.53;12.06,60.85;12.32,60.85;12.32,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.22,59.17;10.22,59.49;10.48,59.49;10.48,59.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,58.83;7,59.15;7.26,59.15;7.26,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.83,57.955;8.83,58.325;9.11,58.325;9.11,57.955)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,58.15;11.14,58.47;11.4,58.47;11.4,58.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,68.35;7.92,68.67;8.18,68.67;8.18,68.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,67.33;7,67.65;7.26,67.65;7.26,67.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.66,66.97;11.66,68.69;11.8,68.69;11.8,66.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.52,58.49;12.52,58.81;12.78,58.81;12.78,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,58.49;13.44,58.81;13.7,58.81;13.7,58.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.58,58.325;12.58,58.49;12.72,58.49;12.72,58.325)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.51,57.955;12.51,58.325;12.79,58.325;12.79,57.955)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.89,67.475;13.89,67.845;14.17,67.845;14.17,67.475)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,66.65;11.6,66.97;11.86,66.97;11.86,66.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,65.97;14.36,66.29;14.62,66.29;14.62,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,63.57;14.42,65.97;14.56,65.97;14.56,63.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,64.93;15.8,71.67;15.94,71.67;15.94,64.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.87,71;19.87,75;20.15,75;20.15,71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (4.24,66.65;4.24,66.97;4.5,66.97;4.5,66.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,66.63;7.98,68.35;8.12,68.35;8.12,66.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.44,67.31;8.44,71;8.58,71;8.58,67.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,68.69;11.6,69.01;11.86,69.01;11.86,68.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,66.31;19.42,66.63;19.68,66.63;19.68,66.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,65.97;17.12,66.29;17.38,66.29;17.38,65.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,64.61;15.74,64.93;16,64.93;16,64.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,64.27;16.2,64.59;16.46,64.59;16.46,64.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,63.25;14.36,63.57;14.62,63.57;14.62,63.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,60.53;14.36,60.85;14.62,60.85;14.62,60.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,58.13;14.42,60.53;14.56,60.53;14.56,58.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,58.83;15.74,59.15;16,59.15;16,58.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,57.81;14.36,58.13;14.62,58.13;14.62,57.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,56.43;14.42,57.81;14.56,57.81;14.56,56.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,57.79;15.8,58.83;15.94,58.83;15.94,57.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,57.47;15.74,57.79;16,57.79;16,57.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.38,66.99;8.38,67.31;8.64,67.31;8.64,66.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.06,67.65;7.06,72.235;7.2,72.235;7.2,67.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,45.89;7.98,53.05;8.12,53.05;8.12,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.26,44.87;16.26,47.61;16.4,47.61;16.4,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,45.55;17.64,55.09;17.78,55.09;17.78,45.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.04,44.87;13.04,48.23;13.18,48.23;13.18,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,44.87;14.42,49.99;14.56,49.99;14.56,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.88,45.89;14.88,49.65;15.02,49.65;15.02,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,44.53;19.94,49.31;20.08,49.31;20.08,44.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,53.39;13.44,53.71;13.7,53.71;13.7,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,53.05;13.9,53.37;14.16,53.37;14.16,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,52.71;13.44,53.03;13.7,53.03;13.7,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,55.43;16.66,55.75;16.92,55.75;16.92,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,53.71;16.72,55.43;16.86,55.43;16.86,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,55.41;17.64,56.11;17.78,56.11;17.78,55.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,50.99;13.5,52.71;13.64,52.71;13.64,50.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,55.43;19.42,55.75;19.68,55.75;19.68,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,55.09;17.58,55.41;17.84,55.41;17.84,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.04,55.09;18.04,55.41;18.3,55.41;18.3,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,53.39;16.66,53.71;16.92,53.71;16.92,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,51.01;16.66,51.33;16.92,51.33;16.92,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,50.31;16.72,51.01;16.86,51.01;16.86,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,49.99;16.66,50.31;16.92,50.31;16.92,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.26,48.55;16.26,48.69;16.86,48.69;16.86,48.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,47.61;16.2,47.93;16.46,47.93;16.46,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,49.99;18.5,50.31;18.76,50.31;18.76,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.05,50.475;12.05,50.845;12.33,50.845;12.33,50.475)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,50.67;13.44,50.99;13.7,50.99;13.7,50.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.12,50.31;12.12,50.475;12.26,50.475;12.26,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.06,49.99;12.06,50.31;12.32,50.31;12.32,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.56,47.93;18.56,49.99;18.7,49.99;18.7,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.1,53.71;18.1,55.09;18.24,55.09;18.24,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.04,53.39;18.04,53.71;18.3,53.71;18.3,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.48,53.37;19.48,55.43;19.62,55.43;19.62,53.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.12,48.37;12.12,49.99;12.26,49.99;12.26,48.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,49.97;13.96,53.05;14.1,53.05;14.1,49.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,51.33;14.42,53.82;14.56,53.82;14.56,51.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,52.03;15.74,52.35;16,52.35;16,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,51.01;14.36,51.33;14.62,51.33;14.62,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,49.99;14.36,50.31;14.62,50.31;14.62,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,49.65;13.9,49.97;14.16,49.97;14.16,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.12,48.23;12.12,48.37;13.18,48.37;13.18,48.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.88,49.31;19.88,49.63;20.14,49.63;20.14,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,47.25;13.96,49.65;14.1,49.65;14.1,47.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,46.93;13.9,47.25;14.16,47.25;14.16,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,53.96;13.9,54.05;14.16,54.05;14.16,53.96)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.82,49.65;14.82,49.97;15.08,49.97;15.08,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,53.82;13.9,53.96;14.56,53.96;14.56,53.82)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,49.63;15.8,52.03;15.94,52.03;15.94,49.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,49.31;15.74,49.63;16,49.63;16,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,47.61;18.5,47.93;18.76,47.93;18.76,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,53.73;13.9,53.82;14.16,53.82;14.16,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.06,55.43;12.06,55.75;12.32,55.75;12.32,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.12,54.05;12.12,55.43;12.26,55.43;12.26,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,54.75;13.44,55.07;13.7,55.07;13.7,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.06,53.73;12.06,54.05;12.32,54.05;12.32,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,53.71;13.5,54.75;13.64,54.75;13.64,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,53.05;19.42,53.37;19.68,53.37;19.68,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,49.31;10.68,49.63;10.94,49.63;10.94,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,51.01;7,51.33;7.26,51.33;7.26,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,50.65;7.52,52.03;7.66,52.03;7.66,50.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,50.33;7.46,50.65;7.72,50.65;7.72,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,47.93;7.52,50.33;7.66,50.33;7.66,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,48.805;10.74,49.31;10.88,49.31;10.88,48.805)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.67,48.435;10.67,48.805;10.95,48.805;10.95,48.435)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,52.03;10.68,52.35;10.94,52.35;10.94,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,47.61;7.46,47.93;7.72,47.93;7.72,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.91,53.195;7.91,53.565;8.19,53.565;8.19,53.195)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,55.43;11.14,55.75;11.4,55.75;11.4,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,53.05;7.92,53.195;8.18,53.195;8.18,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,49.63;10.74,52.03;10.88,52.03;10.88,49.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,52.37;7,52.69;7.26,52.69;7.26,52.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.06,51.33;7.06,52.37;7.2,52.37;7.2,51.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,47.95;8.84,48.27;9.1,48.27;9.1,47.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,52.03;7.46,52.35;7.72,52.35;7.72,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,43.87;8.84,44.19;9.1,44.19;9.1,43.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,44.045;10.68,44.19;10.94,44.19;10.94,44.045)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,45.57;7.92,45.89;8.18,45.89;8.18,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,42.17;7.46,42.49;7.72,42.49;7.72,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,40.45;7.52,42.17;7.66,42.17;7.66,40.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,40.13;7.46,40.45;7.72,40.45;7.72,40.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.9,39.43;8.9,43.87;9.04,43.87;9.04,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.67,43.675;10.67,44.045;10.95,44.045;10.95,43.675)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,39.11;8.84,39.43;9.1,39.43;9.1,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.38,38.77;8.38,39.09;8.64,39.09;8.64,38.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.28,39.11;15.28,39.43;15.54,39.43;15.54,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,39.43;19.94,44.21;20.08,44.21;20.08,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.28,38.43;15.28,38.75;15.54,38.75;15.54,38.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,44.55;16.2,44.87;16.46,44.87;16.46,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.82,45.57;14.82,45.89;15.08,45.89;15.08,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,45.23;17.58,45.55;17.84,45.55;17.84,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.98,44.55;12.98,44.87;13.24,44.87;13.24,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.88,39.11;19.88,39.43;20.14,39.43;20.14,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,38.43;14.36,38.75;14.62,38.75;14.62,38.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,44.55;14.36,44.87;14.62,44.87;14.62,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.82,41.49;14.82,41.81;15.08,41.81;15.08,41.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.88,44.21;19.88,44.53;20.14,44.53;20.14,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.28,41.15;15.28,41.47;15.54,41.47;15.54,41.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.34,39.965;15.34,41.15;15.48,41.15;15.48,39.965)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.27,39.595;15.27,39.965;15.55,39.965;15.55,39.595)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,39.45;18.96,39.77;19.22,39.77;19.22,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,38.77;17.58,39.09;17.84,39.09;17.84,38.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,37.81;17.64,38.77;17.78,38.77;17.78,37.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,38.43;18.5,38.75;18.76,38.75;18.76,38.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.18,37.73;17.18,37.81;17.78,37.81;17.78,37.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.56,37.73;18.56,38.43;18.7,38.43;18.7,37.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,37.67;17.12,37.73;17.78,37.73;17.78,37.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.34,39.43;15.34,39.595;15.48,39.595;15.48,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,46.59;33.22,46.91;33.48,46.91;33.48,46.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,45.21;35.12,48.29;35.26,48.29;35.26,45.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,45.89;35.58,49.99;35.72,49.99;35.72,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25,42.83;25,49.65;25.14,49.65;25.14,42.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,45.23;28.62,45.55;28.88,45.55;28.88,45.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,44.87;29.6,47.27;29.74,47.27;29.74,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,46.59;30.92,46.91;31.18,46.91;31.18,46.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,43.87;28.62,44.19;28.88,44.19;28.88,43.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.36,45.21;32.36,48.29;32.5,48.29;32.5,45.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,45.89;32.82,55.235;32.96,55.235;32.96,45.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.92,44.53;25.92,47.61;26.06,47.61;26.06,44.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.38,44.87;26.38,47.95;26.52,47.95;26.52,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.76,43.17;27.76,49.99;27.9,49.99;27.9,43.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.04,43.08;36.04,47.27;36.18,47.27;36.18,43.08)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,53.73;31.38,54.05;31.64,54.05;31.64,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.44,52.69;31.44,53.73;31.58,53.73;31.58,52.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,52.37;31.38,52.69;31.64,52.69;31.64,52.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,52.03;30,52.35;30.26,52.35;30.26,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,52.03;30.92,52.35;31.18,52.35;31.18,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,49.63;30.98,52.03;31.12,52.03;31.12,49.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,55.77;36.44,56.09;36.7,56.09;36.7,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,55.43;35.06,55.75;35.32,55.75;35.32,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,53.71;35.12,55.43;35.26,55.43;35.26,53.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,55.09;36.44,55.41;36.7,55.41;36.7,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,53.39;35.06,53.71;35.32,53.71;35.32,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.5,53.03;36.5,55.09;36.64,55.09;36.64,53.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.9,53.39;36.9,53.71;37.16,53.71;37.16,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,52.94;35.52,53.03;35.78,53.03;35.78,52.94)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,52.8;35.12,52.94;35.78,52.94;35.78,52.8)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,48.61;35.12,52.8;35.26,52.8;35.26,48.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,52.71;35.52,52.8;35.78,52.8;35.78,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,52.71;36.44,53.03;36.7,53.03;36.7,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.96,52.69;36.96,53.39;37.1,53.39;37.1,52.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.9,52.37;36.9,52.69;37.16,52.69;37.16,52.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.97,51.835;35.97,52.205;36.25,52.205;36.25,51.835)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.04,51.33;36.04,51.835;36.18,51.835;36.18,51.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,51.01;35.98,51.33;36.24,51.33;36.24,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,49.99;35.52,50.31;35.78,50.31;35.78,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,48.29;35.06,48.61;35.32,48.61;35.32,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.28,47.93;33.28,48.435;33.42,48.435;33.42,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,47.93;33.74,49.65;33.88,49.65;33.88,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,49.31;35.98,49.63;36.24,49.63;36.24,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.04,48.125;36.04,49.31;36.18,49.31;36.18,48.125)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,47.61;33.22,47.93;33.48,47.93;33.48,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,55.09;29.54,55.41;29.8,55.41;29.8,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,54.05;29.6,55.09;29.74,55.09;29.74,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,55.32;30.46,55.41;30.72,55.41;30.72,55.32)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,55.18;30.46,55.32;31.12,55.32;31.12,55.18)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,55.09;30.46,55.18;30.72,55.18;30.72,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,54.75;30,55.07;30.26,55.07;30.26,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.08,53.73;29.08,54.05;29.34,54.05;29.34,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,53.73;29.54,54.05;29.8,54.05;29.8,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.14,51.33;29.14,53.73;29.28,53.73;29.28,51.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,55.605;32.76,55.75;33.02,55.75;33.02,55.605)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.75,55.235;32.75,55.605;33.03,55.605;33.03,55.235)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,53.39;32.3,53.71;32.56,53.71;32.56,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.84,49.99;31.84,50.31;32.1,50.31;32.1,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,49.31;30.92,49.63;31.18,49.63;31.18,49.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,48.29;32.3,48.61;32.56,48.61;32.56,48.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.07,47.075;29.07,47.445;29.35,47.445;29.35,47.075)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,47.27;29.54,47.59;29.8,47.59;29.8,47.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,47.61;33.68,47.93;33.94,47.93;33.94,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.13,47.075;34.13,47.445;34.41,47.445;34.41,47.075)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,46.93;34.14,47.075;34.4,47.075;34.4,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.21,48.435;33.21,48.805;33.49,48.805;33.49,48.435)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,52.71;29.54,53.03;29.8,53.03;29.8,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.08,51.01;29.08,51.33;29.34,51.33;29.34,51.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.14,47.445;29.14,51.01;29.28,51.01;29.28,47.445)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,47.59;29.6,52.71;29.74,52.71;29.74,47.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.06,52.35;30.06,54.75;30.2,54.75;30.2,52.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,52.35;30.98,55.18;31.12,55.18;31.12,52.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,55.09;31.38,55.41;31.64,55.41;31.64,55.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.97,47.755;35.97,48.125;36.25,48.125;36.25,47.755)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,47.27;35.98,47.59;36.24,47.59;36.24,47.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.44,54.05;31.44,55.09;31.58,55.09;31.58,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,54.75;33.68,55.07;33.94,55.07;33.94,54.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,53.37;33.74,54.75;33.88,54.75;33.88,53.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,53.05;33.68,53.37;33.94,53.37;33.94,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,49.99;33.22,50.31;33.48,50.31;33.48,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,49.97;33.74,53.05;33.88,53.05;33.88,49.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,52.71;34.14,53.03;34.4,53.03;34.4,52.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.2,50.31;34.2,52.71;34.34,52.71;34.34,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,49.99;34.14,50.31;34.4,50.31;34.4,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,49.65;33.68,49.97;33.94,49.97;33.94,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.16,50.65;23.16,52.03;23.3,52.03;23.3,50.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,50.33;23.1,50.65;23.36,50.65;23.36,50.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.7,54.05;22.7,55.77;22.84,55.77;22.84,54.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,53.73;22.64,54.05;22.9,54.05;22.9,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,49.65;22.64,49.97;22.9,49.97;22.9,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.86,55.77;25.86,56.09;26.12,56.09;26.12,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.02,55.43;24.02,55.75;24.28,55.75;24.28,55.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,53.73;23.56,54.05;23.82,54.05;23.82,53.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,53.39;23.1,53.71;23.36,53.71;23.36,53.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,49.99;26.78,50.31;27.04,50.31;27.04,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,49.99;27.7,50.31;27.96,50.31;27.96,49.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.94,53.05;24.94,53.37;25.2,53.37;25.2,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25,52.885;25,53.05;25.14,53.05;25.14,52.885)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.32,47.95;26.32,48.27;26.58,48.27;26.58,47.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.86,47.61;25.86,47.93;26.12,47.93;26.12,47.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.93,52.515;24.93,52.885;25.21,52.885;25.21,52.515)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,52.03;23.1,52.35;23.36,52.35;23.36,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.7,48.27;22.7,49.65;22.84,49.65;22.84,48.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.94,49.65;24.94,49.97;25.2,49.97;25.2,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.92,47.93;25.92,49.65;26.06,49.65;26.06,47.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.38,48.27;26.38,53.05;26.52,53.05;26.52,48.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,52.03;26.78,52.35;27.04,52.35;27.04,52.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.84,50.31;26.84,52.03;26.98,52.03;26.98,50.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,47.95;22.64,48.27;22.9,48.27;22.9,47.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.7,47.25;22.7,47.95;22.84,47.95;22.84,47.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,55.77;22.64,56.09;22.9,56.09;22.9,55.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,46.93;22.64,47.25;22.9,47.25;22.9,46.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,51.495;21.07,51.865;22.61,51.865;22.61,51.495)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.86,49.65;25.86,49.97;26.12,49.97;26.12,49.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.32,53.05;26.32,53.37;26.58,53.37;26.58,53.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,41.75;20.4,41.89;21.46,41.89;21.46,41.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,39.77;20.4,41.75;20.54,41.75;20.54,39.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,44.21;21.26,44.53;21.52,44.53;21.52,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.68,44.19;28.68,45.23;28.82,45.23;28.82,44.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,40.615;21.07,40.985;22.61,40.985;22.61,40.615)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.16,39.77;23.16,44.55;23.3,44.55;23.3,39.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.32,42.49;21.32,44.21;21.46,44.21;21.46,42.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,42.85;27.7,43.17;27.96,43.17;27.96,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.94,42.51;24.94,42.83;25.2,42.83;25.2,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,42.51;27.24,42.83;27.5,42.83;27.5,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,39.45;20.34,39.77;20.6,39.77;20.6,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.32,44.55;26.32,44.87;26.58,44.87;26.58,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.86,44.21;25.86,44.53;26.12,44.53;26.12,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,43.87;27.24,44.19;27.5,44.19;27.5,43.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,42.83;27.3,43.87;27.44,43.87;27.44,42.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,39.45;23.1,39.77;23.36,39.77;23.36,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25,39.43;25,42.51;25.14,42.51;25.14,39.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.32,42.17;26.32,42.49;26.58,42.49;26.58,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.38,40.45;26.38,42.17;26.52,42.17;26.52,40.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,42.17;21.26,42.49;21.52,42.49;21.52,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,46.055;21.07,46.425;22.61,46.425;22.61,46.055)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.32,40.13;26.32,40.45;26.58,40.45;26.58,40.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,40.11;27.3,42.51;27.44,42.51;27.44,40.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,39.79;27.24,40.11;27.5,40.11;27.5,39.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,44.55;23.1,44.87;23.36,44.87;23.36,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.94,39.11;24.94,39.43;25.2,39.43;25.2,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.32,41.89;21.32,42.17;21.46,42.17;21.46,41.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,38.77;27.24,39.09;27.5,39.09;27.5,38.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.52,39.77;30.52,39.85;31.12,39.85;31.12,39.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,39.71;30.46,39.77;31.12,39.77;31.12,39.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,39.45;30.46,39.71;30.72,39.71;30.72,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,39.11;34.14,39.43;34.4,39.43;34.4,39.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,42.49;30.98,46.59;31.12,46.59;31.12,42.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,38.43;31.38,38.75;31.64,38.75;31.64,38.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,45.57;32.76,45.89;33.02,45.89;33.02,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.2,37.73;34.2,39.11;34.34,39.11;34.34,37.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,44.55;29.54,44.87;29.8,44.87;29.8,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,44.89;32.3,45.21;32.56,45.21;32.56,44.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,44.78;32.76,44.87;33.02,44.87;33.02,44.78)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.28,44.78;33.28,46.59;33.42,46.59;33.42,44.78)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,39.45;35.98,39.77;36.24,39.77;36.24,39.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,44.64;32.76,44.78;33.42,44.78;33.42,44.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.9,38.43;36.9,38.75;37.16,38.75;37.16,38.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,44.55;32.76,44.64;33.02,44.64;33.02,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,42.94;34.66,43.08;36.18,43.08;36.18,42.94)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,42.49;32.82,42.85;32.96,42.85;32.96,42.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,45.57;35.52,45.89;35.78,45.89;35.78,45.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,44.89;35.06,45.21;35.32,45.21;35.32,44.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,44.87;35.58,45.57;35.72,45.57;35.72,44.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,44.55;35.52,44.87;35.78,44.87;35.78,44.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,44.3;34.14,44.44;34.8,44.44;34.8,44.3)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,44.21;34.14,44.3;34.4,44.3;34.4,44.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,42.85;32.76,43.17;33.02,43.17;33.02,42.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,42.74;34.14,42.83;34.4,42.83;34.4,42.74)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,42.74;34.66,42.94;34.8,42.94;34.8,42.74)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,44.44;34.14,44.53;34.4,44.53;34.4,44.44)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,42.6;34.14,42.74;34.8,42.74;34.8,42.6)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,42.51;34.14,42.6;34.4,42.6;34.4,42.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,42.17;30.92,42.49;31.18,42.49;31.18,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,42.17;32.76,42.49;33.02,42.49;33.02,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,42.17;35.06,42.49;35.32,42.49;35.32,42.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,39.85;30.98,42.17;31.12,42.17;31.12,39.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,41.83;34.6,42.15;34.86,42.15;34.86,41.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,18.69;33.74,20.5;33.88,20.5;33.88,18.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.2,18.01;34.2,19.73;34.34,19.73;34.34,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,15.29;35.58,25.17;35.72,25.17;35.72,15.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.26,16.99;16.26,22.11;16.4,22.11;16.4,16.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.18,12.91;17.18,19.73;17.32,19.73;17.32,12.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,18.01;17.64,20.41;17.78,20.41;17.78,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.1,12.57;18.1,19.875;18.24,19.875;18.24,12.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.48,6.79;19.48,34.835;19.62,34.835;19.62,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,26.17;19.94,26.25;20.54,26.25;20.54,26.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,26.11;19.94,26.17;20.6,26.17;20.6,26.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,18.01;20.4,25.85;20.54,25.85;20.54,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.54,7.13;24.54,19.875;24.68,19.875;24.68,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.84,17.67;26.84,21.09;26.98,21.09;26.98,17.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,17.33;27.3,20.41;27.44,20.41;27.44,17.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.68,17.67;28.68,20.75;28.82,20.75;28.82,17.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,14.27;30.98,23.47;31.12,23.47;31.12,14.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,17.67;7.98,22.79;8.12,22.79;8.12,17.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.44,18.69;8.44,22.79;8.58,22.79;8.58,18.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.36,16.99;9.36,20.41;9.5,20.41;9.5,16.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.28,18.01;10.28,20.41;10.42,20.41;10.42,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,18.01;13.96,20.75;14.1,20.75;14.1,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,36.73;28.62,36.82;28.88,36.82;28.88,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,36.05;28.62,36.37;28.88,36.37;28.88,36.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,27.89;22.18,28.21;22.44,28.21;22.44,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.16,26.51;23.16,28.57;23.3,28.57;23.3,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.76,27.87;27.76,31.97;27.9,31.97;27.9,27.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,36.82;28.22,36.96;28.88,36.96;28.88,36.82)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,24.13;28.22,34.01;28.36,34.01;28.36,24.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,31.29;28.62,31.61;28.88,31.61;28.88,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,29.25;28.62,29.57;28.88,29.57;28.88,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.68,23.11;28.68,29.25;28.82,29.25;28.82,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,26.51;29.6,28.23;29.74,28.23;29.74,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.06,26.17;30.06,28.57;30.2,28.57;30.2,26.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,27.89;30.46,28.21;30.72,28.21;30.72,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,23.79;30.98,28.23;31.12,28.23;31.12,23.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,22.79;28.62,23.11;28.88,23.11;28.88,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,20.75;28.62,21.07;28.88,21.07;28.88,20.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,23.11;33.74,30.95;33.88,30.95;33.88,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,23.36;34.66,31.63;34.8,31.63;34.8,23.36)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,36.96;28.62,37.05;28.88,37.05;28.88,36.96)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,31.29;32.3,31.61;32.56,31.61;32.56,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,31.27;33.74,37.07;33.88,37.07;33.88,31.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,36.73;35.98,37.05;36.24,37.05;36.24,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,36.39;35.06,36.71;35.32,36.71;35.32,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,34.01;34.6,34.33;34.86,34.33;34.86,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,31.95;34.66,34.01;34.8,34.01;34.8,31.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.04,33.99;36.04,36.73;36.18,36.73;36.18,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.9,34.69;36.9,35.01;37.16,35.01;37.16,34.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,33.67;35.98,33.99;36.24,33.99;36.24,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,31.63;34.6,31.95;34.86,31.95;34.86,31.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,30.95;33.68,31.27;33.94,31.27;33.94,30.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,29.34;29.6,29.48;30.66,29.48;30.66,29.34)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.52,32.29;30.52,36.39;30.66,36.39;30.66,32.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,28.55;29.6,29.34;29.74,29.34;29.74,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,28.57;30,28.89;30.26,28.89;30.26,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,28.23;29.54,28.55;29.8,28.55;29.8,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,34.01;32.3,34.33;32.56,34.33;32.56,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,31.97;30.46,32.29;30.72,32.29;30.72,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,28.23;30.92,28.55;31.18,28.55;31.18,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,36.73;31.38,37.05;31.64,37.05;31.64,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,36.39;30.46,36.71;30.72,36.71;30.72,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.52,29.48;30.52,31.97;30.66,31.97;30.66,29.48)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.36,31.61;32.36,34.01;32.5,34.01;32.5,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,33.33;32.76,33.65;33.02,33.65;33.02,33.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,32.29;32.82,33.33;32.96,33.33;32.96,32.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,37.07;33.68,37.39;33.94,37.39;33.94,37.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,37.07;34.6,37.39;34.86,37.39;34.86,37.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,31.97;32.76,32.29;33.02,32.29;33.02,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,35.71;23.1,36.03;23.36,36.03;23.36,35.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.32,35.71;26.32,36.03;26.58,36.03;26.58,35.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,35.175;21.07,35.545;22.61,35.545;22.61,35.175)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,34.69;28.16,35.01;28.42,35.01;28.42,34.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,34.01;28.16,34.33;28.42,34.33;28.42,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.32,33.67;26.32,33.99;26.58,33.99;26.58,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,31.97;27.7,32.29;27.96,32.29;27.96,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,30.95;26.78,31.27;27.04,31.27;27.04,30.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,30.5;23.1,30.59;23.36,30.59;23.36,30.5)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,30.36;23.1,30.5;23.76,30.5;23.76,30.36)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,30.27;23.1,30.36;23.36,30.36;23.36,30.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,29.735;21.07,30.105;22.61,30.105;22.61,29.735)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,28.91;20.34,29.23;20.6,29.23;20.6,28.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,28.57;23.1,28.89;23.36,28.89;23.36,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.8,34.69;20.8,35.01;21.06,35.01;21.06,34.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.86,33.99;20.86,34.69;21,34.69;21,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.62,28.55;23.62,30.36;23.76,30.36;23.76,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.84,29.57;26.84,30.95;26.98,30.95;26.98,29.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,29.25;26.78,29.57;27.04,29.57;27.04,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,28.23;23.56,28.55;23.82,28.55;23.82,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.8,33.67;20.8,33.99;21.06,33.99;21.06,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,32.99;20.34,33.31;20.6,33.31;20.6,32.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.33,31.435;20.33,31.805;20.61,31.805;20.61,31.435)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.68,31.61;28.68,36.05;28.82,36.05;28.82,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,31.27;20.4,31.435;20.54,31.435;20.54,31.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,30.95;20.34,31.27;20.6,31.27;20.6,30.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.86,36.28;20.86,36.73;21,36.73;21,36.28)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,29.23;20.4,30.95;20.54,30.95;20.54,29.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.16,30.59;23.16,35.71;23.3,35.71;23.3,30.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,36.14;20.4,36.28;21,36.28;21,36.14)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.8,36.73;20.8,37.05;21.06,37.05;21.06,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,36.73;27.24,37.05;27.5,37.05;27.5,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.69,36.875;27.69,37.245;27.97,37.245;27.97,36.875)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.38,33.99;26.38,35.71;26.52,35.71;26.52,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,35.01;28.22,36.82;28.36,36.82;28.36,35.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,33.31;20.4,36.14;20.54,36.14;20.54,33.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,36.73;27.7,36.875;27.96,36.875;27.96,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.84,21.41;26.84,25.85;26.98,25.85;26.98,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,21.09;23.56,21.41;23.82,21.41;23.82,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,21.09;26.78,21.41;27.04,21.41;27.04,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,20.41;21.26,20.73;21.52,20.73;21.52,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,20.64;22.64,20.73;22.9,20.73;22.9,20.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.62,20.64;23.62,21.09;23.76,21.09;23.76,20.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,20.5;22.64,20.64;23.76,20.64;23.76,20.5)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.08,20.67;24.08,20.81;25.14,20.81;25.14,20.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,20.41;22.64,20.5;22.9,20.5;22.9,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.08,20.05;24.08,20.67;24.22,20.67;24.22,20.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,23.81;28.16,24.13;28.42,24.13;28.42,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,23.45;28.22,23.81;28.36,23.81;28.36,23.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,23.13;28.16,23.45;28.42,23.45;28.42,23.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.48,20.245;24.48,20.39;24.74,20.39;24.74,20.245)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.02,19.73;24.02,20.05;24.28,20.05;24.28,19.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.47,19.875;24.47,20.245;24.75,20.245;24.75,19.875)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25,20.05;25,20.67;25.14,20.67;25.14,20.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,18.855;21.07,19.225;22.61,19.225;22.61,18.855)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.94,19.73;24.94,20.05;25.2,20.05;25.2,19.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,26.53;20.34,26.85;20.6,26.85;20.6,26.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,20.73;27.3,26.19;27.44,26.19;27.44,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.24,26.51;22.24,27.89;22.38,27.89;22.38,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,27.55;20.34,27.87;20.6,27.87;20.6,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,22.11;27.7,22.43;27.96,22.43;27.96,22.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.76,21.41;27.76,22.11;27.9,22.11;27.9,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.68,21.49;28.68,22.79;28.82,22.79;28.82,21.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,21.09;27.7,21.41;27.96,21.41;27.96,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,21.35;28.22,21.49;28.82,21.49;28.82,21.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,20.41;27.24,20.73;27.5,20.73;27.5,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,20.245;28.22,21.35;28.36,21.35;28.36,20.245)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,26.85;20.4,27.55;20.54,27.55;20.54,26.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.15,19.875;28.15,20.245;28.43,20.245;28.43,19.875)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.7,27.55;27.7,27.87;27.96,27.87;27.96,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,26.19;22.18,26.51;22.44,26.51;22.44,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,26.19;23.1,26.51;23.36,26.51;23.36,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,26.19;27.24,26.51;27.5,26.51;27.5,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,25.85;20.34,26.11;20.6,26.11;20.6,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,25.85;26.78,26.17;27.04,26.17;27.04,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,24.295;21.07,24.665;22.61,24.665;22.61,24.295)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,22.79;21.26,23.11;21.52,23.11;21.52,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.32,20.73;21.32,22.79;21.46,22.79;21.46,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,22.11;22.64,22.43;22.9,22.43;22.9,22.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.7,20.73;22.7,22.11;22.84,22.11;22.84,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,26.19;29.54,26.51;29.8,26.51;29.8,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,23.22;34.14,23.36;34.8,23.36;34.8,23.22)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.52,21.41;30.52,22.79;30.66,22.79;30.66,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,20.64;33.74,22.79;33.88,22.79;33.88,20.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.2,20.05;34.2,23.13;34.34,23.13;34.34,20.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,23.13;34.14,23.22;34.4,23.22;34.4,23.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,21.09;30.46,21.41;30.72,21.41;30.72,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30,25.85;30,26.17;30.26,26.17;30.26,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,24.83;29.54,25.15;29.8,25.15;29.8,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,19.73;34.14,20.05;34.4,20.05;34.4,19.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,23.11;29.6,24.83;29.74,24.83;29.74,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.28,21.41;33.28,23.81;33.42,23.81;33.42,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.52,23.11;30.52,27.89;30.66,27.89;30.66,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,23.36;34.14,23.45;34.4,23.45;34.4,23.36)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,20.64;33.22,20.73;33.48,20.73;33.48,20.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,23.81;33.22,24.13;33.48,24.13;33.48,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,22.45;35.98,22.77;36.24,22.77;36.24,22.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,23.47;30.92,23.79;31.18,23.79;31.18,23.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,20.41;33.22,20.5;33.48,20.5;33.48,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,22.79;29.54,23.11;29.8,23.11;29.8,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.04,20.39;36.04,22.45;36.18,22.45;36.18,20.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,20.07;35.98,20.39;36.24,20.39;36.24,20.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,25.17;35.52,25.49;35.78,25.49;35.78,25.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.46,22.79;30.46,23.11;30.72,23.11;30.72,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,20.5;33.22,20.64;33.88,20.64;33.88,20.5)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,22.79;33.68,23.11;33.94,23.11;33.94,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.22,21.09;33.22,21.41;33.48,21.41;33.48,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.56,21.07;18.56,33.76;18.7,33.76;18.7,21.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.88,27.89;19.88,28.21;20.14,28.21;20.14,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,26.17;7.52,28.23;7.66,28.23;7.66,26.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,26.17;7.98,28.23;8.12,28.23;8.12,26.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,26.93;10.74,28.15;10.88,28.15;10.88,26.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.82,26.51;9.82,31.29;9.96,31.29;9.96,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,26.85;13.96,28.57;14.1,28.57;14.1,26.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,27.89;14.36,28.21;14.62,28.21;14.62,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.04,27.89;18.04,28.21;18.3,28.21;18.3,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.88,31.63;19.88,31.95;20.14,31.95;20.14,31.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,33.67;16.66,33.99;16.92,33.99;16.92,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,33.9;18.96,33.99;19.22,33.99;19.22,33.9)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.56,33.76;18.56,33.9;19.22,33.9;19.22,33.76)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,34.01;13.9,34.33;14.16,34.33;14.16,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,33.67;18.96,33.76;19.22,33.76;19.22,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,33.67;14.36,33.99;14.62,33.99;14.62,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.28,31.97;15.28,32.29;15.54,32.29;15.54,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,30.61;15.74,30.93;16,30.93;16,30.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.52,31.29;12.52,31.61;12.78,31.61;12.78,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.97,31.435;12.97,31.805;13.25,31.805;13.25,31.435)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,28.91;11.6,29.23;11.86,29.23;11.86,28.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,28.57;13.9,28.89;14.16,28.89;14.16,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,31.29;13.44,31.61;13.7,31.61;13.7,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,30.27;11.6,30.59;11.86,30.59;11.86,30.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.66,29.23;11.66,30.27;11.8,30.27;11.8,29.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.95,36.875;18.95,37.245;19.23,37.245;19.23,36.875)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,36.39;17.58,36.71;17.84,36.71;17.84,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,35.01;17.64,36.39;17.78,36.39;17.78,35.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,34.69;17.58,35.01;17.84,35.01;17.84,34.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.02,33.99;19.02,36.875;19.16,36.875;19.16,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.41,34.835;19.41,35.205;19.69,35.205;19.69,34.835)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,29.57;13.5,31.29;13.64,31.29;13.64,29.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,28.89;15.8,30.61;15.94,30.61;15.94,28.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,28.57;15.74,28.89;16,28.89;16,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.88,34.69;19.88,35.01;20.14,35.01;20.14,34.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.88,34.01;19.88,34.33;20.14,34.33;20.14,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,31.95;19.94,34.01;20.08,34.01;20.08,31.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.58,31.61;12.58,32.99;12.72,32.99;12.72,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.98,36.39;12.98,36.71;13.24,36.71;13.24,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,36.39;13.9,36.71;14.16,36.71;14.16,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.28,37.07;15.28,37.39;15.54,37.39;15.54,37.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.28,36.39;15.28,36.71;15.54,36.71;15.54,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.34,32.29;15.34,36.39;15.48,36.39;15.48,32.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.52,32.99;12.52,33.31;12.78,33.31;12.78,32.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.04,31.805;13.04,36.39;13.18,36.39;13.18,31.805)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,29.25;13.44,29.57;13.7,29.57;13.7,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,34.33;13.96,36.39;14.1,36.39;14.1,34.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,30.61;11.14,30.93;11.4,30.93;11.4,30.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,36.05;8.84,36.37;9.1,36.37;9.1,36.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,35.71;7.46,36.03;7.72,36.03;7.72,35.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,33.31;7.52,35.71;7.66,35.71;7.66,33.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,32.99;7.46,33.31;7.72,33.31;7.72,32.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.22,28.23;10.22,28.55;10.48,28.55;10.48,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.28,28.55;10.28,31.97;10.42,31.97;10.42,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.2,28.29;11.2,30.61;11.34,30.61;11.34,28.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,30.445;7,30.59;7.26,30.59;7.26,30.445)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.99,30.075;6.99,30.445;7.27,30.445;7.27,30.075)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.06,28.89;7.06,30.075;7.2,30.075;7.2,28.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,28.57;7,28.89;7.26,28.89;7.26,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,28.55;7.52,32.99;7.66,32.99;7.66,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.9,31.61;8.9,36.05;9.04,36.05;9.04,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.76,35.71;9.76,36.03;10.02,36.03;10.02,35.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.82,35.01;9.82,35.71;9.96,35.71;9.96,35.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.76,34.69;9.76,35.01;10.02,35.01;10.02,34.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.38,36.73;8.38,37.05;8.64,37.05;8.64,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.22,31.97;10.22,32.29;10.48,32.29;10.48,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,31.29;8.84,31.61;9.1,31.61;9.1,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.76,31.29;9.76,31.61;10.02,31.61;10.02,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,29.25;7.92,29.57;8.18,29.57;8.18,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,28.55;7.98,29.25;8.12,29.25;8.12,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,28.23;7.46,28.55;7.72,28.55;7.72,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,28.23;7.92,28.55;8.18,28.55;8.18,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,36.73;8.84,37.05;9.1,37.05;9.1,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.9,36.37;8.9,36.73;9.04,36.73;9.04,36.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,28.15;10.74,28.29;11.34,28.29;11.34,28.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,24.83;8.84,25.15;9.1,25.15;9.1,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,23.81;7,24.13;7.26,24.13;7.26,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,22.79;7.92,23.11;8.18,23.11;8.18,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.38,22.79;8.38,23.11;8.64,23.11;8.64,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,27.55;11.14,27.87;11.4,27.87;11.4,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.28,26.79;10.28,26.93;10.88,26.93;10.88,26.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.3,20.41;9.3,20.73;9.56,20.73;9.56,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.22,20.41;10.22,20.73;10.48,20.73;10.48,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,20.41;11.14,20.73;11.4,20.73;11.4,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,20.07;8.84,20.39;9.1,20.39;9.1,20.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.9,20.39;8.9,24.83;9.04,24.83;9.04,20.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.28,20.73;10.28,26.79;10.42,26.79;10.42,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.2,19.71;11.2,20.41;11.34,20.41;11.34,19.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.14,19.39;11.14,19.71;11.4,19.71;11.4,19.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,25.85;10.68,26.17;10.94,26.17;10.94,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,23.11;10.74,25.85;10.88,25.85;10.88,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,22.79;10.68,23.11;10.94,23.11;10.94,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.2,20.73;11.2,27.55;11.34,27.55;11.34,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.76,26.19;9.76,26.51;10.02,26.51;10.02,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,25.85;7.46,26.17;7.72,26.17;7.72,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,25.85;7.92,26.17;8.18,26.17;8.18,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.99,25.315;6.99,25.685;7.27,25.685;7.27,25.315)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.06,24.13;7.06,25.315;7.2,25.315;7.2,24.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,24.13;13.96,26.53;14.1,26.53;14.1,24.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,23.81;13.9,24.13;14.16,24.13;14.16,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.06,22.79;12.06,23.11;12.32,23.11;12.32,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,22.11;11.6,22.43;11.86,22.43;11.86,22.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.66,20.73;11.66,22.11;11.8,22.11;11.8,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.12,21.41;12.12,22.79;12.26,22.79;12.26,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,22.45;13.44,22.77;13.7,22.77;13.7,22.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,22.45;13.9,22.77;14.16,22.77;14.16,22.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.06,21.09;12.06,21.41;12.32,21.41;12.32,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,20.73;13.5,22.45;13.64,22.45;13.64,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,21.07;13.96,22.45;14.1,22.45;14.1,21.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,20.75;13.9,21.07;14.16,21.07;14.16,20.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.26,22.43;16.26,23.81;16.4,23.81;16.4,22.43)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,23.11;16.72,25.94;16.86,25.94;16.86,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.18,25.15;17.18,27.55;17.32,27.55;17.32,25.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,20.41;11.6,20.73;11.86,20.73;11.86,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,20.41;13.44,20.73;13.7,20.73;13.7,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.1,26.51;18.1,27.89;18.24,27.89;18.24,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.04,26.19;18.04,26.51;18.3,26.51;18.3,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,24.83;17.12,25.15;17.38,25.15;17.38,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.18,23.45;17.18,24.83;17.32,24.83;17.32,23.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,23.13;17.12,23.45;17.38,23.45;17.38,23.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,22.79;16.66,23.11;16.92,23.11;16.92,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,20.73;14.42,27.89;14.56,27.89;14.56,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,20.41;14.36,20.73;14.62,20.73;14.62,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,22.11;16.2,22.43;16.46,22.43;16.46,22.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,22.11;17.58,22.43;17.84,22.43;17.84,22.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,19.39;15.74,19.71;16,19.71;16,19.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,25.94;16.2,26.08;16.86,26.08;16.86,25.94)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,20.925;17.64,22.11;17.78,22.11;17.78,20.925)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,25.85;16.2,25.94;16.46,25.94;16.46,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,25.26;15.8,25.4;16.46,25.4;16.46,25.26)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,27.55;17.12,27.87;17.38,27.87;17.38,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,24.83;18.96,25.15;19.22,25.15;19.22,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.94,26.25;19.94,27.89;20.08,27.89;20.08,26.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.02,23.11;19.02,24.83;19.16,24.83;19.16,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,22.79;18.96,23.11;19.22,23.11;19.22,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.57,20.555;17.57,20.925;17.85,20.925;17.85,20.555)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,20.75;18.5,21.07;18.76,21.07;18.76,20.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,20.41;17.58,20.555;17.84,20.555;17.84,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,19.73;17.12,20.05;17.38,20.05;17.38,19.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,25.4;16.2,25.49;16.46,25.49;16.46,25.4)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,26.08;16.2,26.17;16.46,26.17;16.46,26.08)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.03,19.875;18.03,20.245;18.31,20.245;18.31,19.875)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,26.08;16.72,27.55;16.86,27.55;16.86,26.08)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,26.53;13.9,26.85;14.16,26.85;14.16,26.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,26.08;11.6,26.17;11.86,26.17;11.86,26.08)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,19.71;15.8,25.26;15.94,25.26;15.94,19.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,25.17;16.2,25.26;16.46,25.26;16.46,25.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,23.81;16.2,24.13;16.46,24.13;16.46,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,25.94;11.6,26.08;12.26,26.08;12.26,25.94)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,25.85;11.6,25.94;11.86,25.94;11.86,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,24.83;11.6,25.15;11.86,25.15;11.86,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.66,23.45;11.66,24.83;11.8,24.83;11.8,23.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,23.13;11.6,23.45;11.86,23.45;11.86,23.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,27.55;16.66,27.87;16.92,27.87;16.92,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.12,23.11;12.12,25.94;12.26,25.94;12.26,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,15.65;7.46,15.97;7.72,15.97;7.72,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,14.63;7.46,14.95;7.72,14.95;7.72,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,13.87;7.52,14.63;7.66,14.63;7.66,13.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,14.18;7.98,17.35;8.12,17.35;8.12,14.18)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,16.67;16.2,16.99;16.46,16.99;16.46,16.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,15.63;16.72,17.35;16.86,17.35;16.86,15.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,17.69;17.58,18.01;17.84,18.01;17.84,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,12.59;17.12,12.91;17.38,12.91;17.38,12.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,18.03;10.68,18.35;10.94,18.35;10.94,18.03)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.22,17.69;10.22,18.01;10.48,18.01;10.48,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.3,16.67;9.3,16.99;9.56,16.99;9.56,16.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,16.165;10.74,18.03;10.88,18.03;10.88,16.165)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,11.23;16.66,11.55;16.92,11.55;16.92,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.72,6.45;16.72,11.23;16.86,11.23;16.86,6.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.18,10.53;17.18,12.59;17.32,12.59;17.32,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.9,17.69;13.9,18.01;14.16,18.01;14.16,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,17.35;11.6,17.67;11.86,17.67;11.86,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.67,15.795;10.67,16.165;10.95,16.165;10.95,15.795)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.66,15.97;11.66,17.35;11.8,17.35;11.8,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,17.01;13.44,17.33;13.7,17.33;13.7,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,14.95;10.74,15.795;10.88,15.795;10.88,14.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,15.65;11.6,15.97;11.86,15.97;11.86,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,14.95;13.5,17.01;13.64,17.01;13.64,14.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,14.97;14.36,15.29;14.62,15.29;14.62,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,14.63;10.68,14.95;10.94,14.95;10.94,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.44,14.63;13.44,14.95;13.7,14.95;13.7,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,14.04;7.98,14.18;9.04,14.18;9.04,14.04)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,13.73;7.52,13.87;8.12,13.87;8.12,13.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,11.405;7.98,13.73;8.12,13.73;8.12,11.405)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.9,12.57;8.9,14.04;9.04,14.04;9.04,12.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.84,12.25;8.84,12.57;9.1,12.57;9.1,12.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,12.23;10.74,14.63;10.88,14.63;10.88,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,14.29;11.6,14.61;11.86,14.61;11.86,14.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.66,12.23;11.66,14.29;11.8,14.29;11.8,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,13.25;14.42,14.97;14.56,14.97;14.56,13.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,12.93;14.36,13.25;14.62,13.25;14.62,12.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.38,11.91;8.38,12.23;8.64,12.23;8.64,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.68,11.91;10.68,12.23;10.94,12.23;10.94,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (11.6,11.91;11.6,12.23;11.86,12.23;11.86,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,11.91;14.36,12.23;14.62,12.23;14.62,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.91,11.035;7.91,11.405;8.19,11.405;8.19,11.035)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,10.53;7.98,11.035;8.12,11.035;8.12,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,10.21;7.92,10.53;8.18,10.53;8.18,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.44,7.81;8.44,11.91;8.58,11.91;8.58,7.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.3,11.57;9.3,11.89;9.56,11.89;9.56,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.36,10.19;9.36,11.57;9.5,11.57;9.5,10.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.42,10.53;14.42,11.91;14.56,11.91;14.56,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.36,10.21;14.36,10.53;14.62,10.53;14.62,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (9.3,9.87;9.3,10.19;9.56,10.19;9.56,9.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.38,7.49;8.38,7.81;8.64,7.81;8.64,7.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7,6.81;7,7.13;7.26,7.13;7.26,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.06,2.565;7.06,6.81;7.2,6.81;7.2,2.565)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,15.31;16.66,15.63;16.92,15.63;16.92,15.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,12.59;18.96,12.91;19.22,12.91;19.22,12.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.04,12.25;18.04,12.57;18.3,12.57;18.3,12.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,11.57;18.5,11.89;18.76,11.89;18.76,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,10.21;17.12,10.53;17.38,10.53;17.38,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.18,7.47;17.18,10.21;17.32,10.21;17.32,7.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,9.53;17.58,9.85;17.84,9.85;17.84,9.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.12,7.15;17.12,7.47;17.38,7.47;17.38,7.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,4.77;19.42,5.09;19.68,5.09;19.68,4.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,6.79;17.64,9.53;17.78,9.53;17.78,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.58,6.47;17.58,6.79;17.84,6.79;17.84,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.42,6.47;19.42,6.79;19.68,6.79;19.68,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,6.13;16.2,6.45;16.46,6.45;16.46,6.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,6.13;16.66,6.45;16.92,6.45;16.92,6.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,5.79;18.5,6.11;18.76,6.11;18.76,5.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.56,4;18.56,5.79;18.7,5.79;18.7,4)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.48,5.09;19.48,6.47;19.62,6.47;19.62,5.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.56,7.13;18.56,11.57;18.7,11.57;18.7,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.02,9.85;19.02,12.59;19.16,12.59;19.16,9.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.96,9.53;18.96,9.85;19.22,9.85;19.22,9.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.5,6.81;18.5,7.13;18.76,7.13;18.76,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.2,14.97;16.2,15.29;16.46,15.29;16.46,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,11.23;15.74,11.55;16,11.55;16,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.8,10.19;15.8,11.23;15.94,11.23;15.94,10.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.74,9.87;15.74,10.19;16,10.19;16,9.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.81,6.275;14.81,6.645;15.09,6.645;15.09,6.275)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.26,6.45;16.26,14.97;16.4,14.97;16.4,6.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (16.66,17.35;16.66,17.67;16.92,17.67;16.92,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.38,18.37;8.38,18.69;8.64,18.69;8.64,18.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.46,17.35;7.46,17.67;7.72,17.67;7.72,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.92,17.35;7.92,17.67;8.18,17.67;8.18,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,15.97;7.52,17.35;7.66,17.35;7.66,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.99,2.195;6.99,2.565;7.27,2.565;7.27,2.195)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.49,0;18.49,4;18.77,4;18.77,0)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.84,10.21;31.84,10.53;32.1,10.53;32.1,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,10.21;32.3,10.53;32.56,10.53;32.56,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,8.83;32.82,14.29;32.96,14.29;32.96,8.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,9.85;33.74,18.37;33.88,18.37;33.88,9.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,18.37;33.68,18.69;33.94,18.69;33.94,18.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,17.35;26.78,17.67;27.04,17.67;27.04,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.14,17.69;34.14,18.01;34.4,18.01;34.4,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,16.67;34.6,16.99;34.86,16.99;34.86,16.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,15.97;34.66,16.67;34.8,16.67;34.8,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,15.65;34.6,15.97;34.86,15.97;34.86,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,14.95;34.66,15.65;34.8,15.65;34.8,14.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.3,17.69;32.3,18.01;32.56,18.01;32.56,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.62,17.35;28.62,17.67;28.88,17.67;28.88,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,17.01;27.24,17.33;27.5,17.33;27.5,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,15.97;27.3,17.01;27.44,17.01;27.44,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,15.65;27.24,15.97;27.5,15.97;27.5,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,14.97;26.78,15.29;27.04,15.29;27.04,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,14.97;27.24,15.29;27.5,15.29;27.5,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.84,12.23;26.84,14.97;26.98,14.97;26.98,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,12.23;27.3,14.97;27.44,14.97;27.44,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,14.63;31.38,14.95;31.64,14.95;31.64,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.92,13.95;30.92,14.27;31.18,14.27;31.18,13.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,11.91;26.78,12.23;27.04,12.23;27.04,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,11.91;27.24,12.23;27.5,12.23;27.5,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,14.97;35.52,15.29;35.78,15.29;35.78,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.6,14.63;34.6,14.95;34.86,14.95;34.86,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,11.97;35.58,14.97;35.72,14.97;35.72,11.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.84,10.53;26.84,11.91;26.98,11.91;26.98,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,10.21;26.78,10.53;27.04,10.53;27.04,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.48,6.81;24.48,7.13;24.74,7.13;24.74,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.84,6.79;26.84,10.21;26.98,10.21;26.98,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,11.89;35.58,11.97;36.18,11.97;36.18,11.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,11.83;35.58,11.89;36.24,11.89;36.24,11.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,9.53;33.68,9.85;33.94,9.85;33.94,9.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,8.51;32.76,8.83;33.02,8.83;33.02,8.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,7.81;33.74,9.53;33.88,9.53;33.88,7.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,8.51;35.06,8.83;35.32,8.83;35.32,8.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,7.49;33.68,7.81;33.94,7.81;33.94,7.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,7.13;33.74,7.49;33.88,7.49;33.88,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,6.81;29.54,7.13;29.8,7.13;29.8,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.68,6.81;33.68,7.13;33.94,7.13;33.94,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,6.79;35.12,8.51;35.26,8.51;35.26,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,6.47;28.16,6.79;28.42,6.79;28.42,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.06,6.47;35.06,6.79;35.32,6.79;35.32,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.58,6.45;35.58,11.83;35.72,11.83;35.72,6.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.98,11.57;35.98,11.83;36.24,11.83;36.24,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,11.23;36.44,11.55;36.7,11.55;36.7,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.5,7.13;36.5,11.23;36.64,11.23;36.64,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.44,6.81;36.44,7.13;36.7,7.13;36.7,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.52,6.13;35.52,6.45;35.78,6.45;35.78,6.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,5.71;20.4,5.85;22.84,5.85;22.84,5.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.24,5.79;27.24,6.11;27.5,6.11;27.5,5.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.84,5.79;31.84,6.11;32.1,6.11;32.1,5.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.9,5.09;31.9,5.79;32.04,5.79;32.04,5.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,6.47;21.26,6.79;21.52,6.79;21.52,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.84,4.77;31.84,5.09;32.1,5.09;32.1,4.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,6.47;22.18,6.79;22.44,6.79;22.44,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,6.47;23.1,6.79;23.36,6.79;23.36,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (26.78,6.47;26.78,6.79;27.04,6.79;27.04,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.64,6.13;22.64,6.45;22.9,6.45;22.9,6.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.7,5.85;22.7,6.13;22.84,6.13;22.84,5.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.3,6.11;27.3,11.91;27.44,11.91;27.44,6.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,17.69;20.34,18.01;20.6,18.01;20.6,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.72,17.35;21.72,17.67;21.98,17.67;21.98,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,17.35;22.18,17.67;22.44,17.67;22.44,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,17.35;23.56,17.67;23.82,17.67;23.82,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.78,14.95;21.78,17.35;21.92,17.35;21.92,14.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.24,15.29;22.24,17.35;22.38,17.35;22.38,15.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.62,15.97;23.62,17.35;23.76,17.35;23.76,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,15.65;23.56,15.97;23.82,15.97;23.82,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,14.97;22.18,15.29;22.44,15.29;22.44,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,14.97;23.56,15.29;23.82,15.29;23.82,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.72,14.63;21.72,14.95;21.98,14.95;21.98,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,14.63;23.1,14.95;23.36,14.95;23.36,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,13.415;21.07,13.785;22.61,13.785;22.61,13.415)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.16,12.57;23.16,14.63;23.3,14.63;23.3,12.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.62,13.25;23.62,14.97;23.76,14.97;23.76,13.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.56,12.93;23.56,13.25;23.82,13.25;23.82,12.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,12.25;23.1,12.57;23.36,12.57;23.36,12.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.1,9.87;23.1,10.19;23.36,10.19;23.36,9.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.34,9.19;20.34,9.51;20.6,9.51;20.6,9.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.54,11.57;29.54,11.89;29.8,11.89;29.8,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.16,11.23;28.16,11.55;28.42,11.55;28.42,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,6.79;28.22,11.23;28.36,11.23;28.36,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.6,7.13;29.6,11.57;29.74,11.57;29.74,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.44,11.55;31.44,14.63;31.58,14.63;31.58,11.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.84,11.57;31.84,11.89;32.1,11.89;32.1,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.38,11.23;31.38,11.55;31.64,11.55;31.64,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.4,5.85;20.4,9.19;20.54,9.19;20.54,5.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.07,7.975;21.07,8.345;22.61,8.345;22.61,7.975)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.18,7.49;22.18,7.81;22.44,7.81;22.44,7.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.26,7.15;21.26,7.47;21.52,7.47;21.52,7.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.32,6.79;21.32,7.15;21.46,7.15;21.46,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.24,6.79;22.24,7.49;22.38,7.49;22.38,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (23.16,6.79;23.16,9.87;23.3,9.87;23.3,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.9,10.53;31.9,11.57;32.04,11.57;32.04,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.36,10.53;32.36,17.69;32.5,17.69;32.5,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,14.97;32.76,15.29;33.02,15.29;33.02,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,14.61;32.82,14.97;32.96,14.97;32.96,14.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.76,14.29;32.76,14.61;33.02,14.61;33.02,14.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,18.09;49.84,19.73;49.98,19.73;49.98,18.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.68,18.01;51.68,19.39;51.82,19.39;51.82,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.98,18.69;53.98,20.41;54.12,20.41;54.12,18.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.18,14.27;40.18,19.875;40.32,19.875;40.32,14.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,18.01;42.48,20.41;42.62,20.41;42.62,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,17.67;46.16,19.73;46.3,19.73;46.3,17.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,18.69;48,20.41;48.14,20.41;48.14,18.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.58,17.33;58.58,20.07;58.72,20.07;58.72,17.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,18.01;59.96,20.75;60.1,20.75;60.1,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,17.67;60.42,20.41;60.56,20.41;60.56,17.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.79,18.515;66.79,18.885;67.07,18.885;67.07,18.515)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,34.01;58.06,34.33;58.32,34.33;58.32,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,36.73;55.3,37.05;55.56,37.05;55.56,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.52,33.73;58.52,33.99;58.78,33.99;58.78,33.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,30.95;55.76,31.27;56.02,31.27;56.02,30.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,26.19;55.76,26.51;56.02,26.51;56.02,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,21.41;55.82,26.19;55.96,26.19;55.96,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,21.09;55.76,21.41;56.02,21.41;56.02,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.66,33.67;57.66,33.73;58.78,33.73;58.78,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.66,33.59;57.66,33.67;58.72,33.67;58.72,33.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,37.07;60.36,37.39;60.62,37.39;60.62,37.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.34,37.05;61.34,37.41;61.48,37.41;61.48,37.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.28,36.73;61.28,37.05;61.54,37.05;61.54,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,36.62;60.36,36.71;60.62,36.71;60.62,36.62)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,36.48;59.96,36.62;60.62,36.62;60.62,36.48)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,36.39;60.36,36.48;60.62,36.48;60.62,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,33.33;59.44,33.65;59.7,33.65;59.7,33.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,31.95;59.5,33.33;59.64,33.33;59.64,31.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,32.29;60.42,36.39;60.56,36.39;60.56,32.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,35.175;61.07,35.545;62.61,35.545;62.61,35.175)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,34.35;66.34,34.67;66.6,34.67;66.6,34.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.74,33.67;61.74,33.99;62,33.99;62,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,31.97;60.36,32.29;60.62,32.29;60.62,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,31.63;59.44,31.95;59.7,31.95;59.7,31.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,31.61;60.42,31.97;60.56,31.97;60.56,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.8,31.61;61.8,33.67;61.94,33.67;61.94,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,31.95;66.4,34.35;66.54,34.35;66.54,31.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.26,33.67;67.26,33.99;67.52,33.99;67.52,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,31.63;66.34,31.95;66.6,31.95;66.6,31.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,31.52;60.36,31.61;60.62,31.61;60.62,31.52)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,31.38;59.96,31.52;60.62,31.52;60.62,31.38)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,28.55;59.96,31.38;60.1,31.38;60.1,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,31.29;60.36,31.38;60.62,31.38;60.62,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.74,31.29;61.74,31.61;62,31.61;62,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,30.95;66.34,31.27;66.6,31.27;66.6,30.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,30.27;60.36,30.59;60.62,30.59;60.62,30.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,28.23;59.9,28.55;60.16,28.55;60.16,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,28.29;60.42,30.27;60.56,30.27;60.56,28.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,29.735;61.07,30.105;62.61,30.105;62.61,29.735)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,29.57;66.4,30.95;66.54,30.95;66.54,29.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.32,29.65;67.32,33.67;67.46,33.67;67.46,29.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.71,30.755;67.71,31.125;67.99,31.125;67.99,30.755)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,29.25;66.34,29.57;66.6,29.57;66.6,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.32,29.51;67.32,29.65;67.92,29.65;67.92,29.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,27.89;59.44,28.21;59.7,28.21;59.7,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.98,26.53;58.98,26.85;59.24,26.85;59.24,26.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,25.85;58.06,26.17;58.32,26.17;58.32,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,21.41;58.12,25.85;58.26,25.85;58.26,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,22.77;59.5,27.89;59.64,27.89;59.64,22.77)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,22.45;59.44,22.77;59.7,22.77;59.7,22.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,21.49;59.96,28.23;60.1,28.23;60.1,21.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,28.15;60.42,28.29;61.02,28.29;61.02,28.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,26.51;60.42,28.15;60.56,28.15;60.56,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.88,27.87;60.88,28.15;61.02,28.15;61.02,27.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.82,27.55;60.82,27.87;61.08,27.87;61.08,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,27.55;65.88,27.87;66.14,27.87;66.14,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.94,26.51;65.94,27.55;66.08,27.55;66.08,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,26.19;60.36,26.51;60.62,26.51;60.62,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,26.19;65.88,26.51;66.14,26.51;66.14,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.12,25.85;63.12,26.17;63.38,26.17;63.38,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,24.295;61.07,24.665;62.61,24.665;62.61,24.295)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.18,23.53;63.18,25.85;63.32,25.85;63.32,23.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.58,24.83;63.58,25.15;63.84,25.15;63.84,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.72,23.39;62.72,23.53;63.32,23.53;63.32,23.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.72,23.11;62.72,23.39;62.86,23.39;62.86,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.66,22.79;62.66,23.11;62.92,23.11;62.92,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,21.09;58.06,21.41;58.32,21.41;58.32,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,21.35;59.96,21.49;60.56,21.49;60.56,21.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,20.75;59.9,21.07;60.16,21.07;60.16,20.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.52,20.07;58.52,20.39;58.78,20.39;58.78,20.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,30.61;58.06,30.93;58.32,30.93;58.32,30.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,28.21;58.12,30.61;58.26,30.61;58.26,28.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,20.73;60.42,21.35;60.56,21.35;60.56,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.72,21.07;62.72,22.79;62.86,22.79;62.86,21.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.66,20.75;62.66,21.07;62.92,21.07;62.92,20.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.64,20.73;63.64,24.83;63.78,24.83;63.78,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.94,23.79;65.94,26.19;66.08,26.19;66.08,23.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.78,26.17;67.78,29.51;67.92,29.51;67.92,26.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.72,25.85;67.72,26.17;67.98,26.17;67.98,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,23.47;65.88,23.79;66.14,23.79;66.14,23.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.78,23.11;67.78,25.85;67.92,25.85;67.92,23.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.72,22.79;67.72,23.11;67.98,23.11;67.98,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,20.41;60.36,20.73;60.62,20.73;60.62,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.58,20.41;63.58,20.73;63.84,20.73;63.84,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.8,20.41;66.8,20.73;67.06,20.73;67.06,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.06,27.89;58.06,28.21;58.32,28.21;58.32,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.86,19.71;66.86,20.41;67,20.41;67,19.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.8,19.39;66.8,19.71;67.06,19.71;67.06,19.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,18.855;61.07,19.225;62.61,19.225;62.61,18.855)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.86,18.885;66.86,19.39;67,19.39;67,18.885)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.14,27.55;57.14,27.87;57.4,27.87;57.4,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.92,25.83;48.92,30.27;49.06,30.27;49.06,25.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.1,19.73;46.1,20.05;46.36,20.05;46.36,19.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,25.15;49.38,28.23;49.52,28.23;49.52,25.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,26.51;50.3,28.57;50.44,28.57;50.44,26.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,25.83;38.8,28.57;38.94,28.57;38.94,25.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,26.17;40.64,29.25;40.78,29.25;40.78,26.17)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.22,24.13;51.22,28.23;51.36,28.23;51.36,24.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.68,20.73;51.68,29.25;51.82,29.25;51.82,20.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,25.15;52.14,31.97;52.28,31.97;52.28,25.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,27.89;47.02,28.21;47.28,28.21;47.28,27.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,28.89;50.3,33.67;50.44,33.67;50.44,28.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,28.55;48.46,31.63;48.6,31.63;48.6,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,28.57;50.24,28.89;50.5,28.89;50.5,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,28.23;49.32,28.55;49.58,28.55;49.58,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.38,31.97;54.38,32.29;54.64,32.29;54.64,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,31.29;53.46,31.61;53.72,31.61;53.72,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,36.73;51.16,37.05;51.42,37.05;51.42,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.22,28.55;51.22,36.73;51.36,36.73;51.36,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.92,31.29;53.92,31.61;54.18,31.61;54.18,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.52,29.57;53.52,31.29;53.66,31.29;53.66,29.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.54,36.39;52.54,36.71;52.8,36.71;52.8,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,31.97;52.08,32.29;52.34,32.29;52.34,31.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.62,29.25;51.62,29.57;51.88,29.57;51.88,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,28.23;51.16,28.55;51.42,28.55;51.42,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,34.35;48.4,34.67;48.66,34.67;48.66,34.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,32.99;46.56,33.31;46.82,33.31;46.82,32.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,30.27;48.86,30.59;49.12,30.59;49.12,30.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.46,29.25;53.46,29.57;53.72,29.57;53.72,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,28.57;53,28.89;53.26,28.89;53.26,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.6,31.27;52.6,36.39;52.74,36.39;52.74,31.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.54,30.95;52.54,31.27;52.8,31.27;52.8,30.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.62,28.55;46.62,32.99;46.76,32.99;46.76,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.56,28.23;46.56,28.55;46.82,28.55;46.82,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,28.23;48.4,28.55;48.66,28.55;48.66,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,31.63;48.4,31.95;48.66,31.95;48.66,31.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,31.95;48.46,34.35;48.6,34.35;48.6,31.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,33.67;50.24,33.99;50.5,33.99;50.5,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,29.25;40.58,29.57;40.84,29.57;40.84,29.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,28.57;38.74,28.89;39,28.89;39,28.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,36.39;44.26,36.71;44.52,36.71;44.52,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.5,36.05;41.5,36.37;41.76,36.37;41.76,36.05)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.56,33.99;41.56,36.05;41.7,36.05;41.7,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.02,33.99;42.02,36.39;42.16,36.39;42.16,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,34.01;42.88,34.33;43.14,34.33;43.14,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,28.55;42.94,34.01;43.08,34.01;43.08,28.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,33.99;44.32,36.39;44.46,36.39;44.46,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,33.67;44.26,33.99;44.52,33.99;44.52,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,33.33;43.34,33.65;43.6,33.65;43.6,33.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,33.33;43.8,33.65;44.06,33.65;44.06,33.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,31.61;43.4,33.33;43.54,33.33;43.54,31.61)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,31.29;43.34,31.61;43.6,31.61;43.6,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.86,29.23;43.86,33.33;44,33.33;44,29.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.8,28.91;43.8,29.23;44.06,29.23;44.06,28.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,28.23;42.88,28.55;43.14,28.55;43.14,28.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,34.01;38.28,34.27;38.54,34.27;38.54,34.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,31.27;38.8,33.33;38.94,33.33;38.94,31.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,33.33;38.74,33.65;39,33.65;39,33.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,35.71;40.12,36.03;40.38,36.03;40.38,35.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.18,33.99;40.18,35.71;40.32,35.71;40.32,33.99)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,33.67;40.12,33.99;40.38,33.99;40.38,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.66,31.29;39.66,31.61;39.92,31.61;39.92,31.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,30.95;38.74,31.27;39,31.27;39,30.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,28.89;38.8,30.95;38.94,30.95;38.94,28.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,29.57;40.64,37.41;40.78,37.41;40.78,29.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,37.07;37.82,37.39;38.08,37.39;38.08,37.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,34.33;38.34,34.41;38.94,34.41;38.94,34.33)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,34.35;39.2,34.67;39.46,34.67;39.46,34.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,34.27;38.28,34.33;38.94,34.33;38.94,34.27)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,32.455;41.07,32.825;42.61,32.825;42.61,32.455)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.5,33.67;41.5,33.99;41.76,33.99;41.76,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,33.67;41.96,33.99;42.22,33.99;42.22,33.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,36.73;44.72,37.05;44.98,37.05;44.98,36.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.96,36.39;41.96,36.71;42.22,36.71;42.22,36.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.8,23.45;38.8,25.51;38.94,25.51;38.94,23.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,24.13;42.94,26.53;43.08,26.53;43.08,24.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,25.51;38.74,25.83;39,25.83;39,25.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,25.85;45.18,26.17;45.44,26.17;45.44,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,25.51;43.34,25.83;43.6,25.83;43.6,25.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,23.81;42.88,24.13;43.14,24.13;43.14,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,23.13;38.28,23.45;38.54,23.45;38.54,23.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.74,23.13;38.74,23.45;39,23.45;39,23.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.18,19.39;45.18,19.71;45.44,19.71;45.44,19.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.24,19.71;45.24,25.85;45.38,25.85;45.38,19.71)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,21.575;41.07,21.945;42.61,21.945;42.61,21.575)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,21.41;42.94,23.81;43.08,23.81;43.08,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,21.09;42.88,21.41;43.14,21.41;43.14,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,20.64;42.42,20.73;42.68,20.73;42.68,20.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,20.64;43.4,25.51;43.54,25.51;43.54,20.64)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,20.5;42.42,20.64;43.54,20.64;43.54,20.5)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,20.41;42.42,20.5;42.68,20.5;42.68,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,22.79;45.64,23.11;45.9,23.11;45.9,22.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.11,19.875;40.11,20.245;40.39,20.245;40.39,19.875)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,27.55;42.88,27.87;43.14,27.87;43.14,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,27.015;41.07,27.385;42.61,27.385;42.61,27.015)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,26.85;42.94,27.55;43.08,27.55;43.08,26.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,26.53;42.88,26.85;43.14,26.85;43.14,26.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,25.85;40.58,26.17;40.84,26.17;40.84,25.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.28,24.83;38.28,25.15;38.54,25.15;38.54,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,23.45;38.34,24.83;38.48,24.83;38.48,23.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,25.51;48.86,25.83;49.12,25.83;49.12,25.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.08,24.13;47.08,27.89;47.22,27.89;47.22,24.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.7,27.55;50.7,27.87;50.96,27.87;50.96,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,26.19;50.24,26.51;50.5,26.51;50.5,26.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,24.83;49.32,25.15;49.58,25.15;49.58,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,23.47;48.4,23.79;48.66,23.79;48.66,23.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,20.41;47.94,20.73;48.2,20.73;48.2,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.62,20.41;51.62,20.73;51.88,20.73;51.88,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,22.11;48.4,22.43;48.66,22.43;48.66,22.11)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,21.41;48.46,22.11;48.6,22.11;48.6,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,21.41;49.38,24.83;49.52,24.83;49.52,21.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,23.45;50.3,26.19;50.44,26.19;50.44,23.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.92,20.41;53.92,20.73;54.18,20.73;54.18,20.41)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.16,23.81;51.16,24.13;51.42,24.13;51.42,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,23.13;50.24,23.45;50.5,23.45;50.5,23.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,21.09;48.4,21.41;48.66,21.41;48.66,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,21.09;49.32,21.41;49.58,21.41;49.58,21.09)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,20.75;47.02,21.07;47.28,21.07;47.28,20.75)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.08,21.07;47.08,23.81;47.22,23.81;47.22,21.07)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,27.55;48.4,27.87;48.66,27.87;48.66,27.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.02,23.81;47.02,24.13;47.28,24.13;47.28,23.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,23.79;48.46,27.55;48.6,27.55;48.6,23.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,19.73;49.78,20.05;50.04,20.05;50.04,19.73)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.08,24.83;52.08,25.15;52.34,25.15;52.34,24.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.62,19.39;51.62,19.71;51.88,19.71;51.88,19.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,14.63;44.72,14.95;44.98,14.95;44.98,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.78,13.25;44.78,14.63;44.92,14.63;44.92,13.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,12.93;44.72,13.25;44.98,13.25;44.98,12.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,12.25;42.88,12.57;43.14,12.57;43.14,12.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,10.53;48.46,11.57;48.6,11.57;48.6,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,11.91;37.82,12.23;38.08,12.23;38.08,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,11.91;39.2,12.23;39.46,12.23;39.46,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,10.21;48.4,10.53;48.66,10.53;48.66,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.76,9.85;50.76,12;50.9,12;50.9,9.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,11.89;53.06,13.95;53.2,13.95;53.2,11.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,11.57;53,11.89;53.26,11.89;53.26,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.7,9.53;50.7,9.85;50.96,9.85;50.96,9.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,6.45;47.54,6.53;48.14,6.53;48.14,6.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,9.87;40.58,10.19;40.84,10.19;40.84,9.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,6.39;47.48,6.45;48.14,6.45;48.14,6.39)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,6.13;47.48,6.39;47.74,6.39;47.74,6.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.72,7.13;39.72,9.87;39.86,9.87;39.86,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,9.51;42.94,12.25;43.08,12.25;43.08,9.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,11.57;45.64,11.89;45.9,11.89;45.9,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,11.23;43.34,11.55;43.6,11.55;43.6,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,5.255;41.07,5.625;42.61,5.625;42.61,5.255)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,10.53;43.4,11.23;43.54,11.23;43.54,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,10.21;43.34,10.53;43.6,10.53;43.6,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,9.19;42.88,9.51;43.14,9.51;43.14,9.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,7.13;42.94,9.19;43.08,9.19;43.08,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,7.81;43.4,10.21;43.54,10.21;43.54,7.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,9.19;44.72,9.51;44.98,9.51;44.98,9.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.34,7.49;43.34,7.81;43.6,7.81;43.6,7.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.78,7.47;44.78,9.19;44.92,9.19;44.92,7.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.72,7.15;44.72,7.47;44.98,7.47;44.98,7.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,7.13;37.88,11.91;38.02,11.91;38.02,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.82,6.81;37.82,7.13;38.08,7.13;38.08,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.66,6.81;39.66,7.13;39.92,7.13;39.92,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,6.81;42.88,7.13;43.14,7.13;43.14,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.7,6.79;45.7,11.57;45.84,11.57;45.84,6.79)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,11.55;47.54,17.35;47.68,17.35;47.68,11.55)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,16.67;47.94,16.99;48.2,16.99;48.2,16.67)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,12.57;48,16.67;48.14,16.67;48.14,12.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,12.25;47.94,12.57;48.2,12.57;48.2,12.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,11.23;47.48,11.55;47.74,11.55;47.74,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.54,9.51;47.54,11.23;47.68,11.23;47.68,9.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,9.19;47.48,9.51;47.74,9.51;47.74,9.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,6.47;45.64,6.79;45.9,6.79;45.9,6.47)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.2,14.29;39.2,14.61;39.46,14.61;39.46,14.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,12.23;39.26,14.29;39.4,14.29;39.4,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48,6.53;48,12.25;48.14,12.25;48.14,6.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,11.89;48.46,18.37;48.6,18.37;48.6,11.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,11.23;40.58,11.55;40.84,11.55;40.84,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,10.19;40.64,11.23;40.78,11.23;40.78,10.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,17.95;49.84,18.09;50.44,18.09;50.44,17.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,10.695;41.07,11.065;42.61,11.065;42.61,10.695)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.66,9.87;39.66,10.19;39.92,10.19;39.92,9.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.92,18.37;53.92,18.69;54.18,18.69;54.18,18.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,17.24;49.32,17.33;49.58,17.33;49.58,17.24)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,17.1;49.32,17.24;49.98,17.24;49.98,17.1)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,17.01;49.32,17.1;49.58,17.1;49.58,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,15.31;49.32,15.63;49.58,15.63;49.58,15.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,14.63;48.86,14.95;49.12,14.95;49.12,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.92,12.23;48.92,14.63;49.06,14.63;49.06,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.38,12.91;49.38,15.31;49.52,15.31;49.52,12.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.32,12.59;49.32,12.91;49.58,12.91;49.58,12.59)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,12.23;49.84,17.1;49.98,17.1;49.98,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,14.95;50.3,17.95;50.44,17.95;50.44,14.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.62,17.69;51.62,18.01;51.88,18.01;51.88,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,17.01;53,17.33;53.26,17.33;53.26,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.06,15.97;53.06,17.01;53.2,17.01;53.2,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,15.65;53,15.97;53.26,15.97;53.26,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.24,14.63;50.24,14.95;50.5,14.95;50.5,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53,13.95;53,14.27;53.26,14.27;53.26,13.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.86,11.91;48.86,12.23;49.12,12.23;49.12,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,12.14;49.78,12.23;50.04,12.23;50.04,12.14)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,18.01;44.32,18.09;45.84,18.09;45.84,18.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.42,17.69;42.42,18.01;42.68,18.01;42.68,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,17.95;44.26,18.01;45.9,18.01;45.9,17.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.26,17.69;44.26,17.95;44.52,17.95;44.52,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.64,17.69;45.64,17.95;45.9,17.95;45.9,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,17.24;41.04,17.33;41.3,17.33;41.3,17.24)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,17.1;40.64,17.24;41.3,17.24;41.3,17.1)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,17.24;42.48,17.69;42.62,17.69;42.62,17.24)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,12;49.78,12.14;50.9,12.14;50.9,12)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.78,11.91;49.78,12;50.04,12;50.04,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,11.57;48.4,11.89;48.66,11.89;48.66,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.94,18.37;47.94,18.69;48.2,18.69;48.2,18.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.4,18.37;48.4,18.69;48.66,18.69;48.66,18.37)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.1,17.35;46.1,17.67;46.36,17.67;46.36,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.48,17.35;47.48,17.67;47.74,17.67;47.74,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.48,17.1;42.48,17.24;43.08,17.24;43.08,17.1)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.64,15.97;40.64,17.1;40.78,17.1;40.78,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,17.01;41.04,17.1;41.3,17.1;41.3,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,16.135;41.07,16.505;42.61,16.505;42.61,16.135)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.58,15.65;40.58,15.97;40.84,15.97;40.84,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,15.63;42.94,17.1;43.08,17.1;43.08,15.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.88,15.31;42.88,15.63;43.14,15.63;43.14,15.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.12,13.95;40.12,14.27;40.38,14.27;40.38,13.95)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,12.57;42.94,15.31;43.08,15.31;43.08,12.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,17.69;59.9,18.01;60.16,18.01;60.16,17.69)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.52,17.01;58.52,17.33;58.78,17.33;58.78,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,17.01;59.44,17.33;59.7,17.33;59.7,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,15.65;56.68,15.97;56.94,15.97;56.94,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,9.85;56.74,15.65;56.88,15.65;56.88,9.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.5,11.89;59.5,17.01;59.64,17.01;59.64,11.89)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,15.97;59.96,17.69;60.1,17.69;60.1,15.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.36,6.45;55.36,8.85;55.5,8.85;55.5,6.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.76,7.15;55.76,7.47;56.02,7.47;56.02,7.15)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,15.65;55.3,15.97;55.56,15.97;55.56,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.36,13.25;55.36,15.65;55.5,15.65;55.5,13.25)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,8.85;55.3,9.17;55.56,9.17;55.56,8.85)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,6.13;55.3,6.45;55.56,6.45;55.56,6.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,17.35;60.36,17.67;60.62,17.67;60.62,17.35)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.9,15.65;59.9,15.97;60.16,15.97;60.16,15.65)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,15.63;60.42,17.35;60.56,17.35;60.56,15.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.04,17.01;64.04,17.33;64.3,17.33;64.3,17.01)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,15.31;60.36,15.63;60.62,15.63;60.62,15.31)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.44,11.57;59.44,11.89;59.7,11.89;59.7,11.57)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.6,11.23;57.6,11.55;57.86,11.55;57.86,11.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.68,9.53;56.68,9.85;56.94,9.85;56.94,9.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.66,9.51;57.66,11.23;57.8,11.23;57.8,9.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,10.19;60.42,15.31;60.56,15.31;60.56,10.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.12,14.29;63.12,14.61;63.38,14.61;63.38,14.29)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,13.415;61.07,13.785;62.61,13.785;62.61,13.415)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.18,11.97;63.18,14.29;63.32,14.29;63.32,11.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.72,11.83;62.72,11.97;63.32,11.97;63.32,11.83)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.72,10.19;62.72,11.83;62.86,11.83;62.86,10.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.36,9.87;60.36,10.19;60.62,10.19;60.62,9.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.66,9.87;62.66,10.19;62.92,10.19;62.92,9.87)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.6,9.19;57.6,9.51;57.86,9.51;57.86,9.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.66,7.13;57.66,9.19;57.8,9.19;57.8,7.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.07,7.975;61.07,8.345;62.61,8.345;62.61,7.975)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.6,6.81;57.6,7.13;57.86,7.13;57.86,6.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.21,6.275;56.21,6.645;56.49,6.645;56.49,6.275)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.1,6.45;64.1,17.01;64.24,17.01;64.24,6.45)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,14.97;65.88,15.29;66.14,15.29;66.14,14.97)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.94,12.23;65.94,14.97;66.08,14.97;66.08,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,14.63;66.34,14.95;66.6,14.95;66.6,14.63)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,12.23;66.4,14.63;66.54,14.63;66.54,12.23)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,11.91;65.88,12.23;66.14,12.23;66.14,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,11.91;66.34,12.23;66.6,12.23;66.6,11.91)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.94,10.53;65.94,11.91;66.08,11.91;66.08,10.53)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.88,10.21;65.88,10.53;66.14,10.53;66.14,10.21)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,9.51;66.4,11.91;66.54,11.91;66.54,9.51)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,9.19;66.34,9.51;66.6,9.51;66.6,9.19)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,7.81;66.4,9.19;66.54,9.19;66.54,7.81)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.34,7.49;66.34,7.81;66.6,7.81;66.6,7.49)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.04,6.13;64.04,6.45;64.3,6.45;64.3,6.13)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,4;55.82,7.15;55.96,7.15;55.96,4)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.3,12.93;55.3,13.25;55.56,13.25;55.56,12.93)
+
+
+ -
+
+ '69/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.75,0;55.75,4;56.03,4;56.03,0)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.39,48.52;37.39,48.72;37.59,48.72;37.59,48.52)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,67.9;61.54,68.1;61.74,68.1;61.74,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,67.9;61.94,68.1;62.14,68.1;62.14,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,67.9;62.34,68.1;62.54,68.1;62.54,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,62.46;61.14,62.66;61.34,62.66;61.34,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,62.46;61.54,62.66;61.74,62.66;61.74,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,62.46;61.94,62.66;62.14,62.66;62.14,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,62.46;62.34,62.66;62.54,62.66;62.54,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.87,59.4;54.87,59.6;55.07,59.6;55.07,59.4)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,57.02;61.14,57.22;61.34,57.22;61.34,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,57.02;61.54,57.22;61.74,57.22;61.74,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,57.02;61.94,57.22;62.14,57.22;62.14,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,57.02;62.34,57.22;62.54,57.22;62.54,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.63,56;57.63,56.2;57.83,56.2;57.83,56)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.75,56;67.75,56.2;67.95,56.2;67.95,56)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (53.03,55.32;53.03,55.52;53.23,55.52;53.23,55.32)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.93,55.32;59.93,55.52;60.13,55.52;60.13,55.32)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,67.9;61.14,68.1;61.34,68.1;61.34,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.97,60.76;47.97,60.96;48.17,60.96;48.17,60.76)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,59.74;41.14,59.94;41.34,59.94;41.34,59.74)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,59.74;41.54,59.94;41.74,59.94;41.74,59.74)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,59.74;41.94,59.94;42.14,59.94;42.14,59.74)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,59.74;42.34,59.94;42.54,59.94;42.54,59.74)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.29,59.4;44.29,59.6;44.49,59.6;44.49,59.4)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,65.18;42.34,65.38;42.54,65.38;42.54,65.18)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.99,58.72;41.99,58.92;42.19,58.92;42.19,58.72)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.35,58.72;49.35,58.92;49.55,58.92;49.55,58.72)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.51,58.04;47.51,58.24;47.71,58.24;47.71,58.04)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.11,58.04;52.11,58.24;52.31,58.24;52.31,58.04)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.31,57.36;38.31,57.56;38.51,57.56;38.51,57.36)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.61,57.36;40.61,57.56;40.81,57.56;40.81,57.36)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.97,57.36;47.97,57.56;48.17,57.56;48.17,57.36)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.45,64.16;42.45,64.36;42.65,64.36;42.65,64.16)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.59,64.16;46.59,64.36;46.79,64.36;46.79,64.16)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.29,66.88;44.29,67.08;44.49,67.08;44.49,66.88)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,65.18;41.14,65.38;41.34,65.38;41.34,65.18)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.31,56.68;38.31,56.88;38.51,56.88;38.51,56.68)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.13,56.68;46.13,56.88;46.33,56.88;46.33,56.68)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,65.18;41.54,65.38;41.74,65.38;41.74,65.18)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,65.18;41.94,65.38;42.14,65.38;42.14,65.18)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.85,55.32;37.85,55.52;38.05,55.52;38.05,55.32)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.23,55.32;39.23,55.52;39.43,55.52;39.43,55.32)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.83,55.32;43.83,55.52;44.03,55.52;44.03,55.32)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.31,62.12;38.31,62.32;38.51,62.32;38.51,62.12)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.37,62.12;43.37,62.32;43.57,62.32;43.57,62.12)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.13,61.44;46.13,61.64;46.33,61.64;46.33,61.44)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.19,54.64;51.19,54.84;51.39,54.84;51.39,54.64)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,54.3;41.14,54.5;41.34,54.5;41.34,54.3)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,54.3;41.54,54.5;41.74,54.5;41.74,54.3)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,54.3;41.94,54.5;42.14,54.5;42.14,54.3)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,54.3;42.34,54.5;42.54,54.5;42.54,54.3)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.99,53.28;41.99,53.48;42.19,53.48;42.19,53.28)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.35,53.28;49.35,53.48;49.55,53.48;49.55,53.28)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.13,52.6;46.13,52.8;46.33,52.8;46.33,52.6)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.43,51.92;48.43,52.12;48.63,52.12;48.63,51.92)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.65,51.92;51.65,52.12;51.85,52.12;51.85,51.92)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.05,51.24;47.05,51.44;47.25,51.44;47.25,51.24)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.97,51.24;47.97,51.44;48.17,51.44;48.17,51.24)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.35,50.56;49.35,50.76;49.55,50.76;49.55,50.56)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.45,49.88;42.45,50.08;42.65,50.08;42.65,49.88)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.59,49.2;46.59,49.4;46.79,49.4;46.79,49.2)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,48.86;41.14,49.06;41.34,49.06;41.34,48.86)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,48.86;41.54,49.06;41.74,49.06;41.74,48.86)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,48.86;41.94,49.06;42.14,49.06;42.14,48.86)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,48.86;42.34,49.06;42.54,49.06;42.54,48.86)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.29,54.64;44.29,54.84;44.49,54.84;44.49,54.64)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.43,48.52;48.43,48.72;48.63,48.72;48.63,48.52)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.65,48.52;51.65,48.72;51.85,48.72;51.85,48.52)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.19,47.16;51.19,47.36;51.39,47.36;51.39,47.16)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.45,46.48;42.45,46.68;42.65,46.68;42.65,46.48)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.11,46.48;52.11,46.68;52.31,46.68;52.31,46.48)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.21,45.8;45.21,46;45.41,46;45.41,45.8)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.51,45.8;47.51,46;47.71,46;47.71,45.8)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.07,44.44;41.07,44.64;41.27,44.64;41.27,44.44)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.19,44.44;51.19,44.64;51.39,44.64;51.39,44.44)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,43.42;41.14,43.62;41.34,43.62;41.34,43.42)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,43.42;41.54,43.62;41.74,43.62;41.74,43.42)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,43.42;41.94,43.62;42.14,43.62;42.14,43.42)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,43.42;42.34,43.62;42.54,43.62;42.54,43.42)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,37.98;41.14,38.18;41.34,38.18;41.34,37.98)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,37.98;41.54,38.18;41.74,38.18;41.74,37.98)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,37.98;41.94,38.18;42.14,38.18;42.14,37.98)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,37.98;42.34,38.18;42.54,38.18;42.54,37.98)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,46.14;61.14,46.34;61.34,46.34;61.34,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,46.14;61.54,46.34;61.74,46.34;61.74,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,46.14;61.94,46.34;62.14,46.34;62.14,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,46.14;62.34,46.34;62.54,46.34;62.54,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.79,49.2;55.79,49.4;55.99,49.4;55.99,49.2)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.07,53.28;64.07,53.48;64.27,53.48;64.27,53.28)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,51.58;61.14,51.78;61.34,51.78;61.34,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,51.58;61.54,51.78;61.74,51.78;61.74,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.15,43.76;63.15,43.96;63.35,43.96;63.35,43.76)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,51.58;61.94,51.78;62.14,51.78;62.14,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,51.58;62.34,51.78;62.54,51.78;62.54,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.71,53.28;56.71,53.48;56.91,53.48;56.91,53.28)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.33,52.6;55.33,52.8;55.53,52.8;55.53,52.6)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,40.7;61.14,40.9;61.34,40.9;61.34,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,40.7;61.54,40.9;61.74,40.9;61.74,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,40.7;61.94,40.9;62.14,40.9;62.14,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,40.7;62.34,40.9;62.54,40.9;62.54,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.33,47.84;55.33,48.04;55.53,48.04;55.53,47.84)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.71,52.6;56.71,52.8;56.91,52.8;56.91,52.6)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.55,52.6;58.55,52.8;58.75,52.8;58.75,52.6)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.15,53.28;63.15,53.48;63.35,53.48;63.35,53.28)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,62.46;22.34,62.66;22.54,62.66;22.54,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.71,48.52;10.71,48.72;10.91,48.72;10.91,48.52)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.25,48.52;33.25,48.72;33.45,48.72;33.45,48.52)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.03,72.32;7.03,72.52;7.23,72.52;7.23,72.32)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,67.9;21.14,68.1;21.34,68.1;21.34,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.97,52.6;24.97,52.8;25.17,52.8;25.17,52.6)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.01,47.84;36.01,48.04;36.21,48.04;36.21,47.84)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,67.9;21.54,68.1;21.74,68.1;21.74,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.11,47.16;29.11,47.36;29.31,47.36;29.31,47.16)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.17,47.16;34.17,47.36;34.37,47.36;34.37,47.16)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.49,56;30.49,56.2;30.69,56.2;30.69,56)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,67.9;21.94,68.1;22.14,68.1;22.14,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.93,67.56;13.93,67.76;14.13,67.76;14.13,67.56)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,46.14;21.14,46.34;21.34,46.34;21.34,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,46.14;21.54,46.34;21.74,46.34;21.74,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,46.14;21.94,46.34;22.14,46.34;22.14,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,46.14;22.34,46.34;22.54,46.34;22.54,46.14)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (36.01,51.92;36.01,52.12;36.21,52.12;36.21,51.92)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.79,55.32;32.79,55.52;32.99,55.52;32.99,55.32)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.87,58.04;8.87,58.24;9.07,58.24;9.07,58.04)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,51.58;21.14,51.78;21.34,51.78;21.34,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,51.58;21.54,51.78;21.74,51.78;21.74,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,51.58;21.94,51.78;22.14,51.78;22.14,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,51.58;22.34,51.78;22.54,51.78;22.54,51.58)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.55,58.04;12.55,58.24;12.75,58.24;12.75,58.04)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.71,43.76;10.71,43.96;10.91,43.96;10.91,43.76)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,67.9;22.34,68.1;22.54,68.1;22.54,67.9)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.47,61.44;13.47,61.64;13.67,61.64;13.67,61.44)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.49,62.8;7.49,63;7.69,63;7.69,62.8)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.27,60.76;27.27,60.96;27.47,60.96;27.47,60.76)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,62.46;21.14,62.66;21.34,62.66;21.34,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,40.7;21.14,40.9;21.34,40.9;21.34,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,40.7;21.54,40.9;21.74,40.9;21.74,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,40.7;21.94,40.9;22.14,40.9;22.14,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,40.7;22.34,40.9;22.54,40.9;22.54,40.7)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.09,50.56;12.09,50.76;12.29,50.76;12.29,50.56)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,57.02;21.14,57.22;21.34,57.22;21.34,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,57.02;21.54,57.22;21.74,57.22;21.74,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,57.02;21.94,57.22;22.14,57.22;22.14,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.31,39.68;15.31,39.88;15.51,39.88;15.51,39.68)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,57.02;22.34,57.22;22.54,57.22;22.54,57.02)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.95,53.28;7.95,53.48;8.15,53.48;8.15,53.28)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,62.46;21.54,62.66;21.74,62.66;21.74,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,62.46;21.94,62.66;22.14,62.66;22.14,62.46)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.99,36.96;18.99,37.16;19.19,37.16;19.19,36.96)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.73,36.96;27.73,37.16;27.93,37.16;27.93,36.96)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,35.26;21.14,35.46;21.34,35.46;21.34,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,35.26;21.54,35.46;21.74,35.46;21.74,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,35.26;21.94,35.46;22.14,35.46;22.14,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,35.26;22.34,35.46;22.54,35.46;22.54,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.45,34.92;19.45,35.12;19.65,35.12;19.65,34.92)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.01,31.52;13.01,31.72;13.21,31.72;13.21,31.52)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.37,31.52;20.37,31.72;20.57,31.72;20.57,31.52)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.03,30.16;7.03,30.36;7.23,30.36;7.23,30.16)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,29.82;21.14,30.02;21.34,30.02;21.34,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,29.82;21.54,30.02;21.74,30.02;21.74,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,29.82;21.94,30.02;22.14,30.02;22.14,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,29.82;22.34,30.02;22.54,30.02;22.54,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.03,25.4;7.03,25.6;7.23,25.6;7.23,25.4)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,24.38;21.14,24.58;21.34,24.58;21.34,24.38)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,24.38;21.54,24.58;21.74,24.58;21.74,24.38)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,24.38;21.94,24.58;22.14,24.58;22.14,24.38)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,24.38;22.34,24.58;22.54,24.58;22.54,24.38)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.61,20.64;17.61,20.84;17.81,20.84;17.81,20.64)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.07,19.96;18.07,20.16;18.27,20.16;18.27,19.96)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.51,19.96;24.51,20.16;24.71,20.16;24.71,19.96)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.19,19.96;28.19,20.16;28.39,20.16;28.39,19.96)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,18.94;21.14,19.14;21.34,19.14;21.34,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,18.94;21.54,19.14;21.74,19.14;21.74,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,18.94;21.94,19.14;22.14,19.14;22.14,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,18.94;22.34,19.14;22.54,19.14;22.54,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.71,15.88;10.71,16.08;10.91,16.08;10.91,15.88)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,13.5;21.14,13.7;21.34,13.7;21.34,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,13.5;21.54,13.7;21.74,13.7;21.74,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,13.5;21.94,13.7;22.14,13.7;22.14,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,13.5;22.34,13.7;22.54,13.7;22.54,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.95,11.12;7.95,11.32;8.15,11.32;8.15,11.12)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,8.06;21.14,8.26;21.34,8.26;21.34,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,8.06;21.54,8.26;21.74,8.26;21.74,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,8.06;21.94,8.26;22.14,8.26;22.14,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,8.06;22.34,8.26;22.54,8.26;22.54,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.85,6.36;14.85,6.56;15.05,6.56;15.05,6.36)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.03,2.28;7.03,2.48;7.23,2.48;7.23,2.28)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,24.38;62.34,24.58;62.54,24.58;62.54,24.38)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,21.66;41.14,21.86;41.34,21.86;41.34,21.66)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,21.66;41.54,21.86;41.74,21.86;41.74,21.66)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,21.66;41.94,21.86;42.14,21.86;42.14,21.66)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,21.66;42.34,21.86;42.54,21.86;42.54,21.66)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,35.26;61.14,35.46;61.34,35.46;61.34,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,32.54;41.14,32.74;41.34,32.74;41.34,32.54)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,32.54;41.54,32.74;41.74,32.74;41.74,32.54)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,32.54;41.94,32.74;42.14,32.74;42.14,32.54)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.15,19.96;40.15,20.16;40.35,20.16;40.35,19.96)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,29.82;61.14,30.02;61.34,30.02;61.34,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,29.82;61.54,30.02;61.74,30.02;61.74,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,29.82;61.94,30.02;62.14,30.02;62.14,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,29.82;62.34,30.02;62.54,30.02;62.54,29.82)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,18.94;61.14,19.14;61.34,19.14;61.34,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,18.94;61.54,19.14;61.74,19.14;61.74,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,18.94;61.94,19.14;62.14,19.14;62.14,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,18.94;62.34,19.14;62.54,19.14;62.54,18.94)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.83,18.6;66.83,18.8;67.03,18.8;67.03,18.6)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,16.22;41.14,16.42;41.34,16.42;41.34,16.22)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,16.22;41.54,16.42;41.74,16.42;41.74,16.22)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,16.22;41.94,16.42;42.14,16.42;42.14,16.22)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,16.22;42.34,16.42;42.54,16.42;42.54,16.22)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,27.1;41.14,27.3;41.34,27.3;41.34,27.1)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,27.1;41.54,27.3;41.74,27.3;41.74,27.1)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,27.1;41.94,27.3;42.14,27.3;42.14,27.1)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,27.1;42.34,27.3;42.54,27.3;42.54,27.1)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,32.54;42.34,32.74;42.54,32.74;42.54,32.54)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,13.5;61.14,13.7;61.34,13.7;61.34,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,13.5;61.54,13.7;61.74,13.7;61.74,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,13.5;61.94,13.7;62.14,13.7;62.14,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,13.5;62.34,13.7;62.54,13.7;62.54,13.5)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,35.26;61.54,35.46;61.74,35.46;61.74,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,10.78;41.14,10.98;41.34,10.98;41.34,10.78)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,10.78;41.54,10.98;41.74,10.98;41.74,10.78)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,10.78;41.94,10.98;42.14,10.98;42.14,10.78)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,10.78;42.34,10.98;42.54,10.98;42.54,10.78)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,35.26;61.94,35.46;62.14,35.46;62.14,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.75,30.84;67.75,31.04;67.95,31.04;67.95,30.84)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,35.26;62.34,35.46;62.54,35.46;62.54,35.26)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,24.38;61.14,24.58;61.34,24.58;61.34,24.38)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,8.06;61.14,8.26;61.34,8.26;61.34,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,8.06;61.54,8.26;61.74,8.26;61.74,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,8.06;61.94,8.26;62.14,8.26;62.14,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,8.06;62.34,8.26;62.54,8.26;62.54,8.06)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,24.38;61.54,24.58;61.74,24.58;61.74,24.38)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.25,6.36;56.25,6.56;56.45,6.56;56.45,6.36)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,5.34;41.14,5.54;41.34,5.54;41.34,5.34)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,5.34;41.54,5.54;41.74,5.54;41.74,5.34)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,5.34;41.94,5.54;42.14,5.54;42.14,5.34)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,5.34;42.34,5.54;42.54,5.54;42.54,5.34)
+
+
+ -
+
+ '69/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,24.38;61.94,24.58;62.14,24.58;62.14,24.38)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,33.83;14.49,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,28.73;53.13,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,34.85;37.03,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,31.45;39.79,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,36.89;8.51,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,36.89;36.11,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,35.87;40.25,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,37.23;37.95,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,22.95;45.77,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,29.41;40.71,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,26.01;45.31,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,31.45;54.05,39.78) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,34.51;39.33,40.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,32.13;54.51,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.49,31.79;37.49,37.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,36.89;44.85,41.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,37.23;34.73,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,36.55;35.19,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,36.89;31.51,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,27.71;50.83,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.95,6.46;14.95,41.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,31.11;55.89,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,34.85;20.01,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,36.89;28.75,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.49,48.45;37.49,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.85,30.94;67.85,40.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,6.46;56.35,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,33.83;16.79,48.62) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,37.23;15.41,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,36.55;17.71,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,36.89;51.29,39.1) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.27,27.71;57.27,46.58) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,34.17;58.19,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,32.13;52.21,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,34.34;38.87,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,26.69;59.11,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,36.89;27.37,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,36.89;61.41,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,34.51;66.47,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,33.66;57.73,56.1) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.39,33.83;67.39,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,37.23;60.49,41.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,33.83;19.09,39.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,36.55;60.03,38.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,36.89;55.43,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,47.77;38.41,56.78) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,45.39;43.47,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,53.55;53.59,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,45.9;45.31,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,53.89;43.01,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,53.89;44.85,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,52.19;46.69,55.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,52.19;55.89,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,53.55;48.53,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,47.26;52.67,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,54.91;56.81,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,52.19;54.05,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.27,47.09;57.27,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,53.89;51.75,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,53.55;61.41,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,52.87;53.13,55.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,53.55;39.79,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,53.55;43.93,58.14) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,53.21;39.33,55.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,50.49;48.99,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,54.74;51.29,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,53.21;59.11,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,52.53;62.79,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,45.73;40.25,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,52.7;58.65,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,50.15;63.71,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,47.77;40.71,57.46) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,47.09;50.37,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,62.56;62.61,62.56) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,66.98;60.49,66.98) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,66.98;60.49,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,62.05;60.03,66.98) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.39,59.33;67.39,71.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,71.74;67.39,71.74) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,59.33;59.11,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.39,56.27;67.39,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (71.99,67.49;71.99,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.85,55.93;67.85,56.1) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,59.33;60.03,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,55.25;54.97,59.5) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,57.12;62.61,57.12) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,55.93;55.43,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,68;62.61,68) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,58.65;66.47,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,55.59;66.47,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,59.33;66.01,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,56.61;60.03,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,63.07;56.35,69.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,61.03;58.19,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,64.43;63.25,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.95,64.09;60.95,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,56.61;56.35,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,60.69;60.49,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,61.03;59.57,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,64.43;59.57,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,58.99;64.63,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.55,58.65;65.55,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.55,55.25;65.55,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.93,58.99;66.93,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.17,58.31;64.17,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,55.59;60.49,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,55.59;65.09,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,61.03;65.09,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.09,63.41;65.09,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,55.25;60.03,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,58.65;46.23,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,56.78;46.23,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,58.82;42.09,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,57.63;42.09,58.82) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,58.65;49.45,58.82) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,57.97;41.17,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,55.42;53.13,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,64.09;52.21,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,61.03;52.21,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,58.65;39.33,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,58.14;52.21,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,56.27;39.33,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,60.69;40.71,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,66.13;41.17,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,58.14;43.93,58.14) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,58.14;43.01,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,66.13;42.55,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,60.35;42.55,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,56.61;48.99,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,58.65;45.77,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,64.43;54.51,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,58.99;48.07,60.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,55.42;45.31,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,61.37;53.59,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,58.99;53.13,60.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,60.86;53.59,60.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,60.86;53.59,61.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,55.42;46.69,55.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,55.93;46.69,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,56.61;46.69,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,64.26;42.55,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,61.03;46.69,64.26) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,55.93;41.63,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,61.37;44.39,66.98) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,55.59;48.53,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,55.93;47.15,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,56.61;44.39,59.5) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,58.99;53.59,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,66.47;43.47,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,62.05;43.47,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,55.25;49.91,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,58.65;47.61,62.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,62.05;38.41,62.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,58.99;38.87,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,56.27;38.87,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,57.46;38.41,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,56.61;43.47,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,58.99;43.93,60.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,60.69;46.23,61.54) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,67.15;40.71,68.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,64.43;49.45,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,64.77;39.33,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,61.37;49.91,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,63.75;53.13,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,61.03;49.45,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,64.09;45.31,67.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,61.37;45.31,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,64.09;38.87,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,62.05;38.87,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,63.75;38.41,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,64.09;43.93,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,60.86;43.93,60.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,60.86;43.47,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,59.33;43.47,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,56.27;50.37,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,64.77;48.53,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,55.93;47.15,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,55.25;52.67,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,57.97;41.63,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,65.28;42.61,65.28) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,56.27;53.59,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,57.63;54.51,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,59.84;42.61,59.84) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,55.42;37.95,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,55.25;37.95,55.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,57.63;47.61,58.14) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,56.61;45.77,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,55.59;48.07,57.46) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,56.61;45.31,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,48.45;44.39,54.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,37.57;53.59,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,39.95;51.29,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,44.71;43.93,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,49.81;51.75,52.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,48.62;51.75,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,49.47;42.09,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,52.02;48.53,52.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,50.49;44.85,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,45.73;42.09,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,45.73;44.85,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,47.26;53.59,47.26) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,44.54;53.59,47.26) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,44.54;54.51,44.54) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,44.37;54.51,44.54) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,51.17;42.55,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,53.89;43.01,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.51,45.05;54.51,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,47.94;48.53,48.62) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,46.75;47.61,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,46.75;47.61,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,49.47;47.61,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,45.73;45.77,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,45.73;48.53,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,39.1;50.83,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,39.1;51.29,39.1) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,52.19;54.51,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,42.33;49.45,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,47.94;48.53,47.94) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,52.87;49.45,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,44.54;41.17,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,44.37;51.29,44.54) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,42.67;40.71,44.54) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,44.54;41.17,44.54) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,53.38;42.09,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,45.39;48.99,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,37.57;40.71,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,47.77;52.21,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,51.34;47.15,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,50.15;48.07,51.34) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,46.58;42.55,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,47.09;49.45,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,39.61;38.87,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,44.71;39.33,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,39.27;49.91,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,44.71;39.33,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,49.3;46.69,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,47.43;46.69,49.3) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,43.52;42.61,43.52) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,38.08;42.61,38.08) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,39.27;45.31,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,39.78;54.05,39.78) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,39.78;53.59,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,48.96;42.61,48.96) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,39.61;40.25,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,50.49;39.79,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,40.29;39.33,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,50.66;49.45,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,45.05;48.07,47.94) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,41.65;46.23,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,52.7;46.23,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,43.01;46.69,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,54.4;42.61,54.4) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,43.01;48.07,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,52.19;46.69,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,48.45;46.23,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,52.02;48.07,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,49.98;42.55,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,43.01;47.61,45.9) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,48.45;38.87,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,50.15;54.05,51.34) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,51.34;54.05,51.34) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,51.34;53.59,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,52.87;53.59,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,47.09;37.95,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,48.45;54.05,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,48.45;50.83,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,50.83;41.17,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,46.75;50.83,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,46.75;39.79,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,47.26;51.29,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,39.27;47.61,41.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,45.39;47.15,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,45.39;44.39,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,39.61;48.53,41.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,49.47;53.13,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,48.11;53.59,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,50.15;53.13,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,50.15;43.01,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,44.71;43.01,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,39.27;38.41,41.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,45.05;50.37,47.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,41.99;50.37,45.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,50.83;64.63,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,47.77;60.49,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,45.73;60.49,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,42.67;63.71,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,47.77;63.71,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,43.86;57.27,43.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,42.33;66.47,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,39.27;66.47,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,52.7;56.35,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,52.7;56.81,52.7) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,46.75;56.81,52.7) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,50.15;54.97,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,43.01;55.89,45.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,45.22;56.35,45.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,45.22;56.35,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.97,50.15;55.43,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,46.24;62.61,46.24) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,52.53;63.25,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,42.67;58.19,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,43.86;63.25,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,51.68;62.61,51.68) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,40.8;62.61,40.8) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.63,40.29;64.63,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,52.19;56.35,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,44.71;56.81,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,41.99;56.35,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,49.81;56.35,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,45.73;56.35,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,43.01;58.65,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.11,50.49;59.57,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,47.77;55.89,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,50.49;59.57,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,53.38;60.95,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.95,53.21;60.95,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,46.58;56.81,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,46.58;57.27,46.58) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,43.01;60.03,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.41,52.19;61.87,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.87,52.19;61.87,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,53.21;63.25,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,53.21;56.81,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.17,48.11;64.17,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,44.71;59.57,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (65.55,42.67;65.55,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,43.86;56.81,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.93,50.83;66.93,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.93,48.11;66.93,50.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,39.27;55.43,47.94) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,50.83;55.43,52.7) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.87,42.33;61.87,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,48.62;16.33,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,53.89;14.03,67.66) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,50.15;33.35,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,53.55;35.19,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,52.19;30.13,54.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,54.91;30.13,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,50.15;27.83,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,53.55;13.57,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,53.21;33.81,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,53.89;29.67,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,52.19;15.87,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,63.58;20.47,63.58) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,53.89;22.77,55.93) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,50.15;31.97,71.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,52.19;31.05,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,53.89;12.19,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,53.89;29.21,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,53.89;23.69,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,53.55;23.23,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,53.55;18.17,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,45.39;17.71,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,53.55;16.79,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,53.21;25.07,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,53.21;19.55,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,45.73;32.89,55.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,44.37;34.73,61.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,52.87;36.57,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,53.55;32.43,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,52.53;31.51,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,53.55;37.03,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,48.11;8.97,58.14) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,61.03;20.47,63.58) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,66.47;33.35,69.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,55.93;25.99,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,71.74;31.97,71.74) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,64.09;34.73,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,61.03;35.65,64.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,56.1;30.59,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,58.65;33.81,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,66.13;35.65,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,68;22.61,68) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,62.56;22.61,62.56) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,57.12;22.61,57.12) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,57.97;32.89,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,59.33;32.89,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,64.43;36.57,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,56.27;25.53,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,60.69;35.19,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,56.61;29.67,56.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,64.43;35.19,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,55.25;31.05,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,61.03;27.83,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,61.37;28.29,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,63.41;30.13,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,61.71;30.13,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,61.37;32.43,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,66.81;25.53,68.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,56.61;29.67,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.53,61.03;25.53,66.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,64.09;28.75,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,58.14;28.29,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,58.14;29.21,58.14) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,58.14;29.21,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,61.03;30.59,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,59.33;31.05,59.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,59.33;31.05,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,59.33;34.27,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,61.71;33.35,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,57.63;24.15,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,64.43;21.39,65.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,63.07;22.31,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,58.65;37.03,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,58.99;27.37,60.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,55.59;24.15,57.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,60.35;27.37,60.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,58.99;36.57,63.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,55.93;36.57,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,55.25;31.05,55.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,55.25;31.51,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,64.09;20.47,67.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,59.33;20.47,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,67.49;7.13,72.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,62.05;10.81,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,59.33;10.35,61.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,61.03;10.35,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,57.97;14.49,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,56.27;14.49,57.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,55.59;11.27,58.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,66.47;8.05,68.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,61.03;8.05,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,67.15;8.51,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,55.59;12.19,60.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,61.03;17.25,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,63.41;14.49,66.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,58.99;19.09,63.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,64.09;11.27,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,61.03;13.57,61.54) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,63.58;20.01,71.74) w=0.14 bx=0.07 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,61.54;13.57,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,64.09;19.55,66.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,62.05;19.55,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (4.37,66.81;4.37,71.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,64.77;15.87,71.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,60.35;9.43,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,57.63;15.87,58.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,58.99;7.13,63.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,55.25;17.71,56.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,63.07;7.13,64.09) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,57.97;18.63,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,71.74;15.87,71.74) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (2.99,71.74;4.37,71.74) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.65,58.14;12.65,58.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,66.81;11.73,68.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,62.9;7.59,64.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,51.17;7.13,52.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,50.83;13.57,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,51.17;14.49,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,37.57;18.63,38.59) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,39.27;15.41,39.78) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,53.89;14.49,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,47.77;18.63,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.95,45.73;14.95,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,44.71;14.49,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,50.15;16.79,51.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,47.09;14.03,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,49.81;14.03,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,50.49;7.59,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,47.77;7.59,50.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,44.71;13.11,48.3) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,50.15;12.19,50.66) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,48.62;10.81,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,48.3;13.11,48.3) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,48.3;12.19,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,39.78;15.41,41.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,44.71;16.33,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,39.27;20.01,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,44.37;20.01,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,43.86;10.81,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,49.47;15.87,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,39.27;8.97,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,53.21;8.05,53.38) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,45.73;8.05,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,40.29;7.59,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,37.57;17.25,37.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,37.74;17.71,37.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,48.62;16.79,48.62) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,47.43;29.67,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,52.7;25.07,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,44.71;29.67,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,47.77;25.99,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.99,44.37;25.99,47.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,41.82;21.39,41.82) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,41.82;21.39,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,39.61;20.47,41.82) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,42.33;31.05,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,39.61;30.59,39.78) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,39.78;31.05,39.78) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,39.78;31.05,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.45,40.29;26.45,42.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,45.73;35.65,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,49.81;33.81,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,50.15;26.91,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,42.67;34.73,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,42.67;34.73,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,47.77;33.81,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,42.33;32.89,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,47.09;34.27,47.26) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,47.26;29.21,53.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,44.71;33.35,46.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,50.15;34.27,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,43.01;36.11,43.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,44.37;34.73,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,51.17;36.11,52.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,43.01;36.11,47.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,45.05;32.43,48.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,45.05;35.19,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,52.87;35.65,52.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,43.01;27.83,50.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,37.57;34.27,39.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,44.71;33.35,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,42.67;27.37,44.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,39.95;27.37,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,39.61;23.23,44.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,44.03;28.75,45.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,44.71;35.65,45.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,47.77;33.35,48.62) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,49.47;31.05,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,42.67;25.07,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.03,52.53;37.03,53.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,51.68;22.61,51.68) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,46.24;22.61,46.24) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,39.27;25.07,42.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.45,48.11;26.45,53.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.45,44.71;26.45,48.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,50.49;23.23,52.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,47.09;22.77,49.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,47.94;36.11,49.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,42.33;21.39,44.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,40.8;22.61,40.8) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,17.85;34.27,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,19.89;24.15,20.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,19.89;25.07,20.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,12.75;17.25,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,16.83;9.43,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,12.41;18.17,20.06) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,6.97;24.61,20.06) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,17.85;17.71,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,16.83;16.33,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,6.63;19.55,35.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,17.51;28.75,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,17.85;20.47,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,26.18;20.47,26.18) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,17.85;10.35,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,17.85;14.03,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.05,14.11;31.05,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,15.13;35.65,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,17.51;8.05,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,18.53;8.51,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,17.17;27.37,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,19.55;11.27,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,17.51;26.91,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,18.53;33.81,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,19.55;15.87,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,24.48;22.61,24.48) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.45,33.83;26.45,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,31.11;20.47,31.62) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,29.07;20.47,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,22.95;28.75,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,20.06;24.61,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,20.06;28.29,21.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,21.42;28.75,21.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.93,33.83;20.93,34.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,21.42;28.75,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,36.89;28.75,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,34.85;28.29,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,31.79;34.73,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.27,23.29;34.73,23.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,23.29;34.73,31.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,26.35;23.23,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,26.35;22.31,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,33.83;36.11,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,26.69;20.47,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,21.25;27.83,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.15,20.74;25.07,20.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,26.01;20.47,26.18) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.75,31.45;28.75,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,32.13;32.89,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,31.45;32.43,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.13,26.01;30.13,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,22.95;29.67,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,20.57;21.39,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,33.15;20.47,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,36.21;20.93,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,23.29;28.29,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,21.25;33.35,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.93,36.21;20.93,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,20.57;27.37,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,21.25;30.59,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,22.95;30.59,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,27.71;27.83,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,35.36;22.61,35.36) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,32.13;30.59,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,28.39;29.67,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,29.41;30.59,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,29.41;30.59,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,26.35;29.67,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,21.25;26.91,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,20.57;23.69,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,20.57;23.69,21.25) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,20.23;36.11,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.83,36.89;27.83,37.06) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,22.95;33.81,37.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,20.57;33.81,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,20.57;33.81,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,29.41;26.91,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,20.57;22.77,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,30.43;23.23,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,28.39;23.69,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,30.43;23.69,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,29.92;22.61,29.92) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,31.62;13.11,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,31.45;8.97,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,22.95;16.79,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,26.01;16.79,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,23.29;17.25,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,24.99;17.25,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,22.95;10.81,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,20.57;13.57,22.61) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,23.29;11.73,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,20.57;14.49,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,21.25;12.19,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,26.01;12.19,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,22.95;12.19,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,20.23;8.97,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,28.39;7.59,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,26.01;7.59,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,20.57;11.73,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,20.57;11.27,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,34.17;14.03,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,31.79;20.01,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.65,31.45;12.65,33.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.41,32.13;15.41,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,28.73;15.87,30.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,20.74;17.71,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,20.57;17.71,20.74) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.89,34.85;9.89,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,23.97;7.13,25.5) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.01,26.18;20.01,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,25.33;16.33,25.33) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,34.85;17.71,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,22.27;16.33,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,26.35;18.17,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,29.41;13.57,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,28.39;8.05,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,26.01;8.05,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,28.39;10.35,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,29.07;11.73,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.89,26.35;9.89,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,20.57;10.35,26.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.35,26.86;10.81,26.86) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,26.86;10.81,28.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,28.22;11.27,28.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,20.91;18.63,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,33.83;19.09,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.27,28.22;11.27,30.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,23.97;14.03,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.03,26.69;14.03,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,22.95;19.09,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,30.26;7.13,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,28.73;7.13,30.26) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,13.8;8.05,13.8) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,14.79;13.57,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.55,4.93;19.55,6.63) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,15.98;10.81,18.19) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (10.81,12.07;10.81,15.98) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,12.07;11.73,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,7.31;17.25,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,14.11;8.97,14.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,14.11;8.05,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,10.37;14.49,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,6.97;18.63,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.97,12.41;8.97,14.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.33,6.29;16.33,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,15.81;7.59,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (11.73,15.81;11.73,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.63,3.74;18.63,5.95) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.25,10.37;17.25,12.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,9.69;19.09,12.75) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (17.71,6.63;17.71,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (15.87,10.03;15.87,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (9.43,10.03;9.43,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.05,10.37;8.05,13.8) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (8.51,7.65;8.51,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.59,13.8;7.59,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,6.29;16.79,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (14.49,13.09;14.49,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (16.79,15.47;16.79,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (7.13,2.38;7.13,6.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.77,5.78;22.77,6.29) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,5.78;20.47,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (20.47,5.78;22.77,5.78) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.67,6.97;29.67,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.39,6.63;21.39,7.31) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,19.04;22.61,19.04) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,11.73;36.11,11.9) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,11.9;36.11,11.9) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,6.29;35.65,11.9) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,6.63;23.23,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.65,11.9;35.65,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,13.6;22.61,13.6) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.43,10.37;32.43,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,10.37;26.91,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,6.63;26.91,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (26.91,12.07;26.91,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.07,8.16;22.61,8.16) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,4.93;31.97,5.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,15.81;23.69,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,15.13;22.31,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.69,13.09;23.69,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.97,10.37;31.97,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.57,6.97;36.57,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (31.51,11.39;31.51,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,6.63;28.29,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.85,14.79;21.85,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (35.19,6.63;35.19,8.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,9.69;33.81,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.81,6.97;33.81,9.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (22.31,6.63;22.31,7.65) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,8.67;32.89,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,12.07;27.37,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,5.95;27.37,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (34.73,14.79;34.73,16.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,15.81;27.37,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (23.23,12.41;23.23,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,18.02;49.91,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,14.11;40.25,20.06) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.93,19.55;66.93,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,17.17;58.65,20.23) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,17.85;60.03,20.91) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.23,17.51;46.23,19.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (54.05,18.53;54.05,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,17.85;42.55,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,18.53;48.07,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,19.55;45.31,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,17.51;60.49,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.85,22.95;67.85,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.39,29.58;67.39,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.39,29.58;67.85,29.58) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.85,26.01;67.85,29.58) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,26.35;66.01,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,23.63;66.01,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,29.41;66.47,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,31.79;66.47,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,22.61;59.57,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.71,20.57;63.71,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,28.05;58.19,30.77) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,31.79;59.57,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.19,21.25;58.19,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.95,27.71;60.95,28.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,28.22;60.95,28.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,28.22;60.49,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,26.35;60.49,28.22) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,21.25;55.89,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (58.65,33.66;58.65,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,33.66;58.65,33.66) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.87,31.45;61.87,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,20.91;62.79,22.95) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,23.46;63.25,26.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,35.36;62.61,35.36) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,23.46;63.25,23.46) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,31.45;60.49,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,29.92;62.61,29.92) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,36.55;60.49,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,28.39;60.03,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,31.45;60.49,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,20.57;60.49,21.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,21.42;60.49,21.42) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,21.42;60.03,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,22.95;62.79,23.46) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,24.48;62.61,24.48) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.21,24.99;52.21,32.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,21.76;42.61,21.76) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,28.39;43.01,34.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.93,29.07;43.93,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,33.83;42.09,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,23.63;48.53,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,28.73;38.87,31.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,34.17;38.41,34.34) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,34.34;38.87,34.34) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,23.97;51.29,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.29,28.39;51.29,36.89) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,25.67;48.99,30.43) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,20.91;47.15,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,23.97;47.15,28.05) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,26.69;43.01,27.71) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,23.97;43.01,26.69) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,21.25;43.01,23.97) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,32.64;42.61,32.64) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,20.57;43.47,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,20.57;43.47,20.57) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,26.35;50.37,33.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,23.29;50.37,26.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,26.01;40.71,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,21.25;48.53,22.27) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,27.2;42.61,27.2) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.59,29.41;53.59,31.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,20.57;51.75,29.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,31.11;38.87,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.25,33.83;40.25,35.87) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.63,33.83;41.63,36.21) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,25.67;38.87,28.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.87,23.29;38.87,25.67) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,31.45;43.47,33.49) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,28.39;48.53,34.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,33.83;44.39,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,24.99;49.45,28.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,28.39;46.69,33.15) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,21.25;49.45,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,23.29;38.41,24.99) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (52.67,31.11;52.67,36.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,15.81;53.13,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,6.97;43.01,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,10.37;43.47,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,15.47;43.01,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,17.17;43.01,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,17.17;42.55,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.47,7.65;43.47,10.37) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,10.03;40.71,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,17.85;45.77,18.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,18.02;45.77,18.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,17.85;44.39,18.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,9.35;47.61,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,15.81;40.71,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.01,9.35;43.01,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (40.71,17.17;41.17,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,12.75;49.45,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (37.95,6.97;37.95,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.79,6.97;39.79,10.03) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,16.32;42.61,16.32) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,10.88;42.61,10.88) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,11.73;53.13,14.11) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,13.09;44.85,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.07,5.44;42.61,5.44) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,6.29;47.61,6.46) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,6.46;48.07,6.46) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,10.37;48.53,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.77,6.63;45.77,11.73) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,6.46;48.07,12.41) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,12.07;39.33,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.07,12.41;48.07,16.83) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,11.39;47.61,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,11.73;48.53,18.53) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.37,14.79;50.37,18.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,18.02;50.37,18.02) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,12.07;50.83,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (50.83,9.69;50.83,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.45,17.17;49.91,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (49.91,12.07;49.91,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (51.75,17.85;51.75,19.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.99,12.07;48.99,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.85,7.31;44.85,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.03,15.81;60.03,17.85) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (59.57,11.73;59.57,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.89,3.74;55.89,7.31) w=0.14 bx=0 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,6.29;55.43,9.01) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,9.69;56.81,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,7.65;66.47,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.47,12.07;66.47,14.79) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,10.37;66.01,12.07) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.01,12.07;66.01,15.13) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,13.6;62.61,13.6) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (55.43,13.09;55.43,15.81) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,6.97;57.73,9.35) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,15.47;60.49,17.51) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (60.49,10.03;60.49,15.47) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (57.73,9.35;57.73,11.39) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,8.16;62.61,8.16) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (64.17,6.29;64.17,17.17) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,10.03;62.79,11.9) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (62.79,11.9;63.25,11.9) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.07,19.04;62.61,19.04) w=0.37 bx=0 ex=0 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,11.9;63.25,14.45) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.93,18.7;66.93,19.55) w=0.14 bx=0.07 ex=0.07 r=false
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.18,46.605;40.18,47.09;40.32,47.09;40.32,46.605)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,45.245;49.84,45.73;49.98,45.73;49.98,45.245)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,46.945;49.84,47.43;49.98,47.43;49.98,46.945)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.84,42.185;49.84,42.67;49.98,42.67;49.98,42.185)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.9,35.725;8.9,36.21;9.04,36.21;9.04,35.725)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.52,32.665;7.52,33.15;7.66,33.15;7.66,32.665)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.96,20.425;13.96,20.91;14.1,20.91;14.1,20.425)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,42.525;37.88,43.01;38.02,43.01;38.02,42.525)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.12,48.45;35.12,48.935;35.26,48.935;35.26,48.45)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,52.725;38.34,53.21;38.48,53.21;38.48,52.725)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.34,50.005;38.34,50.49;38.48,50.49;38.48,50.005)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.16,57.485;46.16,57.97;46.3,57.97;46.3,57.485)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.46,31.305;48.46,31.79;48.6,31.79;48.6,31.305)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,54.935;32.82,55.42;32.96,55.42;32.96,54.935)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.88,54.935;37.88,55.25;38.02,55.25;38.02,54.935)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.98,23.145;30.98,23.63;31.12,23.63;31.12,23.145)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.36,57.145;32.36,57.63;32.5,57.63;32.5,57.145)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.5,54.425;13.5,54.91;13.64,54.91;13.64,54.425)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.14,50.685;29.14,51.17;29.28,51.17;29.28,50.685)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.44,53.405;31.44,53.89;31.58,53.89;31.58,53.405)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.4,61.735;43.4,62.22;43.54,62.22;43.54,61.735)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,57.485;50.3,57.97;50.44,57.97;50.44,57.485)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,57.825;56.74,58.31;56.88,58.31;56.88,57.825)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.96,54.935;59.96,55.42;60.1,55.42;60.1,54.935)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.2,19.405;34.2,19.89;34.34,19.89;34.34,19.405)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,54.425;33.74,54.91;33.88,54.91;33.88,54.425)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.98,10.735;7.98,11.22;8.12,11.22;8.12,10.735)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,14.305;10.74,14.79;10.88,14.79;10.88,14.305)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.64,20.255;17.64,20.57;17.78,20.57;17.78,20.255)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.42,48.135;37.42,48.62;37.56,48.62;37.56,48.135)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.86,54.935;43.86,55.42;44,55.42;44,54.935)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.74,48.985;10.74,49.47;10.88,49.47;10.88,48.985)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.32,63.605;44.32,64.09;44.46,64.09;44.46,63.605)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.94,11.925;42.94,12.41;43.08,12.41;43.08,11.925)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.22,23.485;28.22,23.97;28.36,23.97;28.36,23.485)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (50.3,28.245;50.3,28.73;50.44,28.73;50.44,28.245)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.82,13.965;32.82,14.45;32.96,14.45;32.96,13.965)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.66,15.325;34.66,15.81;34.8,15.81;34.8,15.325)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.4,8.865;66.4,9.35;66.54,9.35;66.54,8.865)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.74,52.215;56.74,52.7;56.88,52.7;56.88,52.215)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.12,44.905;58.12,45.39;58.26,45.39;58.26,44.905)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.92,47.965;48.92,48.45;49.06,48.45;49.06,47.965)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,41.845;52.14,42.33;52.28,42.33;52.28,41.845)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.14,46.095;52.14,46.58;52.28,46.58;52.28,46.095)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.26,46.945;39.26,47.43;39.4,47.43;39.4,46.945)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.82,48.815;55.82,49.3;55.96,49.3;55.96,48.815)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.34,37.085;61.34,37.57;61.48,37.57;61.48,37.085)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.7,47.625;22.7,48.11;22.84,48.11;22.84,47.625)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.48,55.105;19.48,55.59;19.62,55.59;19.62,55.105)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.02,36.575;19.02,37.06;19.16,37.06;19.16,36.575)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,7.165;33.74,7.65;33.88,7.65;33.88,7.165)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.74,30.625;33.74,31.11;33.88,31.11;33.88,30.625)
+
+
+ -
+
+ '7/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.42,31.645;60.42,32.13;60.56,32.13;60.56,31.645)
+
+
+ -
+
+ '7/0'
+
VIA_M1M2_PR |
+ false
+ 1
+
+
+ polygon: (-0.13,-0.16;-0.13,0.16;0.13,0.16;0.13,-0.16)
+
+
+ -
+
+ '7/0'
+
VIA_via3_4_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.74,-0.185;-0.74,0.185;0.74,0.185;0.74,-0.185)
+
+
+ -
+
+ '7/0'
+
VIA_via2_3_1600_480_1_5_320_320 |
+ false
+ 1
+
+
+ polygon: (-0.77,-0.16;-0.77,0.16;0.77,0.16;0.77,-0.16)
+
+
+ -
+
+ '7/0'
+
VIA_M2M3_PR |
+ false
+ 1
+
+
+ polygon: (-0.14,-0.185;-0.14,0.185;0.14,0.185;0.14,-0.185)
+
+
+ -
+
+ '7/0'
+
VIA_M1M2_PR_MR |
+ false
+ 1
+
+
+ polygon: (-0.13,-0.16;-0.13,0.16;0.13,0.16;0.13,-0.16)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[10]',r0 20.01,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[11]',r0 25.99,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[12]',r0 31.51,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[13]',r0 37.49,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[14]',r0 43.01,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[15]',r0 48.99,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[16]',r0 54.51,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[17]',r0 60.49,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[18]',r0 66.01,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[19]',r0 71.99,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[7]',r0 2.99,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[8]',r0 8.51,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[9]',r0 14.49,73)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('osc',r0 55.89,2)
+
+
+ -
+
+ '7/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('resetb',r0 18.63,2)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.87,71;19.87,75;20.15,75;20.15,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (25.85,71;25.85,75;26.13,75;26.13,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (31.37,71;31.37,75;31.65,75;31.65,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.35,71;37.35,75;37.63,75;37.63,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.87,71;42.87,75;43.15,75;43.15,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.85,71;48.85,75;49.13,75;49.13,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.37,71;54.37,75;54.65,75;54.65,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (60.35,71;60.35,75;60.63,75;60.63,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (65.87,71;65.87,75;66.15,75;66.15,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71.85,71;71.85,75;72.13,75;72.13,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (2.85,71;2.85,75;3.13,75;3.13,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.37,71;8.37,75;8.65,75;8.65,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.35,71;14.35,75;14.63,75;14.63,71)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.75,0;55.75,4;56.03,4;56.03,0)
+
+
+ -
+
+ '7/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.49,0;18.49,4;18.77,4;18.77,0)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,2.08;0,2.68;4,2.68;4,2.08)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,6.16;0,6.76;4,6.76;4,6.16)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,39.48;0,40.08;4,40.08;4,39.48)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,10.92;0,11.52;4,11.52;4,10.92)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,15.68;0,16.28;4,16.28;4,15.68)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,20.44;0,21.04;4,21.04;4,20.44)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,25.2;0,25.8;4,25.8;4,25.2)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,29.96;0,30.56;4,30.56;4,29.96)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,34.72;0,35.32;4,35.32;4,34.72)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,43.56;0,44.16;4,44.16;4,43.56)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,48.32;0,48.92;4,48.92;4,48.32)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,68.04;71,68.64;75,68.64;75,68.04)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,55.8;71,56.4;75,56.4;75,55.8)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,43.56;71,44.16;75,44.16;75,43.56)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,30.64;71,31.24;75,31.24;75,30.64)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,18.4;71,19;75,19;75,18.4)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,6.16;71,6.76;75,6.76;75,6.16)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,53.08;0,53.68;4,53.68;4,53.08)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,57.84;0,58.44;4,58.44;4,57.84)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,62.6;0,63.2;4,63.2;4,62.6)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,67.36;0,67.96;4,67.96;4,67.36)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,72.12;0,72.72;4,72.72;4,72.12)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('clockp[0]',r0 2,2.38)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('clockp[1]',r0 2,6.46)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('dco',r0 2,39.78)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[0]',r0 2,11.22)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[1]',r0 2,15.98)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[2]',r0 2,20.74)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[3]',r0 2,25.5)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[4]',r0 2,30.26)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('enable',r0 2,35.02)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[0]',r0 2,43.86)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[1]',r0 2,48.62)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[20]',r0 73,68.34)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[21]',r0 73,56.1)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[22]',r0 73,43.86)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[23]',r0 73,30.94)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[24]',r0 73,18.7)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[25]',r0 73,6.46)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[2]',r0 2,53.38)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[3]',r0 2,58.14)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[4]',r0 2,62.9)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[5]',r0 2,67.66)
+
+
+ -
+
+ '70/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[6]',r0 2,72.42)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.405,61.39;13.405,61.69;46.395,61.69;46.395,61.39)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.205,60.71;27.205,61.01;48.235,61.01;48.235,60.71)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.425,55.95;30.425,56.25;57.895,56.25;57.895,55.95)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.725,55.27;32.725,55.57;38.115,55.57;38.115,55.27)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.905,52.55;24.905,52.85;46.395,52.85;46.395,52.55)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.945,51.87;35.945,52.17;47.76,52.17;47.76,51.87)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.025,50.51;12.025,50.81;49.615,50.81;49.615,50.51)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.325,48.77;37.325,48.785;37.655,48.785;37.655,48.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.185,48.47;33.185,48.77;37.655,48.77;37.655,48.47)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.325,48.455;37.325,48.47;37.655,48.47;37.655,48.455)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.945,47.79;35.945,48.09;55.595,48.09;55.595,47.79)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.005,19.91;18.005,20.21;40.415,20.21;40.415,19.91)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.965,55.27;52.965,55.57;60.195,55.57;60.195,55.27)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,66.83;44.225,67.13;64.09,67.13;64.09,66.83)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.79,68.19;63.79,68.49;75,68.49;75,68.19)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,56.955;61.05,57.285;62.63,57.285;62.63,56.955)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.565,56.25;57.565,56.265;57.895,56.265;57.895,56.25)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,67.835;61.05,68.165;62.63,68.165;62.63,67.835)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (57.565,55.935;57.565,55.95;57.895,55.95;57.895,55.935)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.685,56.25;67.685,56.265;68.015,56.265;68.015,56.25)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,56.25;71,56.4;75,56.4;75,56.25)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.685,55.95;67.685,56.25;75,56.25;75,55.95)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.685,55.935;67.685,55.95;68.015,55.95;68.015,55.935)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,55.8;71,55.95;75,55.95;75,55.8)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.865,55.57;59.865,55.585;60.195,55.585;60.195,55.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,62.395;61.05,62.725;62.63,62.725;62.63,62.395)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (59.865,55.255;59.865,55.27;60.195,55.27;60.195,55.255)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.79,67.13;63.79,68.19;64.09,68.19;64.09,67.13)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,68.04;71,68.19;75,68.19;75,68.04)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,68.49;71,68.64;75,68.64;75,68.49)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,59.335;44.225,59.35;44.555,59.35;44.555,59.335)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.805,59.335;54.805,59.35;55.135,59.35;55.135,59.335)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.925,58.97;41.925,58.985;42.255,58.985;42.255,58.97)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.285,58.97;49.285,58.985;49.615,58.985;49.615,58.97)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.925,58.67;41.925,58.97;49.615,58.97;49.615,58.67)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.925,58.655;41.925,58.67;42.255,58.67;42.255,58.655)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.285,58.655;49.285,58.67;49.615,58.67;49.615,58.655)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.445,58.29;47.445,58.305;47.775,58.305;47.775,58.29)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.045,58.29;52.045,58.305;52.375,58.305;52.375,58.29)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.445,57.99;47.445,58.29;52.375,58.29;52.375,57.99)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.445,57.975;47.445,57.99;47.775,57.99;47.775,57.975)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.045,57.975;52.045,57.99;52.375,57.99;52.375,57.975)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,57.61;38.245,57.625;38.575,57.625;38.575,57.61)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.545,57.61;40.545,57.625;40.875,57.625;40.875,57.61)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.905,57.61;47.905,57.625;48.235,57.625;48.235,57.61)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,57.31;38.245,57.61;48.235,57.61;48.235,57.31)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,57.295;38.245,57.31;38.575,57.31;38.575,57.295)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.545,57.295;40.545,57.31;40.875,57.31;40.875,57.295)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.905,57.295;47.905,57.31;48.235,57.31;48.235,57.295)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,62.37;38.245,62.385;38.575,62.385;38.575,62.37)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,56.93;38.245,56.945;38.575,56.945;38.575,56.93)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.065,56.93;46.065,56.945;46.395,56.945;46.395,56.93)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,56.63;38.245,56.93;46.395,56.93;46.395,56.63)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,56.615;38.245,56.63;38.575,56.63;38.575,56.615)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.065,56.615;46.065,56.63;46.395,56.63;46.395,56.615)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.305,62.37;43.305,62.385;43.635,62.385;43.635,62.37)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,62.07;38.245,62.37;43.635,62.37;43.635,62.07)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (38.245,62.055;38.245,62.07;38.575,62.07;38.575,62.055)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.305,62.055;43.305,62.07;43.635,62.07;43.635,62.055)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.065,61.69;46.065,61.705;46.395,61.705;46.395,61.69)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.525,64.095;46.525,64.11;46.855,64.11;46.855,64.095)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.065,61.375;46.065,61.39;46.395,61.39;46.395,61.375)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.905,61.01;47.905,61.025;48.235,61.025;48.235,61.01)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.785,55.57;37.785,55.585;38.115,55.585;38.115,55.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,67.13;44.225,67.145;44.555,67.145;44.555,67.13)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (37.785,55.255;37.785,55.27;38.115,55.27;38.115,55.255)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.165,55.57;39.165,55.585;39.495,55.585;39.495,55.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.765,55.57;43.765,55.585;44.095,55.585;44.095,55.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.165,55.27;39.165,55.57;44.095,55.57;44.095,55.27)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.165,55.255;39.165,55.27;39.495,55.27;39.495,55.255)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.765,55.255;43.765,55.27;44.095,55.27;44.095,55.255)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.965,55.57;52.965,55.585;53.295,55.585;53.295,55.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,64.095;42.385,64.11;42.715,64.11;42.715,64.095)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.905,60.695;47.905,60.71;48.235,60.71;48.235,60.695)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.965,55.255;52.965,55.27;53.295,55.27;53.295,55.255)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,59.675;41.05,60.005;42.63,60.005;42.63,59.675)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,59.65;44.225,59.665;44.555,59.665;44.555,59.65)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (54.805,59.65;54.805,59.665;55.135,59.665;55.135,59.65)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,59.35;44.225,59.65;55.135,59.65;55.135,59.35)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,66.815;44.225,66.83;44.555,66.83;44.555,66.815)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,65.115;41.05,65.445;42.63,65.445;42.63,65.115)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,64.41;42.385,64.425;42.715,64.425;42.715,64.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.525,64.41;46.525,64.425;46.855,64.425;46.855,64.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,64.11;42.385,64.41;46.855,64.41;46.855,64.11)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.925,53.23;41.925,53.53;49.615,53.53;49.615,53.23)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.925,53.215;41.925,53.23;42.255,53.23;42.255,53.215)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.285,53.215;49.285,53.23;49.615,53.23;49.615,53.215)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.065,52.85;46.065,52.865;46.395,52.865;46.395,52.85)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.265,52.85;55.265,52.865;55.595,52.865;55.595,52.85)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,54.89;44.225,54.905;44.555,54.905;44.555,54.89)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.065,52.535;46.065,52.55;46.395,52.55;46.395,52.535)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.46,52.55;47.46,52.85;55.595,52.85;55.595,52.55)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.46,52.17;47.46,52.55;47.76,52.55;47.76,52.17)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.265,52.535;55.265,52.55;55.595,52.55;55.595,52.535)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.125,54.89;51.125,54.905;51.455,54.905;51.455,54.89)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.365,52.17;48.365,52.185;48.695,52.185;48.695,52.17)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.585,52.17;51.585,52.185;51.915,52.185;51.915,52.17)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.365,51.87;48.365,52.17;51.915,52.17;51.915,51.87)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.365,51.855;48.365,51.87;48.695,51.87;48.695,51.855)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.585,51.855;51.585,51.87;51.915,51.87;51.915,51.855)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.985,51.49;46.985,51.505;47.315,51.505;47.315,51.49)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.905,51.49;47.905,51.505;48.235,51.505;48.235,51.49)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.985,51.19;46.985,51.49;48.235,51.49;48.235,51.19)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.985,51.175;46.985,51.19;47.315,51.19;47.315,51.175)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.905,51.175;47.905,51.19;48.235,51.19;48.235,51.175)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.285,50.81;49.285,50.825;49.615,50.825;49.615,50.81)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,54.59;44.225,54.89;51.455,54.89;51.455,54.59)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.285,50.495;49.285,50.51;49.615,50.51;49.615,50.495)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,50.13;42.385,50.145;42.715,50.145;42.715,50.13)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.51,50.13;43.51,50.14;43.89,50.14;43.89,50.13)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,49.83;42.385,50.13;43.89,50.13;43.89,49.83)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,49.815;42.385,49.83;42.715,49.83;42.715,49.815)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.51,49.82;43.51,49.83;43.89,49.83;43.89,49.82)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.525,49.45;46.525,49.465;46.855,49.465;46.855,49.45)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.725,49.45;55.725,49.465;56.055,49.465;56.055,49.45)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.525,49.15;46.525,49.45;56.055,49.45;56.055,49.15)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (46.525,49.135;46.525,49.15;46.855,49.15;46.855,49.135)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.725,49.135;55.725,49.15;56.055,49.15;56.055,49.135)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,48.795;41.05,49.125;42.63,49.125;42.63,48.795)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (44.225,54.575;44.225,54.59;44.555,54.59;44.555,54.575)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.125,54.575;51.125,54.59;51.455,54.59;51.455,54.575)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,54.235;41.05,54.565;42.63,54.565;42.63,54.235)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.365,48.77;48.365,48.785;48.695,48.785;48.695,48.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.585,48.77;51.585,48.785;51.915,48.785;51.915,48.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.365,48.47;48.365,48.77;51.915,48.77;51.915,48.47)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (48.365,48.455;48.365,48.47;48.695,48.47;48.695,48.455)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.585,48.455;51.585,48.47;51.915,48.47;51.915,48.455)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.265,48.09;55.265,48.105;55.595,48.105;55.595,48.09)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.925,53.53;41.925,53.545;42.255,53.545;42.255,53.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (55.265,47.775;55.265,47.79;55.595,47.79;55.595,47.775)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.51,47.41;43.51,47.42;43.89,47.42;43.89,47.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.125,47.41;51.125,47.425;51.455,47.425;51.455,47.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.51,47.11;43.51,47.41;51.455,47.41;51.455,47.11)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.51,47.1;43.51,47.11;43.89,47.11;43.89,47.1)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.125,47.095;51.125,47.11;51.455,47.11;51.455,47.095)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,46.73;42.385,46.745;42.715,46.745;42.715,46.73)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.045,46.73;52.045,46.745;52.375,46.745;52.375,46.73)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,46.43;42.385,46.73;52.375,46.73;52.375,46.43)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.385,46.415;42.385,46.43;42.715,46.43;42.715,46.415)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (52.045,46.415;52.045,46.43;52.375,46.43;52.375,46.415)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.145,46.05;45.145,46.065;45.475,46.065;45.475,46.05)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.445,46.05;47.445,46.065;47.775,46.065;47.775,46.05)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.145,45.75;45.145,46.05;47.775,46.05;47.775,45.75)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (45.145,45.735;45.145,45.75;45.475,45.75;45.475,45.735)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (47.445,45.735;47.445,45.75;47.775,45.75;47.775,45.735)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.005,44.69;41.005,44.705;41.335,44.705;41.335,44.69)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.125,44.69;51.125,44.705;51.455,44.705;51.455,44.69)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.005,44.39;41.005,44.69;51.455,44.69;51.455,44.39)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.005,44.375;41.005,44.39;41.335,44.39;41.335,44.375)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (51.125,44.375;51.125,44.39;51.455,44.39;51.455,44.375)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,43.355;41.05,43.685;42.63,43.685;42.63,43.355)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,37.915;41.05,38.245;42.63,38.245;42.63,37.915)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (49.285,53.53;49.285,53.545;49.615,53.545;49.615,53.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,46.075;61.05,46.405;62.63,46.405;62.63,46.075)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.645,52.85;56.645,52.865;56.975,52.865;56.975,52.85)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.485,52.85;58.485,52.865;58.815,52.865;58.815,52.85)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.645,52.55;56.645,52.85;58.815,52.85;58.815,52.55)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.645,52.535;56.645,52.55;56.975,52.55;56.975,52.535)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (58.485,52.535;58.485,52.55;58.815,52.55;58.815,52.535)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.645,53.53;56.645,53.545;56.975,53.545;56.975,53.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.085,53.53;63.085,53.545;63.415,53.545;63.415,53.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.005,53.53;64.005,53.545;64.335,53.545;64.335,53.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.645,53.23;56.645,53.53;64.335,53.53;64.335,53.23)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.645,53.215;56.645,53.23;56.975,53.23;56.975,53.215)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.085,44.01;63.085,44.025;63.415,44.025;63.415,44.01)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,44.01;71,44.16;75,44.16;75,44.01)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.085,43.71;63.085,44.01;75,44.01;75,43.71)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.085,43.695;63.085,43.71;63.415,43.71;63.415,43.695)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (63.085,53.215;63.085,53.23;63.415,53.23;63.415,53.215)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,43.56;71,43.71;75,43.71;75,43.56)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,40.635;61.05,40.965;62.63,40.965;62.63,40.635)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,51.515;61.05,51.845;62.63,51.845;62.63,51.515)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (64.005,53.215;64.005,53.23;64.335,53.23;64.335,53.215)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.805,58.29;8.805,58.305;9.135,58.305;9.135,58.29)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.485,58.29;12.485,58.305;12.815,58.305;12.815,58.29)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,57.99;0,58.29;12.815,58.29;12.815,57.99)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,48.77;0,48.92;4,48.92;4,48.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,57.84;0,57.99;4,57.99;4,57.84)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.645,48.77;10.645,48.785;10.975,48.785;10.975,48.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,48.47;0,48.77;10.975,48.77;10.975,48.47)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,48.32;0,48.47;4,48.47;4,48.32)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.645,48.455;10.645,48.47;10.975,48.47;10.975,48.455)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.185,48.77;33.185,48.785;33.515,48.785;33.515,48.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.905,52.85;24.905,52.865;25.235,52.865;25.235,52.85)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.725,55.57;32.725,55.585;33.055,55.585;33.055,55.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (33.185,48.455;33.185,48.47;33.515,48.47;33.515,48.455)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.805,57.975;8.805,57.99;9.135,57.99;9.135,57.975)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.485,57.975;12.485,57.99;12.815,57.99;12.815,57.975)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.905,52.535;24.905,52.55;25.235,52.55;25.235,52.535)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (32.725,55.255;32.725,55.27;33.055,55.27;33.055,55.255)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.405,61.69;13.405,61.705;13.735,61.705;13.735,61.69)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.945,52.17;35.945,52.185;36.275,52.185;36.275,52.17)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.945,48.09;35.945,48.105;36.275,48.105;36.275,48.09)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,72.27;0,72.57;7.295,72.57;7.295,72.27)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.865,67.81;13.865,67.825;14.195,67.825;14.195,67.81)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.945,47.775;35.945,47.79;36.275,47.79;36.275,47.775)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.405,61.375;13.405,61.39;13.735,61.39;13.735,61.375)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.045,47.41;29.045,47.425;29.375,47.425;29.375,47.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.105,47.41;34.105,47.425;34.435,47.425;34.435,47.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.045,47.11;29.045,47.41;34.435,47.41;34.435,47.11)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (29.045,47.095;29.045,47.11;29.375,47.11;29.375,47.095)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (34.105,47.095;34.105,47.11;34.435,47.11;34.435,47.095)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,67.51;0,67.81;14.195,67.81;14.195,67.51)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.205,61.01;27.205,61.025;27.535,61.025;27.535,61.01)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,67.36;0,67.51;4,67.51;4,67.36)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (13.865,67.495;13.865,67.51;14.195,67.51;14.195,67.495)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.205,60.695;27.205,60.71;27.535,60.71;27.535,60.695)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,63.05;0,63.2;4,63.2;4,63.05)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.425,63.05;7.425,63.065;7.755,63.065;7.755,63.05)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,62.75;0,63.05;7.755,63.05;7.755,62.75)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (35.945,51.855;35.945,51.87;36.275,51.87;36.275,51.855)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,56.955;21.05,57.285;22.63,57.285;22.63,56.955)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,46.075;21.05,46.405;22.63,46.405;22.63,46.075)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,62.6;0,62.75;4,62.75;4,62.6)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,51.515;21.05,51.845;22.63,51.845;22.63,51.515)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.425,62.735;7.425,62.75;7.755,62.75;7.755,62.735)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,62.395;21.05,62.725;22.63,62.725;22.63,62.395)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,72.12;0,72.27;4,72.27;4,72.12)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,53.53;0,53.68;4,53.68;4,53.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.885,53.53;7.885,53.545;8.215,53.545;8.215,53.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,53.23;0,53.53;8.215,53.53;8.215,53.23)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.025,50.81;12.025,50.825;12.355,50.825;12.355,50.81)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,53.08;0,53.23;4,53.23;4,53.08)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.885,53.215;7.885,53.23;8.215,53.23;8.215,53.215)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,44.01;0,44.16;4,44.16;4,44.01)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.645,44.01;10.645,44.025;10.975,44.025;10.975,44.01)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,43.71;0,44.01;10.975,44.01;10.975,43.71)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,43.56;0,43.71;4,43.71;4,43.56)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.645,43.695;10.645,43.71;10.975,43.71;10.975,43.695)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.025,50.495;12.025,50.51;12.355,50.51;12.355,50.495)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,72.255;6.965,72.27;7.295,72.27;7.295,72.255)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,72.57;0,72.72;4,72.72;4,72.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.425,56.25;30.425,56.265;30.755,56.265;30.755,56.25)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,72.57;6.965,72.585;7.295,72.585;7.295,72.57)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,67.81;0,67.96;4,67.96;4,67.81)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,40.635;21.05,40.965;22.63,40.965;22.63,40.635)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (30.425,55.935;30.425,55.95;30.755,55.95;30.755,55.935)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,39.93;0,40.08;4,40.08;4,39.93)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.245,39.93;15.245,39.945;15.575,39.945;15.575,39.93)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,39.63;0,39.93;15.575,39.93;15.575,39.63)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,39.48;0,39.63;4,39.63;4,39.48)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (15.245,39.615;15.245,39.63;15.575,39.63;15.575,39.615)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,67.835;21.05,68.165;22.63,68.165;22.63,67.835)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,58.29;0,58.44;4,58.44;4,58.29)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.665,37.21;27.665,37.225;27.995,37.225;27.995,37.21)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.925,36.91;18.925,37.21;27.995,37.21;27.995,36.91)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.925,36.895;18.925,36.91;19.255,36.91;19.255,36.895)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (27.665,36.895;27.665,36.91;27.995,36.91;27.995,36.895)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,35.17;0,35.32;4,35.32;4,35.17)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,35.195;21.05,35.525;22.63,35.525;22.63,35.195)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.385,35.17;19.385,35.185;19.715,35.185;19.715,35.17)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,34.87;0,35.17;19.715,35.17;19.715,34.87)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,34.72;0,34.87;4,34.87;4,34.72)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (19.385,34.855;19.385,34.87;19.715,34.87;19.715,34.855)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.945,31.77;12.945,31.785;13.275,31.785;13.275,31.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.305,31.77;20.305,31.785;20.635,31.785;20.635,31.77)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.945,31.47;12.945,31.77;20.635,31.77;20.635,31.47)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (12.945,31.455;12.945,31.47;13.275,31.47;13.275,31.455)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (20.305,31.455;20.305,31.47;20.635,31.47;20.635,31.455)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,30.41;0,30.56;4,30.56;4,30.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,30.41;6.965,30.425;7.295,30.425;7.295,30.41)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,30.11;0,30.41;7.295,30.41;7.295,30.11)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,29.96;0,30.11;4,30.11;4,29.96)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,30.095;6.965,30.11;7.295,30.11;7.295,30.095)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,29.755;21.05,30.085;22.63,30.085;22.63,29.755)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,25.65;0,25.8;4,25.8;4,25.65)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,25.65;6.965,25.665;7.295,25.665;7.295,25.65)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,25.35;0,25.65;7.295,25.65;7.295,25.35)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,25.2;0,25.35;4,25.35;4,25.2)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,25.335;6.965,25.35;7.295,25.35;7.295,25.335)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,24.315;21.05,24.645;22.63,24.645;22.63,24.315)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,20.89;0,21.04;4,21.04;4,20.89)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.545,20.89;17.545,20.905;17.875,20.905;17.875,20.89)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,20.59;0,20.89;17.875,20.89;17.875,20.59)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,20.44;0,20.59;4,20.59;4,20.44)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (17.545,20.575;17.545,20.59;17.875,20.59;17.875,20.575)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.005,20.21;18.005,20.225;18.335,20.225;18.335,20.21)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.445,20.21;24.445,20.225;24.775,20.225;24.775,20.21)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.125,20.21;28.125,20.225;28.455,20.225;28.455,20.21)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.925,37.21;18.925,37.225;19.255,37.225;19.255,37.21)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (18.005,19.895;18.005,19.91;18.335,19.91;18.335,19.895)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (24.445,19.895;24.445,19.91;24.775,19.91;24.775,19.895)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (28.125,19.895;28.125,19.91;28.455,19.91;28.455,19.895)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,18.875;21.05,19.205;22.63,19.205;22.63,18.875)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,16.13;0,16.28;4,16.28;4,16.13)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.645,16.13;10.645,16.145;10.975,16.145;10.975,16.13)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,15.83;0,16.13;10.975,16.13;10.975,15.83)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,15.68;0,15.83;4,15.83;4,15.68)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (10.645,15.815;10.645,15.83;10.975,15.83;10.975,15.815)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,13.435;21.05,13.765;22.63,13.765;22.63,13.435)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,11.37;0,11.52;4,11.52;4,11.37)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.885,11.37;7.885,11.385;8.215,11.385;8.215,11.37)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,11.07;0,11.37;8.215,11.37;8.215,11.07)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,10.92;0,11.07;4,11.07;4,10.92)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (7.885,11.055;7.885,11.07;8.215,11.07;8.215,11.055)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.05,7.995;21.05,8.325;22.63,8.325;22.63,7.995)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,6.61;0,6.76;4,6.76;4,6.61)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.785,6.61;14.785,6.625;15.115,6.625;15.115,6.61)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,6.31;0,6.61;15.115,6.61;15.115,6.31)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,6.16;0,6.31;4,6.31;4,6.16)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (14.785,6.295;14.785,6.31;15.115,6.31;15.115,6.295)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,2.53;0,2.68;4,2.68;4,2.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,2.53;6.965,2.545;7.295,2.545;7.295,2.53)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,2.23;0,2.53;7.295,2.53;7.295,2.23)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,2.08;0,2.23;4,2.23;4,2.08)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (6.965,2.215;6.965,2.23;7.295,2.23;7.295,2.215)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,32.475;41.05,32.805;42.63,32.805;42.63,32.475)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,35.195;61.05,35.525;62.63,35.525;62.63,35.195)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.685,31.09;67.685,31.105;68.015,31.105;68.015,31.09)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,31.09;71,31.24;75,31.24;75,31.09)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,13.435;61.05,13.765;62.63,13.765;62.63,13.435)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.085,20.21;40.085,20.225;40.415,20.225;40.415,20.21)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.685,30.79;67.685,31.09;75,31.09;75,30.79)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,24.315;61.05,24.645;62.63,24.645;62.63,24.315)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,21.595;41.05,21.925;42.63,21.925;42.63,21.595)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (67.685,30.775;67.685,30.79;68.015,30.79;68.015,30.775)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,10.715;41.05,11.045;42.63,11.045;42.63,10.715)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (40.085,19.895;40.085,19.91;40.415,19.91;40.415,19.895)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,7.995;61.05,8.325;62.63,8.325;62.63,7.995)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,29.755;61.05,30.085;62.63,30.085;62.63,29.755)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.05,18.875;61.05,19.205;62.63,19.205;62.63,18.875)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.765,18.85;66.765,18.865;67.095,18.865;67.095,18.85)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,18.85;71,19;75,19;75,18.85)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.765,18.55;66.765,18.85;75,18.85;75,18.55)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.185,6.61;56.185,6.625;56.515,6.625;56.515,6.61)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,6.61;71,6.76;75,6.76;75,6.61)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.185,6.31;56.185,6.61;75,6.61;75,6.31)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (56.185,6.295;56.185,6.31;56.515,6.31;56.515,6.295)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,6.16;71,6.31;75,6.31;75,6.16)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,5.275;41.05,5.605;42.63,5.605;42.63,5.275)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (66.765,18.535;66.765,18.55;67.095,18.55;67.095,18.535)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,18.4;71,18.55;75,18.55;75,18.4)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,27.035;41.05,27.365;42.63,27.365;42.63,27.035)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.05,16.155;41.05,16.485;42.63,16.485;42.63,16.155)
+
+
+ -
+
+ '70/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,30.64;71,30.79;75,30.79;75,30.64)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,67.9;61.14,68.1;61.34,68.1;61.34,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,67.9;61.54,68.1;61.74,68.1;61.74,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,67.9;61.94,68.1;62.14,68.1;62.14,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,67.9;62.34,68.1;62.54,68.1;62.54,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,65.18;41.94,65.38;42.14,65.38;42.14,65.18)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,65.18;42.34,65.38;42.54,65.38;42.54,65.18)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,62.46;61.14,62.66;61.34,62.66;61.34,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,62.46;61.54,62.66;61.74,62.66;61.74,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,62.46;61.94,62.66;62.14,62.66;62.14,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,62.46;62.34,62.66;62.54,62.66;62.54,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,59.74;41.94,59.94;42.14,59.94;42.14,59.74)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,59.74;42.34,59.94;42.54,59.94;42.54,59.74)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,57.02;61.14,57.22;61.34,57.22;61.34,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,57.02;61.54,57.22;61.74,57.22;61.74,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,57.02;61.94,57.22;62.14,57.22;62.14,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,57.02;62.34,57.22;62.54,57.22;62.54,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,54.3;41.94,54.5;42.14,54.5;42.14,54.3)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,54.3;42.34,54.5;42.54,54.5;42.54,54.3)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,51.58;61.14,51.78;61.34,51.78;61.34,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,51.58;61.54,51.78;61.74,51.78;61.74,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,51.58;61.94,51.78;62.14,51.78;62.14,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,51.58;62.34,51.78;62.54,51.78;62.54,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.6,49.88;43.6,50.08;43.8,50.08;43.8,49.88)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,48.86;41.94,49.06;42.14,49.06;42.14,48.86)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,48.86;42.34,49.06;42.54,49.06;42.54,48.86)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.6,47.16;43.6,47.36;43.8,47.36;43.8,47.16)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,46.14;61.14,46.34;61.34,46.34;61.34,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,46.14;61.54,46.34;61.74,46.34;61.74,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,46.14;61.94,46.34;62.14,46.34;62.14,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,46.14;62.34,46.34;62.54,46.34;62.54,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,43.42;41.94,43.62;42.14,43.62;42.14,43.42)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,43.42;42.34,43.62;42.54,43.62;42.54,43.42)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,40.7;61.14,40.9;61.34,40.9;61.34,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,40.7;61.54,40.9;61.74,40.9;61.74,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,40.7;61.94,40.9;62.14,40.9;62.14,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,40.7;62.34,40.9;62.54,40.9;62.54,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,37.98;41.94,38.18;42.14,38.18;42.14,37.98)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,37.98;42.34,38.18;42.54,38.18;42.54,37.98)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,51.58;21.94,51.78;22.14,51.78;22.14,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,51.58;22.34,51.78;22.54,51.78;22.54,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,65.18;41.14,65.38;41.34,65.38;41.34,65.18)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,65.18;41.54,65.38;41.74,65.38;41.74,65.18)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,59.74;41.14,59.94;41.34,59.94;41.34,59.74)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,59.74;41.54,59.94;41.74,59.94;41.74,59.74)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,67.9;21.14,68.1;21.34,68.1;21.34,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,48.86;41.14,49.06;41.34,49.06;41.34,48.86)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,48.86;41.54,49.06;41.74,49.06;41.74,48.86)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,67.9;21.54,68.1;21.74,68.1;21.74,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,57.02;21.14,57.22;21.34,57.22;21.34,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,57.02;21.54,57.22;21.74,57.22;21.74,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,46.14;21.14,46.34;21.34,46.34;21.34,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,46.14;21.54,46.34;21.74,46.34;21.74,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,46.14;21.94,46.34;22.14,46.34;22.14,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,46.14;22.34,46.34;22.54,46.34;22.54,46.14)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,57.02;21.94,57.22;22.14,57.22;22.14,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,57.02;22.34,57.22;22.54,57.22;22.54,57.02)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,62.46;21.14,62.66;21.34,62.66;21.34,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,62.46;21.54,62.66;21.74,62.66;21.74,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,43.42;41.14,43.62;41.34,43.62;41.34,43.42)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,43.42;41.54,43.62;41.74,43.62;41.74,43.42)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,62.46;21.94,62.66;22.14,62.66;22.14,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,62.46;22.34,62.66;22.54,62.66;22.54,62.46)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,40.7;21.14,40.9;21.34,40.9;21.34,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,40.7;21.54,40.9;21.74,40.9;21.74,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,40.7;21.94,40.9;22.14,40.9;22.14,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,40.7;22.34,40.9;22.54,40.9;22.54,40.7)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,54.3;41.14,54.5;41.34,54.5;41.34,54.3)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,54.3;41.54,54.5;41.74,54.5;41.74,54.3)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,67.9;21.94,68.1;22.14,68.1;22.14,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,67.9;22.34,68.1;22.54,68.1;22.54,67.9)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,37.98;41.14,38.18;41.34,38.18;41.34,37.98)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,37.98;41.54,38.18;41.74,38.18;41.74,37.98)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,51.58;21.14,51.78;21.34,51.78;21.34,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,51.58;21.54,51.78;21.74,51.78;21.74,51.58)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,35.26;21.14,35.46;21.34,35.46;21.34,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,35.26;21.54,35.46;21.74,35.46;21.74,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,35.26;21.94,35.46;22.14,35.46;22.14,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,35.26;22.34,35.46;22.54,35.46;22.54,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,32.54;41.14,32.74;41.34,32.74;41.34,32.54)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,32.54;41.54,32.74;41.74,32.74;41.74,32.54)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,29.82;21.14,30.02;21.34,30.02;21.34,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,29.82;21.54,30.02;21.74,30.02;21.74,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,29.82;21.94,30.02;22.14,30.02;22.14,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,29.82;22.34,30.02;22.54,30.02;22.54,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,27.1;41.14,27.3;41.34,27.3;41.34,27.1)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,27.1;41.54,27.3;41.74,27.3;41.74,27.1)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,24.38;21.14,24.58;21.34,24.58;21.34,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,24.38;21.54,24.58;21.74,24.58;21.74,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,24.38;21.94,24.58;22.14,24.58;22.14,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,24.38;22.34,24.58;22.54,24.58;22.54,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,21.66;41.14,21.86;41.34,21.86;41.34,21.66)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,21.66;41.54,21.86;41.74,21.86;41.74,21.66)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,18.94;21.14,19.14;21.34,19.14;21.34,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,18.94;21.54,19.14;21.74,19.14;21.74,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,18.94;21.94,19.14;22.14,19.14;22.14,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,18.94;22.34,19.14;22.54,19.14;22.54,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,16.22;41.14,16.42;41.34,16.42;41.34,16.22)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,16.22;41.54,16.42;41.74,16.42;41.74,16.22)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,13.5;21.14,13.7;21.34,13.7;21.34,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,13.5;21.54,13.7;21.74,13.7;21.74,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,13.5;21.94,13.7;22.14,13.7;22.14,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,13.5;22.34,13.7;22.54,13.7;22.54,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,10.78;41.14,10.98;41.34,10.98;41.34,10.78)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,10.78;41.54,10.98;41.74,10.98;41.74,10.78)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.14,8.06;21.14,8.26;21.34,8.26;21.34,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.54,8.06;21.54,8.26;21.74,8.26;21.74,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.94,8.06;21.94,8.26;22.14,8.26;22.14,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (22.34,8.06;22.34,8.26;22.54,8.26;22.54,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.14,5.34;41.14,5.54;41.34,5.54;41.34,5.34)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.54,5.34;41.54,5.54;41.74,5.54;41.74,5.34)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,29.82;61.94,30.02;62.14,30.02;62.14,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,29.82;62.34,30.02;62.54,30.02;62.54,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,32.54;41.94,32.74;42.14,32.74;42.14,32.54)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,32.54;42.34,32.74;42.54,32.74;42.54,32.54)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,18.94;61.14,19.14;61.34,19.14;61.34,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,18.94;61.54,19.14;61.74,19.14;61.74,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,18.94;61.94,19.14;62.14,19.14;62.14,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,18.94;62.34,19.14;62.54,19.14;62.54,18.94)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,27.1;41.94,27.3;42.14,27.3;42.14,27.1)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,27.1;42.34,27.3;42.54,27.3;42.54,27.1)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,16.22;41.94,16.42;42.14,16.42;42.14,16.22)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,16.22;42.34,16.42;42.54,16.42;42.54,16.22)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,35.26;61.94,35.46;62.14,35.46;62.14,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,35.26;62.34,35.46;62.54,35.46;62.54,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,35.26;61.14,35.46;61.34,35.46;61.34,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,35.26;61.54,35.46;61.74,35.46;61.74,35.26)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,13.5;61.14,13.7;61.34,13.7;61.34,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,13.5;61.54,13.7;61.74,13.7;61.74,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,13.5;61.94,13.7;62.14,13.7;62.14,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,13.5;62.34,13.7;62.54,13.7;62.54,13.5)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,24.38;61.14,24.58;61.34,24.58;61.34,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,24.38;61.54,24.58;61.74,24.58;61.74,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,10.78;41.94,10.98;42.14,10.98;42.14,10.78)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,10.78;42.34,10.98;42.54,10.98;42.54,10.78)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,24.38;61.94,24.58;62.14,24.58;62.14,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,24.38;62.34,24.58;62.54,24.58;62.54,24.38)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,29.82;61.14,30.02;61.34,30.02;61.34,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,29.82;61.54,30.02;61.74,30.02;61.74,29.82)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.14,8.06;61.14,8.26;61.34,8.26;61.34,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.54,8.06;61.54,8.26;61.74,8.26;61.74,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.94,8.06;61.94,8.26;62.14,8.26;62.14,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (62.34,8.06;62.34,8.26;62.54,8.26;62.54,8.06)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,21.66;41.94,21.86;42.14,21.86;42.14,21.66)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,21.66;42.34,21.86;42.54,21.86;42.54,21.66)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.94,5.34;41.94,5.54;42.14,5.54;42.14,5.34)
+
+
+ -
+
+ '70/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (42.34,5.34;42.34,5.54;42.54,5.54;42.54,5.34)
+
+
+ -
+
+ '71/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,5.2;41.04,68.24;42.64,68.24;42.64,5.2)
+
+
+ -
+
+ '71/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.04,5.2;21.04,68.24;22.64,68.24;22.64,5.2)
+
+
+ -
+
+ '71/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.04,5.2;61.04,68.24;62.64,68.24;62.64,5.2)
+
+
+ -
+
+ '71/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VGND',r90 41.84,36.72)
+
+
+ -
+
+ '71/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VPWR',r90 21.84,36.72)
+
+
+ -
+
+ '71/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VPWR',r90 61.84,36.72)
+
+
+ -
+
+ '71/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.04,5.2;21.04,68.24;22.64,68.24;22.64,5.2)
+
+
+ -
+
+ '71/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.04,5.2;41.04,68.24;42.64,68.24;42.64,5.2)
+
+
+ -
+
+ '71/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.535,49.815;43.535,50.145;43.865,50.145;43.865,49.815)
+
+
+ -
+
+ '71/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.55,47.425;43.55,49.815;43.85,49.815;43.85,47.425)
+
+
+ -
+
+ '71/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (43.535,47.095;43.535,47.425;43.865,47.425;43.865,47.095)
+
+
+ -
+
+ '71/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.04,5.2;61.04,68.24;62.64,68.24;62.64,5.2)
+
+
+ -
+
+ '71/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.44,61.45;21.44,62.25;22.24,62.25;22.24,61.45)
+
+
+ -
+
+ '71/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.44,61.45;61.44,62.25;62.24,62.25;62.24,61.45)
+
+
+ -
+
+ '71/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (41.44,41.45;41.44,42.25;42.24,42.25;42.24,41.45)
+
+
+ -
+
+ '71/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (21.44,21.45;21.44,22.25;22.24,22.25;22.24,21.45)
+
+
+ -
+
+ '71/44'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (61.44,21.45;61.44,22.25;62.24,22.25;62.24,21.45)
+
+
+ -
+
+ '72/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,41.05;5.28,42.65;69.7,42.65;69.7,41.05)
+
+
+ -
+
+ '72/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,21.05;5.28,22.65;69.7,22.65;69.7,21.05)
+
+
+ -
+
+ '72/16'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,61.05;5.28,62.65;69.7,62.65;69.7,61.05)
+
+
+ -
+
+ '72/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VGND',r0 37.49,41.85)
+
+
+ -
+
+ '72/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VPWR',r0 37.49,21.85)
+
+
+ -
+
+ '72/16'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('VPWR',r0 37.49,61.85)
+
+
+ -
+
+ '72/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,61.05;5.28,62.65;69.7,62.65;69.7,61.05)
+
+
+ -
+
+ '72/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,41.05;5.28,42.65;69.7,42.65;69.7,41.05)
+
+
+ -
+
+ '72/20'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (5.28,21.05;5.28,22.65;69.7,22.65;69.7,21.05)
+
+
+ -
+
+ '8/0'
+
VIA_via3_4_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.7,-0.1;-0.7,0.1;-0.5,0.1;-0.5,-0.1)
+
+
+ -
+
+ '8/0'
+
VIA_via3_4_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.3,-0.1;-0.3,0.1;-0.1,0.1;-0.1,-0.1)
+
+
+ -
+
+ '8/0'
+
VIA_via3_4_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (0.1,-0.1;0.1,0.1;0.3,0.1;0.3,-0.1)
+
+
+ -
+
+ '8/0'
+
VIA_via3_4_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (0.5,-0.1;0.5,0.1;0.7,0.1;0.7,-0.1)
+
+
+ -
+
+ '8/0'
+
VIA_M2M3_PR |
+ false
+ 1
+
+
+ polygon: (-0.1,-0.1;-0.1,0.1;0.1,0.1;0.1,-0.1)
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,65.28;42.63,65.28) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,59.84;42.63,59.84) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,54.4;42.63,54.4) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,48.96;42.63,48.96) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,43.52;42.63,43.52) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,38.08;42.63,38.08) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,32.64;42.63,32.64) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,27.2;42.63,27.2) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,21.76;42.63,21.76) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,16.32;42.63,16.32) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,10.88;42.63,10.88) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.05,5.44;42.63,5.44) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,68;62.63,68) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,62.56;62.63,62.56) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,57.12;62.63,57.12) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,51.68;62.63,51.68) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,46.24;62.63,46.24) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,40.8;62.63,40.8) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,35.36;62.63,35.36) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,29.92;62.63,29.92) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,24.48;62.63,24.48) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,19.04;62.63,19.04) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,13.6;62.63,13.6) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (61.05,8.16;62.63,8.16) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,68;22.63,68) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,62.56;22.63,62.56) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,57.12;22.63,57.12) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,51.68;22.63,51.68) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,46.24;22.63,46.24) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,40.8;22.63,40.8) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,35.36;22.63,35.36) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,29.92;22.63,29.92) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,24.48;22.63,24.48) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,19.04;22.63,19.04) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,13.6;22.63,13.6) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (21.05,8.16;22.63,8.16) w=0.33 bx=0 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.11,31.62;20.47,31.62) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (24.61,20.06;28.29,20.06) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (18.17,20.06;24.61,20.06) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (28.29,20.06;40.25,20.06) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,57.46;48.07,57.46) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,52.02;51.75,52.02) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (48.53,48.62;51.75,48.62) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,49.98;43.7,49.98) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (43.7,47.26;51.29,47.26) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,56.78;46.23,56.78) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (32.89,55.42;37.95,55.42) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (45.31,45.9;47.61,45.9) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,58.82;49.45,58.82) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (29.21,47.26;34.27,47.26) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (27.37,60.86;48.07,60.86) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,64.26;46.69,64.26) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (38.41,62.22;43.47,62.22) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,2.38;7.13,2.38) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,6.46;14.95,6.46) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (53.13,55.42;60.03,55.42) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,58.14;52.21,58.14) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,39.78;15.41,39.78) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,11.22;8.05,11.22) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,15.98;10.81,15.98) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,20.74;17.71,20.74) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,25.5;7.13,25.5) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,30.26;7.13,30.26) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,35.02;19.55,35.02) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,43.86;10.81,43.86) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (33.35,48.62;37.49,48.62) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (39.33,55.42;43.93,55.42) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,54.74;51.29,54.74) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,48.62;10.81,48.62) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.94,66.98;63.94,68.34) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.94,68.34;71.3,68.34) w=0.3 bx=0.15 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,66.98;63.94,66.98) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.85,56.1;71.3,56.1) w=0.3 bx=0.15 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,43.86;71.3,43.86) w=0.3 bx=0.15 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (44.39,59.5;54.97,59.5) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (67.85,30.94;71.3,30.94) w=0.3 bx=0.15 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (66.93,18.7;71.3,18.7) w=0.3 bx=0.15 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.35,6.46;71.3,6.46) w=0.3 bx=0.15 ex=0 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,53.38;8.05,53.38) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,58.14;12.65,58.14) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,62.9;7.59,62.9) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,67.66;14.03,67.66) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (3.22,72.42;7.13,72.42) w=0.3 bx=0 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,52.7;58.65,52.7) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (41.17,44.54;51.29,44.54) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.09,53.38;49.45,53.38) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.15,51.34;48.07,51.34) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (42.55,46.58;52.21,46.58) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (46.69,49.3;55.89,49.3) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (56.81,53.38;63.25,53.38) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (63.25,53.38;64.17,53.38) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,52.7;55.43,52.7) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (47.61,52.02;47.61,52.7) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,52.02;47.61,52.02) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (30.59,56.1;57.73,56.1) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (12.19,50.66;49.45,50.66) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (13.57,61.54;46.23,61.54) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (25.07,52.7;46.23,52.7) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (19.09,37.06;27.83,37.06) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ path: (36.11,47.94;55.43,47.94) w=0.3 bx=0.15 ex=0.15 r=false
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (39.91,57.31;39.91,57.61;40.71,57.61;40.71,57.31)
+
+
+ -
+
+ '9/0'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (8.17,57.99;8.17,58.29;8.97,58.29;8.97,57.99)
+
+
+ -
+
+ '9/0'
+
VIA_via4_5_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.79,-0.16;-0.79,0.16;0.79,0.16;0.79,-0.16)
+
+
+ -
+
+ '9/0'
+
VIA_via3_4_1600_480_1_4_400_400 |
+ false
+ 1
+
+
+ polygon: (-0.765,-0.165;-0.765,0.165;0.765,0.165;0.765,-0.165)
+
+
+ -
+
+ '9/0'
+
VIA_M2M3_PR |
+ false
+ 1
+
+
+ polygon: (-0.165,-0.165;-0.165,0.165;0.165,0.165;0.165,-0.165)
+
+
+ -
+
+ '9/0'
+
VIA_M3M4_PR |
+ false
+ 1
+
+
+ polygon: (-0.19,-0.16;-0.19,0.16;0.19,0.16;0.19,-0.16)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('clockp[0]',r0 2,2.38)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('clockp[1]',r0 2,6.46)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('dco',r0 2,39.78)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[0]',r0 2,11.22)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[1]',r0 2,15.98)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[2]',r0 2,20.74)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[3]',r0 2,25.5)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('div[4]',r0 2,30.26)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('enable',r0 2,35.02)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[0]',r0 2,43.86)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[1]',r0 2,48.62)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[20]',r0 73,68.34)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[21]',r0 73,56.1)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[22]',r0 73,43.86)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[23]',r0 73,30.94)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[24]',r0 73,18.7)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[25]',r0 73,6.46)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[2]',r0 2,53.38)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[3]',r0 2,58.14)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[4]',r0 2,62.9)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[5]',r0 2,67.66)
+
+
+ -
+
+ '9/1'
+
digital_pll |
+ false
+ 1
+
+
+ label: ('ext_trim[6]',r0 2,72.42)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,2.08;0,2.68;4,2.68;4,2.08)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,6.16;0,6.76;4,6.76;4,6.16)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,39.48;0,40.08;4,40.08;4,39.48)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,10.92;0,11.52;4,11.52;4,10.92)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,15.68;0,16.28;4,16.28;4,15.68)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,20.44;0,21.04;4,21.04;4,20.44)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,25.2;0,25.8;4,25.8;4,25.2)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,29.96;0,30.56;4,30.56;4,29.96)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,34.72;0,35.32;4,35.32;4,34.72)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,43.56;0,44.16;4,44.16;4,43.56)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,48.32;0,48.92;4,48.92;4,48.32)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,68.04;71,68.64;75,68.64;75,68.04)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,55.8;71,56.4;75,56.4;75,55.8)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,43.56;71,44.16;75,44.16;75,43.56)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,30.64;71,31.24;75,31.24;75,30.64)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,18.4;71,19;75,19;75,18.4)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (71,6.16;71,6.76;75,6.76;75,6.16)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,53.08;0,53.68;4,53.68;4,53.08)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,57.84;0,58.44;4,58.44;4,57.84)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,62.6;0,63.2;4,63.2;4,62.6)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,67.36;0,67.96;4,67.96;4,67.36)
+
+
+ -
+
+ '9/2'
+
digital_pll |
+ false
+ 1
+
+
+ polygon: (0,72.12;0,72.72;4,72.72;4,72.12)
+
+
+
+
diff --git a/signoff/digital_pll/openlane-signoff/drc.klayout.xml b/signoff/digital_pll/openlane-signoff/drc.klayout.xml
new file mode 100644
index 00000000..f48043fd
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/drc.klayout.xml
@@ -0,0 +1,10 @@
+
+
+
+
+
+ digital_pll
+ |
+
+
+
diff --git a/signoff/digital_pll/openlane-signoff/drc.rdb b/signoff/digital_pll/openlane-signoff/drc.rdb
new file mode 100644
index 00000000..b9ee1156
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/drc.rdb
@@ -0,0 +1 @@
+$digital_pll 100
diff --git a/signoff/digital_pll/openlane-signoff/drc.rpt b/signoff/digital_pll/openlane-signoff/drc.rpt
new file mode 100644
index 00000000..b2dcf868
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/drc.rpt
@@ -0,0 +1,5 @@
+digital_pll
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/signoff/digital_pll/openlane-signoff/drc.tcl b/signoff/digital_pll/openlane-signoff/drc.tcl
new file mode 100644
index 00000000..e69de29b
diff --git a/signoff/digital_pll/openlane-signoff/drc.tr b/signoff/digital_pll/openlane-signoff/drc.tr
new file mode 100644
index 00000000..e69de29b
diff --git a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ff.sdf b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ff.sdf
new file mode 100644
index 00000000..93fc65a3
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ff.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:49:01 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (0.761:0.761:0.761) (0.333:0.333:0.333))
+ (INTERCONNECT dco _334_.B2 (0.804:0.804:0.804) (0.372:0.372:0.372))
+ (INTERCONNECT dco _296_.B (0.805:0.805:0.805) (0.373:0.373:0.373))
+ (INTERCONNECT dco _351_.A1 (0.803:0.803:0.803) (0.372:0.372:0.372))
+ (INTERCONNECT dco _354_.A1 (0.803:0.803:0.803) (0.372:0.372:0.372))
+ (INTERCONNECT dco _337_.A1 (0.806:0.806:0.806) (0.374:0.374:0.374))
+ (INTERCONNECT dco _348_.A1 (0.805:0.805:0.805) (0.373:0.373:0.373))
+ (INTERCONNECT dco _350_.B2 (0.805:0.805:0.805) (0.374:0.374:0.374))
+ (INTERCONNECT dco _341_.B2 (0.806:0.806:0.806) (0.374:0.374:0.374))
+ (INTERCONNECT dco _342_.A1 (0.806:0.806:0.806) (0.374:0.374:0.374))
+ (INTERCONNECT dco _326_.A1 (0.763:0.763:0.763) (0.334:0.334:0.334))
+ (INTERCONNECT dco _343_.A1 (0.764:0.764:0.764) (0.335:0.335:0.335))
+ (INTERCONNECT dco _346_.B2 (0.764:0.764:0.764) (0.335:0.335:0.335))
+ (INTERCONNECT dco _312_.A1 (0.764:0.764:0.764) (0.335:0.335:0.335))
+ (INTERCONNECT dco _319_.A1 (0.762:0.762:0.762) (0.334:0.334:0.334))
+ (INTERCONNECT dco _289_.A2 (0.750:0.750:0.750) (0.323:0.323:0.323))
+ (INTERCONNECT dco _295_.A1 (0.751:0.751:0.751) (0.324:0.324:0.324))
+ (INTERCONNECT dco _314_.A1 (0.762:0.762:0.762) (0.334:0.334:0.334))
+ (INTERCONNECT dco _317_.A1 (0.762:0.762:0.762) (0.334:0.334:0.334))
+ (INTERCONNECT dco _316_.B2 (0.753:0.753:0.753) (0.325:0.325:0.325))
+ (INTERCONNECT dco _293_.A_N (0.762:0.762:0.762) (0.334:0.334:0.334))
+ (INTERCONNECT dco _306_.A1 (0.753:0.753:0.753) (0.325:0.325:0.325))
+ (INTERCONNECT dco _310_.A1 (0.764:0.764:0.764) (0.336:0.336:0.336))
+ (INTERCONNECT dco _309_.A1 (0.764:0.764:0.764) (0.336:0.336:0.336))
+ (INTERCONNECT dco _305_.A1 (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco _300_.A1 (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco _302_.A1 (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco _299_.A1 (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco _313_.B (0.753:0.753:0.753) (0.325:0.325:0.325))
+ (INTERCONNECT dco _353_.A1 (0.795:0.795:0.795) (0.364:0.364:0.364))
+ (INTERCONNECT dco _366_.A (0.800:0.800:0.800) (0.369:0.369:0.369))
+ (INTERCONNECT dco _369_.A (0.795:0.795:0.795) (0.364:0.364:0.364))
+ (INTERCONNECT dco _368_.A (0.801:0.801:0.801) (0.370:0.370:0.370))
+ (INTERCONNECT dco _352_.A_N (0.798:0.798:0.798) (0.367:0.367:0.367))
+ (INTERCONNECT dco _367_.A (0.801:0.801:0.801) (0.370:0.370:0.370))
+ (INTERCONNECT dco _371_.A (0.787:0.787:0.787) (0.357:0.357:0.357))
+ (INTERCONNECT dco _358_.A (0.794:0.794:0.794) (0.363:0.363:0.363))
+ (INTERCONNECT dco _373_.A (0.791:0.791:0.791) (0.361:0.361:0.361))
+ (INTERCONNECT dco _372_.A (0.794:0.794:0.794) (0.363:0.363:0.363))
+ (INTERCONNECT dco _360_.A (0.779:0.779:0.779) (0.349:0.349:0.349))
+ (INTERCONNECT dco _361_.A (0.784:0.784:0.784) (0.354:0.354:0.354))
+ (INTERCONNECT dco _365_.A (0.765:0.765:0.765) (0.336:0.336:0.336))
+ (INTERCONNECT dco _364_.A (0.795:0.795:0.795) (0.364:0.364:0.364))
+ (INTERCONNECT dco _357_.A (0.782:0.782:0.782) (0.352:0.352:0.352))
+ (INTERCONNECT dco _356_.A (0.787:0.787:0.787) (0.356:0.356:0.356))
+ (INTERCONNECT dco _362_.A (0.766:0.766:0.766) (0.338:0.338:0.338))
+ (INTERCONNECT dco _370_.A (0.759:0.759:0.759) (0.331:0.331:0.331))
+ (INTERCONNECT dco _363_.A (0.759:0.759:0.759) (0.331:0.331:0.331))
+ (INTERCONNECT dco _377_.A (0.756:0.756:0.756) (0.328:0.328:0.328))
+ (INTERCONNECT dco _378_.A (0.744:0.744:0.744) (0.317:0.317:0.317))
+ (INTERCONNECT dco _359_.A (0.775:0.775:0.775) (0.345:0.345:0.345))
+ (INTERCONNECT dco _375_.A (0.768:0.768:0.768) (0.339:0.339:0.339))
+ (INTERCONNECT dco _374_.A (0.768:0.768:0.768) (0.339:0.339:0.339))
+ (INTERCONNECT dco _376_.A (0.767:0.767:0.767) (0.338:0.338:0.338))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (0.767:0.767:0.767) (0.338:0.338:0.338))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (0.781:0.781:0.781) (0.351:0.351:0.351))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (0.767:0.767:0.767) (0.339:0.339:0.339))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (0.774:0.774:0.774) (0.345:0.345:0.345))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (0.745:0.745:0.745) (0.318:0.318:0.318))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (0.756:0.756:0.756) (0.328:0.328:0.328))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (0.759:0.759:0.759) (0.331:0.331:0.331))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (0.763:0.763:0.763) (0.334:0.334:0.334))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (0.766:0.766:0.766) (0.337:0.337:0.337))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (0.779:0.779:0.779) (0.349:0.349:0.349))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (0.781:0.781:0.781) (0.351:0.351:0.351))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (0.795:0.795:0.795) (0.364:0.364:0.364))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (0.768:0.768:0.768) (0.340:0.340:0.340))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (0.784:0.784:0.784) (0.354:0.354:0.354))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (0.779:0.779:0.779) (0.349:0.349:0.349))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (0.793:0.793:0.793) (0.363:0.363:0.363))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (0.791:0.791:0.791) (0.361:0.361:0.361))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (0.793:0.793:0.793) (0.363:0.363:0.363))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (0.787:0.787:0.787) (0.357:0.357:0.357))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (0.801:0.801:0.801) (0.370:0.370:0.370))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (0.798:0.798:0.798) (0.367:0.367:0.367))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (0.801:0.801:0.801) (0.370:0.370:0.370))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (0.795:0.795:0.795) (0.364:0.364:0.364))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (0.800:0.800:0.800) (0.369:0.369:0.369))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (0.795:0.795:0.795) (0.364:0.364:0.364))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (0.745:0.745:0.745) (0.318:0.318:0.318))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (0.753:0.753:0.753) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (0.764:0.764:0.764) (0.336:0.336:0.336))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (0.763:0.763:0.763) (0.335:0.335:0.335))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (0.752:0.752:0.752) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (0.763:0.763:0.763) (0.335:0.335:0.335))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (0.752:0.752:0.752) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (0.738:0.738:0.738) (0.312:0.312:0.312))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (0.759:0.759:0.759) (0.331:0.331:0.331))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (0.764:0.764:0.764) (0.335:0.335:0.335))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (0.763:0.763:0.763) (0.334:0.334:0.334))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (0.806:0.806:0.806) (0.374:0.374:0.374))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (0.762:0.762:0.762) (0.334:0.334:0.334))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (0.805:0.805:0.805) (0.374:0.374:0.374))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (0.806:0.806:0.806) (0.374:0.374:0.374))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (0.806:0.806:0.806) (0.374:0.374:0.374))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (0.805:0.805:0.805) (0.373:0.373:0.373))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (0.806:0.806:0.806) (0.374:0.374:0.374))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (0.803:0.803:0.803) (0.372:0.372:0.372))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (0.803:0.803:0.803) (0.371:0.371:0.371))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (0.804:0.804:0.804) (0.372:0.372:0.372))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (0.804:0.804:0.804) (0.372:0.372:0.372))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (0.760:0.760:0.760) (0.332:0.332:0.332))
+ (INTERCONNECT div[0] _222_.B2 (0.027:0.027:0.027) (0.010:0.010:0.010))
+ (INTERCONNECT div[0] _235_.A (0.027:0.027:0.027) (0.010:0.010:0.010))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.027:0.027:0.027) (0.010:0.010:0.010))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.027:0.027:0.027) (0.010:0.010:0.010))
+ (INTERCONNECT div[1] _219_.A (0.038:0.038:0.038) (0.015:0.015:0.015))
+ (INTERCONNECT div[1] _222_.A1 (0.038:0.038:0.038) (0.015:0.015:0.015))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.038:0.038:0.038) (0.015:0.015:0.015))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.038:0.038:0.038) (0.015:0.015:0.015))
+ (INTERCONNECT div[2] _213_.B1 (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[2] _216_.A (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[3] _213_.A1 (0.048:0.048:0.048) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] _214_.B1 (0.048:0.048:0.048) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] _215_.B1 (0.048:0.048:0.048) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.048:0.048:0.048) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.047:0.047:0.047) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.048:0.048:0.048) (0.019:0.019:0.019))
+ (INTERCONNECT div[4] _207_.A1 (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] _225_.B1 (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT enable _355_.A (0.037:0.037:0.037) (0.015:0.015:0.015))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.037:0.037:0.037) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.013:0.013:0.013) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.013:0.013:0.013) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.025:0.025:0.025) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.017:0.017:0.017) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.017:0.017:0.017) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.030:0.030:0.030) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.030:0.030:0.030) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.017:0.017:0.017) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.017:0.017:0.017) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.011:0.011:0.011) (0.003:0.003:0.003))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.031:0.031:0.031) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.031:0.031:0.031) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.014:0.014:0.014) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.014:0.014:0.014) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.016:0.016:0.016) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.016:0.016:0.016) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.025:0.025:0.025) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.025:0.025:0.025) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT osc _394_.D (0.016:0.016:0.016) (0.006:0.006:0.006))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.016:0.016:0.016) (0.006:0.006:0.006))
+ (INTERCONNECT resetb _355_.B (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT _176_.Y _242_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _292_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _320_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _243_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _177_.Y _244_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _177_.Y _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _307_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _322_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _179_.Y _265_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _179_.Y _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _338_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _180_.Y _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _250_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _180_.Y _264_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _298_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _285_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _275_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _184_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _183_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _277_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _186_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _187_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _284_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _185_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _182_.X _234_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _190_.X _205_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _190_.X _206_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _191_.Y _192_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _191_.Y _210_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _198_.X _202_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _198_.X _218_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _200_.X _202_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _200_.X _218_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _201_.X _209_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _203_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _211_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _212_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _203_.X _204_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _209_.Y _213_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _211_.Y _214_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _211_.Y _215_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _219_.Y _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _227_.X _233_.C_N (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _227_.X _269_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _227_.X _244_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _227_.X _249_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _254_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _250_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _252_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _263_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _251_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _253_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _246_.B (0.009:0.009:0.009) (0.008:0.008:0.009))
+ (INTERCONNECT _227_.X _245_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _228_.X _311_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _335_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _308_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _303_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _327_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _320_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _252_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _248_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _228_.X _231_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _229_.X _230_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _253_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _298_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _233_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _232_.X _263_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _232_.X _268_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _243_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _267_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _239_.Y _268_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _314_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _315_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _321_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _325_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _240_.Y _328_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _241_.Y _311_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _329_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _331_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _335_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _338_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _242_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _267_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _271_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _264_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _260_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _257_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _270_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _256_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _244_.Y _253_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _247_.Y _258_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _247_.Y _261_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _248_.Y _252_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _248_.Y _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _339_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _251_.X _252_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _251_.X _264_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _253_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _261_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _274_.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _283_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _294_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _301_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _311_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _315_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _329_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _338_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _291_.Y _292_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _299_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _331_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _329_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _311_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _304_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _297_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _316_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _294_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _301_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _303_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _293_.X _294_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _310_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _301_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _304_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _317_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _316_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _299_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _300_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _294_.X _295_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _319_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _336_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _294_.X _343_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _296_.Y _351_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _298_.X _346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _301_.X _302_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _301_.X _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _304_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _310_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _312_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _326_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _318_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _331_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _313_.Y _314_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _328_.X _336_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _336_.X _348_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _336_.X _354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _338_.X _341_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _355_.Y _368_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _366_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _367_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _371_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _355_.Y _358_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _372_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _373_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _369_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _360_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _357_.B (0.013:0.013:0.013) (0.011:0.011:0.011))
+ (INTERCONNECT _355_.Y _365_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _356_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _355_.Y _364_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _377_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _363_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _355_.Y _378_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _370_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _375_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _374_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _362_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _359_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _376_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _361_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _279_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _273_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _186_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _197_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _200_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _273_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _282_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _185_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _194_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _384_.Q _195_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _279_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _191_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _193_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _277_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _183_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _188_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _275_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _276_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _271_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _232_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _270_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _180_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _228_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _241_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _249_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _267_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _241_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _228_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _347_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _349_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _344_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _298_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _179_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _318_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _301_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _297_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _391_.Q _290_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _178_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _229_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _344_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _320_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _262_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _291_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _392_.Q _229_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _344_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _327_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _177_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _260_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _352_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _323_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _393_.Q _345_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _352_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _393_.Q _176_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _393_.Q _254_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _230_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _290_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _321_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _322_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _307_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _257_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _182_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _396_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _396_.Q _181_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _396_.Q _182_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _187_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _198_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _199_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _220_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _197_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _200_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _185_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _194_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _195_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _196_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _184_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _191_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _193_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _401_.Q _188_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _401_.Q _189_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.102:0.102:0.102) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.106:0.106:0.106) (0.061:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.066:0.066:0.066) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.113:0.113:0.113) (0.065:0.065:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.099:0.099:0.099) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.193:0.193:0.193) (0.186:0.186:0.186))
+ (IOPATH A Y (0.390:0.390:0.390) (0.136:0.136:0.136))
+ (IOPATH B Y (0.187:0.187:0.187) (0.174:0.174:0.174))
+ (IOPATH B Y (0.369:0.369:0.369) (0.127:0.127:0.127))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.223:0.223:0.223) (0.083:0.083:0.083))
+ (IOPATH A X (0.195:0.195:0.195) (0.148:0.148:0.148))
+ (IOPATH B X (0.201:0.201:0.201) (0.075:0.075:0.075))
+ (IOPATH B X (0.198:0.198:0.198) (0.126:0.126:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.084:0.084:0.084) (0.148:0.148:0.148))
+ (IOPATH A1 X (0.077:0.077:0.077) (0.148:0.148:0.148))
+ (IOPATH S X (0.162:0.163:0.164) (0.190:0.187:0.183))
+ (IOPATH S X (0.101:0.105:0.108) (0.210:0.211:0.213))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.084:0.084:0.084) (0.150:0.150:0.150))
+ (IOPATH A1 X (0.081:0.081:0.081) (0.152:0.152:0.152))
+ (IOPATH S X (0.166:0.167:0.168) (0.195:0.191:0.188))
+ (IOPATH S X (0.105:0.109:0.113) (0.215:0.216:0.217))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.083:0.083:0.083) (0.147:0.147:0.147))
+ (IOPATH A1 X (0.079:0.079:0.079) (0.149:0.149:0.149))
+ (IOPATH S X (0.163:0.164:0.165) (0.191:0.187:0.183))
+ (IOPATH S X (0.102:0.105:0.108) (0.211:0.212:0.213))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.096:0.096:0.096) (0.159:0.159:0.159))
+ (IOPATH A1 X (0.084:0.084:0.084) (0.155:0.155:0.155))
+ (IOPATH S X (0.167:0.169:0.170) (0.196:0.193:0.189))
+ (IOPATH S X (0.107:0.110:0.114) (0.216:0.217:0.219))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.093:0.093:0.093) (0.159:0.159:0.159))
+ (IOPATH A1 X (0.081:0.081:0.081) (0.151:0.151:0.151))
+ (IOPATH S X (0.164:0.165:0.166) (0.192:0.189:0.185))
+ (IOPATH S X (0.103:0.107:0.110) (0.212:0.213:0.214))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.057:0.057:0.057) (0.038:0.038:0.038))
+ (IOPATH B Y (0.057:0.057:0.057) (0.039:0.039:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.079:0.079:0.079) (0.177:0.177:0.177))
+ (IOPATH B X (0.068:0.068:0.068) (0.158:0.158:0.158))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.108:0.109:0.109) (0.122:0.123:0.125))
+ (IOPATH B X (0.107:0.107:0.107) (0.138:0.138:0.138))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.100:0.100:0.100) (0.034:0.034:0.034))
+ (IOPATH B Y (0.080:0.080:0.080) (0.028:0.028:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.026:0.027:0.028) (0.015:0.015:0.015))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.092:0.092:0.092) (0.112:0.112:0.112))
+ (IOPATH B X (0.090:0.090:0.090) (0.121:0.121:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.092:0.092:0.092) (0.031:0.031:0.031))
+ (IOPATH B Y (0.072:0.072:0.072) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.100:0.100:0.100) (0.198:0.198:0.198))
+ (IOPATH B X (0.092:0.092:0.092) (0.182:0.182:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.106:0.106:0.106) (0.122:0.122:0.122))
+ (IOPATH B X (0.103:0.103:0.103) (0.131:0.131:0.131))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.112:0.112:0.112) (0.127:0.127:0.127))
+ (IOPATH B X (0.099:0.099:0.099) (0.129:0.129:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.140:0.140:0.140) (0.148:0.148:0.148))
+ (IOPATH B X (0.124:0.124:0.124) (0.147:0.147:0.147))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.032:0.032:0.032))
+ (IOPATH B Y (0.052:0.052:0.052) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.183:0.183:0.183) (0.076:0.076:0.076))
+ (IOPATH A X (0.152:0.152:0.152) (0.142:0.142:0.142))
+ (IOPATH B X (0.154:0.154:0.154) (0.059:0.059:0.059))
+ (IOPATH B X (0.153:0.153:0.153) (0.112:0.112:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.092:0.092:0.092) (0.132:0.132:0.133))
+ (IOPATH A2 X (0.108:0.108:0.109) (0.147:0.150:0.154))
+ (IOPATH B1 X (0.067:0.068:0.068) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.101:0.101:0.102) (0.202:0.202:0.203))
+ (IOPATH A2 X (0.116:0.117:0.118) (0.226:0.229:0.232))
+ (IOPATH B1 X (0.082:0.082:0.082) (0.159:0.159:0.160))
+ (IOPATH C1 X (0.078:0.078:0.078) (0.140:0.140:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.109:0.109:0.109) (0.140:0.140:0.140))
+ (IOPATH A2 X (0.121:0.121:0.121) (0.175:0.175:0.175))
+ (IOPATH A3 X (0.122:0.123:0.123) (0.179:0.181:0.183))
+ (IOPATH B1 X (0.086:0.086:0.086) (0.096:0.096:0.097))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.086:0.086:0.086) (0.029:0.029:0.029))
+ (IOPATH B Y (0.067:0.069:0.071) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.085:0.085:0.085) (0.105:0.105:0.106))
+ (IOPATH B X (0.088:0.089:0.089) (0.115:0.117:0.119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.039:0.040:0.040) (0.026:0.026:0.026))
+ (IOPATH B Y (0.044:0.046:0.048) (0.031:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.111:0.111:0.111) (0.159:0.159:0.159))
+ (IOPATH A2 X (0.111:0.111:0.111) (0.149:0.149:0.150))
+ (IOPATH B1 X (0.092:0.093:0.093) (0.085:0.085:0.085))
+ (IOPATH C1 X (0.091:0.092:0.092) (0.076:0.078:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.104:0.105:0.107) (0.038:0.038:0.038))
+ (IOPATH B Y (0.093:0.093:0.093) (0.031:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.083:0.083:0.084) (0.095:0.097:0.098))
+ (IOPATH A Y (0.133:0.134:0.135) (0.048:0.049:0.049))
+ (IOPATH B Y (0.087:0.087:0.087) (0.086:0.088:0.089))
+ (IOPATH B Y (0.114:0.115:0.116) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.094) (0.182:0.183:0.184))
+ (IOPATH B X (0.078:0.078:0.078) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.067:0.067:0.067) (0.053:0.053:0.053))
+ (IOPATH B Y (0.072:0.074:0.076) (0.060:0.060:0.060))
+ (IOPATH C Y (0.074:0.074:0.074) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.094:0.094:0.094) (0.139:0.139:0.139))
+ (IOPATH A2 X (0.097:0.097:0.098) (0.152:0.154:0.155))
+ (IOPATH B1 X (0.074:0.074:0.074) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.111:0.111:0.111) (0.128:0.128:0.128))
+ (IOPATH A2 X (0.114:0.115:0.116) (0.153:0.153:0.153))
+ (IOPATH A3 X (0.114:0.114:0.114) (0.142:0.144:0.145))
+ (IOPATH B1 X (0.086:0.086:0.086) (0.089:0.089:0.089))
+ (IOPATH B2 X (0.090:0.095:0.101) (0.106:0.107:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.108:0.108:0.108) (0.036:0.036:0.037))
+ (IOPATH A2 Y (0.109:0.111:0.112) (0.038:0.038:0.039))
+ (IOPATH B1 Y (0.062:0.062:0.062) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.072:0.073:0.074) (0.122:0.122:0.122))
+ (IOPATH A2 X (0.074:0.074:0.075) (0.130:0.131:0.132))
+ (IOPATH B1 X (0.057:0.057:0.057) (0.081:0.081:0.081))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.087:0.087:0.087) (0.030:0.030:0.030))
+ (IOPATH B Y (0.078:0.079:0.080) (0.026:0.027:0.029))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.073:0.073) (0.263:0.264:0.265))
+ (IOPATH B X (0.074:0.078:0.081) (0.246:0.247:0.248))
+ (IOPATH C X (0.076:0.076:0.076) (0.222:0.223:0.225))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.087:0.087:0.087) (0.102:0.102:0.102))
+ (IOPATH A Y (0.137:0.137:0.137) (0.053:0.053:0.053))
+ (IOPATH B Y (0.089:0.089:0.089) (0.092:0.096:0.100))
+ (IOPATH B Y (0.117:0.121:0.124) (0.052:0.052:0.052))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.053:0.053:0.053) (0.042:0.042:0.042))
+ (IOPATH B Y (0.064:0.067:0.069) (0.044:0.049:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.097:0.097:0.097) (0.194:0.194:0.194))
+ (IOPATH B X (0.079:0.079:0.079) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.039:0.043) (0.026:0.026:0.026))
+ (IOPATH B Y (0.051:0.051:0.051) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.083:0.083:0.083) (0.137:0.137:0.137))
+ (IOPATH A2 X (0.077:0.084:0.091) (0.131:0.133:0.135))
+ (IOPATH B1 X (0.070:0.071:0.072) (0.117:0.117:0.118))
+ (IOPATH B2 X (0.065:0.065:0.065) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.051:0.054) (0.034:0.035:0.035))
+ (IOPATH B Y (0.052:0.052:0.053) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.192:0.194:0.196) (0.036:0.037:0.038))
+ (IOPATH A2 Y (0.228:0.229:0.230) (0.036:0.037:0.037))
+ (IOPATH B1 Y (0.157:0.158:0.159) (0.031:0.031:0.031))
+ (IOPATH C1 Y (0.129:0.130:0.131) (0.028:0.029:0.030))
+ (IOPATH D1 Y (0.088:0.090:0.092) (0.022:0.022:0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.092:0.092:0.092) (0.131:0.132:0.132))
+ (IOPATH A2 X (0.074:0.074:0.074) (0.121:0.122:0.122))
+ (IOPATH B1 X (0.067:0.067:0.067) (0.068:0.068:0.068))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.083:0.083:0.084) (0.101:0.102:0.103))
+ (IOPATH B X (0.078:0.078:0.079) (0.110:0.111:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.304:0.306:0.308) (0.317:0.319:0.321))
+ (IOPATH A2 X (0.285:0.286:0.286) (0.303:0.305:0.306))
+ (IOPATH A3 X (0.273:0.273:0.273) (0.280:0.281:0.281))
+ (IOPATH B1 X (0.264:0.264:0.265) (0.147:0.150:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.183:0.183:0.183) (0.258:0.258:0.258))
+ (IOPATH B X (0.170:0.170:0.170) (0.235:0.235:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.143:0.143:0.143) (0.231:0.231:0.231))
+ (IOPATH B X (0.141:0.141:0.141) (0.218:0.218:0.218))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.141:0.141:0.141) (0.231:0.231:0.231))
+ (IOPATH B X (0.126:0.126:0.126) (0.210:0.210:0.210))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.142:0.142:0.142) (0.048:0.048:0.048))
+ (IOPATH B Y (0.116:0.116:0.116) (0.038:0.038:0.038))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.108:0.108:0.108) (0.207:0.207:0.207))
+ (IOPATH B X (0.111:0.111:0.111) (0.197:0.197:0.197))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.074:0.074:0.074) (0.350:0.350:0.350))
+ (IOPATH B X (0.079:0.079:0.079) (0.337:0.337:0.337))
+ (IOPATH C_N X (0.147:0.151:0.155) (0.339:0.339:0.339))
+ (IOPATH D_N X (0.108:0.111:0.113) (0.285:0.285:0.285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.087:0.087:0.087) (0.102:0.102:0.102))
+ (IOPATH B X (0.094:0.094:0.094) (0.116:0.116:0.116))
+ (IOPATH C X (0.119:0.120:0.120) (0.130:0.135:0.139))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.075:0.075:0.075) (0.092:0.092:0.092))
+ (IOPATH B X (0.075:0.076:0.077) (0.105:0.106:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.062:0.062:0.062) (0.255:0.255:0.256))
+ (IOPATH B X (0.067:0.068:0.069) (0.241:0.241:0.242))
+ (IOPATH C_N X (0.115:0.118:0.121) (0.241:0.242:0.242))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.082:0.082:0.082) (0.295:0.295:0.295))
+ (IOPATH B X (0.085:0.085:0.086) (0.272:0.273:0.274))
+ (IOPATH C X (0.079:0.079:0.079) (0.255:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.137:0.137:0.137) (0.125:0.125:0.125))
+ (IOPATH B X (0.137:0.137:0.137) (0.151:0.151:0.151))
+ (IOPATH C X (0.142:0.143:0.143) (0.148:0.149:0.149))
+ (IOPATH D X (0.149:0.150:0.150) (0.172:0.172:0.172))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.065:0.065:0.065) (0.045:0.045:0.045))
+ (IOPATH B Y (0.076:0.076:0.076) (0.052:0.052:0.052))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.192:0.192:0.192) (0.061:0.061:0.061))
+ (IOPATH B Y (0.171:0.171:0.171) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.126:0.126:0.126) (0.090:0.090:0.090))
+ (IOPATH B Y (0.118:0.118:0.118) (0.081:0.081:0.081))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.391:0.391:0.391))
+ (IOPATH B X (0.084:0.084:0.084) (0.373:0.373:0.373))
+ (IOPATH C X (0.084:0.085:0.086) (0.351:0.351:0.352))
+ (IOPATH D X (0.097:0.097:0.098) (0.318:0.321:0.323))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.192:0.192:0.192) (0.283:0.283:0.283))
+ (IOPATH A2 X (0.204:0.204:0.204) (0.290:0.295:0.300))
+ (IOPATH A3 X (0.167:0.167:0.167) (0.249:0.249:0.249))
+ (IOPATH B1 X (0.160:0.160:0.160) (0.115:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.102:0.102:0.102) (0.119:0.119:0.119))
+ (IOPATH A Y (0.171:0.171:0.171) (0.067:0.067:0.067))
+ (IOPATH B Y (0.100:0.100:0.100) (0.132:0.136:0.140))
+ (IOPATH B Y (0.173:0.178:0.183) (0.066:0.066:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.090:0.090:0.090) (0.029:0.029:0.029))
+ (IOPATH B Y (0.091:0.095:0.099) (0.005:0.005:0.005))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.136:0.136:0.136))
+ (IOPATH B X (0.144:0.144:0.144) (0.167:0.172:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.151:0.154:0.158) (0.045:0.046:0.047))
+ (IOPATH B Y (0.137:0.137:0.137) (0.041:0.042:0.042))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.106:0.106:0.106) (0.073:0.073:0.073))
+ (IOPATH B Y (0.104:0.108:0.112) (0.073:0.073:0.073))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.084:0.084) (0.028:0.028:0.028))
+ (IOPATH B Y (0.091:0.095:0.099) (0.005:0.005:0.005))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.100:0.100:0.100) (0.112:0.112:0.112))
+ (IOPATH A Y (0.162:0.162:0.162) (0.064:0.064:0.064))
+ (IOPATH B Y (0.097:0.097:0.097) (0.130:0.134:0.138))
+ (IOPATH B Y (0.167:0.172:0.178) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.134) (0.173:0.178:0.182))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.168:0.168:0.168))
+ (IOPATH B1_N X (0.152:0.153:0.153) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.144:0.145:0.145) (0.158:0.160:0.162))
+ (IOPATH A2 X (0.143:0.151:0.159) (0.166:0.172:0.179))
+ (IOPATH A3 X (0.146:0.146:0.146) (0.161:0.162:0.163))
+ (IOPATH B1 X (0.126:0.126:0.126) (0.134:0.134:0.134))
+ (IOPATH B2 X (0.133:0.133:0.133) (0.154:0.159:0.164))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.104:0.112:0.120) (0.132:0.138:0.145))
+ (IOPATH A2 X (0.125:0.125:0.125) (0.143:0.145:0.146))
+ (IOPATH A3 X (0.115:0.115:0.115) (0.143:0.144:0.146))
+ (IOPATH B1 X (0.088:0.088:0.088) (0.107:0.107:0.107))
+ (IOPATH B2 X (0.099:0.099:0.099) (0.136:0.141:0.146))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.093:0.093:0.093) (0.123:0.123:0.123))
+ (IOPATH A Y (0.153:0.153:0.153) (0.060:0.060:0.060))
+ (IOPATH B Y (0.086:0.086:0.086) (0.121:0.125:0.129))
+ (IOPATH B Y (0.142:0.147:0.152) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.083:0.084:0.084) (0.102:0.103:0.104))
+ (IOPATH B X (0.086:0.095:0.103) (0.118:0.124:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.082:0.084:0.085) (0.034:0.034:0.034))
+ (IOPATH A2 Y (0.072:0.078:0.084) (0.028:0.029:0.030))
+ (IOPATH B1 Y (0.047:0.052:0.056) (0.019:0.019:0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.103:0.103:0.103) (0.163:0.163:0.163))
+ (IOPATH A2 X (0.090:0.090:0.090) (0.139:0.145:0.151))
+ (IOPATH B1 X (0.067:0.067:0.068) (0.118:0.118:0.118))
+ (IOPATH B2 X (0.059:0.063:0.067) (0.102:0.105:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.110:0.111:0.112) (0.047:0.047:0.047))
+ (IOPATH A2 Y (0.120:0.121:0.123) (0.048:0.048:0.048))
+ (IOPATH B1 Y (0.071:0.071:0.072) (0.028:0.028:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.068:0.071:0.075) (0.088:0.094:0.101))
+ (IOPATH A Y (0.098:0.104:0.109) (0.038:0.040:0.042))
+ (IOPATH B Y (0.065:0.066:0.068) (0.073:0.078:0.084))
+ (IOPATH B Y (0.072:0.076:0.081) (0.032:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.094:0.094:0.094) (0.159:0.159:0.159))
+ (IOPATH A1 X (0.072:0.078:0.085) (0.145:0.147:0.150))
+ (IOPATH S X (0.136:0.142:0.148) (0.193:0.193:0.193))
+ (IOPATH S X (0.101:0.101:0.101) (0.189:0.194:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.097:0.098:0.099) (0.047:0.047:0.047))
+ (IOPATH A X (0.080:0.080:0.080) (0.106:0.107:0.108))
+ (IOPATH B X (0.079:0.080:0.082) (0.038:0.038:0.038))
+ (IOPATH B X (0.083:0.083:0.083) (0.088:0.089:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.091:0.091:0.091) (0.155:0.155:0.155))
+ (IOPATH A1 X (0.077:0.079:0.081) (0.142:0.145:0.148))
+ (IOPATH S X (0.133:0.139:0.145) (0.190:0.190:0.190))
+ (IOPATH S X (0.098:0.098:0.098) (0.186:0.191:0.195))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.110:0.113:0.117) (0.025:0.025:0.025))
+ (IOPATH A2 Y (0.100:0.100:0.100) (0.035:0.035:0.035))
+ (IOPATH B1 Y (0.045:0.050:0.055) (0.019:0.019:0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.127:0.127:0.127) (0.179:0.179:0.179))
+ (IOPATH A2 X (0.117:0.117:0.118) (0.159:0.160:0.162))
+ (IOPATH B1 X (0.102:0.104:0.107) (0.087:0.096:0.105))
+ (IOPATH C1 X (0.119:0.119:0.119) (0.094:0.100:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.078:0.078:0.078) (0.103:0.103:0.103))
+ (IOPATH A Y (0.119:0.119:0.119) (0.047:0.047:0.047))
+ (IOPATH B Y (0.068:0.069:0.069) (0.078:0.081:0.084))
+ (IOPATH B Y (0.085:0.087:0.090) (0.036:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.072:0.076:0.080) (0.091:0.098:0.104))
+ (IOPATH A Y (0.108:0.113:0.119) (0.042:0.045:0.048))
+ (IOPATH B Y (0.070:0.070:0.070) (0.082:0.083:0.085))
+ (IOPATH B Y (0.087:0.088:0.089) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.148:0.154:0.160) (0.139:0.139:0.139))
+ (IOPATH A2_N X (0.124:0.128:0.131) (0.119:0.125:0.131))
+ (IOPATH B1 X (0.113:0.113:0.113) (0.163:0.163:0.163))
+ (IOPATH B2 X (0.096:0.096:0.096) (0.147:0.147:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.063:0.063:0.063) (0.040:0.040:0.040))
+ (IOPATH B Y (0.061:0.062:0.062) (0.042:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.075:0.075:0.075) (0.116:0.121:0.125))
+ (IOPATH A Y (0.122:0.126:0.130) (0.037:0.037:0.037))
+ (IOPATH B Y (0.062:0.062:0.062) (0.075:0.076:0.077))
+ (IOPATH B Y (0.073:0.074:0.075) (0.031:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.080:0.080:0.080) (0.146:0.146:0.146))
+ (IOPATH A1 X (0.072:0.079:0.086) (0.145:0.150:0.155))
+ (IOPATH S X (0.136:0.142:0.148) (0.193:0.193:0.193))
+ (IOPATH S X (0.101:0.101:0.101) (0.189:0.194:0.199))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.042:0.042:0.042) (0.023:0.023:0.023))
+ (IOPATH B Y (0.053:0.058:0.063) (0.028:0.028:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.081:0.081:0.081) (0.132:0.132:0.132))
+ (IOPATH A2 X (0.068:0.068:0.068) (0.115:0.116:0.117))
+ (IOPATH B1 X (0.052:0.054:0.055) (0.064:0.066:0.068))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.128:0.128:0.128) (0.130:0.130:0.130))
+ (IOPATH B X (0.140:0.140:0.140) (0.151:0.151:0.151))
+ (IOPATH C X (0.140:0.140:0.140) (0.165:0.165:0.165))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.116:0.116:0.116) (0.129:0.129:0.129))
+ (IOPATH B X (0.114:0.114:0.114) (0.137:0.137:0.138))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.085:0.085:0.085) (0.135:0.135:0.135))
+ (IOPATH A2 X (0.070:0.070:0.070) (0.118:0.118:0.118))
+ (IOPATH B1 X (0.073:0.071:0.068) (0.112:0.114:0.115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.080:0.080:0.080) (0.112:0.112:0.112))
+ (IOPATH B Y (0.043:0.044:0.044) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.107:0.107:0.107) (0.166:0.166:0.166))
+ (IOPATH A2 X (0.099:0.099:0.099) (0.147:0.147:0.148))
+ (IOPATH B1 X (0.082:0.083:0.083) (0.079:0.080:0.081))
+ (IOPATH C1 X (0.106:0.111:0.115) (0.115:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.086:0.086:0.086) (0.061:0.061:0.061))
+ (IOPATH B Y (0.085:0.085:0.085) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.085:0.085:0.085) (0.029:0.029:0.029))
+ (IOPATH A2 Y (0.100:0.100:0.100) (0.034:0.034:0.034))
+ (IOPATH B1 Y (0.050:0.050:0.050) (0.015:0.015:0.015))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.079:0.079:0.079) (0.179:0.180:0.180))
+ (IOPATH B X (0.072:0.075:0.078) (0.161:0.163:0.165))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.083:0.084:0.085) (0.031:0.031:0.031))
+ (IOPATH A2 Y (0.091:0.091:0.091) (0.029:0.029:0.029))
+ (IOPATH B1 Y (0.054:0.057:0.061) (0.009:0.009:0.008))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.084:0.084) (0.106:0.106:0.106))
+ (IOPATH A Y (0.128:0.128:0.128) (0.051:0.051:0.051))
+ (IOPATH B Y (0.079:0.079:0.079) (0.102:0.102:0.102))
+ (IOPATH B Y (0.113:0.113:0.113) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.077:0.078:0.080) (0.028:0.028:0.029))
+ (IOPATH A2 Y (0.080:0.082:0.085) (0.028:0.031:0.034))
+ (IOPATH B1 Y (0.050:0.053:0.056) (0.005:0.004:0.003))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.035:0.035:0.035))
+ (IOPATH B Y (0.082:0.083:0.084) (0.043:0.035:0.028))
+ (IOPATH C Y (0.053:0.055:0.056) (0.039:0.039:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.074:0.074:0.074) (0.142:0.142:0.142))
+ (IOPATH A1 X (0.074:0.074:0.074) (0.146:0.146:0.146))
+ (IOPATH S X (0.163:0.164:0.166) (0.191:0.188:0.184))
+ (IOPATH S X (0.102:0.106:0.109) (0.211:0.213:0.214))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.073:0.073:0.073) (0.141:0.141:0.141))
+ (IOPATH A1 X (0.076:0.076:0.076) (0.147:0.147:0.147))
+ (IOPATH S X (0.130:0.134:0.138) (0.191:0.191:0.191))
+ (IOPATH S X (0.103:0.104:0.105) (0.184:0.187:0.190))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.066:0.066:0.066) (0.169:0.169:0.169))
+ (IOPATH B X (0.090:0.091:0.092) (0.160:0.164:0.167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (-0.005:-0.005:-0.005))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.099:0.099:0.099) (0.131:0.131:0.131))
+ (IOPATH A2 X (0.109:0.109:0.109) (0.285:0.285:0.285))
+ (IOPATH B1 X (0.097:0.097:0.097) (0.156:0.156:0.156))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.152:0.152:0.152) (0.340:0.340:0.340))
+ (IOPATH B X (0.136:0.136:0.136) (0.314:0.314:0.314))
+ (IOPATH C X (0.140:0.140:0.140) (0.294:0.294:0.294))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.171:0.171:0.171) (0.058:0.058:0.058))
+ (IOPATH B Y (0.141:0.141:0.141) (0.041:0.041:0.041))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.129:0.129:0.129) (0.086:0.086:0.086))
+ (IOPATH B Y (0.123:0.127:0.131) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.320:0.320:0.320) (0.155:0.155:0.155))
+ (IOPATH B X (0.162:0.162:0.162) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.163:0.163:0.163) (0.163:0.164:0.164))
+ (IOPATH B X (0.176:0.176:0.176) (0.180:0.182:0.184))
+ (IOPATH C X (0.170:0.170:0.170) (0.179:0.179:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.085:0.085:0.085) (0.274:0.274:0.274))
+ (IOPATH A2 X (0.102:0.102:0.102) (0.147:0.147:0.147))
+ (IOPATH B1 X (0.092:0.092:0.092) (0.111:0.112:0.113))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.124:0.124:0.124) (0.040:0.040:0.040))
+ (IOPATH B Y (0.248:0.248:0.248) (-0.051:-0.051:-0.051))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.090:0.090:0.090) (0.186:0.186:0.186))
+ (IOPATH B X (0.084:0.084:0.085) (0.174:0.176:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.317:0.317:0.317))
+ (IOPATH B X (0.111:0.111:0.111) (0.291:0.291:0.291))
+ (IOPATH C X (0.105:0.105:0.106) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.126:0.126:0.126) (0.278:0.278:0.278))
+ (IOPATH A2 X (0.109:0.109:0.109) (0.144:0.144:0.144))
+ (IOPATH B1 X (0.122:0.122:0.123) (0.141:0.143:0.146))
+ (IOPATH B2 X (0.122:0.122:0.122) (0.140:0.140:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.087:0.087:0.087) (0.276:0.276:0.276))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.096:0.096:0.096) (0.117:0.117:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.153:0.153:0.153) (0.208:0.208:0.208))
+ (IOPATH A2 X (0.140:0.140:0.140) (0.193:0.193:0.193))
+ (IOPATH B1 X (0.140:0.140:0.140) (0.128:0.130:0.133))
+ (IOPATH C1 X (0.133:0.133:0.133) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.085:0.085:0.085) (0.274:0.274:0.274))
+ (IOPATH A2 X (0.105:0.105:0.105) (0.149:0.149:0.149))
+ (IOPATH B1 X (0.089:0.089:0.089) (0.103:0.106:0.109))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.110:0.110:0.110) (0.209:0.209:0.209))
+ (IOPATH B X (0.107:0.107:0.107) (0.197:0.199:0.201))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.146:0.146:0.146) (0.197:0.199:0.201))
+ (IOPATH A2 X (0.147:0.147:0.147) (0.194:0.196:0.199))
+ (IOPATH B1 X (0.138:0.138:0.138) (0.117:0.117:0.117))
+ (IOPATH C1 X (0.120:0.120:0.120) (0.099:0.099:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.085:0.085:0.085) (0.274:0.274:0.274))
+ (IOPATH A2 X (0.105:0.105:0.105) (0.149:0.149:0.149))
+ (IOPATH B1 X (0.090:0.090:0.090) (0.103:0.106:0.110))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.130:0.130:0.130) (0.281:0.281:0.281))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.149:0.149:0.149))
+ (IOPATH B1 X (0.130:0.130:0.130) (0.173:0.173:0.173))
+ (IOPATH B2 X (0.115:0.115:0.115) (0.146:0.146:0.146))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.334:0.334:0.334))
+ (IOPATH B X (0.128:0.128:0.128) (0.308:0.308:0.308))
+ (IOPATH C X (0.113:0.113:0.113) (0.277:0.277:0.277))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.088:0.088:0.088) (0.187:0.187:0.187))
+ (IOPATH B X (0.073:0.074:0.074) (0.172:0.172:0.172))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.132:0.132:0.132) (0.283:0.283:0.283))
+ (IOPATH A2 X (0.119:0.119:0.119) (0.151:0.151:0.151))
+ (IOPATH B1 X (0.122:0.122:0.122) (0.131:0.131:0.132))
+ (IOPATH B2 X (0.109:0.109:0.110) (0.133:0.133:0.133))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.139:0.139:0.139) (0.288:0.288:0.288))
+ (IOPATH A2 X (0.126:0.126:0.126) (0.155:0.155:0.155))
+ (IOPATH B1 X (0.133:0.133:0.133) (0.139:0.139:0.139))
+ (IOPATH B2 X (0.123:0.123:0.123) (0.142:0.142:0.142))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.095:0.095:0.095) (0.156:0.156:0.156))
+ (IOPATH A2 X (0.079:0.079:0.079) (0.145:0.145:0.145))
+ (IOPATH B1 X (0.086:0.086:0.086) (0.137:0.139:0.141))
+ (IOPATH B2 X (0.071:0.071:0.071) (0.119:0.123:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.137:0.137:0.137) (0.286:0.286:0.286))
+ (IOPATH A2 X (0.124:0.124:0.124) (0.154:0.154:0.154))
+ (IOPATH B1 X (0.123:0.123:0.123) (0.126:0.129:0.132))
+ (IOPATH B2 X (0.114:0.114:0.114) (0.131:0.131:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.072:0.072:0.072) (0.104:0.104:0.104))
+ (IOPATH B Y (0.180:0.180:0.180) (-0.033:-0.033:-0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.125:0.125:0.125) (0.379:0.379:0.379))
+ (IOPATH A2 X (0.144:0.144:0.144) (0.243:0.243:0.243))
+ (IOPATH A3 X (0.144:0.144:0.144) (0.214:0.215:0.215))
+ (IOPATH B1 X (0.112:0.118:0.124) (0.095:0.115:0.135))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.104:0.104:0.104) (0.186:0.186:0.187))
+ (IOPATH B X (0.087:0.087:0.087) (0.185:0.185:0.186))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.177:0.178:0.178) (0.180:0.182:0.185))
+ (IOPATH A2 X (0.180:0.180:0.180) (0.186:0.186:0.186))
+ (IOPATH A3 X (0.165:0.165:0.165) (0.174:0.174:0.174))
+ (IOPATH B1 X (0.136:0.136:0.136) (0.111:0.111:0.111))
+ (IOPATH B2 X (0.109:0.109:0.109) (0.280:0.280:0.280))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.119:0.119:0.119) (0.277:0.277:0.277))
+ (IOPATH A2 X (0.106:0.106:0.106) (0.145:0.145:0.145))
+ (IOPATH B1 X (0.114:0.114:0.114) (0.130:0.130:0.130))
+ (IOPATH B2 X (0.097:0.097:0.097) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.095:0.095:0.095) (0.192:0.192:0.192))
+ (IOPATH B X (0.078:0.078:0.078) (0.177:0.177:0.177))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.115:0.115:0.115) (0.272:0.272:0.272))
+ (IOPATH A2 X (0.103:0.103:0.103) (0.140:0.140:0.140))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.121:0.122:0.123))
+ (IOPATH B2 X (0.095:0.095:0.095) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.090:0.090:0.090) (0.193:0.193:0.193))
+ (IOPATH A2 X (0.093:0.093:0.093) (0.220:0.220:0.220))
+ (IOPATH B1 X (0.074:0.074:0.074) (0.154:0.154:0.154))
+ (IOPATH C1 X (0.064:0.064:0.064) (0.131:0.131:0.131))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.108:0.108:0.108) (0.038:0.038:0.038))
+ (IOPATH A2 Y (0.078:0.078:0.078) (0.024:0.024:0.024))
+ (IOPATH B1 Y (0.036:0.040:0.044) (0.017:0.017:0.017))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.115:0.115:0.115) (0.180:0.180:0.180))
+ (IOPATH A2 X (0.102:0.102:0.102) (0.153:0.153:0.153))
+ (IOPATH B1 X (0.078:0.078:0.078) (0.079:0.080:0.081))
+ (IOPATH C1 X (0.074:0.079:0.084) (0.071:0.073:0.074))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.066:0.066:0.066) (0.031:0.031:0.031))
+ (IOPATH B Y (0.049:0.053:0.058) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.098:0.098:0.098) (0.199:0.199:0.199))
+ (IOPATH B X (0.099:0.099:0.099) (0.189:0.189:0.189))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.175:0.176:0.176))
+ (IOPATH B X (0.063:0.064:0.065) (0.158:0.159:0.160))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.122:0.122:0.122) (0.277:0.277:0.277))
+ (IOPATH A2 X (0.109:0.109:0.109) (0.146:0.146:0.146))
+ (IOPATH B1 X (0.108:0.108:0.109) (0.118:0.121:0.124))
+ (IOPATH B2 X (0.100:0.101:0.101) (0.121:0.124:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.088:0.088:0.088) (0.184:0.184:0.184))
+ (IOPATH B X (0.087:0.087:0.087) (0.178:0.178:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.123:0.123:0.123) (0.204:0.204:0.205))
+ (IOPATH B X (0.103:0.103:0.103) (0.201:0.202:0.202))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.075:0.075:0.075) (0.133:0.133:0.133))
+ (IOPATH A2 X (0.087:0.087:0.087) (0.147:0.149:0.151))
+ (IOPATH B1 X (0.059:0.060:0.060) (0.096:0.100:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.108:0.108:0.108) (0.170:0.170:0.170))
+ (IOPATH A2 X (0.100:0.100:0.100) (0.154:0.154:0.154))
+ (IOPATH B1 X (0.085:0.085:0.085) (0.089:0.089:0.089))
+ (IOPATH C1 X (0.077:0.077:0.077) (0.076:0.076:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.081:0.081:0.082) (0.142:0.144:0.146))
+ (IOPATH A2 X (0.081:0.081:0.081) (0.143:0.145:0.147))
+ (IOPATH B1 X (0.072:0.072:0.072) (0.131:0.131:0.131))
+ (IOPATH B2 X (0.068:0.068:0.068) (0.117:0.120:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.122:0.122:0.122) (0.157:0.157:0.157))
+ (IOPATH B X (0.101:0.101:0.101) (0.126:0.126:0.126))
+ (IOPATH C X (0.095:0.095:0.095) (0.120:0.121:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.089:0.089:0.089) (0.098:0.101:0.103))
+ (IOPATH B X (0.091:0.091:0.092) (0.112:0.113:0.113))
+ (IOPATH C X (0.097:0.097:0.098) (0.123:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.148:0.148:0.148) (0.163:0.163:0.163))
+ (IOPATH A2 X (0.156:0.159:0.162) (0.164:0.188:0.212))
+ (IOPATH A3 X (0.140:0.140:0.140) (0.162:0.162:0.162))
+ (IOPATH B1 X (0.113:0.113:0.113) (0.102:0.102:0.102))
+ (IOPATH B2 X (0.086:0.086:0.086) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.101:0.101:0.102) (0.159:0.162:0.166))
+ (IOPATH A2 X (0.087:0.087:0.087) (0.153:0.153:0.153))
+ (IOPATH B1 X (0.079:0.079:0.079) (0.131:0.131:0.131))
+ (IOPATH B2 X (0.082:0.082:0.082) (0.137:0.137:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.142:0.142:0.142) (0.137:0.137:0.138))
+ (IOPATH B X (0.137:0.137:0.137) (0.152:0.152:0.152))
+ (IOPATH C X (0.133:0.134:0.134) (0.151:0.152:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.096:0.096:0.096) (0.287:0.287:0.287))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.157:0.157:0.157))
+ (IOPATH B1 X (0.100:0.100:0.100) (0.115:0.115:0.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.124:0.124:0.124) (0.194:0.194:0.194))
+ (IOPATH A2 X (0.120:0.120:0.120) (0.189:0.189:0.189))
+ (IOPATH B1 X (0.108:0.108:0.108) (0.165:0.165:0.165))
+ (IOPATH B2 X (0.121:0.121:0.121) (0.163:0.166:0.170))
+ (IOPATH C1 X (0.093:0.093:0.093) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.092:0.092:0.093) (0.192:0.194:0.196))
+ (IOPATH B X (0.080:0.080:0.080) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.113:0.113:0.114) (0.113:0.116:0.119))
+ (IOPATH B X (0.107:0.107:0.107) (0.125:0.125:0.126))
+ (IOPATH C X (0.111:0.111:0.111) (0.140:0.140:0.141))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.135) (0.149:0.149:0.149))
+ (IOPATH A2 X (0.144:0.144:0.145) (0.161:0.164:0.167))
+ (IOPATH A3 X (0.145:0.145:0.145) (0.160:0.161:0.161))
+ (IOPATH B1 X (0.115:0.115:0.115) (0.103:0.103:0.103))
+ (IOPATH B2 X (0.087:0.087:0.087) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.134) (0.289:0.289:0.289))
+ (IOPATH A2 X (0.120:0.120:0.120) (0.153:0.153:0.153))
+ (IOPATH B1 X (0.125:0.125:0.125) (0.140:0.140:0.140))
+ (IOPATH B2 X (0.129:0.132:0.135) (0.136:0.159:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.124:0.124:0.124) (0.279:0.279:0.279))
+ (IOPATH A2 X (0.111:0.111:0.111) (0.147:0.147:0.147))
+ (IOPATH B1 X (0.114:0.114:0.114) (0.127:0.128:0.128))
+ (IOPATH B2 X (0.109:0.110:0.110) (0.137:0.137:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.109:0.109:0.109) (0.045:0.045:0.045))
+ (IOPATH A2 Y (0.103:0.103:0.103) (0.033:0.033:0.033))
+ (IOPATH B1 Y (0.058:0.058:0.058) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.075:0.075:0.075) (0.041:0.041:0.041))
+ (IOPATH B Y (0.056:0.056:0.057) (0.037:0.039:0.042))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.118:0.118:0.118) (0.151:0.151:0.152))
+ (IOPATH A2 X (0.117:0.117:0.117) (0.148:0.148:0.149))
+ (IOPATH A3 X (0.123:0.123:0.124) (0.146:0.152:0.158))
+ (IOPATH B1 X (0.093:0.093:0.093) (0.093:0.093:0.093))
+ (IOPATH B2 X (0.064:0.064:0.064) (0.259:0.259:0.259))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.097:0.097:0.097) (0.281:0.281:0.281))
+ (IOPATH B X (0.084:0.084:0.084) (0.255:0.255:0.255))
+ (IOPATH C X (0.068:0.068:0.069) (0.229:0.229:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.121:0.121:0.121) (0.280:0.280:0.280))
+ (IOPATH A2 X (0.106:0.106:0.106) (0.143:0.143:0.143))
+ (IOPATH B1 X (0.106:0.106:0.106) (0.121:0.122:0.122))
+ (IOPATH B2 X (0.098:0.099:0.099) (0.132:0.132:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.190:0.190:0.190))
+ (IOPATH B X (0.065:0.066:0.067) (0.160:0.161:0.162))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.113:0.114:0.114) (0.131:0.134:0.137))
+ (IOPATH A2 X (0.118:0.118:0.118) (0.149:0.149:0.150))
+ (IOPATH A3 X (0.116:0.116:0.117) (0.149:0.149:0.149))
+ (IOPATH B1 X (0.093:0.093:0.093) (0.093:0.093:0.093))
+ (IOPATH B2 X (0.061:0.061:0.061) (0.261:0.261:0.261))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.074:0.074:0.074) (0.271:0.271:0.271))
+ (IOPATH A2 X (0.093:0.093:0.093) (0.141:0.141:0.141))
+ (IOPATH B1 X (0.085:0.086:0.087) (0.100:0.122:0.145))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.245:0.245:0.245) (0.109:0.109:0.109))
+ (IOPATH B X (0.121:0.121:0.121) (0.138:0.138:0.138))
+ (IOPATH C X (0.125:0.125:0.125) (0.156:0.156:0.156))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.073:0.073:0.073) (0.269:0.269:0.269))
+ (IOPATH A2 X (0.090:0.090:0.090) (0.139:0.139:0.139))
+ (IOPATH B1 X (0.072:0.072:0.072) (0.095:0.095:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.113:0.113:0.113) (0.274:0.274:0.274))
+ (IOPATH A2 X (0.105:0.105:0.105) (0.142:0.142:0.142))
+ (IOPATH B1 X (0.099:0.099:0.099) (0.116:0.117:0.117))
+ (IOPATH B2 X (0.096:0.096:0.096) (0.126:0.126:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.397:0.397:0.397) (0.249:0.249:0.249))
+ (IOPATH B Y (0.394:0.394:0.394) (0.249:0.249:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.184:0.184:0.184) (-0.072:-0.072:-0.072))
+ (IOPATH B Y (0.145:0.145:0.146) (-0.024:-0.024:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.185:0.185:0.185) (-0.071:-0.071:-0.071))
+ (IOPATH B Y (0.147:0.147:0.147) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.189:0.189:0.189) (-0.069:-0.069:-0.069))
+ (IOPATH B Y (0.150:0.150:0.150) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.184:0.184:0.184) (-0.071:-0.071:-0.071))
+ (IOPATH B Y (0.146:0.146:0.147) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.184:0.184:0.184) (-0.072:-0.072:-0.072))
+ (IOPATH B Y (0.145:0.146:0.146) (-0.023:-0.023:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.189:0.189:0.189) (-0.068:-0.068:-0.068))
+ (IOPATH B Y (0.150:0.150:0.150) (-0.020:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.184:0.184:0.184) (-0.071:-0.071:-0.071))
+ (IOPATH B Y (0.146:0.146:0.146) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.187:0.187:0.187) (-0.068:-0.068:-0.068))
+ (IOPATH B Y (0.149:0.149:0.150) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.188:0.188:0.188) (-0.070:-0.070:-0.070))
+ (IOPATH B Y (0.148:0.148:0.148) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.187:0.187:0.187) (-0.068:-0.068:-0.068))
+ (IOPATH B Y (0.149:0.149:0.150) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.195:0.195:0.195) (-0.065:-0.065:-0.065))
+ (IOPATH B Y (0.155:0.155:0.155) (-0.017:-0.017:-0.018))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.184:0.184:0.184) (-0.073:-0.073:-0.073))
+ (IOPATH B Y (0.144:0.145:0.145) (-0.024:-0.024:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.188:0.188:0.188) (-0.070:-0.070:-0.070))
+ (IOPATH B Y (0.148:0.148:0.148) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.191:0.191:0.191) (-0.067:-0.067:-0.067))
+ (IOPATH B Y (0.151:0.151:0.152) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.181:0.181:0.181) (-0.073:-0.073:-0.073))
+ (IOPATH B Y (0.143:0.144:0.144) (-0.025:-0.025:-0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.184:0.184:0.184) (-0.072:-0.072:-0.072))
+ (IOPATH B Y (0.145:0.146:0.146) (-0.023:-0.024:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.192:0.192:0.192) (-0.066:-0.066:-0.066))
+ (IOPATH B Y (0.153:0.153:0.153) (-0.019:-0.019:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.192:0.192:0.192) (-0.066:-0.066:-0.066))
+ (IOPATH B Y (0.152:0.153:0.153) (-0.019:-0.019:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.182:0.182:0.182) (-0.072:-0.072:-0.072))
+ (IOPATH B Y (0.145:0.145:0.145) (-0.024:-0.024:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.183:0.183:0.183) (-0.072:-0.072:-0.072))
+ (IOPATH B Y (0.145:0.145:0.145) (-0.024:-0.024:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.182:0.182:0.182) (-0.073:-0.073:-0.073))
+ (IOPATH B Y (0.144:0.144:0.144) (-0.024:-0.024:-0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.186:0.186:0.186) (-0.069:-0.069:-0.069))
+ (IOPATH B Y (0.148:0.148:0.148) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.188:0.188:0.188) (-0.068:-0.068:-0.068))
+ (IOPATH B Y (0.150:0.150:0.150) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.238:0.238:0.238) (0.264:0.264:0.264))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.235:0.235:0.235) (0.262:0.262:0.262))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.230:0.230:0.230) (0.257:0.257:0.257))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.221:0.222))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.311:0.311:0.311) (0.313:0.313:0.313))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.020))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.033:-0.041))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.037))
+ (SETUP (negedge D) (posedge CLK) (0.068:0.078:0.087))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.299:0.299:0.299) (0.304:0.304:0.304))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.020))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.024:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.037))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.068:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.269:0.269:0.269) (0.286:0.286:0.286))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.221:0.222))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.025:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.036:0.037:0.038))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.069:0.073))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.262:0.262:0.262) (0.282:0.282:0.282))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.023:-0.025))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.067:0.070))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.274:0.274:0.274) (0.289:0.289:0.289))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.022:-0.023))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.066:0.067))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.267:0.267:0.267) (0.284:0.284:0.284))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.023))
+ (SETUP (posedge D) (posedge CLK) (0.033:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.063:0.065:0.067))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.249:0.249:0.249) (0.272:0.272:0.272))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.276:0.276:0.276) (0.290:0.290:0.290))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.221:0.222:0.223))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.122:-0.121))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.025))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.070))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.334:0.334:0.334) (0.326:0.326:0.326))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.021:-0.023))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.025:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.038:0.041))
+ (SETUP (negedge D) (posedge CLK) (0.067:0.069:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.303:0.303:0.303) (0.307:0.307:0.307))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.026:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.307:0.307:0.307) (0.309:0.309:0.309))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.221:0.222))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.348:0.348:0.348) (0.333:0.333:0.333))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.024:-0.024))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.067:0.068:0.068))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.218:0.218:0.218) (0.247:0.247:0.247))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.274:0.274:0.274) (0.289:0.289:0.289))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.221:0.222))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.262:0.262:0.262) (0.282:0.282:0.282))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.221:0.222))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
+ (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.251:0.251:0.251) (0.273:0.273:0.273))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.252:0.252:0.252) (0.274:0.274:0.274))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.073))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.250:0.250:0.250) (0.272:0.272:0.272))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.243:0.243:0.243) (0.267:0.267:0.267))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.245:0.245:0.245) (0.268:0.268:0.268))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.106:0.106:0.106) (0.122:0.122:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.055:0.056:0.057) (0.006:0.005:0.004))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.054:0.055:0.056) (0.007:0.006:0.005))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.035:0.037:0.039) (0.073:0.075:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.030:0.031:0.033) (0.054:0.056:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.089:0.089:0.089) (0.089:0.089:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.013:0.013:0.013) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.001:0.001:0.001))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.024:0.024) (-0.010:-0.010:-0.010))
+ (IOPATH TE_B Z (0.035:0.039:0.044) (0.073:0.079:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.028:0.030:0.032) (0.052:0.055:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.028:0.028:0.028) (0.038:0.038:0.038))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.010:-0.010:-0.010))
+ (IOPATH TE_B Z (0.040:0.041:0.042) (0.078:0.080:0.082))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.028:0.030:0.032) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.075:0.075:0.075) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.031:0.032:0.034) (0.055:0.057:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.035:0.037:0.039) (0.073:0.075:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.006:-0.006))
+ (IOPATH TE_B Z (0.031:0.033:0.035) (0.056:0.059:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.077:0.077:0.077) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.036:0.039) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.006:-0.007))
+ (IOPATH TE_B Z (0.032:0.034:0.036) (0.057:0.059:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.073:0.073:0.073) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.032:0.034:0.035) (0.057:0.059:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.025:0.025) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.128:0.128:0.128) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.082:0.082:0.082) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.033:0.034:0.036) (0.058:0.060:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.076:0.076:0.076) (0.082:0.082:0.082))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.024:0.024:0.024) (-0.010:-0.010:-0.010))
+ (IOPATH TE_B Z (0.036:0.038:0.040) (0.074:0.076:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.031:0.033:0.034) (0.056:0.058:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.135:0.135) (0.108:0.108:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.078:0.078:0.078) (0.085:0.085:0.085))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011))
+ (IOPATH TE_B Z (0.037:0.039:0.040) (0.075:0.077:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.024:0.024:0.024) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.028:0.030:0.032) (0.053:0.055:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.025:0.025) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.038:0.040:0.041) (0.076:0.078:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.031:0.032:0.034) (0.055:0.057:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.028:0.028:0.028) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.080:0.080:0.080) (0.083:0.083:0.083))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.038:0.039:0.041) (0.076:0.078:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.028:0.030:0.032) (0.052:0.054:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.025:0.025) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.073:0.073:0.073) (0.064:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.035:0.035:0.035) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.282:0.283:0.283))
+ (IOPATH B X (0.092:0.094:0.096) (0.177:0.178:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.055:0.055:0.055) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.005:-0.005:-0.005) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.038:0.039:0.039) (0.076:0.077:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.001:-0.001:-0.001) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.029:0.031:0.032) (0.054:0.055:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.040:0.040:0.040) (-0.080:-0.081:-0.081))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ss.sdf b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ss.sdf
new file mode 100644
index 00000000..a5c17a2e
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.ss.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:49:01 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (1.679:1.679:1.679) (0.961:0.961:0.961))
+ (INTERCONNECT dco _334_.B2 (1.724:1.724:1.724) (1.003:1.003:1.003))
+ (INTERCONNECT dco _296_.B (1.724:1.724:1.724) (1.004:1.004:1.004))
+ (INTERCONNECT dco _351_.A1 (1.723:1.723:1.723) (1.002:1.002:1.002))
+ (INTERCONNECT dco _354_.A1 (1.723:1.723:1.723) (1.002:1.002:1.002))
+ (INTERCONNECT dco _337_.A1 (1.725:1.725:1.725) (1.005:1.005:1.005))
+ (INTERCONNECT dco _348_.A1 (1.724:1.724:1.724) (1.004:1.004:1.004))
+ (INTERCONNECT dco _350_.B2 (1.725:1.725:1.725) (1.004:1.004:1.004))
+ (INTERCONNECT dco _341_.B2 (1.725:1.725:1.725) (1.005:1.005:1.005))
+ (INTERCONNECT dco _342_.A1 (1.725:1.725:1.725) (1.005:1.005:1.005))
+ (INTERCONNECT dco _326_.A1 (1.681:1.681:1.681) (0.963:0.963:0.963))
+ (INTERCONNECT dco _343_.A1 (1.682:1.682:1.682) (0.964:0.964:0.964))
+ (INTERCONNECT dco _346_.B2 (1.682:1.682:1.682) (0.964:0.964:0.964))
+ (INTERCONNECT dco _312_.A1 (1.682:1.682:1.682) (0.964:0.964:0.964))
+ (INTERCONNECT dco _319_.A1 (1.680:1.680:1.680) (0.962:0.962:0.962))
+ (INTERCONNECT dco _289_.A2 (1.668:1.668:1.668) (0.950:0.950:0.950))
+ (INTERCONNECT dco _295_.A1 (1.669:1.669:1.669) (0.951:0.951:0.951))
+ (INTERCONNECT dco _314_.A1 (1.680:1.680:1.680) (0.962:0.962:0.962))
+ (INTERCONNECT dco _317_.A1 (1.680:1.680:1.680) (0.962:0.962:0.962))
+ (INTERCONNECT dco _316_.B2 (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco _293_.A_N (1.680:1.680:1.680) (0.962:0.962:0.962))
+ (INTERCONNECT dco _306_.A1 (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco _310_.A1 (1.682:1.682:1.682) (0.964:0.964:0.964))
+ (INTERCONNECT dco _309_.A1 (1.682:1.682:1.682) (0.964:0.964:0.964))
+ (INTERCONNECT dco _305_.A1 (1.670:1.670:1.670) (0.952:0.952:0.952))
+ (INTERCONNECT dco _300_.A1 (1.670:1.670:1.670) (0.952:0.952:0.952))
+ (INTERCONNECT dco _302_.A1 (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco _299_.A1 (1.670:1.670:1.670) (0.952:0.952:0.952))
+ (INTERCONNECT dco _313_.B (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco _353_.A1 (1.714:1.714:1.714) (0.994:0.994:0.994))
+ (INTERCONNECT dco _366_.A (1.719:1.719:1.719) (0.999:0.999:0.999))
+ (INTERCONNECT dco _369_.A (1.714:1.714:1.714) (0.994:0.994:0.994))
+ (INTERCONNECT dco _368_.A (1.721:1.721:1.721) (1.000:1.000:1.000))
+ (INTERCONNECT dco _352_.A_N (1.718:1.718:1.718) (0.998:0.998:0.998))
+ (INTERCONNECT dco _367_.A (1.721:1.721:1.721) (1.000:1.000:1.000))
+ (INTERCONNECT dco _371_.A (1.706:1.706:1.706) (0.986:0.986:0.986))
+ (INTERCONNECT dco _358_.A (1.713:1.713:1.713) (0.993:0.993:0.993))
+ (INTERCONNECT dco _373_.A (1.710:1.710:1.710) (0.991:0.991:0.991))
+ (INTERCONNECT dco _372_.A (1.713:1.713:1.713) (0.993:0.993:0.993))
+ (INTERCONNECT dco _360_.A (1.697:1.697:1.697) (0.978:0.978:0.978))
+ (INTERCONNECT dco _361_.A (1.702:1.702:1.702) (0.983:0.983:0.983))
+ (INTERCONNECT dco _365_.A (1.683:1.683:1.683) (0.965:0.965:0.965))
+ (INTERCONNECT dco _364_.A (1.714:1.714:1.714) (0.994:0.994:0.994))
+ (INTERCONNECT dco _357_.A (1.700:1.700:1.700) (0.981:0.981:0.981))
+ (INTERCONNECT dco _356_.A (1.705:1.705:1.705) (0.986:0.986:0.986))
+ (INTERCONNECT dco _362_.A (1.684:1.684:1.684) (0.966:0.966:0.966))
+ (INTERCONNECT dco _370_.A (1.677:1.677:1.677) (0.959:0.959:0.959))
+ (INTERCONNECT dco _363_.A (1.677:1.677:1.677) (0.959:0.959:0.959))
+ (INTERCONNECT dco _377_.A (1.674:1.674:1.674) (0.956:0.956:0.956))
+ (INTERCONNECT dco _378_.A (1.661:1.661:1.661) (0.944:0.944:0.944))
+ (INTERCONNECT dco _359_.A (1.693:1.693:1.693) (0.974:0.974:0.974))
+ (INTERCONNECT dco _375_.A (1.686:1.686:1.686) (0.967:0.967:0.967))
+ (INTERCONNECT dco _374_.A (1.686:1.686:1.686) (0.967:0.967:0.967))
+ (INTERCONNECT dco _376_.A (1.685:1.685:1.685) (0.967:0.967:0.967))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (1.685:1.685:1.685) (0.967:0.967:0.967))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (1.699:1.699:1.699) (0.980:0.980:0.980))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (1.685:1.685:1.685) (0.967:0.967:0.967))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (1.693:1.693:1.693) (0.974:0.974:0.974))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (1.662:1.662:1.662) (0.945:0.945:0.945))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (1.673:1.673:1.673) (0.956:0.956:0.956))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (1.677:1.677:1.677) (0.959:0.959:0.959))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (1.681:1.681:1.681) (0.963:0.963:0.963))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (1.684:1.684:1.684) (0.966:0.966:0.966))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (1.697:1.697:1.697) (0.978:0.978:0.978))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (1.699:1.699:1.699) (0.980:0.980:0.980))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (1.715:1.715:1.715) (0.995:0.995:0.995))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (1.687:1.687:1.687) (0.968:0.968:0.968))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (1.702:1.702:1.702) (0.983:0.983:0.983))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (1.697:1.697:1.697) (0.978:0.978:0.978))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (1.713:1.713:1.713) (0.993:0.993:0.993))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (1.710:1.710:1.710) (0.990:0.990:0.990))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (1.713:1.713:1.713) (0.993:0.993:0.993))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (1.706:1.706:1.706) (0.986:0.986:0.986))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (1.720:1.720:1.720) (1.000:1.000:1.000))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (1.718:1.718:1.718) (0.998:0.998:0.998))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (1.720:1.720:1.720) (1.000:1.000:1.000))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (1.714:1.714:1.714) (0.995:0.995:0.995))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (1.719:1.719:1.719) (0.999:0.999:0.999))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (1.714:1.714:1.714) (0.994:0.994:0.994))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (1.670:1.670:1.670) (0.952:0.952:0.952))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (1.663:1.663:1.663) (0.945:0.945:0.945))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (1.670:1.670:1.670) (0.952:0.952:0.952))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (1.682:1.682:1.682) (0.964:0.964:0.964))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (1.681:1.681:1.681) (0.963:0.963:0.963))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (1.670:1.670:1.670) (0.953:0.953:0.953))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (1.681:1.681:1.681) (0.963:0.963:0.963))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (1.670:1.670:1.670) (0.952:0.952:0.952))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (1.656:1.656:1.656) (0.939:0.939:0.939))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (1.677:1.677:1.677) (0.959:0.959:0.959))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (1.682:1.682:1.682) (0.963:0.963:0.963))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (1.681:1.681:1.681) (0.962:0.962:0.962))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (1.725:1.725:1.725) (1.005:1.005:1.005))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (1.680:1.680:1.680) (0.962:0.962:0.962))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (1.725:1.725:1.725) (1.004:1.004:1.004))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (1.726:1.726:1.726) (1.005:1.005:1.005))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (1.726:1.726:1.726) (1.005:1.005:1.005))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (1.725:1.725:1.725) (1.004:1.004:1.004))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (1.725:1.725:1.725) (1.005:1.005:1.005))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (1.723:1.723:1.723) (1.002:1.002:1.002))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (1.722:1.722:1.722) (1.002:1.002:1.002))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (1.723:1.723:1.723) (1.003:1.003:1.003))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (1.724:1.724:1.724) (1.003:1.003:1.003))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (1.678:1.678:1.678) (0.960:0.960:0.960))
+ (INTERCONNECT div[0] _222_.B2 (0.057:0.057:0.057) (0.033:0.033:0.033))
+ (INTERCONNECT div[0] _235_.A (0.057:0.057:0.057) (0.033:0.033:0.033))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.057:0.057:0.057) (0.033:0.033:0.033))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.057:0.057:0.057) (0.033:0.033:0.033))
+ (INTERCONNECT div[1] _219_.A (0.080:0.080:0.080) (0.047:0.047:0.047))
+ (INTERCONNECT div[1] _222_.A1 (0.080:0.080:0.080) (0.047:0.047:0.047))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.080:0.080:0.080) (0.047:0.047:0.047))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.080:0.080:0.080) (0.047:0.047:0.047))
+ (INTERCONNECT div[2] _213_.B1 (0.066:0.066:0.066) (0.038:0.038:0.038))
+ (INTERCONNECT div[2] _216_.A (0.066:0.066:0.066) (0.038:0.038:0.038))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.066:0.066:0.066) (0.038:0.038:0.038))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.066:0.066:0.066) (0.038:0.038:0.038))
+ (INTERCONNECT div[3] _213_.A1 (0.101:0.101:0.101) (0.058:0.058:0.058))
+ (INTERCONNECT div[3] _214_.B1 (0.102:0.102:0.102) (0.058:0.058:0.058))
+ (INTERCONNECT div[3] _215_.B1 (0.102:0.102:0.102) (0.058:0.058:0.058))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.101:0.101:0.101) (0.058:0.058:0.058))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.101:0.101:0.101) (0.058:0.058:0.058))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.101:0.101:0.101) (0.058:0.058:0.058))
+ (INTERCONNECT div[4] _207_.A1 (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT div[4] _225_.B1 (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT enable _355_.A (0.078:0.078:0.078) (0.046:0.046:0.046))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.078:0.078:0.078) (0.046:0.046:0.046))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.027:0.027:0.027) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.027:0.027:0.027) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.046:0.046:0.046) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.042:0.042:0.042) (0.024:0.024:0.024))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.048:0.048:0.048) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.048:0.048:0.048) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.043:0.043:0.043) (0.024:0.024:0.024))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.052:0.052:0.052) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.052:0.052:0.052) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.036:0.036:0.036) (0.021:0.021:0.021))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.036:0.036:0.036) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.063:0.063:0.063) (0.037:0.037:0.037))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.063:0.063:0.063) (0.037:0.037:0.037))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.036:0.036:0.036) (0.021:0.021:0.021))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.036:0.036:0.036) (0.021:0.021:0.021))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.024:0.024:0.024) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.066:0.066:0.066) (0.039:0.039:0.039))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.066:0.066:0.066) (0.039:0.039:0.039))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.030:0.030:0.030) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.030:0.030:0.030) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.056:0.056:0.056) (0.033:0.033:0.033))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.056:0.056:0.056) (0.033:0.033:0.033))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.051:0.051:0.051) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.051:0.051:0.051) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.044:0.044:0.044) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.049:0.049:0.049) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.052:0.052:0.052) (0.031:0.031:0.031))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.052:0.052:0.052) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.051:0.051:0.051) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.051:0.051:0.051) (0.030:0.030:0.030))
+ (INTERCONNECT osc _394_.D (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT resetb _355_.B (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT _176_.Y _242_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _292_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _320_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _243_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _177_.Y _244_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _177_.Y _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _307_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _322_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _179_.Y _265_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _179_.Y _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _338_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _180_.Y _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _250_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _180_.Y _264_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _298_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _285_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _275_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _184_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _183_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _277_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _186_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _187_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _284_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _185_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _182_.X _234_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _190_.X _205_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _190_.X _206_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _191_.Y _192_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _191_.Y _210_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _198_.X _202_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _198_.X _218_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _200_.X _202_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _200_.X _218_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _201_.X _209_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _203_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _211_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _212_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _203_.X _204_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _209_.Y _213_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _211_.Y _214_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _211_.Y _215_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _219_.Y _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _227_.X _233_.C_N (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _227_.X _269_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _227_.X _244_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _227_.X _249_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _227_.X _254_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _250_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _227_.X _252_.B2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _227_.X _263_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _227_.X _251_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _253_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _246_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _245_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _228_.X _311_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _335_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _308_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _303_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _327_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _320_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _252_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _248_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _228_.X _231_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _229_.X _230_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _253_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _298_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _233_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _232_.X _263_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _232_.X _268_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _243_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _267_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _239_.Y _268_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _314_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _315_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _321_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _325_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _240_.Y _328_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _241_.Y _311_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _329_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _331_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _335_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _338_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _242_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _267_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _271_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _264_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _260_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _257_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _270_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _256_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _244_.Y _253_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _247_.Y _258_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _247_.Y _261_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _248_.Y _252_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _248_.Y _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _339_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _251_.X _252_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _251_.X _264_.A2 (0.001:0.001:0.001) (0.000:0.000:0.001))
+ (INTERCONNECT _251_.X _266_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _253_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _261_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _274_.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _283_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _294_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _301_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _311_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _315_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _329_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _338_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _291_.Y _292_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _299_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _331_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _329_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _311_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _304_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _297_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _316_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _294_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _301_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _303_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _293_.X _294_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _310_.B1 (0.002:0.002:0.002) (0.001:0.002:0.002))
+ (INTERCONNECT _293_.X _301_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _304_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _317_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _316_.A2 (0.002:0.002:0.002) (0.001:0.002:0.002))
+ (INTERCONNECT _293_.X _299_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _300_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _294_.X _295_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _319_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _336_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _294_.X _343_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _296_.Y _351_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _298_.X _346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _301_.X _302_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _301_.X _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _304_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _310_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _312_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _326_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _318_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _331_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _313_.Y _314_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _328_.X _336_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _336_.X _348_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _336_.X _354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _338_.X _341_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _355_.Y _368_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _366_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _367_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _371_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _355_.Y _358_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _372_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _373_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _369_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _360_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _357_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _355_.Y _365_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _356_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _355_.Y _364_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _377_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _363_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _355_.Y _378_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _370_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _375_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _374_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _362_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _359_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _376_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _361_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _279_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _273_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _186_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _197_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _200_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _273_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _282_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _185_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _194_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _384_.Q _195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _279_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _191_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _193_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _277_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _183_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _188_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _275_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _276_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _271_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _232_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _270_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _228_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _241_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _249_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _267_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _241_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _228_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _347_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _349_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _344_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _298_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _179_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _318_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _301_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _297_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _391_.Q _290_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _178_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _229_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _344_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _320_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _262_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _291_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _392_.Q _229_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _344_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _327_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _177_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _260_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _352_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _323_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _345_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _352_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _393_.Q _176_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _393_.Q _254_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _230_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _290_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _321_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _322_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _307_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _257_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _182_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _396_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _396_.Q _181_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _396_.Q _182_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _187_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _198_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _199_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _220_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _197_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _200_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _185_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _194_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _195_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _196_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _184_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _191_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _193_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _401_.Q _188_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _401_.Q _189_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.256:0.256:0.256) (0.250:0.250:0.250))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.255:0.255:0.255) (0.224:0.224:0.224))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.166:0.166:0.166) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.255:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.235:0.235:0.235) (0.192:0.192:0.192))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.489:0.489:0.489) (0.548:0.548:0.548))
+ (IOPATH A Y (0.862:0.862:0.862) (0.460:0.460:0.460))
+ (IOPATH B Y (0.468:0.468:0.468) (0.535:0.535:0.535))
+ (IOPATH B Y (0.816:0.816:0.816) (0.427:0.427:0.427))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.505:0.505:0.505) (0.283:0.283:0.283))
+ (IOPATH A X (0.492:0.492:0.492) (0.415:0.415:0.415))
+ (IOPATH B X (0.461:0.461:0.461) (0.259:0.259:0.259))
+ (IOPATH B X (0.490:0.490:0.490) (0.364:0.364:0.364))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.272:0.272:0.272) (0.652:0.652:0.652))
+ (IOPATH A1 X (0.246:0.246:0.246) (0.656:0.656:0.656))
+ (IOPATH S X (0.545:0.549:0.553) (0.789:0.849:0.909))
+ (IOPATH S X (0.349:0.427:0.505) (0.826:0.830:0.833))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.270:0.270:0.270) (0.660:0.660:0.660))
+ (IOPATH A1 X (0.255:0.255:0.255) (0.668:0.668:0.668))
+ (IOPATH S X (0.555:0.559:0.563) (0.803:0.863:0.923))
+ (IOPATH S X (0.358:0.437:0.516) (0.839:0.843:0.846))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.266:0.266:0.266) (0.650:0.650:0.650))
+ (IOPATH A1 X (0.252:0.252:0.252) (0.660:0.660:0.660))
+ (IOPATH S X (0.546:0.550:0.554) (0.791:0.851:0.911))
+ (IOPATH S X (0.350:0.428:0.506) (0.827:0.831:0.834))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.311:0.311:0.311) (0.684:0.684:0.684))
+ (IOPATH A1 X (0.267:0.267:0.267) (0.678:0.678:0.678))
+ (IOPATH S X (0.558:0.562:0.566) (0.806:0.866:0.926))
+ (IOPATH S X (0.361:0.440:0.519) (0.843:0.847:0.850))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.314:0.314:0.314) (0.680:0.680:0.680))
+ (IOPATH A1 X (0.256:0.256:0.256) (0.665:0.665:0.665))
+ (IOPATH S X (0.550:0.554:0.558) (0.795:0.855:0.915))
+ (IOPATH S X (0.353:0.431:0.510) (0.832:0.835:0.839))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.145:0.145:0.145) (0.156:0.156:0.156))
+ (IOPATH B Y (0.138:0.138:0.138) (0.139:0.139:0.139))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.234:0.234:0.234) (0.688:0.688:0.688))
+ (IOPATH B X (0.198:0.198:0.198) (0.627:0.627:0.627))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.331:0.331:0.332) (0.409:0.412:0.415))
+ (IOPATH B X (0.324:0.324:0.324) (0.468:0.468:0.468))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.255:0.255:0.255) (0.126:0.126:0.126))
+ (IOPATH B Y (0.201:0.201:0.201) (0.095:0.095:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.060:0.063:0.066) (0.090:0.090:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.306:0.306:0.306) (0.390:0.390:0.390))
+ (IOPATH B X (0.292:0.292:0.292) (0.418:0.418:0.418))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.235:0.235:0.235) (0.125:0.125:0.125))
+ (IOPATH B Y (0.184:0.184:0.184) (0.094:0.094:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.279:0.279:0.279) (0.757:0.757:0.757))
+ (IOPATH B X (0.255:0.255:0.255) (0.703:0.703:0.703))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.341:0.341:0.341) (0.415:0.415:0.415))
+ (IOPATH B X (0.327:0.327:0.327) (0.446:0.446:0.446))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.367:0.367:0.367) (0.431:0.431:0.431))
+ (IOPATH B X (0.321:0.321:0.321) (0.443:0.443:0.443))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.443:0.443:0.443) (0.488:0.488:0.488))
+ (IOPATH B X (0.381:0.381:0.381) (0.489:0.489:0.489))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.158:0.158:0.158) (0.168:0.168:0.168))
+ (IOPATH B Y (0.129:0.129:0.129) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.433:0.433:0.433) (0.270:0.270:0.270))
+ (IOPATH A X (0.428:0.428:0.428) (0.407:0.407:0.407))
+ (IOPATH B X (0.364:0.364:0.364) (0.211:0.211:0.211))
+ (IOPATH B X (0.393:0.393:0.393) (0.331:0.331:0.331))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.306:0.306:0.306) (0.401:0.402:0.402))
+ (IOPATH A2 X (0.371:0.376:0.381) (0.425:0.438:0.452))
+ (IOPATH B1 X (0.198:0.198:0.199) (0.377:0.378:0.378))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.336:0.337:0.337) (0.641:0.642:0.642))
+ (IOPATH A2 X (0.398:0.403:0.408) (0.688:0.701:0.715))
+ (IOPATH B1 X (0.235:0.235:0.235) (0.641:0.642:0.643))
+ (IOPATH C1 X (0.226:0.226:0.226) (0.574:0.574:0.575))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.366:0.366:0.366) (0.413:0.413:0.413))
+ (IOPATH A2 X (0.410:0.410:0.410) (0.511:0.511:0.511))
+ (IOPATH A3 X (0.419:0.421:0.423) (0.521:0.522:0.523))
+ (IOPATH B1 X (0.244:0.244:0.244) (0.447:0.448:0.449))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.218:0.219:0.219) (0.106:0.106:0.106))
+ (IOPATH B Y (0.177:0.178:0.180) (0.095:0.098:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.283:0.283:0.283) (0.366:0.366:0.367))
+ (IOPATH B X (0.290:0.294:0.297) (0.409:0.410:0.411))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.100:0.101:0.101) (0.107:0.107:0.107))
+ (IOPATH B Y (0.113:0.115:0.116) (0.120:0.123:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.412:0.412:0.412) (0.489:0.489:0.489))
+ (IOPATH A2 X (0.413:0.413:0.413) (0.460:0.461:0.462))
+ (IOPATH B1 X (0.390:0.392:0.393) (0.251:0.253:0.254))
+ (IOPATH C1 X (0.383:0.383:0.384) (0.233:0.236:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.252:0.255:0.259) (0.132:0.132:0.132))
+ (IOPATH B Y (0.232:0.233:0.234) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.239:0.241:0.243) (0.266:0.267:0.267))
+ (IOPATH A Y (0.311:0.311:0.312) (0.172:0.174:0.175))
+ (IOPATH B Y (0.285:0.285:0.285) (0.254:0.257:0.259))
+ (IOPATH B Y (0.261:0.263:0.265) (0.201:0.201:0.201))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.266:0.266:0.266) (0.698:0.701:0.704))
+ (IOPATH B X (0.214:0.214:0.214) (0.666:0.667:0.667))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.172:0.172:0.172) (0.199:0.199:0.199))
+ (IOPATH B Y (0.177:0.178:0.179) (0.226:0.228:0.231))
+ (IOPATH C Y (0.183:0.183:0.183) (0.229:0.229:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.295:0.295:0.295) (0.423:0.423:0.423))
+ (IOPATH A2 X (0.304:0.306:0.309) (0.443:0.444:0.444))
+ (IOPATH B1 X (0.208:0.208:0.208) (0.409:0.409:0.410))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.405:0.405:0.405) (0.471:0.471:0.471))
+ (IOPATH A2 X (0.416:0.418:0.420) (0.556:0.556:0.556))
+ (IOPATH A3 X (0.418:0.420:0.421) (0.507:0.507:0.508))
+ (IOPATH B1 X (0.280:0.280:0.280) (0.425:0.425:0.425))
+ (IOPATH B2 X (0.290:0.319:0.348) (0.462:0.466:0.470))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.291:0.291:0.291) (0.135:0.137:0.139))
+ (IOPATH A2 Y (0.272:0.273:0.273) (0.137:0.139:0.141))
+ (IOPATH B1 Y (0.220:0.220:0.220) (0.098:0.098:0.098))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.245:0.247:0.249) (0.392:0.392:0.392))
+ (IOPATH A2 X (0.248:0.249:0.251) (0.383:0.383:0.384))
+ (IOPATH B1 X (0.183:0.183:0.183) (0.341:0.341:0.341))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.215:0.215:0.215) (0.093:0.093:0.093))
+ (IOPATH B Y (0.199:0.203:0.207) (0.084:0.112:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.205:0.208:0.211) (1.086:1.087:1.087))
+ (IOPATH B X (0.233:0.236:0.239) (1.025:1.030:1.035))
+ (IOPATH C X (0.229:0.229:0.229) (0.944:0.948:0.951))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.267:0.267:0.267) (0.284:0.285:0.286))
+ (IOPATH A Y (0.325:0.326:0.327) (0.199:0.200:0.200))
+ (IOPATH B Y (0.309:0.313:0.317) (0.274:0.288:0.303))
+ (IOPATH B Y (0.276:0.289:0.303) (0.224:0.228:0.232))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.127:0.127:0.127) (0.147:0.147:0.147))
+ (IOPATH B Y (0.159:0.164:0.168) (0.151:0.179:0.208))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.299:0.299:0.299) (0.749:0.749:0.749))
+ (IOPATH B X (0.227:0.227:0.227) (0.667:0.667:0.667))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.088:0.095:0.102) (0.091:0.092:0.093))
+ (IOPATH B Y (0.132:0.132:0.132) (0.102:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.323:0.323:0.323) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.286:0.317:0.347) (0.422:0.426:0.430))
+ (IOPATH B1 X (0.277:0.280:0.284) (0.391:0.392:0.392))
+ (IOPATH B2 X (0.258:0.258:0.258) (0.356:0.356:0.356))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.126:0.129:0.131) (0.121:0.124:0.126))
+ (IOPATH B Y (0.127:0.127:0.127) (0.120:0.120:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.515:0.517:0.518) (0.133:0.136:0.139))
+ (IOPATH A2 Y (0.598:0.599:0.600) (0.131:0.132:0.134))
+ (IOPATH B1 Y (0.564:0.564:0.565) (0.100:0.102:0.105))
+ (IOPATH C1 Y (0.471:0.475:0.480) (0.124:0.126:0.129))
+ (IOPATH D1 Y (0.345:0.348:0.351) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.311:0.311:0.311) (0.393:0.394:0.396))
+ (IOPATH A2 X (0.244:0.244:0.244) (0.375:0.376:0.376))
+ (IOPATH B1 X (0.265:0.265:0.265) (0.213:0.213:0.213))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.277:0.279:0.282) (0.357:0.358:0.359))
+ (IOPATH B X (0.256:0.257:0.259) (0.387:0.387:0.387))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.844:0.856:0.868) (0.962:0.971:0.980))
+ (IOPATH A2 X (0.732:0.732:0.733) (0.928:0.931:0.933))
+ (IOPATH A3 X (0.697:0.697:0.697) (0.863:0.863:0.864))
+ (IOPATH B1 X (0.742:0.742:0.742) (0.451:0.457:0.463))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.499:0.499:0.499) (0.933:0.933:0.933))
+ (IOPATH B X (0.434:0.434:0.434) (0.854:0.854:0.854))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.392:0.392:0.392) (0.857:0.857:0.857))
+ (IOPATH B X (0.387:0.387:0.387) (0.811:0.811:0.811))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.423:0.423:0.423) (0.869:0.869:0.869))
+ (IOPATH B X (0.336:0.336:0.336) (0.795:0.796:0.796))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.362:0.362:0.362) (0.195:0.195:0.196))
+ (IOPATH B Y (0.295:0.296:0.296) (0.135:0.135:0.136))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.287:0.287:0.287) (0.782:0.782:0.782))
+ (IOPATH B X (0.306:0.306:0.306) (0.751:0.751:0.751))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.210:0.210:0.210) (1.445:1.445:1.445))
+ (IOPATH B X (0.230:0.230:0.230) (1.402:1.402:1.402))
+ (IOPATH C_N X (0.446:0.455:0.464) (1.550:1.550:1.550))
+ (IOPATH D_N X (0.308:0.314:0.320) (1.267:1.268:1.268))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.322:0.322:0.322) (0.355:0.355:0.355))
+ (IOPATH B X (0.343:0.343:0.343) (0.403:0.403:0.403))
+ (IOPATH C X (0.459:0.463:0.467) (0.447:0.469:0.490))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.247:0.247:0.247) (0.327:0.327:0.327))
+ (IOPATH B X (0.245:0.249:0.252) (0.376:0.376:0.377))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.173) (1.045:1.045:1.046))
+ (IOPATH B X (0.182:0.183:0.185) (1.002:1.004:1.005))
+ (IOPATH C_N X (0.342:0.348:0.355) (1.014:1.015:1.015))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.216:0.217:0.217) (1.207:1.208:1.208))
+ (IOPATH B X (0.228:0.229:0.229) (1.121:1.123:1.125))
+ (IOPATH C X (0.211:0.211:0.212) (1.072:1.072:1.072))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.496:0.496:0.496) (0.425:0.425:0.425))
+ (IOPATH B X (0.500:0.500:0.500) (0.530:0.530:0.530))
+ (IOPATH C X (0.526:0.526:0.526) (0.498:0.500:0.501))
+ (IOPATH D X (0.546:0.547:0.547) (0.592:0.592:0.592))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.157:0.157:0.157) (0.160:0.160:0.160))
+ (IOPATH B Y (0.185:0.185:0.185) (0.188:0.188:0.188))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.455:0.455:0.455) (0.197:0.197:0.197))
+ (IOPATH B Y (0.404:0.404:0.404) (0.183:0.183:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.306:0.306:0.306) (0.339:0.339:0.339))
+ (IOPATH B Y (0.277:0.277:0.277) (0.285:0.285:0.285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.262:0.262:0.262) (1.627:1.627:1.627))
+ (IOPATH B X (0.227:0.227:0.227) (1.571:1.571:1.571))
+ (IOPATH C X (0.232:0.234:0.237) (1.514:1.515:1.515))
+ (IOPATH D X (0.287:0.288:0.288) (1.400:1.404:1.407))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.546:0.546:0.546) (0.850:0.850:0.850))
+ (IOPATH A2 X (0.688:0.688:0.688) (0.890:0.898:0.906))
+ (IOPATH A3 X (0.449:0.449:0.450) (0.787:0.787:0.787))
+ (IOPATH B1 X (0.514:0.514:0.514) (0.344:0.347:0.349))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.309:0.309:0.309) (0.323:0.323:0.323))
+ (IOPATH A Y (0.394:0.394:0.394) (0.245:0.245:0.245))
+ (IOPATH B Y (0.439:0.439:0.439) (0.396:0.404:0.411))
+ (IOPATH B Y (0.430:0.438:0.447) (0.364:0.365:0.365))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.237:0.237:0.237) (0.149:0.149:0.149))
+ (IOPATH B Y (0.241:0.248:0.255) (0.207:0.207:0.207))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.400:0.400:0.400) (0.455:0.455:0.455))
+ (IOPATH B X (0.534:0.534:0.535) (0.566:0.576:0.587))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.361:0.372:0.384) (0.142:0.150:0.157))
+ (IOPATH B Y (0.335:0.336:0.336) (0.131:0.132:0.133))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.265:0.265:0.265) (0.275:0.275:0.276))
+ (IOPATH B Y (0.270:0.275:0.280) (0.258:0.259:0.259))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.219:0.219:0.219) (0.127:0.127:0.127))
+ (IOPATH B Y (0.241:0.248:0.255) (0.205:0.205:0.205))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.304:0.304:0.304) (0.310:0.310:0.310))
+ (IOPATH A Y (0.376:0.376:0.376) (0.239:0.239:0.239))
+ (IOPATH B Y (0.433:0.434:0.434) (0.389:0.397:0.405))
+ (IOPATH B Y (0.416:0.425:0.434) (0.356:0.357:0.357))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.552:0.553:0.553) (0.521:0.529:0.537))
+ (IOPATH A2 X (0.357:0.357:0.357) (0.490:0.490:0.490))
+ (IOPATH B1_N X (0.462:0.462:0.462) (0.487:0.489:0.491))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.494:0.495:0.496) (0.566:0.569:0.571))
+ (IOPATH A2 X (0.491:0.528:0.566) (0.582:0.593:0.604))
+ (IOPATH A3 X (0.505:0.507:0.509) (0.564:0.565:0.565))
+ (IOPATH B1 X (0.430:0.430:0.431) (0.556:0.556:0.556))
+ (IOPATH B2 X (0.549:0.550:0.550) (0.600:0.609:0.618))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.385:0.427:0.469) (0.491:0.501:0.512))
+ (IOPATH A2 X (0.491:0.491:0.492) (0.505:0.507:0.509))
+ (IOPATH A3 X (0.437:0.439:0.441) (0.516:0.517:0.517))
+ (IOPATH B1 X (0.309:0.309:0.309) (0.477:0.477:0.478))
+ (IOPATH B2 X (0.464:0.465:0.465) (0.545:0.554:0.562))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.341:0.341:0.341) (0.349:0.349:0.349))
+ (IOPATH A Y (0.377:0.377:0.377) (0.272:0.272:0.272))
+ (IOPATH B Y (0.407:0.407:0.407) (0.360:0.368:0.375))
+ (IOPATH B Y (0.355:0.363:0.371) (0.313:0.313:0.313))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.276:0.279:0.281) (0.360:0.361:0.362))
+ (IOPATH B X (0.280:0.310:0.341) (0.414:0.425:0.436))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.217:0.217:0.218) (0.127:0.130:0.132))
+ (IOPATH A2 Y (0.188:0.198:0.207) (0.104:0.129:0.155))
+ (IOPATH B1 Y (0.130:0.140:0.149) (0.186:0.186:0.187))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.425:0.425:0.425) (0.509:0.509:0.509))
+ (IOPATH A2 X (0.362:0.363:0.363) (0.445:0.455:0.464))
+ (IOPATH B1 X (0.273:0.274:0.274) (0.389:0.390:0.390))
+ (IOPATH B2 X (0.246:0.259:0.272) (0.348:0.356:0.365))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.272:0.274:0.276) (0.236:0.236:0.236))
+ (IOPATH A2 Y (0.310:0.310:0.311) (0.184:0.186:0.188))
+ (IOPATH B1 Y (0.247:0.248:0.249) (0.098:0.099:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.213:0.249:0.284) (0.251:0.261:0.272))
+ (IOPATH A Y (0.244:0.254:0.263) (0.145:0.178:0.211))
+ (IOPATH B Y (0.238:0.239:0.241) (0.213:0.229:0.245))
+ (IOPATH B Y (0.169:0.183:0.196) (0.151:0.153:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.312:0.312:0.312) (0.680:0.680:0.680))
+ (IOPATH A1 X (0.223:0.242:0.262) (0.646:0.651:0.656))
+ (IOPATH S X (0.430:0.442:0.453) (0.783:0.784:0.785))
+ (IOPATH S X (0.337:0.338:0.338) (0.730:0.739:0.749))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.227:0.229:0.231) (0.218:0.218:0.218))
+ (IOPATH A X (0.295:0.295:0.295) (0.303:0.305:0.306))
+ (IOPATH B X (0.204:0.205:0.205) (0.155:0.157:0.160))
+ (IOPATH B X (0.253:0.255:0.257) (0.272:0.273:0.273))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.302:0.302:0.302) (0.670:0.670:0.670))
+ (IOPATH A1 X (0.240:0.246:0.252) (0.636:0.643:0.649))
+ (IOPATH S X (0.425:0.436:0.447) (0.775:0.776:0.777))
+ (IOPATH S X (0.331:0.332:0.333) (0.722:0.731:0.741))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.296:0.304:0.311) (0.279:0.279:0.279))
+ (IOPATH A2 Y (0.265:0.265:0.266) (0.136:0.136:0.136))
+ (IOPATH B1 Y (0.165:0.178:0.191) (0.081:0.086:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.475:0.475:0.475) (0.539:0.539:0.539))
+ (IOPATH A2 X (0.426:0.428:0.430) (0.498:0.499:0.499))
+ (IOPATH B1 X (0.438:0.440:0.441) (0.257:0.282:0.307))
+ (IOPATH C1 X (0.496:0.497:0.498) (0.290:0.300:0.311))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.259:0.259:0.259) (0.272:0.272:0.272))
+ (IOPATH A Y (0.277:0.277:0.277) (0.192:0.192:0.192))
+ (IOPATH B Y (0.214:0.214:0.214) (0.232:0.238:0.244))
+ (IOPATH B Y (0.200:0.205:0.210) (0.137:0.137:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.223:0.257:0.292) (0.261:0.272:0.283))
+ (IOPATH A Y (0.266:0.275:0.285) (0.155:0.189:0.222))
+ (IOPATH B Y (0.220:0.222:0.224) (0.249:0.249:0.250))
+ (IOPATH B Y (0.213:0.214:0.214) (0.141:0.143:0.145))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.521:0.532:0.543) (0.510:0.511:0.512))
+ (IOPATH A2_N X (0.451:0.458:0.466) (0.414:0.437:0.460))
+ (IOPATH B1 X (0.380:0.380:0.380) (0.559:0.559:0.559))
+ (IOPATH B2 X (0.329:0.329:0.330) (0.511:0.513:0.515))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.167:0.168:0.168) (0.148:0.148:0.149))
+ (IOPATH B Y (0.156:0.156:0.157) (0.150:0.152:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.376:0.376:0.377) (0.328:0.336:0.345))
+ (IOPATH A Y (0.311:0.319:0.326) (0.296:0.296:0.296))
+ (IOPATH B Y (0.192:0.192:0.193) (0.229:0.230:0.232))
+ (IOPATH B Y (0.181:0.182:0.183) (0.114:0.114:0.115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.252:0.252:0.252) (0.646:0.646:0.646))
+ (IOPATH A1 X (0.224:0.246:0.267) (0.646:0.657:0.668))
+ (IOPATH S X (0.431:0.443:0.454) (0.785:0.786:0.786))
+ (IOPATH S X (0.338:0.339:0.340) (0.732:0.741:0.750))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.109:0.109:0.109) (0.114:0.114:0.114))
+ (IOPATH B Y (0.142:0.153:0.163) (0.167:0.167:0.168))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.286:0.286:0.286) (0.405:0.405:0.405))
+ (IOPATH A2 X (0.245:0.245:0.245) (0.358:0.360:0.362))
+ (IOPATH B1 X (0.224:0.228:0.231) (0.197:0.198:0.200))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.435:0.435:0.435) (0.438:0.438:0.438))
+ (IOPATH B X (0.477:0.477:0.477) (0.506:0.506:0.506))
+ (IOPATH C X (0.494:0.494:0.494) (0.546:0.546:0.546))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.365:0.365:0.365) (0.435:0.435:0.435))
+ (IOPATH B X (0.355:0.355:0.355) (0.458:0.460:0.461))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.297:0.297:0.297) (0.413:0.413:0.413))
+ (IOPATH A2 X (0.242:0.242:0.242) (0.365:0.365:0.366))
+ (IOPATH B1 X (0.360:0.449:0.537) (0.373:0.377:0.381))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.241:0.241:0.241) (0.381:0.381:0.381))
+ (IOPATH B Y (0.107:0.108:0.109) (0.118:0.118:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.416:0.416:0.416) (0.513:0.513:0.513))
+ (IOPATH A2 X (0.376:0.376:0.376) (0.457:0.459:0.460))
+ (IOPATH B1 X (0.362:0.363:0.364) (0.234:0.239:0.244))
+ (IOPATH C1 X (0.472:0.570:0.668) (0.376:0.379:0.383))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.210:0.210:0.210) (0.222:0.222:0.222))
+ (IOPATH B Y (0.199:0.200:0.201) (0.207:0.207:0.207))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.224:0.224:0.224) (0.160:0.160:0.160))
+ (IOPATH A2 Y (0.261:0.261:0.261) (0.175:0.175:0.175))
+ (IOPATH B1 Y (0.180:0.180:0.180) (0.088:0.088:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.225:0.225:0.225) (0.698:0.699:0.701))
+ (IOPATH B X (0.209:0.213:0.218) (0.642:0.646:0.650))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.225:0.226:0.226) (0.134:0.135:0.137))
+ (IOPATH A2 Y (0.236:0.236:0.236) (0.104:0.104:0.104))
+ (IOPATH B1 Y (0.185:0.203:0.221) (0.144:0.146:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.283:0.283:0.283) (0.298:0.298:0.298))
+ (IOPATH A Y (0.312:0.312:0.312) (0.213:0.213:0.213))
+ (IOPATH B Y (0.285:0.285:0.285) (0.304:0.304:0.304))
+ (IOPATH B Y (0.277:0.277:0.277) (0.198:0.198:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.212:0.212:0.213) (0.127:0.129:0.130))
+ (IOPATH A2 Y (0.203:0.208:0.213) (0.102:0.125:0.148))
+ (IOPATH B1 Y (0.173:0.190:0.208) (0.134:0.136:0.138))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.142:0.142:0.142) (0.176:0.176:0.176))
+ (IOPATH B Y (0.238:0.240:0.243) (0.226:0.284:0.341))
+ (IOPATH C Y (0.143:0.144:0.144) (0.171:0.172:0.173))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.233:0.233:0.233) (0.632:0.632:0.632))
+ (IOPATH A1 X (0.234:0.234:0.234) (0.651:0.651:0.651))
+ (IOPATH S X (0.548:0.552:0.556) (0.793:0.853:0.913))
+ (IOPATH S X (0.351:0.430:0.508) (0.830:0.833:0.837))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.232:0.232:0.232) (0.630:0.630:0.630))
+ (IOPATH A1 X (0.240:0.240:0.240) (0.654:0.654:0.654))
+ (IOPATH S X (0.401:0.422:0.444) (0.805:0.808:0.811))
+ (IOPATH S X (0.367:0.371:0.376) (0.703:0.721:0.738))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.187:0.187:0.187) (0.659:0.659:0.659))
+ (IOPATH B X (0.320:0.324:0.329) (0.629:0.646:0.663))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.759:0.759:0.759) (0.631:0.631:0.631))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.301:0.301:0.301) (0.390:0.390:0.390))
+ (IOPATH A2 X (0.916:0.916:0.916) (0.869:0.869:0.869))
+ (IOPATH B1 X (0.361:0.361:0.361) (0.536:0.536:0.536))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.450:0.450:0.450) (1.358:1.358:1.358))
+ (IOPATH B X (0.361:0.361:0.361) (1.252:1.252:1.252))
+ (IOPATH C X (0.390:0.390:0.390) (1.192:1.192:1.192))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.425:0.425:0.425) (0.211:0.211:0.211))
+ (IOPATH B Y (0.335:0.335:0.335) (0.123:0.123:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.286:0.286:0.286) (0.298:0.298:0.298))
+ (IOPATH B Y (0.275:0.282:0.288) (0.380:0.380:0.380))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (1.063:1.063:1.063) (1.044:1.044:1.044))
+ (IOPATH B X (0.467:0.467:0.468) (0.583:0.583:0.584))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.521:0.522:0.522) (0.555:0.555:0.555))
+ (IOPATH B X (0.567:0.568:0.569) (0.611:0.614:0.617))
+ (IOPATH C X (0.562:0.562:0.562) (0.585:0.585:0.585))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.876:0.876:0.876) (0.858:0.858:0.858))
+ (IOPATH A2 X (0.309:0.309:0.309) (0.420:0.420:0.420))
+ (IOPATH B1 X (0.288:0.288:0.288) (0.429:0.430:0.431))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.300:0.300:0.300) (0.141:0.141:0.141))
+ (IOPATH B Y (0.666:0.666:0.666) (0.504:0.504:0.504))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.298:0.298:0.298) (0.723:0.723:0.723))
+ (IOPATH B X (0.266:0.267:0.268) (0.694:0.697:0.699))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.377:0.377:0.377) (1.275:1.275:1.275))
+ (IOPATH B X (0.309:0.309:0.309) (1.174:1.174:1.174))
+ (IOPATH C X (0.291:0.291:0.292) (1.115:1.115:1.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.047:1.047:1.047) (0.932:0.932:0.932))
+ (IOPATH A2 X (0.366:0.366:0.366) (0.512:0.512:0.512))
+ (IOPATH B1 X (0.433:0.435:0.436) (0.534:0.537:0.539))
+ (IOPATH B2 X (0.421:0.421:0.421) (0.517:0.517:0.517))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.881:0.881:0.881) (0.862:0.862:0.862))
+ (IOPATH A2 X (0.315:0.315:0.315) (0.424:0.424:0.424))
+ (IOPATH B1 X (0.303:0.303:0.303) (0.443:0.443:0.443))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.568:0.568:0.568) (0.626:0.626:0.626))
+ (IOPATH A2 X (0.487:0.487:0.488) (0.599:0.599:0.599))
+ (IOPATH B1 X (0.539:0.540:0.541) (0.400:0.403:0.406))
+ (IOPATH C1 X (0.507:0.507:0.508) (0.312:0.312:0.312))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.875:0.875:0.875) (0.857:0.857:0.857))
+ (IOPATH A2 X (0.321:0.321:0.321) (0.427:0.427:0.427))
+ (IOPATH B1 X (0.267:0.267:0.268) (0.409:0.415:0.420))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.326:0.326:0.326) (0.797:0.797:0.797))
+ (IOPATH B X (0.316:0.317:0.318) (0.765:0.768:0.771))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.516:0.517:0.519) (0.598:0.601:0.603))
+ (IOPATH A2 X (0.522:0.523:0.524) (0.606:0.609:0.612))
+ (IOPATH B1 X (0.529:0.529:0.529) (0.345:0.346:0.346))
+ (IOPATH C1 X (0.455:0.455:0.455) (0.301:0.302:0.302))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.876:0.876:0.876) (0.858:0.858:0.858))
+ (IOPATH A2 X (0.319:0.319:0.319) (0.427:0.427:0.427))
+ (IOPATH B1 X (0.270:0.270:0.270) (0.410:0.416:0.421))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.059:1.059:1.059) (0.941:0.941:0.941))
+ (IOPATH A2 X (0.384:0.384:0.384) (0.526:0.526:0.526))
+ (IOPATH B1 X (0.501:0.501:0.501) (0.591:0.591:0.591))
+ (IOPATH B2 X (0.383:0.383:0.384) (0.546:0.546:0.546))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.432:0.432:0.432) (1.341:1.341:1.341))
+ (IOPATH B X (0.343:0.343:0.343) (1.234:1.234:1.234))
+ (IOPATH C X (0.293:0.293:0.293) (1.136:1.136:1.136))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.278:0.278:0.278) (0.728:0.728:0.728))
+ (IOPATH B X (0.215:0.216:0.217) (0.684:0.684:0.684))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.066:1.066:1.066) (0.947:0.947:0.947))
+ (IOPATH A2 X (0.393:0.393:0.393) (0.534:0.534:0.534))
+ (IOPATH B1 X (0.423:0.423:0.423) (0.494:0.495:0.496))
+ (IOPATH B2 X (0.360:0.361:0.361) (0.508:0.508:0.508))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.081:1.081:1.081) (0.960:0.960:0.960))
+ (IOPATH A2 X (0.410:0.410:0.410) (0.547:0.547:0.547))
+ (IOPATH B1 X (0.450:0.450:0.450) (0.515:0.516:0.516))
+ (IOPATH B2 X (0.397:0.397:0.397) (0.534:0.534:0.534))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.378:0.378:0.379) (0.489:0.489:0.489))
+ (IOPATH A2 X (0.304:0.305:0.305) (0.472:0.472:0.472))
+ (IOPATH B1 X (0.355:0.356:0.357) (0.465:0.468:0.470))
+ (IOPATH B2 X (0.306:0.306:0.307) (0.413:0.417:0.422))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.075:1.075:1.075) (0.956:0.956:0.956))
+ (IOPATH A2 X (0.404:0.404:0.404) (0.543:0.543:0.543))
+ (IOPATH B1 X (0.415:0.415:0.415) (0.484:0.489:0.494))
+ (IOPATH B2 X (0.374:0.374:0.375) (0.501:0.501:0.501))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.192:0.192:0.192) (0.349:0.349:0.349))
+ (IOPATH B Y (0.506:0.506:0.506) (0.516:0.516:0.516))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.981:0.981:0.981) (1.213:1.213:1.213))
+ (IOPATH A2 X (0.430:0.431:0.431) (0.776:0.776:0.776))
+ (IOPATH A3 X (0.494:0.494:0.494) (0.676:0.676:0.677))
+ (IOPATH B1 X (0.392:0.435:0.478) (0.296:0.341:0.386))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.356:0.356:0.356) (0.709:0.710:0.711))
+ (IOPATH B X (0.252:0.253:0.253) (0.730:0.730:0.730))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.585:0.587:0.588) (0.637:0.640:0.642))
+ (IOPATH A2 X (0.589:0.589:0.590) (0.627:0.627:0.627))
+ (IOPATH A3 X (0.534:0.534:0.535) (0.600:0.600:0.601))
+ (IOPATH B1 X (0.389:0.389:0.389) (0.495:0.495:0.495))
+ (IOPATH B2 X (0.927:0.927:0.927) (1.021:1.021:1.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.025:1.025:1.025) (0.924:0.924:0.924))
+ (IOPATH A2 X (0.356:0.356:0.356) (0.511:0.511:0.511))
+ (IOPATH B1 X (0.398:0.398:0.398) (0.482:0.483:0.483))
+ (IOPATH B2 X (0.323:0.324:0.324) (0.485:0.486:0.486))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.311:0.311:0.311) (0.744:0.744:0.744))
+ (IOPATH B X (0.226:0.227:0.227) (0.702:0.702:0.702))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.019:1.019:1.019) (0.914:0.914:0.914))
+ (IOPATH A2 X (0.351:0.351:0.351) (0.502:0.502:0.502))
+ (IOPATH B1 X (0.381:0.381:0.381) (0.463:0.464:0.465))
+ (IOPATH B2 X (0.322:0.323:0.323) (0.480:0.480:0.480))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.329:0.329:0.329) (0.613:0.613:0.613))
+ (IOPATH A2 X (0.336:0.336:0.337) (0.684:0.684:0.684))
+ (IOPATH B1 X (0.258:0.258:0.258) (0.621:0.621:0.621))
+ (IOPATH C1 X (0.211:0.211:0.211) (0.529:0.529:0.529))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.289:0.289:0.289) (0.221:0.221:0.221))
+ (IOPATH A2 Y (0.189:0.190:0.191) (0.194:0.194:0.195))
+ (IOPATH B1 Y (0.089:0.095:0.101) (0.195:0.195:0.195))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.485:0.485:0.485) (0.562:0.562:0.562))
+ (IOPATH A2 X (0.396:0.396:0.396) (0.468:0.468:0.468))
+ (IOPATH B1 X (0.352:0.354:0.356) (0.240:0.241:0.241))
+ (IOPATH C1 X (0.338:0.354:0.369) (0.209:0.214:0.218))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.179:0.179:0.179) (0.195:0.195:0.195))
+ (IOPATH B Y (0.115:0.122:0.128) (0.198:0.198:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.269:0.269:0.269) (0.744:0.744:0.744))
+ (IOPATH B X (0.277:0.278:0.278) (0.727:0.728:0.728))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.331:0.331:0.331) (0.673:0.674:0.675))
+ (IOPATH B X (0.174:0.179:0.185) (0.620:0.626:0.631))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.035:1.035:1.035) (0.927:0.927:0.927))
+ (IOPATH A2 X (0.367:0.367:0.367) (0.515:0.515:0.515))
+ (IOPATH B1 X (0.377:0.377:0.378) (0.456:0.462:0.467))
+ (IOPATH B2 X (0.344:0.345:0.345) (0.465:0.469:0.473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.273:0.273:0.273) (0.713:0.713:0.713))
+ (IOPATH B X (0.278:0.278:0.278) (0.690:0.690:0.691))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.394:0.394:0.395) (0.757:0.758:0.759))
+ (IOPATH B X (0.278:0.279:0.279) (0.773:0.773:0.773))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.266:0.266:0.266) (0.418:0.418:0.418))
+ (IOPATH A2 X (0.309:0.310:0.311) (0.453:0.455:0.458))
+ (IOPATH B1 X (0.216:0.217:0.217) (0.394:0.398:0.402))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.425:0.425:0.425) (0.511:0.511:0.511))
+ (IOPATH A2 X (0.383:0.383:0.383) (0.491:0.491:0.491))
+ (IOPATH B1 X (0.372:0.372:0.372) (0.273:0.273:0.273))
+ (IOPATH C1 X (0.341:0.342:0.343) (0.235:0.235:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.322:0.323:0.324) (0.451:0.453:0.456))
+ (IOPATH A2 X (0.328:0.329:0.330) (0.469:0.472:0.475))
+ (IOPATH B1 X (0.293:0.293:0.294) (0.444:0.444:0.444))
+ (IOPATH B2 X (0.295:0.296:0.296) (0.403:0.407:0.412))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.468:0.468:0.468) (0.516:0.516:0.516))
+ (IOPATH B X (0.358:0.359:0.359) (0.440:0.440:0.440))
+ (IOPATH C X (0.343:0.345:0.346) (0.417:0.418:0.418))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.330:0.330:0.330) (0.344:0.349:0.355))
+ (IOPATH B X (0.334:0.334:0.335) (0.394:0.394:0.394))
+ (IOPATH C X (0.360:0.360:0.360) (0.425:0.427:0.428))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.503:0.503:0.503) (0.577:0.578:0.578))
+ (IOPATH A2 X (0.539:0.572:0.605) (0.558:0.624:0.690))
+ (IOPATH A3 X (0.476:0.476:0.477) (0.567:0.567:0.567))
+ (IOPATH B1 X (0.340:0.340:0.340) (0.469:0.469:0.469))
+ (IOPATH B2 X (0.877:0.877:0.877) (0.997:0.997:0.997))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.381:0.381:0.381) (0.510:0.514:0.518))
+ (IOPATH A2 X (0.319:0.319:0.320) (0.496:0.496:0.496))
+ (IOPATH B1 X (0.301:0.301:0.301) (0.438:0.438:0.438))
+ (IOPATH B2 X (0.354:0.354:0.354) (0.452:0.452:0.452))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.478:0.478:0.478) (0.457:0.458:0.460))
+ (IOPATH B X (0.453:0.453:0.454) (0.509:0.510:0.510))
+ (IOPATH C X (0.452:0.452:0.452) (0.506:0.506:0.506))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.904:0.904:0.904) (0.886:0.886:0.886))
+ (IOPATH A2 X (0.343:0.343:0.343) (0.451:0.451:0.451))
+ (IOPATH B1 X (0.287:0.287:0.287) (0.442:0.443:0.444))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.510:0.510:0.510) (0.595:0.595:0.595))
+ (IOPATH A2 X (0.482:0.482:0.483) (0.612:0.612:0.612))
+ (IOPATH B1 X (0.449:0.449:0.449) (0.534:0.534:0.535))
+ (IOPATH B2 X (0.488:0.488:0.489) (0.542:0.547:0.551))
+ (IOPATH C1 X (0.428:0.428:0.429) (0.295:0.295:0.295))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.260:0.261:0.263) (0.746:0.748:0.751))
+ (IOPATH B X (0.217:0.217:0.217) (0.687:0.687:0.687))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.403:0.404:0.404) (0.395:0.401:0.408))
+ (IOPATH B X (0.380:0.381:0.381) (0.434:0.434:0.435))
+ (IOPATH C X (0.396:0.396:0.396) (0.487:0.487:0.487))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.449:0.450:0.451) (0.537:0.537:0.537))
+ (IOPATH A2 X (0.497:0.497:0.497) (0.560:0.568:0.575))
+ (IOPATH A3 X (0.500:0.500:0.500) (0.556:0.557:0.559))
+ (IOPATH B1 X (0.346:0.346:0.346) (0.473:0.473:0.473))
+ (IOPATH B2 X (0.880:0.880:0.880) (0.999:0.999:0.999))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.069:1.069:1.069) (0.956:0.956:0.956))
+ (IOPATH A2 X (0.392:0.392:0.392) (0.538:0.538:0.538))
+ (IOPATH B1 X (0.418:0.418:0.418) (0.523:0.523:0.524))
+ (IOPATH B2 X (0.439:0.473:0.506) (0.502:0.562:0.623))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.041:1.041:1.041) (0.931:0.931:0.931))
+ (IOPATH A2 X (0.372:0.372:0.372) (0.519:0.519:0.519))
+ (IOPATH B1 X (0.400:0.400:0.400) (0.479:0.480:0.481))
+ (IOPATH B2 X (0.366:0.367:0.367) (0.512:0.512:0.513))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.286:0.286:0.286) (0.196:0.196:0.196))
+ (IOPATH A2 Y (0.273:0.273:0.273) (0.191:0.191:0.191))
+ (IOPATH B1 Y (0.155:0.155:0.155) (0.186:0.186:0.186))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.199:0.199:0.199) (0.223:0.223:0.223))
+ (IOPATH B Y (0.129:0.132:0.136) (0.132:0.147:0.161))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.420:0.421:0.421) (0.551:0.551:0.551))
+ (IOPATH A2 X (0.427:0.427:0.427) (0.518:0.519:0.520))
+ (IOPATH A3 X (0.441:0.444:0.447) (0.522:0.532:0.543))
+ (IOPATH B1 X (0.296:0.296:0.296) (0.437:0.437:0.437))
+ (IOPATH B2 X (0.816:0.816:0.816) (0.959:0.959:0.959))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.313:0.313:0.313) (1.154:1.154:1.154))
+ (IOPATH B X (0.246:0.246:0.246) (1.053:1.053:1.053))
+ (IOPATH C X (0.193:0.193:0.193) (0.979:0.979:0.979))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.033:1.033:1.033) (0.926:0.926:0.926))
+ (IOPATH A2 X (0.357:0.357:0.357) (0.508:0.508:0.508))
+ (IOPATH B1 X (0.369:0.369:0.370) (0.461:0.463:0.464))
+ (IOPATH B2 X (0.330:0.331:0.332) (0.506:0.506:0.507))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.306:0.306:0.306) (0.736:0.736:0.736))
+ (IOPATH B X (0.179:0.184:0.189) (0.627:0.632:0.638))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.415:0.415:0.415) (0.481:0.488:0.496))
+ (IOPATH A2 X (0.431:0.432:0.432) (0.522:0.523:0.525))
+ (IOPATH A3 X (0.419:0.420:0.421) (0.530:0.530:0.530))
+ (IOPATH B1 X (0.297:0.297:0.297) (0.437:0.437:0.437))
+ (IOPATH B2 X (0.810:0.810:0.810) (0.957:0.957:0.957))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.850:0.850:0.850) (0.843:0.843:0.843))
+ (IOPATH A2 X (0.288:0.288:0.288) (0.406:0.406:0.406))
+ (IOPATH B1 X (0.277:0.307:0.338) (0.387:0.449:0.511))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.886:0.886:0.886) (0.881:0.881:0.881))
+ (IOPATH B X (0.433:0.433:0.433) (0.470:0.470:0.470))
+ (IOPATH C X (0.478:0.478:0.478) (0.537:0.537:0.537))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.846:0.846:0.846) (0.840:0.840:0.840))
+ (IOPATH A2 X (0.279:0.279:0.279) (0.399:0.399:0.399))
+ (IOPATH B1 X (0.206:0.206:0.206) (0.382:0.383:0.383))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.016:1.016:1.016) (0.913:0.913:0.913))
+ (IOPATH A2 X (0.359:0.359:0.359) (0.507:0.507:0.507))
+ (IOPATH B1 X (0.354:0.354:0.354) (0.448:0.450:0.451))
+ (IOPATH B2 X (0.328:0.328:0.328) (0.484:0.484:0.484))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.864:0.864:0.864) (0.862:0.862:0.862))
+ (IOPATH B Y (0.856:0.856:0.856) (0.855:0.855:0.855))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.517:0.517:0.517) (0.445:0.445:0.445))
+ (IOPATH B Y (0.411:0.411:0.411) (0.249:0.249:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.522:0.522:0.522) (0.449:0.449:0.449))
+ (IOPATH B Y (0.417:0.417:0.417) (0.253:0.253:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.531:0.531:0.531) (0.456:0.456:0.456))
+ (IOPATH B Y (0.428:0.428:0.428) (0.259:0.259:0.259))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.520:0.520:0.520) (0.448:0.448:0.448))
+ (IOPATH B Y (0.415:0.415:0.415) (0.252:0.252:0.252))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.518:0.518:0.518) (0.446:0.446:0.446))
+ (IOPATH B Y (0.412:0.412:0.413) (0.250:0.250:0.250))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.532:0.532:0.532) (0.456:0.456:0.456))
+ (IOPATH B Y (0.428:0.428:0.428) (0.259:0.259:0.259))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.520:0.520:0.520) (0.447:0.447:0.447))
+ (IOPATH B Y (0.415:0.415:0.415) (0.251:0.251:0.252))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.530:0.530:0.530) (0.455:0.455:0.455))
+ (IOPATH B Y (0.427:0.427:0.427) (0.258:0.258:0.258))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.527:0.527:0.527) (0.453:0.453:0.453))
+ (IOPATH B Y (0.423:0.423:0.423) (0.256:0.256:0.257))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.530:0.530:0.530) (0.455:0.455:0.455))
+ (IOPATH B Y (0.427:0.427:0.427) (0.258:0.258:0.258))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.544:0.544:0.544) (0.467:0.467:0.467))
+ (IOPATH B Y (0.441:0.441:0.441) (0.267:0.267:0.267))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.514:0.514:0.514) (0.442:0.442:0.442))
+ (IOPATH B Y (0.408:0.408:0.408) (0.247:0.247:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.526:0.526:0.526) (0.452:0.452:0.452))
+ (IOPATH B Y (0.422:0.422:0.422) (0.256:0.256:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.536:0.536:0.536) (0.459:0.459:0.459))
+ (IOPATH B Y (0.432:0.432:0.432) (0.261:0.262:0.262))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.510:0.510:0.510) (0.439:0.439:0.439))
+ (IOPATH B Y (0.404:0.404:0.404) (0.245:0.245:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.518:0.518:0.518) (0.445:0.445:0.445))
+ (IOPATH B Y (0.412:0.412:0.412) (0.250:0.250:0.250))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.539:0.539:0.539) (0.462:0.462:0.462))
+ (IOPATH B Y (0.436:0.436:0.436) (0.264:0.264:0.264))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.539:0.539:0.539) (0.462:0.462:0.462))
+ (IOPATH B Y (0.435:0.435:0.435) (0.263:0.263:0.264))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.515:0.515:0.515) (0.443:0.443:0.443))
+ (IOPATH B Y (0.409:0.409:0.409) (0.248:0.248:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.516:0.516:0.516) (0.444:0.444:0.444))
+ (IOPATH B Y (0.410:0.410:0.410) (0.248:0.249:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.513:0.513:0.513) (0.441:0.441:0.441))
+ (IOPATH B Y (0.407:0.407:0.407) (0.246:0.246:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.526:0.526:0.526) (0.453:0.453:0.453))
+ (IOPATH B Y (0.422:0.422:0.422) (0.256:0.256:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.532:0.532:0.532) (0.457:0.457:0.457))
+ (IOPATH B Y (0.429:0.429:0.429) (0.259:0.260:0.260))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.754:0.754:0.754) (0.868:0.868:0.868))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.391:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.059:-0.060:-0.061))
+ (HOLD (negedge D) (posedge CLK) (-0.085:-0.085:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.119:0.120:0.121))
+ (SETUP (negedge D) (posedge CLK) (0.272:0.272:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.748:0.748:0.748) (0.863:0.863:0.863))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.733:0.737:0.741))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.389:-0.383))
+ (HOLD (posedge D) (posedge CLK) (-0.064:-0.065:-0.066))
+ (HOLD (negedge D) (posedge CLK) (-0.085:-0.085:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.126))
+ (SETUP (negedge D) (posedge CLK) (0.272:0.272:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.736:0.736:0.736) (0.852:0.852:0.852))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.735:0.739:0.744))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.380))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.085:-0.085:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.272:0.272:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.923:0.923:0.923) (0.999:0.999:0.999))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.733:0.737:0.741))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.389:-0.384))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.071:-0.077))
+ (HOLD (negedge D) (posedge CLK) (-0.077:-0.093:-0.109))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.133:0.138))
+ (SETUP (negedge D) (posedge CLK) (0.263:0.281:0.299))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.897:0.897:0.897) (0.979:0.979:0.979))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.390:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.073:-0.075:-0.077))
+ (HOLD (negedge D) (posedge CLK) (-0.067:-0.076:-0.084))
+ (SETUP (posedge D) (posedge CLK) (0.134:0.137:0.139))
+ (SETUP (negedge D) (posedge CLK) (0.252:0.262:0.271))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.832:0.832:0.832) (0.933:0.933:0.933))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.735:0.739:0.744))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.380))
+ (HOLD (posedge D) (posedge CLK) (-0.076:-0.078:-0.080))
+ (HOLD (negedge D) (posedge CLK) (-0.070:-0.078:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.138:0.140:0.143))
+ (SETUP (negedge D) (posedge CLK) (0.255:0.264:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.815:0.815:0.815) (0.920:0.920:0.920))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.733:0.737:0.741))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.389:-0.384))
+ (HOLD (posedge D) (posedge CLK) (-0.068:-0.069:-0.070))
+ (HOLD (negedge D) (posedge CLK) (-0.065:-0.069:-0.073))
+ (SETUP (posedge D) (posedge CLK) (0.129:0.130:0.131))
+ (SETUP (negedge D) (posedge CLK) (0.249:0.254:0.258))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.843:0.843:0.843) (0.942:0.942:0.942))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.735:0.739:0.743))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.380))
+ (HOLD (posedge D) (posedge CLK) (-0.061:-0.063:-0.064))
+ (HOLD (negedge D) (posedge CLK) (-0.064:-0.066:-0.067))
+ (SETUP (posedge D) (posedge CLK) (0.121:0.123:0.125))
+ (SETUP (negedge D) (posedge CLK) (0.248:0.250:0.252))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.827:0.827:0.827) (0.930:0.930:0.930))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.734:0.738:0.743))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.060:-0.061:-0.061))
+ (HOLD (negedge D) (posedge CLK) (-0.061:-0.064:-0.067))
+ (SETUP (posedge D) (posedge CLK) (0.120:0.121:0.121))
+ (SETUP (negedge D) (posedge CLK) (0.245:0.248:0.251))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.780:0.780:0.780) (0.889:0.889:0.889))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.735:0.739:0.743))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.380))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.087:-0.087))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.274:0.274:0.274))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.848:0.848:0.848) (0.945:0.945:0.945))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.738:0.742:0.746))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.388:-0.382:-0.377))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.066))
+ (HOLD (negedge D) (posedge CLK) (-0.069:-0.073:-0.077))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.127))
+ (SETUP (negedge D) (posedge CLK) (0.253:0.258:0.263))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.971:0.971:0.971) (1.031:1.031:1.031))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.391:-0.386))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.078:-0.090))
+ (HOLD (negedge D) (posedge CLK) (-0.073:-0.077:-0.081))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.140:0.154))
+ (SETUP (negedge D) (posedge CLK) (0.259:0.263:0.268))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.906:0.906:0.906) (0.985:0.985:0.985))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.734:0.738:0.742))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.064:-0.065:-0.066))
+ (HOLD (negedge D) (posedge CLK) (-0.084:-0.085:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.125:0.126))
+ (SETUP (negedge D) (posedge CLK) (0.271:0.272:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.913:0.913:0.913) (0.992:0.992:0.992))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.736:0.740:0.744))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.385:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.086:-0.086:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.273:0.273:0.274))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (1.006:1.006:1.006) (1.055:1.055:1.055))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.734:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.398:-0.392:-0.387))
+ (HOLD (posedge D) (posedge CLK) (-0.064:-0.064:-0.064))
+ (HOLD (negedge D) (posedge CLK) (-0.074:-0.074:-0.074))
+ (SETUP (posedge D) (posedge CLK) (0.124:0.125:0.125))
+ (SETUP (negedge D) (posedge CLK) (0.260:0.260:0.260))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.703:0.703:0.703) (0.820:0.820:0.820))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.390:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062))
+ (HOLD (negedge D) (posedge CLK) (-0.058:-0.058:-0.058))
+ (SETUP (posedge D) (posedge CLK) (0.122:0.122:0.122))
+ (SETUP (negedge D) (posedge CLK) (0.241:0.241:0.241))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.845:0.845:0.845) (0.942:0.942:0.942))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.737:0.741:0.745))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.384:-0.378))
+ (HOLD (posedge D) (posedge CLK) (-0.064:-0.064:-0.064))
+ (HOLD (negedge D) (posedge CLK) (-0.073:-0.073:-0.073))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.125:0.125))
+ (SETUP (negedge D) (posedge CLK) (0.258:0.258:0.258))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.819:0.819:0.819) (0.923:0.923:0.923))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.737:0.741:0.745))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.384:-0.378))
+ (HOLD (posedge D) (posedge CLK) (-0.095:-0.095:-0.095))
+ (HOLD (negedge D) (posedge CLK) (-0.104:-0.104:-0.104))
+ (SETUP (posedge D) (posedge CLK) (0.159:0.159:0.159))
+ (SETUP (negedge D) (posedge CLK) (0.293:0.293:0.293))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.785:0.785:0.785) (0.893:0.893:0.893))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.391:-0.386))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.085:-0.086:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.272:0.273:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.790:0.790:0.790) (0.897:0.897:0.897))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.391:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.068:-0.069))
+ (HOLD (negedge D) (posedge CLK) (-0.088:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.129:0.130))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.276))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.783:0.783:0.783) (0.890:0.890:0.890))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.392:-0.386))
+ (HOLD (posedge D) (posedge CLK) (-0.064:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.085:-0.085:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.272:0.272:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.768:0.768:0.768) (0.878:0.878:0.878))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.734:0.738:0.742))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.381))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.087:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.772:0.772:0.772) (0.882:0.882:0.882))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.735:0.739:0.744))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.385:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.064:-0.065:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.085:-0.085:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.127))
+ (SETUP (negedge D) (posedge CLK) (0.271:0.272:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.275:0.275:0.275) (0.310:0.310:0.310))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.237:0.237:0.237) (0.265:0.265:0.265))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.298:0.298:0.298) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.249:0.249:0.249) (0.235:0.235:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.179:0.186:0.193) (0.062:0.066:0.069))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.041:0.041:0.041))
+ (IOPATH TE Z (0.173:0.179:0.185) (0.059:0.062:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.099:0.101) (0.220:0.224:0.227))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.087:0.089:0.091) (0.166:0.168:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.091:0.091:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.309:0.309:0.309) (0.281:0.281:0.281))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.291:0.291:0.291) (0.265:0.265:0.265))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.045:0.045:0.045) (0.034:0.035:0.035))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.042:0.042:0.042) (0.048:0.048:0.048))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.072:0.072:0.072) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.100:0.110:0.120) (0.226:0.238:0.250))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.083:0.085:0.087) (0.161:0.164:0.166))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.060:0.060:0.060) (0.106:0.106:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.307:0.307:0.307) (0.280:0.280:0.280))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.253:0.253:0.253) (0.240:0.240:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.041:0.041:0.041))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.071:0.071:0.071) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.114:0.116:0.117) (0.243:0.245:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.082:0.084:0.087) (0.160:0.162:0.165))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.049:0.049:0.049) (0.085:0.085:0.085))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.301:0.301:0.301) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.256:0.256:0.256) (0.241:0.241:0.241))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.030:0.030:0.030))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.098:0.101) (0.220:0.223:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.091:0.093:0.094) (0.171:0.173:0.176))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.053:0.053:0.053) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.295:0.295:0.295) (0.269:0.269:0.269))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.261:0.261:0.261) (0.241:0.241:0.241))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.034:0.034:0.034))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.044:0.044:0.044))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.101:0.102:0.104) (0.226:0.229:0.231))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.065:0.065:0.065) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.096:0.098:0.099) (0.178:0.180:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.059:0.059) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.295:0.295:0.295) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.259:0.259:0.259) (0.241:0.241:0.241))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.038:0.038:0.038) (0.028:0.028:0.028))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.097:0.100:0.102) (0.222:0.225:0.228))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.095:0.097:0.099) (0.176:0.179:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.299:0.299:0.299) (0.273:0.273:0.273))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.251:0.251:0.251) (0.236:0.236:0.236))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.042:0.042:0.042) (0.031:0.031:0.031))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.098:0.100) (0.220:0.223:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.097:0.098:0.100) (0.178:0.180:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.054:0.054:0.054) (0.095:0.095:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.305:0.305:0.305) (0.278:0.278:0.278))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.274:0.274:0.274) (0.253:0.253:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.040:0.040:0.040) (0.029:0.029:0.029))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.040:0.040:0.040) (0.045:0.045:0.045))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.071:0.071:0.071) (0.046:0.046:0.047))
+ (IOPATH TE_B Z (0.096:0.098:0.101) (0.221:0.224:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.097:0.099:0.101) (0.179:0.182:0.184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.050:0.050:0.050) (0.087:0.087:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.308:0.308:0.308) (0.281:0.281:0.281))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.263:0.263:0.263) (0.247:0.247:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.034:0.034:0.034))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.072:0.072:0.072) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.104:0.105:0.107) (0.230:0.232:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.093:0.094:0.096) (0.173:0.175:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.059:0.059) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.319:0.319:0.319) (0.290:0.290:0.290))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.272:0.272:0.272) (0.256:0.256:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.031:0.031:0.031))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.075:0.075:0.075) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.105:0.107:0.109) (0.232:0.234:0.236))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.071:0.071:0.071) (0.050:0.051:0.051))
+ (IOPATH TE_B Z (0.086:0.088:0.089) (0.164:0.167:0.169))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.053:0.053:0.053) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.304:0.304:0.304) (0.277:0.277:0.277))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.253:0.253:0.253) (0.239:0.239:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.045:0.045:0.045) (0.034:0.034:0.034))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.108:0.109:0.111) (0.235:0.237:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.091:0.092:0.094) (0.170:0.173:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.059:0.059) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.302:0.302:0.302) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.268:0.268:0.268) (0.248:0.248:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.042:0.042:0.042) (0.032:0.032:0.032))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.044:0.044:0.044))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.106:0.108:0.110) (0.233:0.236:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.085:0.086:0.088) (0.163:0.165:0.167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.055:0.055:0.055) (0.096:0.096:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.102:0.102:0.102) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.176:0.176:0.176) (0.173:0.173:0.173))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.103:0.103:0.103) (0.129:0.129:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.093:0.093:0.093) (0.086:0.086:0.086))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.585:0.585:0.586) (1.140:1.140:1.140))
+ (IOPATH B X (0.258:0.264:0.270) (0.695:0.697:0.699))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.143:0.143:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.033:0.033:0.033))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.041:0.041:0.041))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.011:0.011:0.011))
+ (IOPATH TE_B Z (0.110:0.111:0.112) (0.238:0.239:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.013:0.013:0.013))
+ (IOPATH TE_B Z (0.086:0.088:0.090) (0.165:0.167:0.169))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.388:0.388:0.389) (0.167:0.168:0.168))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.tt.sdf b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.tt.sdf
new file mode 100644
index 00000000..2342810f
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/max/digital_pll.tt.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:49:01 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (1.015:1.015:1.015) (0.496:0.496:0.496))
+ (INTERCONNECT dco _334_.B2 (1.059:1.059:1.059) (0.536:0.536:0.536))
+ (INTERCONNECT dco _296_.B (1.059:1.059:1.059) (0.537:0.537:0.537))
+ (INTERCONNECT dco _351_.A1 (1.058:1.058:1.058) (0.536:0.536:0.536))
+ (INTERCONNECT dco _354_.A1 (1.058:1.058:1.058) (0.536:0.536:0.536))
+ (INTERCONNECT dco _337_.A1 (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco _348_.A1 (1.059:1.059:1.059) (0.537:0.537:0.537))
+ (INTERCONNECT dco _350_.B2 (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco _341_.B2 (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco _342_.A1 (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco _326_.A1 (1.016:1.016:1.016) (0.497:0.497:0.497))
+ (INTERCONNECT dco _343_.A1 (1.017:1.017:1.017) (0.499:0.499:0.499))
+ (INTERCONNECT dco _346_.B2 (1.017:1.017:1.017) (0.498:0.498:0.498))
+ (INTERCONNECT dco _312_.A1 (1.017:1.017:1.017) (0.498:0.498:0.498))
+ (INTERCONNECT dco _319_.A1 (1.016:1.016:1.016) (0.497:0.497:0.497))
+ (INTERCONNECT dco _289_.A2 (1.004:1.004:1.004) (0.486:0.486:0.486))
+ (INTERCONNECT dco _295_.A1 (1.005:1.005:1.005) (0.487:0.487:0.487))
+ (INTERCONNECT dco _314_.A1 (1.015:1.015:1.015) (0.497:0.497:0.497))
+ (INTERCONNECT dco _317_.A1 (1.015:1.015:1.015) (0.497:0.497:0.497))
+ (INTERCONNECT dco _316_.B2 (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco _293_.A_N (1.016:1.016:1.016) (0.497:0.497:0.497))
+ (INTERCONNECT dco _306_.A1 (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco _310_.A1 (1.018:1.018:1.018) (0.499:0.499:0.499))
+ (INTERCONNECT dco _309_.A1 (1.018:1.018:1.018) (0.499:0.499:0.499))
+ (INTERCONNECT dco _305_.A1 (1.006:1.006:1.006) (0.487:0.487:0.487))
+ (INTERCONNECT dco _300_.A1 (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco _302_.A1 (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco _299_.A1 (1.006:1.006:1.006) (0.487:0.487:0.487))
+ (INTERCONNECT dco _313_.B (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco _353_.A1 (1.049:1.049:1.049) (0.528:0.528:0.528))
+ (INTERCONNECT dco _366_.A (1.054:1.054:1.054) (0.533:0.533:0.533))
+ (INTERCONNECT dco _369_.A (1.049:1.049:1.049) (0.528:0.528:0.528))
+ (INTERCONNECT dco _368_.A (1.055:1.055:1.055) (0.534:0.534:0.534))
+ (INTERCONNECT dco _352_.A_N (1.053:1.053:1.053) (0.531:0.531:0.531))
+ (INTERCONNECT dco _367_.A (1.056:1.056:1.056) (0.534:0.534:0.534))
+ (INTERCONNECT dco _371_.A (1.041:1.041:1.041) (0.520:0.520:0.520))
+ (INTERCONNECT dco _358_.A (1.048:1.048:1.048) (0.527:0.527:0.527))
+ (INTERCONNECT dco _373_.A (1.045:1.045:1.045) (0.524:0.524:0.524))
+ (INTERCONNECT dco _372_.A (1.048:1.048:1.048) (0.527:0.527:0.527))
+ (INTERCONNECT dco _360_.A (1.033:1.033:1.033) (0.513:0.513:0.513))
+ (INTERCONNECT dco _361_.A (1.038:1.038:1.038) (0.517:0.517:0.517))
+ (INTERCONNECT dco _365_.A (1.019:1.019:1.019) (0.499:0.499:0.499))
+ (INTERCONNECT dco _364_.A (1.049:1.049:1.049) (0.528:0.528:0.528))
+ (INTERCONNECT dco _357_.A (1.036:1.036:1.036) (0.515:0.515:0.515))
+ (INTERCONNECT dco _356_.A (1.041:1.041:1.041) (0.520:0.520:0.520))
+ (INTERCONNECT dco _362_.A (1.020:1.020:1.020) (0.501:0.501:0.501))
+ (INTERCONNECT dco _370_.A (1.013:1.013:1.013) (0.494:0.494:0.494))
+ (INTERCONNECT dco _363_.A (1.012:1.012:1.012) (0.494:0.494:0.494))
+ (INTERCONNECT dco _377_.A (1.009:1.009:1.009) (0.491:0.491:0.491))
+ (INTERCONNECT dco _378_.A (0.997:0.997:0.997) (0.479:0.479:0.479))
+ (INTERCONNECT dco _359_.A (1.028:1.028:1.028) (0.509:0.509:0.509))
+ (INTERCONNECT dco _375_.A (1.021:1.021:1.021) (0.502:0.502:0.502))
+ (INTERCONNECT dco _374_.A (1.021:1.021:1.021) (0.502:0.502:0.502))
+ (INTERCONNECT dco _376_.A (1.021:1.021:1.021) (0.502:0.502:0.502))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (1.021:1.021:1.021) (0.501:0.501:0.501))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (1.035:1.035:1.035) (0.515:0.515:0.515))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (1.021:1.021:1.021) (0.502:0.502:0.502))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (1.028:1.028:1.028) (0.509:0.509:0.509))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (0.998:0.998:0.998) (0.481:0.481:0.481))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (1.009:1.009:1.009) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (1.012:1.012:1.012) (0.494:0.494:0.494))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (1.016:1.016:1.016) (0.498:0.498:0.498))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (1.020:1.020:1.020) (0.501:0.501:0.501))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (1.033:1.033:1.033) (0.513:0.513:0.513))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (1.035:1.035:1.035) (0.515:0.515:0.515))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (1.050:1.050:1.050) (0.528:0.528:0.528))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (1.022:1.022:1.022) (0.503:0.503:0.503))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (1.038:1.038:1.038) (0.517:0.517:0.517))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (1.033:1.033:1.033) (0.513:0.513:0.513))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (1.048:1.048:1.048) (0.526:0.526:0.526))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (1.045:1.045:1.045) (0.524:0.524:0.524))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (1.048:1.048:1.048) (0.526:0.526:0.526))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (1.041:1.041:1.041) (0.520:0.520:0.520))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (1.055:1.055:1.055) (0.534:0.534:0.534))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (1.053:1.053:1.053) (0.531:0.531:0.531))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (1.055:1.055:1.055) (0.534:0.534:0.534))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (1.050:1.050:1.050) (0.528:0.528:0.528))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (1.054:1.054:1.054) (0.532:0.532:0.532))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (1.049:1.049:1.049) (0.528:0.528:0.528))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (1.006:1.006:1.006) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (0.999:0.999:0.999) (0.481:0.481:0.481))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (1.018:1.018:1.018) (0.499:0.499:0.499))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (1.017:1.017:1.017) (0.498:0.498:0.498))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (1.006:1.006:1.006) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (1.017:1.017:1.017) (0.498:0.498:0.498))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (1.006:1.006:1.006) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (0.992:0.992:0.992) (0.474:0.474:0.474))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (1.013:1.013:1.013) (0.494:0.494:0.494))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (1.017:1.017:1.017) (0.498:0.498:0.498))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (1.016:1.016:1.016) (0.497:0.497:0.497))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (1.015:1.015:1.015) (0.497:0.497:0.497))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (1.060:1.060:1.060) (0.538:0.538:0.538))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (1.058:1.058:1.058) (0.536:0.536:0.536))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (1.057:1.057:1.057) (0.535:0.535:0.535))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (1.058:1.058:1.058) (0.536:0.536:0.536))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (1.058:1.058:1.058) (0.536:0.536:0.536))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (1.014:1.014:1.014) (0.495:0.495:0.495))
+ (INTERCONNECT div[0] _222_.B2 (0.037:0.037:0.037) (0.017:0.017:0.017))
+ (INTERCONNECT div[0] _235_.A (0.037:0.037:0.037) (0.017:0.017:0.017))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017))
+ (INTERCONNECT div[1] _219_.A (0.051:0.051:0.051) (0.024:0.024:0.024))
+ (INTERCONNECT div[1] _222_.A1 (0.051:0.051:0.051) (0.024:0.024:0.024))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.051:0.051:0.051) (0.024:0.024:0.024))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.051:0.051:0.051) (0.024:0.024:0.024))
+ (INTERCONNECT div[2] _213_.B1 (0.043:0.043:0.043) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] _216_.A (0.043:0.043:0.043) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.043:0.043:0.043) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.043:0.043:0.043) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] _213_.A1 (0.064:0.064:0.064) (0.030:0.030:0.030))
+ (INTERCONNECT div[3] _214_.B1 (0.065:0.065:0.065) (0.030:0.030:0.030))
+ (INTERCONNECT div[3] _215_.B1 (0.064:0.064:0.064) (0.030:0.030:0.030))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.064:0.064:0.064) (0.030:0.030:0.030))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.064:0.064:0.064) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.064:0.064:0.064) (0.030:0.030:0.030))
+ (INTERCONNECT div[4] _207_.A1 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] _225_.B1 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT enable _355_.A (0.050:0.050:0.050) (0.024:0.024:0.024))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.050:0.050:0.050) (0.024:0.024:0.024))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.041:0.041:0.041) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.041:0.041:0.041) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.016:0.016:0.016) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.016:0.016:0.016) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.043:0.043:0.043) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.043:0.043:0.043) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.019:0.019:0.019) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.036:0.036:0.036) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.032:0.032:0.032) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.034:0.034:0.034) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.034:0.034:0.034) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT osc _394_.D (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
+ (INTERCONNECT resetb _355_.B (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT _176_.Y _242_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _292_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _296_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _320_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _176_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _243_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _177_.Y _244_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _177_.Y _290_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _307_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _322_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _179_.Y _265_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _179_.Y _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _338_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _180_.Y _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _250_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _180_.Y _264_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _298_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _285_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _275_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _184_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _183_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _277_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _186_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _187_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _284_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _181_.Y _185_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _182_.X _234_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _286_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _287_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _190_.X _205_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _190_.X _206_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _191_.Y _192_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _191_.Y _210_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _198_.X _202_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _198_.X _218_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _200_.X _202_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _200_.X _218_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _201_.X _209_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _203_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _211_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _202_.X _212_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _203_.X _204_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _209_.Y _213_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _211_.Y _214_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _211_.Y _215_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _219_.Y _224_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _227_.X _233_.C_N (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _227_.X _269_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _227_.X _244_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _227_.X _249_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _254_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _250_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _252_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _263_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _251_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _253_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _227_.X _246_.B (0.009:0.009:0.009) (0.008:0.009:0.009))
+ (INTERCONNECT _227_.X _245_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _228_.X _311_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _335_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _308_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _303_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _327_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _320_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _228_.X _252_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _248_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _228_.X _231_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _229_.X _230_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _253_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _298_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _233_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _232_.X _263_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _232_.X _268_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _243_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _267_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _239_.Y _268_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _314_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _315_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _321_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _240_.Y _325_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _240_.Y _328_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _241_.Y _311_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _329_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _331_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _335_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _338_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _241_.Y _242_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _267_.A1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _271_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _264_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _260_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _257_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _270_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _243_.X _256_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _244_.Y _253_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _247_.Y _258_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _247_.Y _261_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _248_.Y _252_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _248_.Y _304_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _339_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _251_.X _252_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _251_.X _264_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _253_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _261_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _274_.X _278_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _283_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _294_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _301_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _311_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _315_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _329_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _290_.X _338_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _291_.Y _292_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _299_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _331_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _329_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _311_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _304_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _297_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _316_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _294_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _292_.Y _301_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _292_.Y _303_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _293_.X _294_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _310_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _301_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _304_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _317_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _316_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _299_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _293_.X _300_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _294_.X _295_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _319_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _336_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _294_.X _343_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _296_.Y _351_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _298_.X _346_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _301_.X _302_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _301_.X _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _304_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _310_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _303_.X _330_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _312_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _304_.X _326_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _318_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _331_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _313_.Y _314_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _328_.X _336_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _336_.X _348_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _336_.X _354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _338_.X _341_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _355_.Y _368_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _366_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _367_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _371_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _355_.Y _358_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _372_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _373_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _369_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _360_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _357_.B (0.013:0.013:0.013) (0.011:0.011:0.011))
+ (INTERCONNECT _355_.Y _365_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _356_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _355_.Y _364_.B (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _355_.Y _377_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _363_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _355_.Y _378_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _370_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _375_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _374_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _362_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _359_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _376_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _355_.Y _361_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _279_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _273_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _186_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _197_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _200_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _273_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _383_.Q _279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _282_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _185_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _194_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _384_.Q _195_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _273_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _279_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _191_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _193_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _274_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _277_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _183_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _188_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _275_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _276_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _278_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _271_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _232_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _270_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _228_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _241_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _389_.Q _249_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _267_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _241_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _228_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _347_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _349_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _344_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _298_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _390_.Q _179_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _318_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _301_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _390_.Q _297_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _391_.Q _290_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _178_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _229_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _344_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _320_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _262_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _291_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _392_.Q _229_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _320_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _344_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _327_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _177_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _260_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _392_.Q _352_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _323_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _345_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _352_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _393_.Q _176_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _393_.Q _254_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _230_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _290_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _321_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _322_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _393_.Q _307_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _393_.Q _257_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _182_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _396_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _396_.Q _181_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _396_.Q _182_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _187_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _198_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _199_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _397_.Q _220_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _197_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _398_.Q _200_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _185_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _194_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _195_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _399_.Q _196_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _184_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _191_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _400_.Q _193_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _401_.Q _188_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _401_.Q _189_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.143:0.143:0.143) (0.111:0.111:0.111))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.149:0.149:0.149) (0.106:0.106:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.095:0.095:0.095) (0.068:0.068:0.068))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.158:0.158:0.158) (0.120:0.120:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.137:0.137:0.137) (0.094:0.094:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.277:0.277:0.277) (0.279:0.279:0.279))
+ (IOPATH A Y (0.533:0.533:0.533) (0.218:0.218:0.218))
+ (IOPATH B Y (0.267:0.267:0.267) (0.268:0.268:0.268))
+ (IOPATH B Y (0.503:0.503:0.503) (0.202:0.202:0.202))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.308:0.308:0.308) (0.134:0.134:0.134))
+ (IOPATH A X (0.285:0.285:0.285) (0.224:0.224:0.224))
+ (IOPATH B X (0.277:0.277:0.277) (0.122:0.122:0.122))
+ (IOPATH B X (0.286:0.286:0.286) (0.192:0.192:0.192))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.138:0.138:0.138) (0.286:0.286:0.286))
+ (IOPATH A1 X (0.124:0.124:0.124) (0.288:0.288:0.288))
+ (IOPATH S X (0.259:0.261:0.263) (0.344:0.359:0.374))
+ (IOPATH S X (0.173:0.200:0.228) (0.360:0.362:0.364))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.137:0.137:0.137) (0.289:0.289:0.289))
+ (IOPATH A1 X (0.130:0.130:0.130) (0.294:0.294:0.294))
+ (IOPATH S X (0.265:0.267:0.269) (0.351:0.366:0.381))
+ (IOPATH S X (0.179:0.207:0.234) (0.367:0.369:0.371))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.135:0.135:0.135) (0.285:0.285:0.285))
+ (IOPATH A1 X (0.127:0.127:0.127) (0.290:0.290:0.290))
+ (IOPATH S X (0.260:0.261:0.263) (0.345:0.360:0.375))
+ (IOPATH S X (0.174:0.201:0.228) (0.361:0.363:0.364))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.157:0.157:0.157) (0.304:0.304:0.304))
+ (IOPATH A1 X (0.135:0.135:0.135) (0.299:0.299:0.299))
+ (IOPATH S X (0.266:0.268:0.270) (0.353:0.368:0.383))
+ (IOPATH S X (0.181:0.208:0.236) (0.369:0.371:0.373))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.156:0.156:0.156) (0.302:0.302:0.302))
+ (IOPATH A1 X (0.129:0.129:0.129) (0.292:0.292:0.292))
+ (IOPATH S X (0.261:0.263:0.265) (0.347:0.362:0.377))
+ (IOPATH S X (0.176:0.203:0.230) (0.363:0.365:0.367))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.081:0.081:0.081) (0.071:0.071:0.071))
+ (IOPATH B Y (0.080:0.080:0.080) (0.064:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.300:0.300:0.300))
+ (IOPATH B X (0.105:0.105:0.105) (0.271:0.271:0.271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.170:0.171:0.171) (0.192:0.195:0.197))
+ (IOPATH B X (0.167:0.167:0.167) (0.218:0.218:0.219))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.144:0.144:0.144) (0.060:0.060:0.060))
+ (IOPATH B Y (0.115:0.115:0.115) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.035:0.037:0.039) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.151:0.151:0.151) (0.179:0.179:0.179))
+ (IOPATH B X (0.144:0.144:0.144) (0.193:0.193:0.193))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.133:0.133:0.133) (0.059:0.059:0.059))
+ (IOPATH B Y (0.104:0.104:0.104) (0.044:0.044:0.044))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.152:0.152:0.152) (0.334:0.334:0.334))
+ (IOPATH B X (0.139:0.139:0.139) (0.309:0.309:0.309))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.172:0.172:0.172) (0.193:0.193:0.193))
+ (IOPATH B X (0.163:0.163:0.163) (0.207:0.207:0.207))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.183:0.183:0.183) (0.202:0.202:0.202))
+ (IOPATH B X (0.159:0.159:0.159) (0.204:0.204:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.225:0.225:0.225) (0.232:0.232:0.232))
+ (IOPATH B X (0.193:0.193:0.193) (0.229:0.229:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.086:0.086:0.086) (0.069:0.069:0.069))
+ (IOPATH B Y (0.073:0.073:0.073) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.259:0.259:0.259) (0.126:0.126:0.126))
+ (IOPATH A X (0.234:0.234:0.234) (0.217:0.217:0.217))
+ (IOPATH B X (0.216:0.216:0.216) (0.097:0.097:0.097))
+ (IOPATH B X (0.225:0.225:0.225) (0.173:0.173:0.173))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.150:0.150:0.150) (0.208:0.208:0.209))
+ (IOPATH A2 X (0.180:0.183:0.185) (0.229:0.235:0.240))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.200:0.200:0.201))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.164:0.165:0.165) (0.327:0.328:0.328))
+ (IOPATH A2 X (0.194:0.196:0.199) (0.363:0.369:0.374))
+ (IOPATH B1 X (0.127:0.127:0.127) (0.335:0.335:0.336))
+ (IOPATH C1 X (0.122:0.122:0.122) (0.296:0.296:0.296))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.175:0.175:0.175) (0.219:0.219:0.219))
+ (IOPATH A2 X (0.195:0.195:0.195) (0.272:0.272:0.272))
+ (IOPATH A3 X (0.198:0.198:0.199) (0.282:0.282:0.283))
+ (IOPATH B1 X (0.135:0.135:0.135) (0.240:0.240:0.241))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.124:0.124:0.124) (0.051:0.051:0.051))
+ (IOPATH B Y (0.101:0.101:0.102) (0.045:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.168:0.168:0.169))
+ (IOPATH B X (0.143:0.144:0.145) (0.188:0.189:0.190))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.055:0.056:0.056) (0.048:0.048:0.048))
+ (IOPATH B Y (0.065:0.066:0.067) (0.055:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.186:0.186:0.186) (0.255:0.255:0.255))
+ (IOPATH A2 X (0.187:0.187:0.187) (0.240:0.240:0.241))
+ (IOPATH B1 X (0.176:0.178:0.179) (0.132:0.133:0.133))
+ (IOPATH C1 X (0.175:0.175:0.176) (0.120:0.123:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.148:0.150:0.152) (0.065:0.065:0.065))
+ (IOPATH B Y (0.133:0.133:0.134) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.125:0.126:0.127) (0.144:0.145:0.145))
+ (IOPATH A Y (0.189:0.189:0.190) (0.080:0.080:0.081))
+ (IOPATH B Y (0.143:0.143:0.143) (0.134:0.136:0.138))
+ (IOPATH B Y (0.159:0.161:0.162) (0.090:0.090:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.307:0.308:0.310))
+ (IOPATH B X (0.117:0.117:0.117) (0.290:0.290:0.290))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.096:0.096:0.096) (0.089:0.089:0.089))
+ (IOPATH B Y (0.105:0.105:0.106) (0.101:0.101:0.102))
+ (IOPATH C Y (0.105:0.105:0.105) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.147:0.147:0.147) (0.220:0.220:0.220))
+ (IOPATH A2 X (0.151:0.152:0.153) (0.240:0.240:0.241))
+ (IOPATH B1 X (0.115:0.115:0.115) (0.216:0.216:0.216))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.193:0.193:0.193) (0.252:0.252:0.252))
+ (IOPATH A2 X (0.195:0.196:0.198) (0.294:0.294:0.294))
+ (IOPATH A3 X (0.195:0.195:0.196) (0.278:0.279:0.279))
+ (IOPATH B1 X (0.145:0.145:0.145) (0.227:0.227:0.227))
+ (IOPATH B2 X (0.149:0.162:0.176) (0.249:0.251:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.159:0.159:0.160) (0.061:0.063:0.064))
+ (IOPATH A2 Y (0.160:0.160:0.161) (0.062:0.063:0.064))
+ (IOPATH B1 Y (0.127:0.127:0.127) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.117:0.119:0.121) (0.197:0.197:0.197))
+ (IOPATH A2 X (0.118:0.119:0.120) (0.207:0.207:0.207))
+ (IOPATH B1 X (0.097:0.097:0.097) (0.180:0.180:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.125:0.125:0.125) (0.048:0.048:0.048))
+ (IOPATH B Y (0.112:0.114:0.116) (0.043:0.052:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.110:0.111:0.112) (0.462:0.462:0.462))
+ (IOPATH B X (0.125:0.126:0.127) (0.433:0.434:0.436))
+ (IOPATH C X (0.121:0.121:0.121) (0.393:0.396:0.398))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.136:0.136:0.136) (0.151:0.151:0.152))
+ (IOPATH A Y (0.193:0.194:0.194) (0.091:0.091:0.091))
+ (IOPATH B Y (0.152:0.154:0.155) (0.144:0.150:0.156))
+ (IOPATH B Y (0.166:0.171:0.176) (0.100:0.101:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.074:0.074:0.074) (0.070:0.070:0.070))
+ (IOPATH B Y (0.091:0.094:0.096) (0.071:0.083:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.155:0.155:0.155) (0.329:0.329:0.329))
+ (IOPATH B X (0.121:0.121:0.121) (0.288:0.288:0.288))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.050:0.055:0.059) (0.044:0.044:0.045))
+ (IOPATH B Y (0.072:0.072:0.072) (0.048:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.155:0.155:0.155) (0.232:0.232:0.232))
+ (IOPATH A2 X (0.138:0.152:0.166) (0.224:0.226:0.228))
+ (IOPATH B1 X (0.133:0.135:0.136) (0.194:0.195:0.195))
+ (IOPATH B2 X (0.125:0.125:0.125) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.069:0.072:0.074) (0.057:0.058:0.059))
+ (IOPATH B Y (0.073:0.074:0.074) (0.055:0.055:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.289:0.291:0.293) (0.061:0.062:0.064))
+ (IOPATH A2 Y (0.341:0.342:0.343) (0.059:0.060:0.061))
+ (IOPATH B1 Y (0.323:0.324:0.324) (0.050:0.051:0.052))
+ (IOPATH C1 Y (0.268:0.269:0.270) (0.059:0.060:0.060))
+ (IOPATH D1 Y (0.196:0.198:0.200) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.150:0.150:0.150) (0.207:0.208:0.209))
+ (IOPATH A2 X (0.117:0.117:0.117) (0.194:0.195:0.195))
+ (IOPATH B1 X (0.130:0.130:0.130) (0.109:0.109:0.109))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.137:0.138) (0.166:0.167:0.167))
+ (IOPATH B X (0.126:0.127:0.127) (0.178:0.179:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.456:0.461:0.467) (0.502:0.504:0.506))
+ (IOPATH A2 X (0.409:0.410:0.410) (0.480:0.482:0.483))
+ (IOPATH A3 X (0.390:0.390:0.390) (0.444:0.444:0.445))
+ (IOPATH B1 X (0.412:0.412:0.412) (0.236:0.240:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.276:0.276:0.276) (0.425:0.425:0.425))
+ (IOPATH B X (0.247:0.247:0.247) (0.388:0.388:0.388))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.215:0.215:0.215) (0.383:0.383:0.383))
+ (IOPATH B X (0.211:0.211:0.211) (0.362:0.362:0.362))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.225:0.225:0.225) (0.384:0.384:0.384))
+ (IOPATH B X (0.188:0.188:0.188) (0.350:0.350:0.350))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.204:0.204:0.204) (0.090:0.091:0.091))
+ (IOPATH B Y (0.166:0.167:0.167) (0.067:0.067:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.158:0.158:0.158) (0.346:0.346:0.346))
+ (IOPATH B X (0.168:0.168:0.168) (0.330:0.330:0.330))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.112:0.112:0.112) (0.613:0.613:0.613))
+ (IOPATH B X (0.123:0.123:0.123) (0.592:0.592:0.592))
+ (IOPATH C_N X (0.227:0.232:0.238) (0.635:0.635:0.635))
+ (IOPATH D_N X (0.160:0.164:0.168) (0.522:0.522:0.522))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.149:0.149:0.149) (0.163:0.163:0.163))
+ (IOPATH B X (0.159:0.159:0.159) (0.186:0.186:0.186))
+ (IOPATH C X (0.211:0.213:0.214) (0.208:0.215:0.223))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.122:0.122:0.122) (0.149:0.149:0.149))
+ (IOPATH B X (0.121:0.122:0.123) (0.170:0.171:0.171))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.094:0.094:0.094) (0.444:0.444:0.445))
+ (IOPATH B X (0.099:0.101:0.102) (0.423:0.424:0.424))
+ (IOPATH C_N X (0.174:0.177:0.181) (0.428:0.428:0.428))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.121:0.121:0.121) (0.515:0.515:0.515))
+ (IOPATH B X (0.126:0.126:0.126) (0.478:0.479:0.480))
+ (IOPATH C X (0.116:0.117:0.117) (0.451:0.451:0.451))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.233:0.233:0.233) (0.198:0.198:0.198))
+ (IOPATH B X (0.234:0.234:0.234) (0.243:0.243:0.243))
+ (IOPATH C X (0.244:0.245:0.245) (0.234:0.235:0.235))
+ (IOPATH D X (0.255:0.256:0.256) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.091:0.091:0.091) (0.075:0.075:0.075))
+ (IOPATH B Y (0.106:0.106:0.106) (0.088:0.088:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.100:0.100:0.100))
+ (IOPATH B Y (0.241:0.241:0.241) (0.094:0.094:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (0.159:0.159:0.159))
+ (IOPATH B Y (0.163:0.163:0.163) (0.133:0.133:0.133))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.142:0.142:0.142) (0.688:0.688:0.688))
+ (IOPATH B X (0.124:0.124:0.124) (0.659:0.659:0.659))
+ (IOPATH C X (0.126:0.128:0.129) (0.627:0.627:0.627))
+ (IOPATH D X (0.151:0.151:0.152) (0.573:0.577:0.580))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.288:0.288:0.288) (0.450:0.450:0.450))
+ (IOPATH A2 X (0.338:0.338:0.338) (0.467:0.471:0.476))
+ (IOPATH A3 X (0.243:0.243:0.243) (0.401:0.401:0.401))
+ (IOPATH B1 X (0.273:0.273:0.273) (0.183:0.185:0.186))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.160:0.160:0.160) (0.176:0.176:0.176))
+ (IOPATH A Y (0.240:0.240:0.240) (0.113:0.113:0.113))
+ (IOPATH B Y (0.193:0.193:0.193) (0.207:0.211:0.216))
+ (IOPATH B Y (0.251:0.256:0.261) (0.146:0.146:0.146))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.133:0.133:0.133) (0.063:0.063:0.063))
+ (IOPATH B Y (0.135:0.140:0.144) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.203:0.203:0.203) (0.216:0.216:0.216))
+ (IOPATH B X (0.252:0.252:0.252) (0.270:0.276:0.282))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.215:0.221:0.226) (0.073:0.076:0.079))
+ (IOPATH B Y (0.195:0.195:0.195) (0.066:0.067:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.147:0.147:0.148) (0.128:0.128:0.128))
+ (IOPATH B Y (0.149:0.153:0.158) (0.120:0.121:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.123:0.123:0.123) (0.057:0.057:0.057))
+ (IOPATH B Y (0.135:0.139:0.144) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.157:0.157:0.157) (0.167:0.167:0.167))
+ (IOPATH A Y (0.227:0.227:0.227) (0.110:0.110:0.110))
+ (IOPATH B Y (0.189:0.189:0.189) (0.203:0.208:0.213))
+ (IOPATH B Y (0.243:0.248:0.253) (0.141:0.141:0.141))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.250:0.250:0.250) (0.273:0.278:0.283))
+ (IOPATH A2 X (0.180:0.180:0.180) (0.260:0.260:0.260))
+ (IOPATH B1_N X (0.234:0.234:0.234) (0.256:0.257:0.258))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.241:0.242:0.243) (0.297:0.300:0.303))
+ (IOPATH A2 X (0.237:0.255:0.273) (0.311:0.319:0.327))
+ (IOPATH A3 X (0.242:0.243:0.243) (0.306:0.306:0.307))
+ (IOPATH B1 X (0.221:0.221:0.221) (0.290:0.290:0.290))
+ (IOPATH B2 X (0.258:0.258:0.259) (0.323:0.328:0.334))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.181:0.201:0.222) (0.259:0.267:0.274))
+ (IOPATH A2 X (0.228:0.228:0.228) (0.275:0.276:0.278))
+ (IOPATH A3 X (0.201:0.202:0.202) (0.282:0.282:0.283))
+ (IOPATH B1 X (0.158:0.158:0.158) (0.250:0.250:0.250))
+ (IOPATH B2 X (0.209:0.209:0.210) (0.294:0.299:0.305))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.165:0.165:0.165) (0.184:0.184:0.184))
+ (IOPATH A Y (0.218:0.218:0.218) (0.120:0.120:0.120))
+ (IOPATH B Y (0.174:0.174:0.174) (0.190:0.195:0.199))
+ (IOPATH B Y (0.207:0.212:0.217) (0.120:0.120:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.137:0.138) (0.168:0.168:0.169))
+ (IOPATH B X (0.138:0.153:0.168) (0.190:0.198:0.206))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.125:0.125:0.125) (0.057:0.058:0.059))
+ (IOPATH A2 Y (0.105:0.112:0.119) (0.048:0.056:0.065))
+ (IOPATH B1 Y (0.071:0.076:0.082) (0.077:0.077:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.201:0.201:0.201) (0.270:0.270:0.270))
+ (IOPATH A2 X (0.171:0.171:0.172) (0.238:0.243:0.249))
+ (IOPATH B1 X (0.131:0.131:0.131) (0.195:0.195:0.196))
+ (IOPATH B2 X (0.119:0.126:0.133) (0.172:0.177:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.158:0.160:0.161) (0.101:0.102:0.102))
+ (IOPATH A2 Y (0.179:0.180:0.180) (0.085:0.085:0.085))
+ (IOPATH B1 Y (0.142:0.142:0.143) (0.048:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.107:0.121:0.135) (0.133:0.141:0.149))
+ (IOPATH A Y (0.141:0.148:0.155) (0.066:0.078:0.090))
+ (IOPATH B Y (0.116:0.116:0.117) (0.115:0.123:0.131))
+ (IOPATH B Y (0.102:0.109:0.117) (0.065:0.065:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.156:0.156:0.156) (0.302:0.302:0.302))
+ (IOPATH A1 X (0.114:0.125:0.136) (0.284:0.287:0.290))
+ (IOPATH S X (0.213:0.219:0.225) (0.342:0.342:0.343))
+ (IOPATH S X (0.167:0.167:0.167) (0.322:0.327:0.332))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.137:0.138:0.139) (0.094:0.095:0.095))
+ (IOPATH A X (0.143:0.143:0.143) (0.163:0.164:0.165))
+ (IOPATH B X (0.118:0.119:0.119) (0.072:0.072:0.072))
+ (IOPATH B X (0.134:0.134:0.135) (0.143:0.143:0.144))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.151:0.151:0.151) (0.296:0.296:0.296))
+ (IOPATH A1 X (0.123:0.127:0.130) (0.280:0.283:0.287))
+ (IOPATH S X (0.210:0.216:0.222) (0.338:0.338:0.338))
+ (IOPATH S X (0.163:0.163:0.164) (0.318:0.323:0.327))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.165:0.169:0.174) (0.094:0.094:0.094))
+ (IOPATH A2 Y (0.146:0.147:0.147) (0.062:0.062:0.062))
+ (IOPATH B1 Y (0.097:0.103:0.110) (0.037:0.038:0.040))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.216:0.216:0.216) (0.284:0.284:0.284))
+ (IOPATH A2 X (0.196:0.197:0.197) (0.259:0.259:0.259))
+ (IOPATH B1 X (0.202:0.203:0.204) (0.138:0.150:0.163))
+ (IOPATH C1 X (0.233:0.234:0.234) (0.150:0.156:0.162))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.128:0.128:0.128) (0.152:0.152:0.152))
+ (IOPATH A Y (0.169:0.169:0.169) (0.086:0.086:0.086))
+ (IOPATH B Y (0.107:0.108:0.108) (0.123:0.127:0.130))
+ (IOPATH B Y (0.121:0.124:0.127) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.113:0.127:0.140) (0.138:0.146:0.154))
+ (IOPATH A Y (0.155:0.162:0.169) (0.071:0.084:0.096))
+ (IOPATH B Y (0.112:0.112:0.113) (0.131:0.131:0.132))
+ (IOPATH B Y (0.126:0.126:0.126) (0.065:0.065:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.264:0.270:0.276) (0.241:0.241:0.241))
+ (IOPATH A2_N X (0.225:0.230:0.235) (0.199:0.211:0.223))
+ (IOPATH B1 X (0.186:0.186:0.186) (0.270:0.270:0.270))
+ (IOPATH B2 X (0.159:0.159:0.159) (0.245:0.246:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.090:0.090:0.091) (0.070:0.070:0.070))
+ (IOPATH B Y (0.087:0.087:0.088) (0.070:0.071:0.072))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.157:0.157:0.157) (0.178:0.183:0.188))
+ (IOPATH A Y (0.180:0.185:0.189) (0.107:0.107:0.108))
+ (IOPATH B Y (0.097:0.097:0.098) (0.119:0.120:0.121))
+ (IOPATH B Y (0.105:0.106:0.107) (0.052:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.128:0.128:0.128) (0.284:0.284:0.284))
+ (IOPATH A1 X (0.114:0.126:0.138) (0.284:0.291:0.298))
+ (IOPATH S X (0.214:0.220:0.226) (0.343:0.343:0.344))
+ (IOPATH S X (0.167:0.168:0.168) (0.323:0.328:0.333))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.059:0.059) (0.049:0.049:0.049))
+ (IOPATH B Y (0.079:0.085:0.090) (0.067:0.067:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.135:0.135:0.135) (0.211:0.211:0.211))
+ (IOPATH A2 X (0.114:0.114:0.114) (0.185:0.186:0.188))
+ (IOPATH B1 X (0.107:0.109:0.111) (0.102:0.104:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.213:0.213:0.213) (0.205:0.205:0.205))
+ (IOPATH B X (0.232:0.232:0.232) (0.239:0.239:0.239))
+ (IOPATH C X (0.235:0.235:0.235) (0.259:0.259:0.259))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.186:0.186:0.186) (0.204:0.204:0.204))
+ (IOPATH B X (0.180:0.180:0.180) (0.216:0.217:0.217))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.141:0.141:0.141) (0.216:0.216:0.216))
+ (IOPATH A2 X (0.114:0.114:0.114) (0.189:0.189:0.190))
+ (IOPATH B1 X (0.174:0.203:0.231) (0.183:0.185:0.186))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.129:0.129:0.129) (0.181:0.181:0.181))
+ (IOPATH B Y (0.061:0.062:0.062) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.185:0.185:0.185) (0.266:0.266:0.266))
+ (IOPATH A2 X (0.168:0.169:0.169) (0.237:0.238:0.239))
+ (IOPATH B1 X (0.163:0.163:0.164) (0.123:0.126:0.128))
+ (IOPATH C1 X (0.222:0.260:0.297) (0.186:0.188:0.190))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.120:0.120:0.120) (0.104:0.104:0.104))
+ (IOPATH B Y (0.117:0.117:0.117) (0.096:0.096:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.125:0.125:0.125) (0.065:0.065:0.065))
+ (IOPATH A2 Y (0.147:0.147:0.147) (0.073:0.073:0.073))
+ (IOPATH B1 Y (0.101:0.101:0.101) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.120:0.120:0.120) (0.303:0.304:0.305))
+ (IOPATH B X (0.114:0.116:0.118) (0.278:0.280:0.282))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.123:0.124:0.126) (0.061:0.061:0.062))
+ (IOPATH A2 Y (0.133:0.133:0.133) (0.048:0.048:0.048))
+ (IOPATH B1 Y (0.107:0.113:0.120) (0.051:0.051:0.051))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.139:0.139:0.139) (0.160:0.160:0.160))
+ (IOPATH A Y (0.183:0.183:0.183) (0.094:0.094:0.094))
+ (IOPATH B Y (0.137:0.137:0.137) (0.160:0.160:0.160))
+ (IOPATH B Y (0.161:0.161:0.161) (0.085:0.085:0.085))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.115:0.116:0.117) (0.057:0.058:0.058))
+ (IOPATH A2 Y (0.117:0.120:0.123) (0.046:0.056:0.066))
+ (IOPATH B1 Y (0.099:0.106:0.113) (0.045:0.045:0.044))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.078:0.078:0.078) (0.074:0.074:0.074))
+ (IOPATH B Y (0.124:0.126:0.127) (0.096:0.107:0.118))
+ (IOPATH C Y (0.078:0.079:0.080) (0.074:0.074:0.075))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.118:0.118:0.118) (0.276:0.276:0.276))
+ (IOPATH A1 X (0.118:0.118:0.118) (0.286:0.286:0.286))
+ (IOPATH S X (0.261:0.263:0.264) (0.346:0.361:0.376))
+ (IOPATH S X (0.175:0.202:0.229) (0.362:0.364:0.366))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.117:0.117:0.117) (0.275:0.275:0.275))
+ (IOPATH A1 X (0.121:0.121:0.121) (0.286:0.286:0.286))
+ (IOPATH S X (0.199:0.207:0.215) (0.349:0.350:0.351))
+ (IOPATH S X (0.181:0.182:0.184) (0.310:0.316:0.322))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.100:0.100:0.100) (0.286:0.286:0.286))
+ (IOPATH B X (0.161:0.163:0.164) (0.273:0.279:0.286))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.414:0.414:0.414) (0.179:0.179:0.179))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.152:0.152:0.152) (0.205:0.205:0.205))
+ (IOPATH A2 X (0.341:0.341:0.341) (0.451:0.451:0.451))
+ (IOPATH B1 X (0.178:0.178:0.178) (0.292:0.292:0.292))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.241:0.241:0.241) (0.584:0.584:0.584))
+ (IOPATH B X (0.201:0.201:0.201) (0.541:0.541:0.541))
+ (IOPATH C X (0.211:0.211:0.211) (0.510:0.510:0.510))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.247:0.247:0.247) (0.103:0.103:0.103))
+ (IOPATH B Y (0.199:0.199:0.199) (0.064:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (0.140:0.140:0.140))
+ (IOPATH B Y (0.166:0.172:0.177) (0.177:0.178:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.515:0.515:0.515) (0.388:0.388:0.388))
+ (IOPATH B X (0.246:0.246:0.246) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.261:0.261:0.262) (0.258:0.258:0.258))
+ (IOPATH B X (0.282:0.283:0.283) (0.282:0.285:0.288))
+ (IOPATH C X (0.274:0.274:0.274) (0.277:0.277:0.277))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.310:0.310:0.310) (0.438:0.438:0.438))
+ (IOPATH A2 X (0.156:0.156:0.156) (0.227:0.227:0.227))
+ (IOPATH B1 X (0.152:0.152:0.152) (0.227:0.228:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.177:0.177:0.177) (0.070:0.070:0.070))
+ (IOPATH B Y (0.364:0.364:0.364) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.152:0.152:0.152) (0.314:0.314:0.314))
+ (IOPATH B X (0.137:0.137:0.138) (0.297:0.300:0.303))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.200:0.200:0.200) (0.550:0.550:0.550))
+ (IOPATH B X (0.169:0.169:0.169) (0.506:0.506:0.506))
+ (IOPATH C X (0.160:0.161:0.161) (0.474:0.475:0.475))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.398:0.398:0.398) (0.483:0.483:0.483))
+ (IOPATH A2 X (0.179:0.179:0.179) (0.276:0.276:0.276))
+ (IOPATH B1 X (0.212:0.213:0.214) (0.274:0.277:0.279))
+ (IOPATH B2 X (0.204:0.204:0.204) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.313:0.313:0.313) (0.440:0.440:0.440))
+ (IOPATH A2 X (0.159:0.159:0.159) (0.229:0.229:0.229))
+ (IOPATH B1 X (0.159:0.159:0.159) (0.235:0.235:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.261:0.261:0.261) (0.325:0.325:0.325))
+ (IOPATH A2 X (0.229:0.229:0.229) (0.307:0.307:0.307))
+ (IOPATH B1 X (0.256:0.257:0.258) (0.201:0.204:0.207))
+ (IOPATH C1 X (0.243:0.243:0.243) (0.163:0.163:0.163))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.310:0.310:0.310) (0.438:0.438:0.438))
+ (IOPATH A2 X (0.162:0.162:0.162) (0.231:0.231:0.231))
+ (IOPATH B1 X (0.143:0.143:0.143) (0.218:0.221:0.224))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.174) (0.351:0.351:0.351))
+ (IOPATH B X (0.167:0.168:0.169) (0.333:0.336:0.339))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.240:0.241:0.241) (0.310:0.313:0.315))
+ (IOPATH A2 X (0.244:0.244:0.245) (0.308:0.311:0.314))
+ (IOPATH B1 X (0.251:0.251:0.251) (0.181:0.181:0.181))
+ (IOPATH C1 X (0.216:0.216:0.216) (0.155:0.155:0.155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.311:0.311:0.311) (0.438:0.438:0.438))
+ (IOPATH A2 X (0.161:0.161:0.161) (0.230:0.230:0.230))
+ (IOPATH B1 X (0.144:0.144:0.144) (0.218:0.221:0.224))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.404:0.404:0.404) (0.487:0.487:0.487))
+ (IOPATH A2 X (0.188:0.188:0.188) (0.282:0.282:0.282))
+ (IOPATH B1 X (0.237:0.237:0.237) (0.318:0.318:0.318))
+ (IOPATH B2 X (0.187:0.187:0.187) (0.286:0.286:0.286))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.230:0.230:0.230) (0.574:0.574:0.574))
+ (IOPATH B X (0.190:0.190:0.190) (0.530:0.530:0.530))
+ (IOPATH C X (0.163:0.163:0.163) (0.481:0.481:0.481))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.316:0.316:0.316))
+ (IOPATH B X (0.115:0.116:0.116) (0.293:0.294:0.294))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.408:0.408:0.408) (0.490:0.490:0.490))
+ (IOPATH A2 X (0.193:0.193:0.193) (0.286:0.286:0.286))
+ (IOPATH B1 X (0.207:0.207:0.207) (0.258:0.259:0.259))
+ (IOPATH B2 X (0.176:0.177:0.177) (0.267:0.267:0.267))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.418:0.418:0.418) (0.497:0.497:0.497))
+ (IOPATH A2 X (0.203:0.203:0.203) (0.292:0.292:0.292))
+ (IOPATH B1 X (0.223:0.223:0.223) (0.270:0.270:0.270))
+ (IOPATH B2 X (0.197:0.197:0.197) (0.281:0.282:0.282))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.179:0.179:0.179) (0.259:0.259:0.259))
+ (IOPATH A2 X (0.145:0.146:0.146) (0.246:0.246:0.246))
+ (IOPATH B1 X (0.171:0.172:0.173) (0.226:0.228:0.231))
+ (IOPATH B2 X (0.147:0.147:0.148) (0.198:0.203:0.207))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.414:0.414:0.414) (0.494:0.494:0.494))
+ (IOPATH A2 X (0.199:0.199:0.199) (0.290:0.290:0.290))
+ (IOPATH B1 X (0.204:0.204:0.204) (0.253:0.256:0.259))
+ (IOPATH B2 X (0.183:0.184:0.184) (0.265:0.265:0.266))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.109:0.109:0.109) (0.167:0.167:0.167))
+ (IOPATH B Y (0.273:0.273:0.273) (0.118:0.118:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.374:0.374:0.374) (0.613:0.613:0.613))
+ (IOPATH A2 X (0.221:0.221:0.221) (0.394:0.394:0.394))
+ (IOPATH A3 X (0.244:0.244:0.244) (0.345:0.345:0.345))
+ (IOPATH B1 X (0.202:0.220:0.238) (0.152:0.181:0.209))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.311:0.312:0.312))
+ (IOPATH B X (0.135:0.136:0.136) (0.316:0.316:0.316))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.294:0.295:0.295) (0.332:0.335:0.338))
+ (IOPATH A2 X (0.292:0.292:0.292) (0.339:0.339:0.339))
+ (IOPATH A3 X (0.265:0.265:0.265) (0.325:0.325:0.325))
+ (IOPATH B1 X (0.211:0.211:0.211) (0.265:0.265:0.265))
+ (IOPATH B2 X (0.364:0.364:0.364) (0.543:0.543:0.543))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.388:0.388:0.388) (0.482:0.482:0.482))
+ (IOPATH A2 X (0.174:0.174:0.174) (0.277:0.277:0.277))
+ (IOPATH B1 X (0.195:0.195:0.196) (0.256:0.256:0.256))
+ (IOPATH B2 X (0.159:0.159:0.159) (0.259:0.259:0.259))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.159:0.159:0.159) (0.325:0.325:0.325))
+ (IOPATH B X (0.122:0.122:0.122) (0.303:0.303:0.303))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.385:0.385:0.385) (0.475:0.475:0.475))
+ (IOPATH A2 X (0.171:0.171:0.171) (0.271:0.271:0.271))
+ (IOPATH B1 X (0.185:0.186:0.186) (0.244:0.245:0.246))
+ (IOPATH B2 X (0.157:0.158:0.158) (0.256:0.256:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.156:0.156:0.156) (0.313:0.313:0.313))
+ (IOPATH A2 X (0.158:0.159:0.159) (0.354:0.354:0.354))
+ (IOPATH B1 X (0.130:0.130:0.130) (0.321:0.321:0.321))
+ (IOPATH C1 X (0.110:0.110:0.110) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.158:0.158:0.158) (0.091:0.091:0.091))
+ (IOPATH A2 Y (0.109:0.109:0.110) (0.074:0.074:0.074))
+ (IOPATH B1 Y (0.051:0.056:0.060) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.212:0.212:0.212) (0.289:0.289:0.289))
+ (IOPATH A2 X (0.177:0.177:0.177) (0.245:0.245:0.245))
+ (IOPATH B1 X (0.156:0.157:0.158) (0.126:0.127:0.128))
+ (IOPATH C1 X (0.151:0.159:0.167) (0.112:0.114:0.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.096:0.096:0.096) (0.078:0.078:0.078))
+ (IOPATH B Y (0.068:0.073:0.079) (0.082:0.082:0.082))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.148:0.148:0.148) (0.333:0.333:0.333))
+ (IOPATH B X (0.152:0.152:0.152) (0.319:0.320:0.320))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.166:0.166:0.166) (0.295:0.295:0.296))
+ (IOPATH B X (0.095:0.096:0.098) (0.269:0.271:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.393:0.393:0.393) (0.482:0.482:0.482))
+ (IOPATH A2 X (0.179:0.179:0.179) (0.278:0.278:0.278))
+ (IOPATH B1 X (0.184:0.184:0.184) (0.242:0.245:0.247))
+ (IOPATH B2 X (0.166:0.167:0.167) (0.250:0.253:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.142:0.142:0.142) (0.313:0.313:0.313))
+ (IOPATH B X (0.143:0.143:0.143) (0.299:0.299:0.300))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.205:0.205:0.206) (0.339:0.340:0.340))
+ (IOPATH B X (0.154:0.155:0.155) (0.340:0.340:0.340))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.128:0.128:0.128) (0.212:0.212:0.212))
+ (IOPATH A2 X (0.146:0.147:0.147) (0.234:0.236:0.239))
+ (IOPATH B1 X (0.108:0.108:0.109) (0.202:0.206:0.210))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.187:0.187:0.187) (0.271:0.271:0.271))
+ (IOPATH A2 X (0.172:0.172:0.172) (0.251:0.251:0.251))
+ (IOPATH B1 X (0.167:0.167:0.167) (0.141:0.141:0.141))
+ (IOPATH C1 X (0.153:0.153:0.154) (0.119:0.120:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.152:0.152:0.153) (0.240:0.243:0.245))
+ (IOPATH A2 X (0.154:0.155:0.155) (0.243:0.246:0.249))
+ (IOPATH B1 X (0.141:0.141:0.141) (0.217:0.217:0.217))
+ (IOPATH B2 X (0.141:0.141:0.142) (0.194:0.198:0.202))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.219:0.219:0.219) (0.252:0.252:0.252))
+ (IOPATH B X (0.169:0.169:0.170) (0.203:0.203:0.203))
+ (IOPATH C X (0.161:0.161:0.161) (0.194:0.194:0.195))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.153:0.153:0.153) (0.158:0.162:0.165))
+ (IOPATH B X (0.156:0.156:0.156) (0.181:0.182:0.182))
+ (IOPATH C X (0.166:0.166:0.166) (0.196:0.197:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.247:0.247:0.247) (0.304:0.304:0.305))
+ (IOPATH A2 X (0.260:0.272:0.284) (0.305:0.339:0.373))
+ (IOPATH A3 X (0.230:0.230:0.230) (0.306:0.306:0.306))
+ (IOPATH B1 X (0.180:0.180:0.180) (0.250:0.250:0.250))
+ (IOPATH B2 X (0.331:0.331:0.331) (0.530:0.530:0.530))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.182:0.182:0.183) (0.266:0.270:0.274))
+ (IOPATH A2 X (0.155:0.155:0.155) (0.256:0.256:0.256))
+ (IOPATH B1 X (0.146:0.146:0.146) (0.217:0.217:0.217))
+ (IOPATH B2 X (0.172:0.172:0.172) (0.220:0.221:0.221))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.234:0.234:0.234) (0.215:0.216:0.217))
+ (IOPATH B X (0.222:0.222:0.222) (0.240:0.240:0.240))
+ (IOPATH C X (0.218:0.219:0.219) (0.237:0.237:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.326:0.326:0.326) (0.453:0.453:0.453))
+ (IOPATH A2 X (0.176:0.176:0.176) (0.242:0.242:0.242))
+ (IOPATH B1 X (0.155:0.156:0.156) (0.232:0.233:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.229:0.229:0.229) (0.319:0.319:0.319))
+ (IOPATH A2 X (0.220:0.220:0.221) (0.315:0.315:0.315))
+ (IOPATH B1 X (0.204:0.204:0.204) (0.271:0.271:0.271))
+ (IOPATH B2 X (0.227:0.227:0.228) (0.269:0.273:0.277))
+ (IOPATH C1 X (0.197:0.197:0.197) (0.150:0.150:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.141:0.142:0.143) (0.325:0.328:0.330))
+ (IOPATH B X (0.119:0.119:0.119) (0.298:0.298:0.298))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.191:0.191:0.192) (0.182:0.186:0.189))
+ (IOPATH B X (0.179:0.179:0.180) (0.200:0.200:0.201))
+ (IOPATH C X (0.187:0.187:0.187) (0.224:0.224:0.224))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.219:0.220:0.220) (0.284:0.285:0.285))
+ (IOPATH A2 X (0.239:0.239:0.239) (0.304:0.307:0.310))
+ (IOPATH A3 X (0.239:0.239:0.239) (0.302:0.303:0.303))
+ (IOPATH B1 X (0.184:0.184:0.184) (0.252:0.252:0.252))
+ (IOPATH B2 X (0.333:0.333:0.333) (0.531:0.531:0.531))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.411:0.411:0.411) (0.496:0.496:0.496))
+ (IOPATH A2 X (0.193:0.193:0.193) (0.288:0.288:0.288))
+ (IOPATH B1 X (0.208:0.208:0.208) (0.272:0.272:0.272))
+ (IOPATH B2 X (0.215:0.226:0.238) (0.269:0.301:0.334))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.395:0.395:0.395) (0.484:0.484:0.484))
+ (IOPATH A2 X (0.182:0.182:0.182) (0.280:0.280:0.280))
+ (IOPATH B1 X (0.195:0.195:0.195) (0.252:0.253:0.254))
+ (IOPATH B2 X (0.179:0.180:0.180) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.161:0.161:0.161) (0.085:0.085:0.085))
+ (IOPATH A2 Y (0.149:0.149:0.149) (0.077:0.077:0.077))
+ (IOPATH B1 Y (0.086:0.086:0.086) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.108:0.108:0.108) (0.095:0.095:0.095))
+ (IOPATH B Y (0.077:0.079:0.081) (0.062:0.068:0.074))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.201:0.202:0.202) (0.290:0.290:0.290))
+ (IOPATH A2 X (0.200:0.200:0.200) (0.283:0.283:0.284))
+ (IOPATH A3 X (0.209:0.209:0.210) (0.284:0.292:0.299))
+ (IOPATH B1 X (0.155:0.155:0.155) (0.235:0.235:0.235))
+ (IOPATH B2 X (0.300:0.300:0.300) (0.510:0.510:0.510))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.161:0.161:0.161) (0.490:0.490:0.490))
+ (IOPATH B X (0.131:0.131:0.131) (0.446:0.446:0.446))
+ (IOPATH C X (0.103:0.103:0.104) (0.408:0.408:0.408))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.392:0.392:0.392) (0.483:0.483:0.483))
+ (IOPATH A2 X (0.174:0.174:0.174) (0.275:0.275:0.275))
+ (IOPATH B1 X (0.179:0.179:0.179) (0.243:0.244:0.245))
+ (IOPATH B2 X (0.161:0.162:0.162) (0.267:0.267:0.267))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.156:0.156:0.156) (0.321:0.321:0.321))
+ (IOPATH B X (0.098:0.099:0.101) (0.273:0.275:0.278))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.196:0.197:0.197) (0.259:0.262:0.266))
+ (IOPATH A2 X (0.202:0.202:0.202) (0.284:0.285:0.286))
+ (IOPATH A3 X (0.198:0.198:0.199) (0.288:0.288:0.288))
+ (IOPATH B1 X (0.155:0.155:0.155) (0.234:0.234:0.234))
+ (IOPATH B2 X (0.297:0.297:0.297) (0.510:0.510:0.510))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.298:0.298:0.298) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.145:0.145:0.145) (0.220:0.220:0.220))
+ (IOPATH B1 X (0.144:0.154:0.163) (0.209:0.242:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.410:0.410:0.410) (0.310:0.310:0.310))
+ (IOPATH B X (0.205:0.205:0.205) (0.221:0.221:0.221))
+ (IOPATH C X (0.220:0.220:0.220) (0.248:0.248:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.296:0.296:0.296) (0.430:0.430:0.430))
+ (IOPATH A2 X (0.140:0.140:0.140) (0.217:0.217:0.217))
+ (IOPATH B1 X (0.112:0.112:0.112) (0.204:0.205:0.205))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.383:0.383:0.383) (0.475:0.475:0.475))
+ (IOPATH A2 X (0.174:0.174:0.174) (0.273:0.273:0.273))
+ (IOPATH B1 X (0.171:0.171:0.171) (0.236:0.237:0.238))
+ (IOPATH B2 X (0.160:0.160:0.160) (0.257:0.257:0.257))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.535:0.535:0.535) (0.398:0.398:0.398))
+ (IOPATH B Y (0.530:0.530:0.530) (0.395:0.395:0.395))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.075:0.075:0.075))
+ (IOPATH B Y (0.218:0.218:0.219) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.282:0.282:0.282) (0.077:0.077:0.077))
+ (IOPATH B Y (0.221:0.221:0.221) (0.057:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.287:0.287:0.287) (0.081:0.081:0.081))
+ (IOPATH B Y (0.225:0.226:0.226) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.077:0.077:0.077))
+ (IOPATH B Y (0.220:0.220:0.220) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.076:0.076:0.076))
+ (IOPATH B Y (0.219:0.219:0.219) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.287:0.287:0.287) (0.081:0.081:0.081))
+ (IOPATH B Y (0.226:0.226:0.226) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.076:0.076:0.076))
+ (IOPATH B Y (0.220:0.220:0.220) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.285:0.285:0.285) (0.081:0.081:0.081))
+ (IOPATH B Y (0.225:0.225:0.225) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.285:0.285:0.285) (0.079:0.079:0.079))
+ (IOPATH B Y (0.223:0.223:0.223) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.286:0.286:0.286) (0.081:0.081:0.081))
+ (IOPATH B Y (0.225:0.225:0.225) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.295:0.295:0.295) (0.087:0.087:0.087))
+ (IOPATH B Y (0.233:0.234:0.234) (0.065:0.065:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.074:0.074:0.074))
+ (IOPATH B Y (0.217:0.217:0.217) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.285:0.285:0.285) (0.079:0.079:0.079))
+ (IOPATH B Y (0.223:0.223:0.223) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.290:0.290:0.290) (0.083:0.083:0.083))
+ (IOPATH B Y (0.228:0.228:0.228) (0.061:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.276:0.276:0.276) (0.073:0.073:0.073))
+ (IOPATH B Y (0.215:0.216:0.216) (0.053:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.075:0.075:0.075))
+ (IOPATH B Y (0.219:0.219:0.219) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.292:0.292:0.292) (0.085:0.085:0.085))
+ (IOPATH B Y (0.230:0.230:0.231) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.291:0.291:0.291) (0.085:0.085:0.085))
+ (IOPATH B Y (0.230:0.230:0.230) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.278:0.278:0.278) (0.074:0.074:0.074))
+ (IOPATH B Y (0.217:0.218:0.218) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.075:0.075:0.075))
+ (IOPATH B Y (0.218:0.218:0.218) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.277:0.277:0.277) (0.074:0.074:0.074))
+ (IOPATH B Y (0.217:0.217:0.217) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.284:0.284:0.284) (0.079:0.079:0.079))
+ (IOPATH B Y (0.223:0.223:0.223) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.287:0.287:0.287) (0.082:0.082:0.082))
+ (IOPATH B Y (0.226:0.226:0.227) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.377:0.377:0.377) (0.420:0.420:0.420))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207))
+ (HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025))
+ (HOLD (negedge D) (posedge CLK) (-0.033:-0.033:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
+ (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.373:0.373:0.373) (0.417:0.417:0.417))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.365:0.365:0.365) (0.410:0.410:0.410))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.479:0.479:0.479) (0.491:0.491:0.491))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.030:-0.033))
+ (HOLD (negedge D) (posedge CLK) (-0.029:-0.043:-0.056))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.059:0.061))
+ (SETUP (negedge D) (posedge CLK) (0.102:0.117:0.132))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.462:0.462:0.462) (0.479:0.479:0.479))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.035))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.031:-0.039))
+ (SETUP (posedge D) (posedge CLK) (0.060:0.062:0.063))
+ (SETUP (negedge D) (posedge CLK) (0.096:0.104:0.113))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.420:0.420:0.420) (0.451:0.451:0.451))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.034:-0.035:-0.036))
+ (HOLD (negedge D) (posedge CLK) (-0.025:-0.032:-0.040))
+ (SETUP (posedge D) (posedge CLK) (0.063:0.064:0.065))
+ (SETUP (negedge D) (posedge CLK) (0.097:0.106:0.114))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.411:0.411:0.411) (0.446:0.446:0.446))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.027:-0.030))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.097:0.100:0.103))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.428:0.428:0.428) (0.457:0.457:0.457))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.025:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.052:0.054:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.096:0.097:0.099))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.417:0.417:0.417) (0.449:0.449:0.449))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.023:-0.025))
+ (SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
+ (SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.393:0.393:0.393) (0.432:0.432:0.432))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.431:0.431:0.431) (0.458:0.458:0.458))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.363:0.363:0.363))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.026:-0.028:-0.031))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.098:0.101:0.104))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.508:0.508:0.508) (0.507:0.507:0.507))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.035:-0.043))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.030:-0.033))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.064:0.073))
+ (SETUP (negedge D) (posedge CLK) (0.100:0.103:0.107))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.468:0.468:0.468) (0.483:0.483:0.483))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.033:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.472:0.472:0.472) (0.487:0.487:0.487))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.528:0.528:0.528) (0.517:0.517:0.517))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.029:-0.029))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.101:0.102:0.102))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.348:0.348:0.348) (0.395:0.395:0.395))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+ (SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.428:0.428:0.428) (0.456:0.456:0.456))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+ (SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.412:0.412:0.412) (0.446:0.446:0.446))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.362:0.362:0.362))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044))
+ (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+ (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074))
+ (SETUP (negedge D) (posedge CLK) (0.120:0.120:0.120))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.396:0.396:0.396) (0.435:0.435:0.435))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.398:0.398:0.398) (0.436:0.436:0.436))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.110:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.394:0.394:0.394) (0.432:0.432:0.432))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.207:-0.207))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.385:0.385:0.385) (0.425:0.425:0.425))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.387:0.387:0.387) (0.427:0.427:0.427))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.156:0.156:0.156) (0.177:0.177:0.177))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.174:0.174:0.174) (0.149:0.149:0.149))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.123:0.123:0.123) (0.122:0.122:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.091:0.094:0.097) (0.022:0.022:0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.087:0.092:0.096) (0.021:0.022:0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.056) (0.114:0.116:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.049:0.050:0.051) (0.088:0.089:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.182:0.182:0.182) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.148:0.148:0.148) (0.138:0.138:0.138))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.055:0.060:0.065) (0.117:0.123:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.047:0.048:0.049) (0.085:0.087:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.038:0.038:0.038) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.181:0.181:0.181) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.063:0.064:0.065) (0.127:0.128:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.046:0.047:0.048) (0.085:0.086:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.050:0.051:0.052) (0.090:0.091:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.131:0.131:0.131) (0.126:0.126:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.055:0.056:0.057) (0.118:0.119:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.053:0.053:0.054) (0.093:0.094:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.037:0.037:0.037) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.054:0.055:0.056) (0.115:0.117:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.052:0.053:0.054) (0.092:0.094:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.030:0.030:0.030) (0.044:0.044:0.044))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.123:0.123:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.094:0.095:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.034:0.034:0.034) (0.051:0.051:0.051))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.179:0.179:0.179) (0.152:0.152:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.138:0.138:0.138) (0.132:0.132:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.053:0.054:0.056) (0.115:0.116:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.094:0.095:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.181:0.181:0.181) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.129:0.129:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.057:0.057:0.058) (0.119:0.120:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.051:0.051:0.052) (0.090:0.091:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.037:0.037:0.037) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.188:0.188:0.188) (0.159:0.159:0.159))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.135:0.135) (0.133:0.133:0.133))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004))
+ (IOPATH TE_B Z (0.057:0.058:0.059) (0.120:0.121:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.048:0.049:0.050) (0.087:0.088:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.059:0.060:0.061) (0.122:0.124:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.050:0.051:0.052) (0.089:0.091:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.037:0.037:0.037) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.134:0.134) (0.129:0.129:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.059:0.060:0.060) (0.122:0.123:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.047:0.049:0.050) (0.086:0.088:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.034:0.034:0.034) (0.051:0.051:0.051))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.064:0.064:0.064) (0.069:0.069:0.069))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.103:0.103:0.103) (0.096:0.096:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.051:0.051:0.051) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.262:0.262:0.262) (0.490:0.490:0.490))
+ (IOPATH B X (0.140:0.144:0.149) (0.304:0.305:0.306))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.058:0.058:0.058) (0.120:0.121:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.048:0.049:0.050) (0.087:0.089:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.037:0.037:0.037) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.139:0.139:0.139) (-0.012:-0.012:-0.012))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ff.sdf b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ff.sdf
new file mode 100644
index 00000000..13572e33
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ff.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:48:58 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _334_.B2 (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco _296_.B (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco _351_.A1 (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco _354_.A1 (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco _337_.A1 (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco _348_.A1 (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco _350_.B2 (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco _341_.B2 (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco _342_.A1 (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco _326_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _343_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _346_.B2 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _312_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _319_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _289_.A2 (0.719:0.719:0.719) (0.316:0.316:0.316))
+ (INTERCONNECT dco _295_.A1 (0.719:0.719:0.719) (0.316:0.316:0.316))
+ (INTERCONNECT dco _314_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _317_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _316_.B2 (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco _293_.A_N (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _306_.A1 (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco _310_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _309_.A1 (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco _305_.A1 (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco _300_.A1 (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco _302_.A1 (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco _299_.A1 (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco _313_.B (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco _353_.A1 (0.733:0.733:0.733) (0.330:0.330:0.330))
+ (INTERCONNECT dco _366_.A (0.735:0.735:0.735) (0.331:0.331:0.331))
+ (INTERCONNECT dco _369_.A (0.734:0.734:0.734) (0.330:0.330:0.330))
+ (INTERCONNECT dco _368_.A (0.735:0.735:0.735) (0.331:0.331:0.331))
+ (INTERCONNECT dco _352_.A_N (0.735:0.735:0.735) (0.331:0.331:0.331))
+ (INTERCONNECT dco _367_.A (0.735:0.735:0.735) (0.331:0.331:0.331))
+ (INTERCONNECT dco _371_.A (0.731:0.731:0.731) (0.328:0.328:0.328))
+ (INTERCONNECT dco _358_.A (0.733:0.733:0.733) (0.329:0.329:0.329))
+ (INTERCONNECT dco _373_.A (0.733:0.733:0.733) (0.329:0.329:0.329))
+ (INTERCONNECT dco _372_.A (0.733:0.733:0.733) (0.329:0.329:0.329))
+ (INTERCONNECT dco _360_.A (0.729:0.729:0.729) (0.326:0.326:0.326))
+ (INTERCONNECT dco _361_.A (0.730:0.730:0.730) (0.327:0.327:0.327))
+ (INTERCONNECT dco _365_.A (0.725:0.725:0.725) (0.322:0.322:0.322))
+ (INTERCONNECT dco _364_.A (0.733:0.733:0.733) (0.330:0.330:0.330))
+ (INTERCONNECT dco _357_.A (0.730:0.730:0.730) (0.326:0.326:0.326))
+ (INTERCONNECT dco _356_.A (0.731:0.731:0.731) (0.328:0.328:0.328))
+ (INTERCONNECT dco _362_.A (0.725:0.725:0.725) (0.322:0.322:0.322))
+ (INTERCONNECT dco _370_.A (0.722:0.722:0.722) (0.319:0.319:0.319))
+ (INTERCONNECT dco _363_.A (0.722:0.722:0.722) (0.319:0.319:0.319))
+ (INTERCONNECT dco _377_.A (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco _378_.A (0.717:0.717:0.717) (0.314:0.314:0.314))
+ (INTERCONNECT dco _359_.A (0.728:0.728:0.728) (0.324:0.324:0.324))
+ (INTERCONNECT dco _375_.A (0.726:0.726:0.726) (0.323:0.323:0.323))
+ (INTERCONNECT dco _374_.A (0.726:0.726:0.726) (0.323:0.323:0.323))
+ (INTERCONNECT dco _376_.A (0.726:0.726:0.726) (0.323:0.323:0.323))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (0.726:0.726:0.726) (0.323:0.323:0.323))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (0.729:0.729:0.729) (0.326:0.326:0.326))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (0.726:0.726:0.726) (0.323:0.323:0.323))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (0.728:0.728:0.728) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (0.718:0.718:0.718) (0.315:0.315:0.315))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (0.722:0.722:0.722) (0.319:0.319:0.319))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (0.724:0.724:0.724) (0.320:0.320:0.320))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (0.725:0.725:0.725) (0.322:0.322:0.322))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (0.729:0.729:0.729) (0.326:0.326:0.326))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (0.729:0.729:0.729) (0.326:0.326:0.326))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (0.734:0.734:0.734) (0.330:0.330:0.330))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (0.726:0.726:0.726) (0.323:0.323:0.323))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (0.730:0.730:0.730) (0.327:0.327:0.327))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (0.729:0.729:0.729) (0.326:0.326:0.326))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (0.733:0.733:0.733) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (0.732:0.732:0.732) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (0.733:0.733:0.733) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (0.731:0.731:0.731) (0.328:0.328:0.328))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (0.735:0.735:0.735) (0.331:0.331:0.331))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (0.734:0.734:0.734) (0.331:0.331:0.331))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (0.735:0.735:0.735) (0.331:0.331:0.331))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (0.734:0.734:0.734) (0.330:0.330:0.330))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (0.735:0.735:0.735) (0.331:0.331:0.331))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (0.733:0.733:0.733) (0.330:0.330:0.330))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (0.718:0.718:0.718) (0.315:0.315:0.315))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (0.720:0.720:0.720) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (0.719:0.719:0.719) (0.317:0.317:0.317))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (0.716:0.716:0.716) (0.314:0.314:0.314))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (0.722:0.722:0.722) (0.319:0.319:0.319))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (0.737:0.737:0.737) (0.333:0.333:0.333))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (0.736:0.736:0.736) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (0.723:0.723:0.723) (0.320:0.320:0.320))
+ (INTERCONNECT div[0] _222_.B2 (0.025:0.025:0.025) (0.009:0.009:0.009))
+ (INTERCONNECT div[0] _235_.A (0.025:0.025:0.025) (0.009:0.009:0.009))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.025:0.025:0.025) (0.009:0.009:0.009))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.025:0.025:0.025) (0.009:0.009:0.009))
+ (INTERCONNECT div[1] _219_.A (0.035:0.035:0.035) (0.014:0.014:0.014))
+ (INTERCONNECT div[1] _222_.A1 (0.035:0.035:0.035) (0.014:0.014:0.014))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.035:0.035:0.035) (0.014:0.014:0.014))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.035:0.035:0.035) (0.014:0.014:0.014))
+ (INTERCONNECT div[2] _213_.B1 (0.030:0.030:0.030) (0.011:0.011:0.011))
+ (INTERCONNECT div[2] _216_.A (0.030:0.030:0.030) (0.011:0.011:0.011))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.030:0.030:0.030) (0.011:0.011:0.011))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.030:0.030:0.030) (0.011:0.011:0.011))
+ (INTERCONNECT div[3] _213_.A1 (0.044:0.044:0.044) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] _214_.B1 (0.044:0.044:0.044) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] _215_.B1 (0.044:0.044:0.044) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.044:0.044:0.044) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.044:0.044:0.044) (0.017:0.017:0.017))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.044:0.044:0.044) (0.018:0.018:0.018))
+ (INTERCONNECT div[4] _207_.A1 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] _225_.B1 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT enable _355_.A (0.033:0.033:0.033) (0.013:0.013:0.013))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.033:0.033:0.033) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.014:0.014:0.014) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.014:0.014:0.014) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.012:0.012:0.012) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.012:0.012:0.012) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.017:0.017:0.017) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.017:0.017:0.017) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.018:0.018:0.018) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.018:0.018:0.018) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.014:0.014:0.014) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.014:0.014:0.014) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.011:0.011:0.011) (0.003:0.003:0.003))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.027:0.027:0.027) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.027:0.027:0.027) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.013:0.013:0.013) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.013:0.013:0.013) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.019:0.019:0.019) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT osc _394_.D (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT resetb _355_.B (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT _176_.Y _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _292_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _322_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _298_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _181_.Y _285_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _181_.Y _275_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _184_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _183_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _186_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _187_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _185_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _234_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _201_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _203_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _233_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _227_.X _269_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _227_.X _244_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _249_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _254_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _250_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _252_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _263_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _251_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _253_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _246_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _245_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _335_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _252_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _231_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _230_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _298_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _330_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _233_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _267_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _314_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _321_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _331_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _338_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _267_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _260_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _257_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _270_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _256_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _258_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _252_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _331_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _252_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _258_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _284_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _306_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _301_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _329_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _338_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _321_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _292_.Y _299_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _331_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _292_.Y _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _311_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _304_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _294_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _301_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _294_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _301_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _316_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _299_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _309_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _313_.Y _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _354_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _338_.X _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _368_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _366_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _367_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _371_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _358_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _372_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _373_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _369_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _360_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _357_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _365_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _356_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _364_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _377_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _363_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _378_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _370_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _375_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _362_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _359_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _376_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _361_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _279_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _273_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _199_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _187_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _186_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _273_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _279_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _185_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _195_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _184_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _183_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _228_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _241_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _267_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _390_.Q _241_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _347_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _344_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _298_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _301_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _260_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _393_.Q _323_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _345_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _352_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _176_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _290_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _307_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _257_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.097:0.097:0.097) (0.053:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.100:0.100:0.100) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.063:0.063:0.063) (0.032:0.032:0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.108:0.108:0.108) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.095:0.095:0.095) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.187:0.187:0.187) (0.181:0.181:0.181))
+ (IOPATH A Y (0.374:0.374:0.374) (0.132:0.132:0.132))
+ (IOPATH B Y (0.181:0.181:0.181) (0.170:0.170:0.170))
+ (IOPATH B Y (0.353:0.353:0.353) (0.123:0.123:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.216:0.216:0.216) (0.081:0.081:0.081))
+ (IOPATH A X (0.188:0.188:0.188) (0.146:0.146:0.146))
+ (IOPATH B X (0.194:0.194:0.194) (0.073:0.073:0.073))
+ (IOPATH B X (0.192:0.192:0.192) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.083:0.083:0.083) (0.147:0.147:0.147))
+ (IOPATH A1 X (0.077:0.077:0.077) (0.147:0.147:0.147))
+ (IOPATH S X (0.160:0.161:0.162) (0.190:0.187:0.184))
+ (IOPATH S X (0.100:0.104:0.108) (0.207:0.208:0.210))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.082:0.082:0.082) (0.148:0.148:0.148))
+ (IOPATH A1 X (0.080:0.080:0.080) (0.150:0.150:0.150))
+ (IOPATH S X (0.163:0.164:0.165) (0.193:0.190:0.187))
+ (IOPATH S X (0.103:0.107:0.112) (0.211:0.212:0.213))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.082:0.082:0.082) (0.146:0.146:0.146))
+ (IOPATH A1 X (0.078:0.078:0.078) (0.148:0.148:0.148))
+ (IOPATH S X (0.160:0.161:0.162) (0.190:0.187:0.184))
+ (IOPATH S X (0.100:0.104:0.108) (0.208:0.209:0.210))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.094:0.094:0.094) (0.157:0.157:0.157))
+ (IOPATH A1 X (0.083:0.083:0.083) (0.153:0.153:0.153))
+ (IOPATH S X (0.164:0.165:0.166) (0.194:0.191:0.188))
+ (IOPATH S X (0.104:0.108:0.112) (0.212:0.213:0.214))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.092:0.092:0.092) (0.157:0.157:0.157))
+ (IOPATH A1 X (0.079:0.079:0.079) (0.149:0.149:0.149))
+ (IOPATH S X (0.161:0.162:0.163) (0.191:0.188:0.185))
+ (IOPATH S X (0.101:0.105:0.109) (0.209:0.210:0.211))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.055:0.055:0.055) (0.036:0.036:0.036))
+ (IOPATH B Y (0.055:0.055:0.055) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.077:0.077:0.077) (0.175:0.175:0.175))
+ (IOPATH B X (0.067:0.067:0.067) (0.157:0.157:0.157))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.106:0.107:0.108) (0.120:0.122:0.123))
+ (IOPATH B X (0.105:0.106:0.106) (0.137:0.137:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.098:0.098:0.098) (0.033:0.033:0.033))
+ (IOPATH B Y (0.078:0.078:0.078) (0.027:0.027:0.027))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.026:0.027) (0.015:0.015:0.015))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.091:0.091:0.091) (0.112:0.112:0.112))
+ (IOPATH B X (0.089:0.089:0.089) (0.120:0.120:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.089:0.089:0.089) (0.030:0.030:0.030))
+ (IOPATH B Y (0.069:0.069:0.069) (0.024:0.024:0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.098:0.098:0.098) (0.197:0.197:0.197))
+ (IOPATH B X (0.091:0.091:0.091) (0.181:0.181:0.181))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.105:0.105:0.105) (0.121:0.121:0.121))
+ (IOPATH B X (0.102:0.102:0.102) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.111:0.111:0.111) (0.125:0.125:0.125))
+ (IOPATH B X (0.098:0.098:0.098) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.138:0.138:0.138) (0.146:0.146:0.146))
+ (IOPATH B X (0.123:0.123:0.123) (0.146:0.146:0.146))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.057:0.057:0.057) (0.032:0.032:0.032))
+ (IOPATH B Y (0.051:0.051:0.051) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.075:0.075:0.075))
+ (IOPATH A X (0.150:0.150:0.150) (0.141:0.141:0.141))
+ (IOPATH B X (0.151:0.151:0.151) (0.058:0.058:0.058))
+ (IOPATH B X (0.151:0.151:0.151) (0.112:0.112:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.091:0.092:0.092) (0.132:0.132:0.132))
+ (IOPATH A2 X (0.107:0.108:0.109) (0.146:0.150:0.153))
+ (IOPATH B1 X (0.067:0.067:0.068) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.100:0.100:0.100) (0.201:0.201:0.201))
+ (IOPATH A2 X (0.115:0.116:0.117) (0.224:0.228:0.231))
+ (IOPATH B1 X (0.080:0.080:0.081) (0.158:0.158:0.159))
+ (IOPATH C1 X (0.077:0.077:0.077) (0.138:0.139:0.139))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.108:0.108:0.108) (0.139:0.139:0.139))
+ (IOPATH A2 X (0.120:0.120:0.120) (0.173:0.173:0.173))
+ (IOPATH A3 X (0.121:0.121:0.121) (0.178:0.179:0.181))
+ (IOPATH B1 X (0.085:0.085:0.085) (0.095:0.096:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.084:0.084) (0.029:0.029:0.029))
+ (IOPATH B Y (0.066:0.068:0.070) (0.024:0.024:0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.084:0.084:0.084) (0.104:0.105:0.105))
+ (IOPATH B X (0.088:0.088:0.088) (0.114:0.116:0.119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.038:0.038:0.039) (0.025:0.025:0.025))
+ (IOPATH B Y (0.043:0.045:0.047) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.110:0.110:0.110) (0.157:0.157:0.157))
+ (IOPATH A2 X (0.109:0.109:0.109) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.090:0.091:0.092) (0.084:0.084:0.084))
+ (IOPATH C1 X (0.089:0.089:0.090) (0.075:0.077:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.101:0.103:0.104) (0.037:0.037:0.037))
+ (IOPATH B Y (0.090:0.091:0.091) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.082:0.082:0.083) (0.094:0.096:0.097))
+ (IOPATH A Y (0.130:0.131:0.133) (0.048:0.048:0.048))
+ (IOPATH B Y (0.086:0.086:0.086) (0.085:0.086:0.088))
+ (IOPATH B Y (0.111:0.112:0.113) (0.049:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.092:0.092:0.092) (0.181:0.182:0.183))
+ (IOPATH B X (0.078:0.078:0.078) (0.169:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.066:0.066:0.066) (0.052:0.052:0.052))
+ (IOPATH B Y (0.071:0.072:0.074) (0.058:0.059:0.059))
+ (IOPATH C Y (0.073:0.073:0.073) (0.057:0.057:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.093:0.093:0.093) (0.138:0.138:0.138))
+ (IOPATH A2 X (0.096:0.096:0.097) (0.151:0.153:0.154))
+ (IOPATH B1 X (0.073:0.073:0.073) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.110:0.110:0.110) (0.127:0.127:0.127))
+ (IOPATH A2 X (0.112:0.113:0.114) (0.151:0.152:0.152))
+ (IOPATH A3 X (0.113:0.113:0.113) (0.142:0.143:0.144))
+ (IOPATH B1 X (0.085:0.085:0.085) (0.088:0.088:0.088))
+ (IOPATH B2 X (0.088:0.094:0.100) (0.105:0.106:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.106:0.107:0.107) (0.035:0.036:0.036))
+ (IOPATH A2 Y (0.108:0.110:0.111) (0.038:0.038:0.038))
+ (IOPATH B1 Y (0.061:0.061:0.061) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.070:0.071:0.072) (0.120:0.120:0.120))
+ (IOPATH A2 X (0.073:0.073:0.073) (0.128:0.129:0.131))
+ (IOPATH B1 X (0.056:0.056:0.056) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.085:0.085:0.085) (0.029:0.029:0.029))
+ (IOPATH B Y (0.076:0.077:0.078) (0.025:0.027:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.071:0.072:0.072) (0.262:0.263:0.264))
+ (IOPATH B X (0.073:0.077:0.080) (0.245:0.246:0.247))
+ (IOPATH C X (0.075:0.075:0.075) (0.221:0.222:0.224))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.086:0.086:0.086) (0.101:0.101:0.101))
+ (IOPATH A Y (0.135:0.135:0.135) (0.052:0.052:0.052))
+ (IOPATH B Y (0.088:0.088:0.088) (0.091:0.095:0.099))
+ (IOPATH B Y (0.115:0.118:0.122) (0.051:0.051:0.051))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.051:0.051:0.051) (0.041:0.041:0.041))
+ (IOPATH B Y (0.063:0.066:0.068) (0.043:0.048:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.096:0.096:0.096) (0.192:0.192:0.192))
+ (IOPATH B X (0.078:0.078:0.078) (0.168:0.168:0.168))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.035:0.039:0.042) (0.026:0.026:0.026))
+ (IOPATH B Y (0.050:0.050:0.050) (0.029:0.029:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.082:0.082:0.082) (0.137:0.137:0.137))
+ (IOPATH A2 X (0.076:0.083:0.090) (0.131:0.133:0.135))
+ (IOPATH B1 X (0.070:0.071:0.072) (0.117:0.117:0.117))
+ (IOPATH B2 X (0.064:0.064:0.064) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.047:0.050:0.052) (0.033:0.034:0.034))
+ (IOPATH B Y (0.051:0.052:0.052) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.190:0.192:0.194) (0.036:0.037:0.037))
+ (IOPATH A2 Y (0.227:0.228:0.229) (0.035:0.036:0.037))
+ (IOPATH B1 Y (0.155:0.156:0.157) (0.030:0.031:0.031))
+ (IOPATH C1 Y (0.127:0.128:0.129) (0.028:0.029:0.030))
+ (IOPATH D1 Y (0.087:0.089:0.091) (0.022:0.022:0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.091:0.091:0.091) (0.130:0.130:0.131))
+ (IOPATH A2 X (0.073:0.073:0.073) (0.120:0.121:0.121))
+ (IOPATH B1 X (0.065:0.065:0.065) (0.067:0.067:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.082:0.083:0.083) (0.100:0.101:0.102))
+ (IOPATH B X (0.077:0.078:0.078) (0.109:0.110:0.111))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.299:0.301:0.304) (0.317:0.319:0.320))
+ (IOPATH A2 X (0.281:0.281:0.281) (0.303:0.305:0.306))
+ (IOPATH A3 X (0.269:0.269:0.269) (0.280:0.281:0.281))
+ (IOPATH B1 X (0.259:0.259:0.260) (0.147:0.150:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.176:0.176:0.176) (0.254:0.254:0.254))
+ (IOPATH B X (0.163:0.163:0.163) (0.230:0.230:0.230))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.136:0.136) (0.225:0.225:0.225))
+ (IOPATH B X (0.133:0.133:0.133) (0.212:0.212:0.212))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.136:0.136) (0.227:0.227:0.227))
+ (IOPATH B X (0.120:0.120:0.120) (0.206:0.206:0.206))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.133:0.133:0.133) (0.045:0.045:0.045))
+ (IOPATH B Y (0.108:0.108:0.108) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.105:0.105:0.105) (0.206:0.206:0.206))
+ (IOPATH B X (0.109:0.109:0.109) (0.196:0.196:0.196))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.073:0.073:0.073) (0.349:0.349:0.349))
+ (IOPATH B X (0.079:0.079:0.079) (0.336:0.336:0.336))
+ (IOPATH C_N X (0.144:0.149:0.153) (0.340:0.340:0.340))
+ (IOPATH D_N X (0.106:0.109:0.112) (0.285:0.285:0.285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.086:0.086:0.086) (0.101:0.101:0.101))
+ (IOPATH B X (0.093:0.093:0.093) (0.115:0.115:0.115))
+ (IOPATH C X (0.118:0.118:0.119) (0.129:0.133:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.074:0.074:0.074) (0.092:0.092:0.092))
+ (IOPATH B X (0.074:0.075:0.076) (0.105:0.105:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.062:0.062:0.062) (0.254:0.255:0.255))
+ (IOPATH B X (0.066:0.067:0.068) (0.240:0.241:0.241))
+ (IOPATH C_N X (0.114:0.117:0.120) (0.241:0.241:0.241))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.079:0.079:0.079) (0.290:0.290:0.290))
+ (IOPATH B X (0.081:0.081:0.082) (0.268:0.269:0.270))
+ (IOPATH C X (0.075:0.076:0.076) (0.250:0.250:0.250))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.134:0.134) (0.124:0.124:0.124))
+ (IOPATH B X (0.135:0.135:0.135) (0.149:0.149:0.150))
+ (IOPATH C X (0.140:0.141:0.141) (0.146:0.147:0.148))
+ (IOPATH D X (0.147:0.147:0.147) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.062:0.062:0.062) (0.042:0.042:0.042))
+ (IOPATH B Y (0.073:0.073:0.073) (0.049:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (0.057:0.057:0.057))
+ (IOPATH B Y (0.157:0.157:0.157) (0.052:0.052:0.052))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.121:0.121:0.121) (0.085:0.085:0.085))
+ (IOPATH B Y (0.113:0.113:0.113) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.090:0.090:0.090) (0.387:0.387:0.387))
+ (IOPATH B X (0.081:0.081:0.081) (0.370:0.370:0.370))
+ (IOPATH C X (0.081:0.082:0.083) (0.348:0.348:0.348))
+ (IOPATH D X (0.093:0.094:0.094) (0.314:0.316:0.318))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.186:0.186:0.186) (0.278:0.278:0.278))
+ (IOPATH A2 X (0.200:0.200:0.200) (0.285:0.290:0.294))
+ (IOPATH A3 X (0.162:0.162:0.162) (0.246:0.246:0.246))
+ (IOPATH B1 X (0.155:0.155:0.155) (0.113:0.114:0.115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.101:0.101:0.101) (0.116:0.116:0.116))
+ (IOPATH A Y (0.167:0.167:0.167) (0.065:0.065:0.065))
+ (IOPATH B Y (0.100:0.100:0.100) (0.129:0.133:0.137))
+ (IOPATH B Y (0.168:0.173:0.178) (0.066:0.066:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.089:0.089:0.089) (0.030:0.030:0.030))
+ (IOPATH B Y (0.088:0.092:0.097) (0.007:0.007:0.007))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.123:0.123:0.123) (0.134:0.134:0.134))
+ (IOPATH B X (0.143:0.143:0.143) (0.163:0.169:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.148:0.152:0.155) (0.045:0.046:0.047))
+ (IOPATH B Y (0.134:0.134:0.135) (0.041:0.041:0.042))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.098:0.098:0.098) (0.068:0.068:0.068))
+ (IOPATH B Y (0.097:0.100:0.103) (0.068:0.068:0.068))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.084:0.084) (0.028:0.028:0.028))
+ (IOPATH B Y (0.088:0.093:0.097) (0.007:0.007:0.007))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.099:0.099:0.099) (0.111:0.111:0.111))
+ (IOPATH A Y (0.159:0.159:0.159) (0.063:0.063:0.063))
+ (IOPATH B Y (0.097:0.097:0.097) (0.127:0.131:0.136))
+ (IOPATH B Y (0.163:0.168:0.173) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.133:0.133:0.133) (0.171:0.175:0.179))
+ (IOPATH A2 X (0.115:0.115:0.115) (0.167:0.167:0.167))
+ (IOPATH B1_N X (0.150:0.151:0.151) (0.127:0.127:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.141:0.141:0.142) (0.155:0.157:0.159))
+ (IOPATH A2 X (0.142:0.150:0.158) (0.165:0.171:0.178))
+ (IOPATH A3 X (0.144:0.145:0.145) (0.160:0.161:0.163))
+ (IOPATH B1 X (0.124:0.124:0.124) (0.131:0.131:0.131))
+ (IOPATH B2 X (0.132:0.132:0.132) (0.150:0.155:0.160))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.103:0.111:0.119) (0.131:0.137:0.143))
+ (IOPATH A2 X (0.124:0.124:0.124) (0.142:0.144:0.145))
+ (IOPATH A3 X (0.114:0.114:0.114) (0.142:0.144:0.145))
+ (IOPATH B1 X (0.086:0.086:0.086) (0.105:0.105:0.105))
+ (IOPATH B2 X (0.099:0.099:0.099) (0.133:0.138:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.092:0.092:0.092) (0.121:0.121:0.121))
+ (IOPATH A Y (0.149:0.149:0.149) (0.058:0.058:0.058))
+ (IOPATH B Y (0.086:0.086:0.086) (0.118:0.122:0.126))
+ (IOPATH B Y (0.137:0.142:0.147) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.082:0.082:0.083) (0.101:0.102:0.103))
+ (IOPATH B X (0.085:0.093:0.102) (0.116:0.122:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.081:0.082:0.083) (0.033:0.034:0.034))
+ (IOPATH A2 Y (0.071:0.076:0.081) (0.028:0.029:0.030))
+ (IOPATH B1 Y (0.045:0.050:0.054) (0.019:0.019:0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.101:0.101:0.101) (0.160:0.160:0.160))
+ (IOPATH A2 X (0.088:0.088:0.088) (0.137:0.142:0.148))
+ (IOPATH B1 X (0.066:0.066:0.066) (0.116:0.117:0.117))
+ (IOPATH B2 X (0.058:0.062:0.066) (0.101:0.103:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.109:0.110:0.111) (0.047:0.047:0.047))
+ (IOPATH A2 Y (0.119:0.121:0.122) (0.047:0.047:0.048))
+ (IOPATH B1 Y (0.070:0.071:0.071) (0.028:0.028:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.067:0.071:0.075) (0.087:0.093:0.099))
+ (IOPATH A Y (0.097:0.102:0.108) (0.038:0.040:0.042))
+ (IOPATH B Y (0.065:0.066:0.068) (0.072:0.077:0.083))
+ (IOPATH B Y (0.071:0.075:0.080) (0.032:0.032:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.092:0.092:0.092) (0.156:0.156:0.156))
+ (IOPATH A1 X (0.071:0.077:0.083) (0.144:0.146:0.148))
+ (IOPATH S X (0.133:0.139:0.145) (0.191:0.191:0.191))
+ (IOPATH S X (0.099:0.099:0.099) (0.187:0.191:0.196))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.095:0.096:0.097) (0.046:0.046:0.046))
+ (IOPATH A X (0.079:0.079:0.079) (0.105:0.106:0.107))
+ (IOPATH B X (0.077:0.079:0.080) (0.037:0.037:0.037))
+ (IOPATH B X (0.082:0.082:0.082) (0.087:0.088:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.090:0.090:0.090) (0.153:0.153:0.153))
+ (IOPATH A1 X (0.076:0.078:0.081) (0.141:0.144:0.147))
+ (IOPATH S X (0.132:0.137:0.143) (0.189:0.189:0.189))
+ (IOPATH S X (0.097:0.097:0.097) (0.184:0.189:0.194))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.105:0.109:0.113) (0.025:0.025:0.025))
+ (IOPATH A2 Y (0.098:0.098:0.098) (0.034:0.034:0.034))
+ (IOPATH B1 Y (0.044:0.049:0.054) (0.019:0.019:0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.126:0.126:0.126) (0.177:0.177:0.177))
+ (IOPATH A2 X (0.117:0.117:0.118) (0.159:0.160:0.162))
+ (IOPATH B1 X (0.101:0.104:0.107) (0.087:0.095:0.104))
+ (IOPATH C1 X (0.118:0.118:0.118) (0.092:0.098:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.076:0.076:0.076) (0.099:0.099:0.099))
+ (IOPATH A Y (0.114:0.114:0.114) (0.045:0.045:0.045))
+ (IOPATH B Y (0.067:0.067:0.067) (0.077:0.080:0.083))
+ (IOPATH B Y (0.081:0.084:0.086) (0.035:0.035:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.071:0.075:0.079) (0.090:0.096:0.102))
+ (IOPATH A Y (0.104:0.110:0.115) (0.041:0.044:0.047))
+ (IOPATH B Y (0.068:0.068:0.068) (0.081:0.082:0.083))
+ (IOPATH B Y (0.083:0.085:0.086) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.145:0.151:0.157) (0.138:0.138:0.138))
+ (IOPATH A2_N X (0.122:0.126:0.130) (0.118:0.124:0.130))
+ (IOPATH B1 X (0.112:0.112:0.112) (0.161:0.161:0.161))
+ (IOPATH B2 X (0.095:0.095:0.095) (0.145:0.146:0.147))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.062:0.062:0.062) (0.039:0.039:0.039))
+ (IOPATH B Y (0.060:0.060:0.061) (0.042:0.042:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.075:0.075:0.075) (0.114:0.118:0.123))
+ (IOPATH A Y (0.120:0.124:0.128) (0.038:0.038:0.038))
+ (IOPATH B Y (0.061:0.062:0.062) (0.075:0.076:0.076))
+ (IOPATH B Y (0.073:0.073:0.074) (0.030:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.079:0.079:0.079) (0.145:0.145:0.145))
+ (IOPATH A1 X (0.071:0.078:0.085) (0.144:0.149:0.154))
+ (IOPATH S X (0.134:0.140:0.146) (0.192:0.192:0.192))
+ (IOPATH S X (0.099:0.100:0.100) (0.187:0.192:0.196))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.041:0.041:0.041) (0.023:0.023:0.023))
+ (IOPATH B Y (0.051:0.056:0.062) (0.028:0.028:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.081:0.081:0.081) (0.132:0.132:0.132))
+ (IOPATH A2 X (0.068:0.068:0.068) (0.114:0.115:0.116))
+ (IOPATH B1 X (0.052:0.053:0.055) (0.064:0.066:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.127:0.127:0.127))
+ (IOPATH B X (0.137:0.137:0.137) (0.149:0.149:0.149))
+ (IOPATH C X (0.137:0.137:0.137) (0.161:0.161:0.161))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.115:0.115:0.115) (0.129:0.129:0.129))
+ (IOPATH B X (0.113:0.113:0.113) (0.136:0.137:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.084:0.084:0.084) (0.134:0.134:0.134))
+ (IOPATH A2 X (0.069:0.069:0.069) (0.117:0.117:0.118))
+ (IOPATH B1 X (0.073:0.071:0.068) (0.110:0.111:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.078:0.078:0.078) (0.111:0.111:0.111))
+ (IOPATH B Y (0.043:0.043:0.044) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.105:0.105:0.105) (0.164:0.164:0.164))
+ (IOPATH A2 X (0.097:0.097:0.097) (0.145:0.145:0.146))
+ (IOPATH B1 X (0.081:0.081:0.082) (0.078:0.079:0.081))
+ (IOPATH C1 X (0.104:0.109:0.114) (0.112:0.113:0.114))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.083:0.083:0.083) (0.059:0.059:0.059))
+ (IOPATH B Y (0.082:0.083:0.083) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.083:0.083:0.083) (0.029:0.029:0.029))
+ (IOPATH A2 Y (0.098:0.098:0.098) (0.034:0.034:0.034))
+ (IOPATH B1 Y (0.049:0.049:0.049) (0.015:0.015:0.015))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.078:0.078:0.078) (0.178:0.179:0.179))
+ (IOPATH B X (0.071:0.074:0.078) (0.161:0.163:0.165))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.080:0.082:0.083) (0.030:0.030:0.030))
+ (IOPATH A2 Y (0.089:0.089:0.089) (0.028:0.028:0.028))
+ (IOPATH B1 Y (0.052:0.055:0.059) (0.009:0.008:0.008))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.083:0.083:0.083) (0.105:0.105:0.105))
+ (IOPATH A Y (0.126:0.126:0.126) (0.051:0.051:0.051))
+ (IOPATH B Y (0.079:0.079:0.079) (0.101:0.101:0.101))
+ (IOPATH B Y (0.111:0.111:0.111) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.075:0.077:0.078) (0.028:0.028:0.028))
+ (IOPATH A2 Y (0.079:0.082:0.084) (0.027:0.031:0.034))
+ (IOPATH B1 Y (0.048:0.052:0.055) (0.006:0.005:0.004))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.051:0.051:0.051) (0.034:0.034:0.034))
+ (IOPATH B Y (0.079:0.080:0.081) (0.042:0.035:0.029))
+ (IOPATH C Y (0.052:0.053:0.055) (0.039:0.039:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.073:0.073:0.073) (0.141:0.141:0.141))
+ (IOPATH A1 X (0.073:0.073:0.073) (0.146:0.146:0.146))
+ (IOPATH S X (0.161:0.162:0.163) (0.191:0.188:0.185))
+ (IOPATH S X (0.101:0.105:0.109) (0.208:0.210:0.211))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.072:0.072:0.072) (0.140:0.140:0.140))
+ (IOPATH A1 X (0.075:0.075:0.075) (0.146:0.146:0.146))
+ (IOPATH S X (0.129:0.132:0.136) (0.190:0.190:0.191))
+ (IOPATH S X (0.102:0.103:0.103) (0.182:0.185:0.188))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.065:0.065:0.065) (0.168:0.168:0.168))
+ (IOPATH B X (0.089:0.090:0.091) (0.159:0.163:0.166))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.253:0.253:0.253) (-0.008:-0.008:-0.008))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.099:0.099:0.099) (0.131:0.131:0.131))
+ (IOPATH A2 X (0.113:0.113:0.113) (0.276:0.276:0.276))
+ (IOPATH B1 X (0.096:0.096:0.096) (0.152:0.152:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.147:0.147:0.147) (0.335:0.335:0.335))
+ (IOPATH B X (0.130:0.130:0.130) (0.309:0.309:0.309))
+ (IOPATH C X (0.135:0.135:0.135) (0.289:0.289:0.289))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.163:0.163:0.163) (0.056:0.056:0.056))
+ (IOPATH B Y (0.134:0.134:0.134) (0.039:0.039:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.123:0.123:0.123) (0.082:0.082:0.082))
+ (IOPATH B Y (0.117:0.121:0.125) (0.099:0.099:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.308:0.308:0.308) (0.156:0.156:0.156))
+ (IOPATH B X (0.156:0.156:0.157) (0.172:0.172:0.172))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.156:0.157:0.157) (0.159:0.159:0.160))
+ (IOPATH B X (0.170:0.170:0.170) (0.174:0.176:0.178))
+ (IOPATH C X (0.165:0.165:0.165) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.090:0.090:0.090) (0.265:0.265:0.265))
+ (IOPATH A2 X (0.102:0.102:0.102) (0.146:0.146:0.146))
+ (IOPATH B1 X (0.091:0.091:0.091) (0.110:0.111:0.111))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.118:0.118:0.118) (0.039:0.039:0.039))
+ (IOPATH B Y (0.229:0.229:0.229) (-0.047:-0.047:-0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.088:0.088:0.088) (0.185:0.185:0.185))
+ (IOPATH B X (0.083:0.083:0.084) (0.171:0.173:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.119:0.119:0.119) (0.311:0.311:0.311))
+ (IOPATH B X (0.106:0.106:0.106) (0.284:0.284:0.284))
+ (IOPATH C X (0.100:0.100:0.100) (0.263:0.263:0.263))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.129:0.129:0.129) (0.269:0.269:0.269))
+ (IOPATH A2 X (0.109:0.109:0.109) (0.144:0.144:0.144))
+ (IOPATH B1 X (0.121:0.122:0.122) (0.138:0.140:0.142))
+ (IOPATH B2 X (0.120:0.120:0.120) (0.139:0.139:0.139))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.091:0.091:0.091) (0.267:0.267:0.267))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.095:0.095:0.095) (0.115:0.115:0.115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.147:0.147:0.147) (0.204:0.204:0.204))
+ (IOPATH A2 X (0.134:0.134:0.134) (0.188:0.189:0.189))
+ (IOPATH B1 X (0.134:0.134:0.134) (0.122:0.125:0.127))
+ (IOPATH C1 X (0.126:0.126:0.126) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.089:0.089:0.089) (0.265:0.265:0.265))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.088:0.088:0.088) (0.102:0.105:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.107:0.107:0.107) (0.206:0.206:0.206))
+ (IOPATH B X (0.104:0.104:0.105) (0.192:0.194:0.196))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.141:0.141:0.141) (0.192:0.194:0.196))
+ (IOPATH A2 X (0.143:0.143:0.143) (0.189:0.191:0.193))
+ (IOPATH B1 X (0.133:0.133:0.133) (0.113:0.113:0.113))
+ (IOPATH C1 X (0.115:0.115:0.115) (0.096:0.097:0.097))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.090:0.090:0.090) (0.265:0.265:0.265))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.089:0.089:0.089) (0.103:0.106:0.109))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.132:0.132:0.132) (0.272:0.272:0.272))
+ (IOPATH A2 X (0.114:0.114:0.114) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.127:0.127:0.127) (0.168:0.168:0.168))
+ (IOPATH B2 X (0.112:0.113:0.113) (0.143:0.143:0.144))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.138:0.138:0.138) (0.328:0.328:0.328))
+ (IOPATH B X (0.121:0.121:0.121) (0.302:0.302:0.302))
+ (IOPATH C X (0.107:0.107:0.107) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.087:0.087:0.087) (0.185:0.185:0.185))
+ (IOPATH B X (0.072:0.072:0.072) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.134) (0.274:0.274:0.274))
+ (IOPATH A2 X (0.117:0.117:0.117) (0.150:0.150:0.150))
+ (IOPATH B1 X (0.120:0.120:0.120) (0.129:0.129:0.130))
+ (IOPATH B2 X (0.108:0.108:0.108) (0.132:0.132:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.140:0.140:0.140) (0.277:0.277:0.277))
+ (IOPATH A2 X (0.123:0.123:0.123) (0.153:0.153:0.153))
+ (IOPATH B1 X (0.129:0.129:0.129) (0.136:0.136:0.136))
+ (IOPATH B2 X (0.119:0.119:0.119) (0.140:0.140:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.093:0.093:0.093) (0.153:0.153:0.153))
+ (IOPATH A2 X (0.077:0.077:0.077) (0.143:0.143:0.143))
+ (IOPATH B1 X (0.085:0.085:0.085) (0.134:0.136:0.137))
+ (IOPATH B2 X (0.070:0.070:0.070) (0.117:0.120:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.138:0.138:0.138) (0.276:0.276:0.276))
+ (IOPATH A2 X (0.121:0.121:0.121) (0.152:0.152:0.152))
+ (IOPATH B1 X (0.119:0.120:0.120) (0.124:0.127:0.130))
+ (IOPATH B2 X (0.111:0.112:0.112) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.069:0.069:0.069) (0.101:0.101:0.101))
+ (IOPATH B Y (0.167:0.167:0.167) (-0.032:-0.032:-0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.127:0.127:0.127) (0.367:0.367:0.367))
+ (IOPATH A2 X (0.140:0.140:0.140) (0.241:0.241:0.241))
+ (IOPATH A3 X (0.140:0.140:0.140) (0.210:0.211:0.211))
+ (IOPATH B1 X (0.108:0.114:0.120) (0.094:0.113:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.101:0.101:0.101) (0.183:0.184:0.184))
+ (IOPATH B X (0.084:0.084:0.085) (0.183:0.183:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.170:0.171:0.171) (0.174:0.176:0.178))
+ (IOPATH A2 X (0.172:0.172:0.172) (0.182:0.182:0.182))
+ (IOPATH A3 X (0.158:0.159:0.159) (0.171:0.171:0.171))
+ (IOPATH B1 X (0.129:0.129:0.129) (0.108:0.108:0.108))
+ (IOPATH B2 X (0.108:0.108:0.108) (0.268:0.268:0.268))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.117:0.117:0.117) (0.265:0.265:0.265))
+ (IOPATH A2 X (0.101:0.101:0.101) (0.141:0.141:0.141))
+ (IOPATH B1 X (0.108:0.108:0.108) (0.125:0.125:0.125))
+ (IOPATH B2 X (0.093:0.093:0.093) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.190:0.190:0.190))
+ (IOPATH B X (0.075:0.075:0.076) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.118:0.118:0.118) (0.263:0.263:0.263))
+ (IOPATH A2 X (0.102:0.102:0.102) (0.139:0.139:0.139))
+ (IOPATH B1 X (0.105:0.105:0.105) (0.120:0.121:0.121))
+ (IOPATH B2 X (0.094:0.094:0.095) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.089:0.089:0.089) (0.190:0.190:0.190))
+ (IOPATH A2 X (0.092:0.092:0.092) (0.217:0.217:0.217))
+ (IOPATH B1 X (0.073:0.073:0.073) (0.152:0.152:0.152))
+ (IOPATH C1 X (0.063:0.063:0.063) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.105:0.105:0.105) (0.038:0.038:0.038))
+ (IOPATH A2 Y (0.075:0.075:0.075) (0.025:0.025:0.025))
+ (IOPATH B1 Y (0.034:0.038:0.042) (0.017:0.017:0.017))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.114:0.114:0.114) (0.178:0.178:0.178))
+ (IOPATH A2 X (0.101:0.101:0.101) (0.150:0.150:0.150))
+ (IOPATH B1 X (0.077:0.077:0.078) (0.078:0.079:0.081))
+ (IOPATH C1 X (0.073:0.079:0.084) (0.071:0.072:0.073))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.063:0.063:0.063) (0.029:0.029:0.029))
+ (IOPATH B Y (0.047:0.051:0.055) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.095:0.095:0.095) (0.196:0.196:0.196))
+ (IOPATH B X (0.096:0.096:0.096) (0.186:0.186:0.186))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.091:0.091:0.091) (0.173:0.173:0.173))
+ (IOPATH B X (0.062:0.063:0.064) (0.157:0.158:0.159))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.123:0.123:0.123) (0.267:0.267:0.267))
+ (IOPATH A2 X (0.107:0.107:0.107) (0.143:0.143:0.143))
+ (IOPATH B1 X (0.105:0.106:0.106) (0.116:0.119:0.122))
+ (IOPATH B2 X (0.099:0.099:0.099) (0.120:0.122:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.086:0.086:0.086) (0.182:0.182:0.182))
+ (IOPATH B X (0.085:0.085:0.085) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.119:0.119:0.119) (0.200:0.200:0.200))
+ (IOPATH B X (0.099:0.099:0.099) (0.198:0.198:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.073:0.074:0.074) (0.131:0.131:0.131))
+ (IOPATH A2 X (0.085:0.086:0.086) (0.144:0.146:0.148))
+ (IOPATH B1 X (0.058:0.058:0.059) (0.094:0.097:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.106:0.106:0.106) (0.166:0.166:0.166))
+ (IOPATH A2 X (0.098:0.098:0.098) (0.152:0.152:0.152))
+ (IOPATH B1 X (0.083:0.083:0.083) (0.088:0.088:0.088))
+ (IOPATH C1 X (0.075:0.076:0.076) (0.075:0.075:0.075))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.078:0.079:0.080) (0.140:0.141:0.143))
+ (IOPATH A2 X (0.081:0.081:0.081) (0.140:0.142:0.144))
+ (IOPATH B1 X (0.070:0.071:0.071) (0.130:0.130:0.130))
+ (IOPATH B2 X (0.066:0.066:0.067) (0.114:0.117:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.119:0.119:0.119) (0.151:0.151:0.151))
+ (IOPATH B X (0.099:0.099:0.099) (0.124:0.124:0.124))
+ (IOPATH C X (0.094:0.094:0.094) (0.120:0.120:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.088:0.088:0.089) (0.098:0.100:0.102))
+ (IOPATH B X (0.091:0.091:0.091) (0.112:0.112:0.113))
+ (IOPATH C X (0.097:0.097:0.097) (0.122:0.123:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.143:0.143:0.143) (0.159:0.159:0.160))
+ (IOPATH A2 X (0.151:0.155:0.158) (0.162:0.184:0.207))
+ (IOPATH A3 X (0.137:0.137:0.137) (0.160:0.160:0.160))
+ (IOPATH B1 X (0.109:0.109:0.109) (0.101:0.101:0.101))
+ (IOPATH B2 X (0.088:0.088:0.088) (0.260:0.260:0.260))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.098:0.098:0.098) (0.156:0.159:0.161))
+ (IOPATH A2 X (0.084:0.084:0.084) (0.150:0.150:0.150))
+ (IOPATH B1 X (0.077:0.077:0.077) (0.129:0.129:0.129))
+ (IOPATH B2 X (0.080:0.080:0.080) (0.133:0.133:0.133))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.137:0.137) (0.133:0.133:0.134))
+ (IOPATH B X (0.132:0.132:0.132) (0.148:0.148:0.148))
+ (IOPATH C X (0.129:0.129:0.129) (0.148:0.148:0.149))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.098:0.098:0.098) (0.273:0.273:0.273))
+ (IOPATH A2 X (0.112:0.112:0.112) (0.155:0.155:0.155))
+ (IOPATH B1 X (0.096:0.096:0.096) (0.112:0.113:0.113))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.121:0.121:0.121) (0.190:0.190:0.190))
+ (IOPATH A2 X (0.117:0.117:0.117) (0.186:0.186:0.186))
+ (IOPATH B1 X (0.106:0.106:0.106) (0.163:0.163:0.163))
+ (IOPATH B2 X (0.117:0.117:0.118) (0.159:0.162:0.165))
+ (IOPATH C1 X (0.090:0.090:0.091) (0.091:0.091:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.088:0.088:0.089) (0.188:0.190:0.191))
+ (IOPATH B X (0.078:0.078:0.078) (0.172:0.172:0.172))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.110:0.111:0.111) (0.112:0.115:0.118))
+ (IOPATH B X (0.105:0.105:0.106) (0.124:0.124:0.125))
+ (IOPATH C X (0.110:0.110:0.110) (0.139:0.139:0.139))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.130:0.131:0.131) (0.147:0.147:0.147))
+ (IOPATH A2 X (0.140:0.140:0.140) (0.159:0.162:0.165))
+ (IOPATH A3 X (0.141:0.141:0.141) (0.158:0.159:0.160))
+ (IOPATH B1 X (0.111:0.111:0.111) (0.102:0.102:0.102))
+ (IOPATH B2 X (0.089:0.089:0.089) (0.261:0.261:0.261))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.134) (0.275:0.275:0.275))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.150:0.150:0.150))
+ (IOPATH B1 X (0.119:0.119:0.119) (0.136:0.136:0.136))
+ (IOPATH B2 X (0.125:0.128:0.131) (0.133:0.155:0.176))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.126:0.126:0.126) (0.269:0.269:0.269))
+ (IOPATH A2 X (0.109:0.109:0.109) (0.145:0.145:0.145))
+ (IOPATH B1 X (0.111:0.111:0.111) (0.125:0.126:0.126))
+ (IOPATH B2 X (0.107:0.108:0.108) (0.135:0.135:0.135))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.107:0.107:0.107) (0.045:0.045:0.045))
+ (IOPATH A2 Y (0.102:0.102:0.102) (0.033:0.033:0.033))
+ (IOPATH B1 Y (0.056:0.056:0.056) (0.026:0.026:0.026))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.069:0.069:0.069) (0.037:0.037:0.037))
+ (IOPATH B Y (0.052:0.053:0.053) (0.034:0.037:0.040))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.116:0.116:0.116) (0.149:0.149:0.149))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.147:0.148:0.148))
+ (IOPATH A3 X (0.121:0.122:0.122) (0.145:0.150:0.155))
+ (IOPATH B1 X (0.092:0.092:0.092) (0.092:0.092:0.092))
+ (IOPATH B2 X (0.069:0.069:0.069) (0.249:0.249:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.095:0.095:0.095) (0.280:0.280:0.280))
+ (IOPATH B X (0.082:0.082:0.082) (0.254:0.254:0.254))
+ (IOPATH C X (0.068:0.068:0.068) (0.229:0.229:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.123:0.123:0.123) (0.267:0.267:0.267))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.142:0.142:0.142))
+ (IOPATH B1 X (0.103:0.103:0.103) (0.119:0.120:0.120))
+ (IOPATH B2 X (0.097:0.097:0.097) (0.131:0.131:0.131))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.091:0.091:0.091) (0.188:0.188:0.188))
+ (IOPATH B X (0.064:0.065:0.065) (0.158:0.159:0.160))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.112:0.113:0.113) (0.130:0.133:0.136))
+ (IOPATH A2 X (0.117:0.117:0.117) (0.148:0.149:0.150))
+ (IOPATH A3 X (0.116:0.116:0.116) (0.148:0.148:0.149))
+ (IOPATH B1 X (0.091:0.091:0.091) (0.092:0.092:0.092))
+ (IOPATH B2 X (0.066:0.066:0.066) (0.248:0.248:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.079:0.079:0.079) (0.259:0.259:0.259))
+ (IOPATH A2 X (0.093:0.093:0.093) (0.140:0.140:0.140))
+ (IOPATH B1 X (0.084:0.085:0.086) (0.099:0.120:0.142))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.236:0.236:0.236) (0.112:0.112:0.112))
+ (IOPATH B X (0.119:0.119:0.119) (0.135:0.135:0.135))
+ (IOPATH C X (0.123:0.123:0.123) (0.153:0.153:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.078:0.078:0.078) (0.258:0.258:0.258))
+ (IOPATH A2 X (0.090:0.090:0.090) (0.138:0.138:0.138))
+ (IOPATH B1 X (0.071:0.071:0.072) (0.095:0.095:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.117:0.117:0.117) (0.262:0.262:0.262))
+ (IOPATH A2 X (0.103:0.103:0.103) (0.141:0.141:0.141))
+ (IOPATH B1 X (0.098:0.098:0.098) (0.115:0.116:0.116))
+ (IOPATH B2 X (0.095:0.095:0.095) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.387:0.387:0.387) (0.243:0.243:0.243))
+ (IOPATH B Y (0.384:0.384:0.384) (0.244:0.244:0.244))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.141:0.141:0.141) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.176:0.176:0.176) (-0.063:-0.063:-0.063))
+ (IOPATH B Y (0.142:0.142:0.142) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (-0.061:-0.061:-0.061))
+ (IOPATH B Y (0.144:0.144:0.145) (-0.019:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.176:0.176:0.176) (-0.063:-0.063:-0.063))
+ (IOPATH B Y (0.142:0.142:0.142) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.141:0.141:0.142) (-0.021:-0.021:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.179:0.179:0.179) (-0.061:-0.061:-0.061))
+ (IOPATH B Y (0.145:0.145:0.145) (-0.019:-0.019:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.176:0.176:0.176) (-0.063:-0.063:-0.063))
+ (IOPATH B Y (0.142:0.142:0.142) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (-0.062:-0.062:-0.062))
+ (IOPATH B Y (0.144:0.144:0.144) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (-0.062:-0.062:-0.062))
+ (IOPATH B Y (0.143:0.143:0.144) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (-0.061:-0.061:-0.061))
+ (IOPATH B Y (0.144:0.144:0.145) (-0.019:-0.019:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.182:0.182:0.182) (-0.058:-0.058:-0.058))
+ (IOPATH B Y (0.148:0.148:0.148) (-0.017:-0.017:-0.017))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.140:0.141:0.141) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.177:0.177:0.177) (-0.062:-0.062:-0.062))
+ (IOPATH B Y (0.143:0.143:0.143) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.180:0.180:0.180) (-0.060:-0.060:-0.060))
+ (IOPATH B Y (0.146:0.146:0.146) (-0.018:-0.018:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.173:0.173:0.173) (-0.065:-0.065:-0.065))
+ (IOPATH B Y (0.139:0.140:0.140) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.141:0.141:0.141) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.181:0.181:0.181) (-0.059:-0.059:-0.059))
+ (IOPATH B Y (0.147:0.147:0.147) (-0.018:-0.018:-0.018))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.181:0.181:0.181) (-0.059:-0.059:-0.059))
+ (IOPATH B Y (0.147:0.147:0.147) (-0.018:-0.018:-0.018))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.140:0.141:0.141) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.175:0.175:0.175) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.141:0.141:0.141) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.174:0.174:0.174) (-0.065:-0.065:-0.065))
+ (IOPATH B Y (0.140:0.140:0.140) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.177:0.177:0.177) (-0.062:-0.062:-0.062))
+ (IOPATH B Y (0.143:0.143:0.143) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.179:0.179:0.179) (-0.061:-0.061:-0.061))
+ (IOPATH B Y (0.145:0.145:0.145) (-0.019:-0.019:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.235:0.235:0.235) (0.261:0.261:0.261))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.232:0.232:0.232) (0.259:0.259:0.259))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.227:0.227:0.227) (0.255:0.255:0.255))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.306:0.306:0.306) (0.309:0.309:0.309))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.020))
+ (HOLD (negedge D) (posedge CLK) (-0.025:-0.033:-0.040))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.037))
+ (SETUP (negedge D) (posedge CLK) (0.069:0.077:0.086))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.296:0.296:0.296) (0.302:0.302:0.302))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.037))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.068:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.266:0.266:0.266) (0.284:0.284:0.284))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.025:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.036:0.037:0.038))
+ (SETUP (negedge D) (posedge CLK) (0.066:0.069:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.258:0.258:0.258) (0.279:0.279:0.279))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.068:0.070))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.271:0.271:0.271) (0.287:0.287:0.287))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.022:-0.023))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.066:0.067))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.264:0.264:0.264) (0.282:0.282:0.282))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.023))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.064:0.066:0.067))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.246:0.246:0.246) (0.269:0.269:0.269))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.272:0.272:0.272) (0.287:0.287:0.287))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.024:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.070))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.324:0.324:0.324) (0.319:0.319:0.319))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.217:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.124))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.021:-0.023))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.025:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.038:0.041))
+ (SETUP (negedge D) (posedge CLK) (0.067:0.069:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.297:0.297:0.297) (0.303:0.303:0.303))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.300:0.300:0.300) (0.305:0.305:0.305))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.342:0.342:0.342) (0.330:0.330:0.330))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.217:0.218:0.218))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.124))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.025))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.069))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.216:0.216:0.216) (0.245:0.245:0.245))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.272:0.272:0.272) (0.287:0.287:0.287))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.260:0.260:0.260) (0.280:0.280:0.280))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
+ (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.248:0.248:0.248) (0.271:0.271:0.271))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.217:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.124))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.250:0.250:0.250) (0.272:0.272:0.272))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.217:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.073:0.073))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.247:0.247:0.247) (0.269:0.269:0.269))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.217:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.124))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.241:0.241:0.241) (0.265:0.265:0.265))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.073))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.242:0.242:0.242) (0.266:0.266:0.266))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.104:0.104:0.104) (0.119:0.119:0.119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.055:0.056:0.057) (0.006:0.005:0.004))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.003:0.004:0.004))
+ (IOPATH TE Z (0.054:0.055:0.056) (0.007:0.006:0.005))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.029:0.031:0.032) (0.054:0.055:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.085:0.085:0.085) (0.086:0.086:0.086))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.006:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.001:0.001:0.001))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.034:0.038:0.043) (0.072:0.078:0.083))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.028:0.030:0.031) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.003:0.003:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.038:0.040:0.041) (0.077:0.078:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.028:0.029:0.031) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.074:0.074:0.074) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.030:0.032:0.033) (0.055:0.057:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.077:0.077:0.077) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.035:0.037:0.038) (0.073:0.075:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.006:-0.006))
+ (IOPATH TE_B Z (0.031:0.033:0.034) (0.056:0.058:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.076:0.076:0.076) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.006:-0.006))
+ (IOPATH TE_B Z (0.031:0.033:0.035) (0.056:0.058:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.073:0.073:0.073) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.007:-0.007))
+ (IOPATH TE_B Z (0.031:0.033:0.035) (0.056:0.058:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.025:0.025) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.128:0.128:0.128) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.080:0.080:0.080) (0.083:0.083:0.083))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.032:0.034:0.035) (0.057:0.059:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.075:0.075:0.075) (0.081:0.081:0.081))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.036:0.038:0.039) (0.074:0.076:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.031:0.032:0.034) (0.055:0.057:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.133:0.133:0.133) (0.107:0.107:0.107))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.077:0.077:0.077) (0.083:0.083:0.083))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.024:0.024:0.024) (-0.010:-0.010:-0.010))
+ (IOPATH TE_B Z (0.036:0.038:0.040) (0.074:0.076:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.028:0.030:0.032) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.006:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.038:0.039:0.041) (0.076:0.077:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.030:0.032:0.033) (0.055:0.057:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.078:0.078:0.078) (0.081:0.081:0.081))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.037:0.039:0.040) (0.075:0.077:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.027:0.029:0.031) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.025:0.025) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.049:0.049:0.049) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.074:0.074:0.074) (0.065:0.065:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.049:0.049:0.049) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.035:0.035:0.035) (0.026:0.026:0.026))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.278:0.278:0.278))
+ (IOPATH B X (0.091:0.093:0.095) (0.176:0.177:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.055:0.055:0.055) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.005:-0.005:-0.005) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.038:0.039:0.039) (0.076:0.077:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.001:-0.001:-0.001) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.029:0.030:0.032) (0.053:0.055:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.026:0.026:0.026) (0.035:0.035:0.035))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.041:0.041:0.041) (-0.076:-0.076:-0.077))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ss.sdf b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ss.sdf
new file mode 100644
index 00000000..9d6a418d
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.ss.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:48:58 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (1.591:1.591:1.591) (0.912:0.912:0.912))
+ (INTERCONNECT dco _334_.B2 (1.605:1.605:1.605) (0.925:0.925:0.925))
+ (INTERCONNECT dco _296_.B (1.606:1.606:1.606) (0.925:0.925:0.925))
+ (INTERCONNECT dco _351_.A1 (1.605:1.605:1.605) (0.925:0.925:0.925))
+ (INTERCONNECT dco _354_.A1 (1.605:1.605:1.605) (0.925:0.925:0.925))
+ (INTERCONNECT dco _337_.A1 (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco _348_.A1 (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco _350_.B2 (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco _341_.B2 (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco _342_.A1 (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco _326_.A1 (1.592:1.592:1.592) (0.912:0.912:0.912))
+ (INTERCONNECT dco _343_.A1 (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco _346_.B2 (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco _312_.A1 (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco _319_.A1 (1.592:1.592:1.592) (0.912:0.912:0.912))
+ (INTERCONNECT dco _289_.A2 (1.587:1.587:1.587) (0.908:0.908:0.908))
+ (INTERCONNECT dco _295_.A1 (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _314_.A1 (1.592:1.592:1.592) (0.912:0.912:0.912))
+ (INTERCONNECT dco _317_.A1 (1.592:1.592:1.592) (0.912:0.912:0.912))
+ (INTERCONNECT dco _316_.B2 (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _293_.A_N (1.592:1.592:1.592) (0.912:0.912:0.912))
+ (INTERCONNECT dco _306_.A1 (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _310_.A1 (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco _309_.A1 (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco _305_.A1 (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _300_.A1 (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _302_.A1 (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _299_.A1 (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _313_.B (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco _353_.A1 (1.603:1.603:1.603) (0.923:0.923:0.923))
+ (INTERCONNECT dco _366_.A (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco _369_.A (1.603:1.603:1.603) (0.923:0.923:0.923))
+ (INTERCONNECT dco _368_.A (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco _352_.A_N (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco _367_.A (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco _371_.A (1.600:1.600:1.600) (0.921:0.921:0.921))
+ (INTERCONNECT dco _358_.A (1.602:1.602:1.602) (0.922:0.922:0.922))
+ (INTERCONNECT dco _373_.A (1.602:1.602:1.602) (0.922:0.922:0.922))
+ (INTERCONNECT dco _372_.A (1.602:1.602:1.602) (0.922:0.922:0.922))
+ (INTERCONNECT dco _360_.A (1.598:1.598:1.598) (0.918:0.918:0.918))
+ (INTERCONNECT dco _361_.A (1.599:1.599:1.599) (0.919:0.919:0.919))
+ (INTERCONNECT dco _365_.A (1.594:1.594:1.594) (0.914:0.914:0.914))
+ (INTERCONNECT dco _364_.A (1.603:1.603:1.603) (0.923:0.923:0.923))
+ (INTERCONNECT dco _357_.A (1.599:1.599:1.599) (0.919:0.919:0.919))
+ (INTERCONNECT dco _356_.A (1.600:1.600:1.600) (0.920:0.920:0.920))
+ (INTERCONNECT dco _362_.A (1.594:1.594:1.594) (0.915:0.915:0.915))
+ (INTERCONNECT dco _370_.A (1.591:1.591:1.591) (0.912:0.912:0.912))
+ (INTERCONNECT dco _363_.A (1.591:1.591:1.591) (0.911:0.911:0.911))
+ (INTERCONNECT dco _377_.A (1.589:1.589:1.589) (0.910:0.910:0.910))
+ (INTERCONNECT dco _378_.A (1.585:1.585:1.585) (0.906:0.906:0.906))
+ (INTERCONNECT dco _359_.A (1.596:1.596:1.596) (0.917:0.917:0.917))
+ (INTERCONNECT dco _375_.A (1.595:1.595:1.595) (0.915:0.915:0.915))
+ (INTERCONNECT dco _374_.A (1.595:1.595:1.595) (0.915:0.915:0.915))
+ (INTERCONNECT dco _376_.A (1.595:1.595:1.595) (0.915:0.915:0.915))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (1.595:1.595:1.595) (0.915:0.915:0.915))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (1.598:1.598:1.598) (0.919:0.919:0.919))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (1.595:1.595:1.595) (0.915:0.915:0.915))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (1.596:1.596:1.596) (0.917:0.917:0.917))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (1.586:1.586:1.586) (0.907:0.907:0.907))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (1.589:1.589:1.589) (0.910:0.910:0.910))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (1.591:1.591:1.591) (0.911:0.911:0.911))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (1.594:1.594:1.594) (0.915:0.915:0.915))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (1.598:1.598:1.598) (0.918:0.918:0.918))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (1.598:1.598:1.598) (0.919:0.919:0.919))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (1.603:1.603:1.603) (0.923:0.923:0.923))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (1.595:1.595:1.595) (0.915:0.915:0.915))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (1.599:1.599:1.599) (0.919:0.919:0.919))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (1.598:1.598:1.598) (0.918:0.918:0.918))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (1.602:1.602:1.602) (0.922:0.922:0.922))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (1.601:1.601:1.601) (0.922:0.922:0.922))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (1.602:1.602:1.602) (0.922:0.922:0.922))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (1.600:1.600:1.600) (0.921:0.921:0.921))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (1.603:1.603:1.603) (0.923:0.923:0.923))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (1.604:1.604:1.604) (0.924:0.924:0.924))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (1.603:1.603:1.603) (0.923:0.923:0.923))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (1.587:1.587:1.587) (0.908:0.908:0.908))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (1.588:1.588:1.588) (0.909:0.909:0.909))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (1.585:1.585:1.585) (0.906:0.906:0.906))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (1.591:1.591:1.591) (0.912:0.912:0.912))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (1.592:1.592:1.592) (0.913:0.913:0.913))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (1.592:1.592:1.592) (0.912:0.912:0.912))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (1.592:1.592:1.592) (0.912:0.912:0.912))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (1.606:1.606:1.606) (0.926:0.926:0.926))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (1.605:1.605:1.605) (0.925:0.925:0.925))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (1.605:1.605:1.605) (0.925:0.925:0.925))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (1.605:1.605:1.605) (0.925:0.925:0.925))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (1.605:1.605:1.605) (0.925:0.925:0.925))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (1.591:1.591:1.591) (0.912:0.912:0.912))
+ (INTERCONNECT div[0] _222_.B2 (0.053:0.053:0.053) (0.030:0.030:0.030))
+ (INTERCONNECT div[0] _235_.A (0.053:0.053:0.053) (0.030:0.030:0.030))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.053:0.053:0.053) (0.030:0.030:0.030))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.053:0.053:0.053) (0.030:0.030:0.030))
+ (INTERCONNECT div[1] _219_.A (0.075:0.075:0.075) (0.043:0.043:0.043))
+ (INTERCONNECT div[1] _222_.A1 (0.075:0.075:0.075) (0.043:0.043:0.043))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.075:0.075:0.075) (0.044:0.044:0.044))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.075:0.075:0.075) (0.044:0.044:0.044))
+ (INTERCONNECT div[2] _213_.B1 (0.064:0.064:0.064) (0.036:0.036:0.036))
+ (INTERCONNECT div[2] _216_.A (0.064:0.064:0.064) (0.036:0.036:0.036))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.064:0.064:0.064) (0.036:0.036:0.036))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.064:0.064:0.064) (0.036:0.036:0.036))
+ (INTERCONNECT div[3] _213_.A1 (0.094:0.094:0.094) (0.054:0.054:0.054))
+ (INTERCONNECT div[3] _214_.B1 (0.094:0.094:0.094) (0.054:0.054:0.054))
+ (INTERCONNECT div[3] _215_.B1 (0.094:0.094:0.094) (0.054:0.054:0.054))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.094:0.094:0.094) (0.054:0.054:0.054))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.094:0.094:0.094) (0.054:0.054:0.054))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.094:0.094:0.094) (0.054:0.054:0.054))
+ (INTERCONNECT div[4] _207_.A1 (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT div[4] _225_.B1 (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT enable _355_.A (0.069:0.069:0.069) (0.041:0.041:0.041))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.069:0.069:0.069) (0.041:0.041:0.041))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.031:0.031:0.031) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.031:0.031:0.031) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.025:0.025:0.025) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.025:0.025:0.025) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.040:0.040:0.040) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.041:0.041:0.041) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.041:0.041:0.041) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.040:0.040:0.040) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.037:0.037:0.037) (0.021:0.021:0.021))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.037:0.037:0.037) (0.021:0.021:0.021))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.044:0.044:0.044) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.042:0.042:0.042) (0.024:0.024:0.024))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.039:0.039:0.039) (0.022:0.022:0.022))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.038:0.038:0.038) (0.022:0.022:0.022))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.046:0.046:0.046) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.046:0.046:0.046) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.031:0.031:0.031) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.031:0.031:0.031) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.055:0.055:0.055) (0.032:0.032:0.032))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.055:0.055:0.055) (0.032:0.032:0.032))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.024:0.024:0.024) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.057:0.057:0.057) (0.034:0.034:0.034))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.057:0.057:0.057) (0.034:0.034:0.034))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.028:0.028:0.028) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.028:0.028:0.028) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.033:0.033:0.033) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.040:0.040:0.040) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.046:0.046:0.046) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.046:0.046:0.046) (0.026:0.026:0.026))
+ (INTERCONNECT osc _394_.D (0.032:0.032:0.032) (0.019:0.019:0.019))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.032:0.032:0.032) (0.019:0.019:0.019))
+ (INTERCONNECT resetb _355_.B (0.043:0.043:0.043) (0.024:0.024:0.024))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.043:0.043:0.043) (0.024:0.024:0.024))
+ (INTERCONNECT _176_.Y _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _292_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _322_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _298_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _181_.Y _285_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _181_.Y _275_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _184_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _183_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _186_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _187_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _185_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _234_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _201_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _203_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _233_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _227_.X _269_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _227_.X _244_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _249_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _254_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _250_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _252_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _263_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _251_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _253_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _246_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _245_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _335_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _252_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _231_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _230_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _298_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _330_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _233_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _267_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _314_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _321_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _331_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _338_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _267_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _260_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _257_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _270_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _256_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _258_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _252_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _331_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _252_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _258_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _284_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _306_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _301_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _338_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _321_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _292_.Y _299_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _331_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _292_.Y _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _311_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _304_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _294_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _301_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _294_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _301_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _316_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _299_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _309_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _313_.Y _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _354_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _338_.X _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _368_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _366_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _367_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _371_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _358_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _372_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _373_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _369_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _360_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _357_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _365_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _356_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _364_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _377_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _363_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _378_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _370_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _375_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _362_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _359_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _376_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _361_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _279_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _273_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _199_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _187_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _186_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _273_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _279_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _185_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _195_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _184_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _183_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _228_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _241_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _267_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _390_.Q _241_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _347_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _344_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _298_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _301_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _260_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _393_.Q _323_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _345_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _352_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _176_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _290_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _307_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _257_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.244:0.244:0.244) (0.238:0.238:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.241:0.241:0.241) (0.211:0.211:0.211))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.157:0.157:0.157) (0.147:0.147:0.147))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.262:0.262:0.262) (0.238:0.238:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.225:0.225:0.225) (0.183:0.183:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.474:0.474:0.474) (0.530:0.530:0.530))
+ (IOPATH A Y (0.824:0.824:0.824) (0.442:0.442:0.442))
+ (IOPATH B Y (0.454:0.454:0.454) (0.518:0.518:0.518))
+ (IOPATH B Y (0.778:0.778:0.778) (0.410:0.410:0.410))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.490:0.490:0.490) (0.275:0.275:0.275))
+ (IOPATH A X (0.476:0.476:0.476) (0.410:0.410:0.410))
+ (IOPATH B X (0.446:0.446:0.446) (0.252:0.252:0.252))
+ (IOPATH B X (0.475:0.475:0.475) (0.359:0.359:0.359))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.267:0.267:0.267) (0.649:0.649:0.649))
+ (IOPATH A1 X (0.243:0.243:0.243) (0.653:0.653:0.653))
+ (IOPATH S X (0.532:0.536:0.540) (0.782:0.840:0.899))
+ (IOPATH S X (0.340:0.416:0.492) (0.814:0.817:0.821))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.264:0.264:0.264) (0.654:0.654:0.654))
+ (IOPATH A1 X (0.251:0.251:0.251) (0.663:0.663:0.663))
+ (IOPATH S X (0.540:0.544:0.548) (0.793:0.851:0.910))
+ (IOPATH S X (0.348:0.424:0.501) (0.825:0.828:0.832))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.262:0.262:0.262) (0.647:0.647:0.647))
+ (IOPATH A1 X (0.248:0.248:0.248) (0.657:0.657:0.657))
+ (IOPATH S X (0.533:0.537:0.541) (0.783:0.841:0.900))
+ (IOPATH S X (0.341:0.417:0.493) (0.815:0.818:0.822))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.305:0.305:0.305) (0.677:0.677:0.677))
+ (IOPATH A1 X (0.262:0.262:0.262) (0.672:0.672:0.672))
+ (IOPATH S X (0.542:0.546:0.550) (0.795:0.854:0.912))
+ (IOPATH S X (0.350:0.426:0.503) (0.827:0.831:0.834))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.308:0.308:0.308) (0.675:0.675:0.675))
+ (IOPATH A1 X (0.252:0.252:0.252) (0.661:0.661:0.661))
+ (IOPATH S X (0.535:0.539:0.543) (0.786:0.845:0.903))
+ (IOPATH S X (0.343:0.419:0.495) (0.818:0.822:0.825))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.140:0.140:0.140) (0.149:0.149:0.149))
+ (IOPATH B Y (0.133:0.133:0.133) (0.134:0.134:0.134))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.229:0.229:0.229) (0.684:0.684:0.684))
+ (IOPATH B X (0.194:0.194:0.194) (0.624:0.624:0.624))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.326:0.326:0.327) (0.405:0.408:0.411))
+ (IOPATH B X (0.321:0.321:0.321) (0.465:0.465:0.465))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.248:0.248:0.248) (0.121:0.121:0.121))
+ (IOPATH B Y (0.196:0.196:0.196) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.061:0.064) (0.088:0.088:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.301:0.301:0.301) (0.386:0.386:0.386))
+ (IOPATH B X (0.290:0.290:0.290) (0.416:0.416:0.416))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.229:0.229:0.229) (0.121:0.121:0.121))
+ (IOPATH B Y (0.178:0.178:0.178) (0.091:0.091:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.274:0.274:0.274) (0.754:0.754:0.754))
+ (IOPATH B X (0.251:0.251:0.251) (0.699:0.699:0.699))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.337:0.337:0.337) (0.413:0.413:0.413))
+ (IOPATH B X (0.325:0.325:0.325) (0.444:0.444:0.444))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.362:0.362:0.362) (0.427:0.427:0.427))
+ (IOPATH B X (0.318:0.318:0.318) (0.440:0.440:0.440))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.435:0.435:0.435) (0.483:0.483:0.483))
+ (IOPATH B X (0.377:0.377:0.377) (0.485:0.485:0.485))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.154:0.154:0.154) (0.164:0.164:0.164))
+ (IOPATH B Y (0.127:0.127:0.127) (0.126:0.126:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.427:0.427:0.427) (0.265:0.265:0.265))
+ (IOPATH A X (0.421:0.421:0.421) (0.404:0.404:0.404))
+ (IOPATH B X (0.359:0.359:0.359) (0.207:0.207:0.207))
+ (IOPATH B X (0.387:0.387:0.387) (0.329:0.329:0.329))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.304:0.304:0.304) (0.399:0.400:0.401))
+ (IOPATH A2 X (0.368:0.373:0.378) (0.424:0.437:0.450))
+ (IOPATH B1 X (0.197:0.197:0.197) (0.376:0.377:0.378))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.332:0.332:0.332) (0.636:0.637:0.638))
+ (IOPATH A2 X (0.393:0.397:0.402) (0.684:0.697:0.710))
+ (IOPATH B1 X (0.233:0.233:0.233) (0.638:0.639:0.640))
+ (IOPATH C1 X (0.222:0.222:0.222) (0.570:0.570:0.571))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.362:0.362:0.363) (0.410:0.410:0.410))
+ (IOPATH A2 X (0.405:0.405:0.405) (0.507:0.507:0.508))
+ (IOPATH A3 X (0.414:0.416:0.418) (0.517:0.518:0.519))
+ (IOPATH B1 X (0.242:0.242:0.242) (0.445:0.446:0.447))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.213:0.214:0.214) (0.104:0.104:0.104))
+ (IOPATH B Y (0.172:0.173:0.174) (0.092:0.095:0.098))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.281:0.281:0.281) (0.363:0.364:0.365))
+ (IOPATH B X (0.287:0.291:0.294) (0.406:0.407:0.409))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.097:0.098:0.099) (0.104:0.104:0.104))
+ (IOPATH B Y (0.110:0.112:0.113) (0.117:0.120:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.406:0.406:0.406) (0.486:0.486:0.486))
+ (IOPATH A2 X (0.409:0.409:0.409) (0.456:0.457:0.458))
+ (IOPATH B1 X (0.385:0.387:0.388) (0.248:0.249:0.250))
+ (IOPATH C1 X (0.377:0.378:0.378) (0.228:0.231:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.246:0.249:0.252) (0.128:0.128:0.128))
+ (IOPATH B Y (0.227:0.228:0.229) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.237:0.239:0.241) (0.263:0.264:0.264))
+ (IOPATH A Y (0.305:0.306:0.306) (0.169:0.171:0.173))
+ (IOPATH B Y (0.280:0.280:0.280) (0.251:0.253:0.256))
+ (IOPATH B Y (0.255:0.257:0.259) (0.196:0.196:0.196))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.262:0.262:0.262) (0.696:0.698:0.701))
+ (IOPATH B X (0.213:0.213:0.213) (0.665:0.665:0.666))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.168:0.169:0.169) (0.194:0.194:0.194))
+ (IOPATH B Y (0.174:0.174:0.175) (0.221:0.223:0.225))
+ (IOPATH C Y (0.182:0.182:0.182) (0.225:0.225:0.225))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.292:0.292:0.292) (0.421:0.421:0.421))
+ (IOPATH A2 X (0.301:0.303:0.305) (0.440:0.441:0.441))
+ (IOPATH B1 X (0.205:0.205:0.205) (0.409:0.409:0.409))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.399:0.399:0.399) (0.467:0.467:0.467))
+ (IOPATH A2 X (0.413:0.415:0.417) (0.552:0.552:0.552))
+ (IOPATH A3 X (0.415:0.416:0.418) (0.505:0.505:0.506))
+ (IOPATH B1 X (0.277:0.277:0.277) (0.422:0.422:0.422))
+ (IOPATH B2 X (0.287:0.315:0.343) (0.460:0.463:0.467))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.287:0.287:0.287) (0.133:0.135:0.137))
+ (IOPATH A2 Y (0.270:0.270:0.271) (0.135:0.137:0.139))
+ (IOPATH B1 Y (0.216:0.216:0.216) (0.094:0.094:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.241:0.243:0.245) (0.387:0.387:0.387))
+ (IOPATH A2 X (0.244:0.245:0.247) (0.379:0.380:0.380))
+ (IOPATH B1 X (0.176:0.176:0.176) (0.335:0.335:0.335))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.210:0.210:0.210) (0.091:0.091:0.091))
+ (IOPATH B Y (0.193:0.197:0.201) (0.082:0.109:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.205:0.208:0.210) (1.083:1.083:1.084))
+ (IOPATH B X (0.234:0.237:0.240) (1.021:1.026:1.032))
+ (IOPATH C X (0.224:0.225:0.225) (0.941:0.944:0.947))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.264:0.264:0.264) (0.281:0.282:0.283))
+ (IOPATH A Y (0.320:0.321:0.321) (0.196:0.196:0.196))
+ (IOPATH B Y (0.305:0.309:0.313) (0.271:0.285:0.299))
+ (IOPATH B Y (0.271:0.284:0.297) (0.220:0.224:0.227))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.123:0.123:0.123) (0.142:0.142:0.142))
+ (IOPATH B Y (0.156:0.160:0.165) (0.148:0.176:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.293:0.293:0.293) (0.743:0.743:0.743))
+ (IOPATH B X (0.223:0.223:0.223) (0.663:0.663:0.663))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.087:0.094:0.100) (0.090:0.091:0.092))
+ (IOPATH B Y (0.131:0.131:0.131) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.319:0.319:0.319) (0.429:0.429:0.429))
+ (IOPATH A2 X (0.285:0.315:0.344) (0.420:0.424:0.428))
+ (IOPATH B1 X (0.276:0.279:0.283) (0.390:0.390:0.390))
+ (IOPATH B2 X (0.255:0.255:0.255) (0.354:0.354:0.354))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.123:0.125:0.127) (0.118:0.121:0.123))
+ (IOPATH B Y (0.125:0.125:0.125) (0.118:0.118:0.119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.511:0.512:0.514) (0.131:0.134:0.137))
+ (IOPATH A2 Y (0.593:0.594:0.595) (0.129:0.130:0.132))
+ (IOPATH B1 Y (0.560:0.560:0.561) (0.100:0.103:0.105))
+ (IOPATH C1 Y (0.467:0.471:0.476) (0.125:0.128:0.130))
+ (IOPATH D1 Y (0.342:0.344:0.347) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.390:0.391:0.393))
+ (IOPATH A2 X (0.241:0.241:0.241) (0.372:0.373:0.374))
+ (IOPATH B1 X (0.261:0.261:0.261) (0.210:0.210:0.210))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.276:0.279:0.281) (0.356:0.356:0.357))
+ (IOPATH B X (0.253:0.255:0.257) (0.385:0.385:0.385))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.829:0.841:0.853) (0.955:0.964:0.973))
+ (IOPATH A2 X (0.716:0.716:0.717) (0.921:0.923:0.926))
+ (IOPATH A3 X (0.681:0.681:0.681) (0.856:0.856:0.857))
+ (IOPATH B1 X (0.725:0.726:0.726) (0.445:0.451:0.457))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.472:0.472:0.472) (0.913:0.913:0.913))
+ (IOPATH B X (0.415:0.415:0.415) (0.839:0.839:0.839))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.371:0.371:0.371) (0.840:0.840:0.840))
+ (IOPATH B X (0.366:0.366:0.366) (0.795:0.795:0.795))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.407:0.407:0.407) (0.855:0.855:0.855))
+ (IOPATH B X (0.318:0.318:0.318) (0.782:0.783:0.783))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.340:0.340:0.340) (0.182:0.182:0.182))
+ (IOPATH B Y (0.276:0.277:0.277) (0.124:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.280:0.280:0.280) (0.774:0.774:0.774))
+ (IOPATH B X (0.299:0.299:0.299) (0.746:0.746:0.746))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.207:0.207:0.207) (1.443:1.443:1.443))
+ (IOPATH B X (0.227:0.227:0.227) (1.402:1.402:1.402))
+ (IOPATH C_N X (0.441:0.450:0.459) (1.544:1.544:1.544))
+ (IOPATH D_N X (0.305:0.311:0.316) (1.261:1.261:1.262))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.320:0.320:0.320) (0.353:0.353:0.353))
+ (IOPATH B X (0.340:0.340:0.340) (0.401:0.401:0.401))
+ (IOPATH C X (0.452:0.456:0.460) (0.444:0.465:0.485))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.243:0.243:0.243) (0.324:0.324:0.324))
+ (IOPATH B X (0.245:0.248:0.251) (0.375:0.375:0.375))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.172:0.172:0.172) (1.042:1.043:1.044))
+ (IOPATH B X (0.179:0.181:0.183) (0.999:1.000:1.001))
+ (IOPATH C_N X (0.340:0.346:0.352) (1.011:1.011:1.011))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.208:0.209:0.209) (1.195:1.195:1.195))
+ (IOPATH B X (0.219:0.220:0.221) (1.107:1.109:1.111))
+ (IOPATH C X (0.203:0.203:0.204) (1.059:1.059:1.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.489:0.489:0.489) (0.420:0.420:0.420))
+ (IOPATH B X (0.494:0.494:0.494) (0.526:0.526:0.527))
+ (IOPATH C X (0.520:0.520:0.520) (0.494:0.496:0.497))
+ (IOPATH D X (0.538:0.538:0.538) (0.585:0.585:0.585))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.149:0.149:0.149) (0.151:0.151:0.151))
+ (IOPATH B Y (0.179:0.179:0.179) (0.179:0.179:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.419:0.419:0.419) (0.182:0.182:0.182))
+ (IOPATH B Y (0.372:0.372:0.372) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.288:0.288:0.288) (0.317:0.317:0.317))
+ (IOPATH B Y (0.265:0.265:0.265) (0.271:0.271:0.271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.252:0.252:0.252) (1.613:1.613:1.613))
+ (IOPATH B X (0.220:0.220:0.220) (1.558:1.558:1.558))
+ (IOPATH C X (0.224:0.226:0.229) (1.500:1.500:1.500))
+ (IOPATH D X (0.276:0.277:0.278) (1.383:1.386:1.389))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.528:0.528:0.528) (0.838:0.838:0.838))
+ (IOPATH A2 X (0.669:0.669:0.669) (0.878:0.886:0.894))
+ (IOPATH A3 X (0.435:0.436:0.436) (0.776:0.776:0.776))
+ (IOPATH B1 X (0.500:0.500:0.500) (0.336:0.339:0.341))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.302:0.302:0.302) (0.315:0.315:0.315))
+ (IOPATH A Y (0.385:0.385:0.385) (0.238:0.238:0.238))
+ (IOPATH B Y (0.432:0.432:0.432) (0.389:0.397:0.404))
+ (IOPATH B Y (0.420:0.428:0.437) (0.356:0.356:0.356))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.232:0.232:0.232) (0.145:0.145:0.145))
+ (IOPATH B Y (0.237:0.244:0.251) (0.203:0.203:0.203))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.391:0.391:0.391) (0.448:0.448:0.448))
+ (IOPATH B X (0.525:0.525:0.525) (0.557:0.568:0.579))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.353:0.365:0.377) (0.143:0.150:0.157))
+ (IOPATH B Y (0.328:0.328:0.329) (0.129:0.130:0.131))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.246:0.246:0.247) (0.252:0.252:0.252))
+ (IOPATH B Y (0.250:0.254:0.258) (0.239:0.239:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.217:0.217:0.217) (0.123:0.123:0.123))
+ (IOPATH B Y (0.237:0.244:0.251) (0.202:0.202:0.202))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.299:0.299:0.299) (0.306:0.306:0.306))
+ (IOPATH A Y (0.370:0.370:0.370) (0.234:0.234:0.234))
+ (IOPATH B Y (0.427:0.428:0.428) (0.383:0.391:0.399))
+ (IOPATH B Y (0.409:0.417:0.426) (0.349:0.349:0.350))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.543:0.544:0.544) (0.515:0.523:0.531))
+ (IOPATH A2 X (0.353:0.353:0.353) (0.486:0.487:0.487))
+ (IOPATH B1_N X (0.455:0.456:0.456) (0.482:0.484:0.486))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.483:0.484:0.485) (0.554:0.557:0.560))
+ (IOPATH A2 X (0.487:0.524:0.561) (0.580:0.590:0.600))
+ (IOPATH A3 X (0.501:0.503:0.505) (0.562:0.562:0.562))
+ (IOPATH B1 X (0.419:0.419:0.419) (0.548:0.548:0.549))
+ (IOPATH B2 X (0.540:0.540:0.540) (0.594:0.602:0.611))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.383:0.426:0.470) (0.489:0.498:0.508))
+ (IOPATH A2 X (0.486:0.486:0.486) (0.502:0.505:0.507))
+ (IOPATH A3 X (0.434:0.435:0.437) (0.514:0.515:0.515))
+ (IOPATH B1 X (0.299:0.299:0.299) (0.472:0.472:0.472))
+ (IOPATH B2 X (0.457:0.457:0.457) (0.539:0.548:0.556))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.332:0.332:0.332) (0.341:0.341:0.341))
+ (IOPATH A Y (0.367:0.367:0.367) (0.263:0.263:0.263))
+ (IOPATH B Y (0.399:0.400:0.400) (0.353:0.361:0.368))
+ (IOPATH B Y (0.344:0.353:0.361) (0.304:0.304:0.304))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.273:0.276:0.278) (0.358:0.358:0.359))
+ (IOPATH B X (0.276:0.306:0.336) (0.410:0.420:0.431))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.214:0.215:0.215) (0.126:0.128:0.130))
+ (IOPATH A2 Y (0.184:0.193:0.202) (0.101:0.127:0.152))
+ (IOPATH B1 Y (0.125:0.134:0.144) (0.179:0.180:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.415:0.415:0.415) (0.500:0.500:0.500))
+ (IOPATH A2 X (0.353:0.354:0.354) (0.438:0.447:0.457))
+ (IOPATH B1 X (0.270:0.270:0.270) (0.385:0.386:0.386))
+ (IOPATH B2 X (0.242:0.255:0.268) (0.344:0.352:0.360))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.270:0.272:0.274) (0.232:0.232:0.232))
+ (IOPATH A2 Y (0.308:0.308:0.309) (0.182:0.184:0.186))
+ (IOPATH B1 Y (0.245:0.246:0.247) (0.097:0.097:0.098))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.212:0.248:0.285) (0.248:0.258:0.268))
+ (IOPATH A Y (0.242:0.250:0.259) (0.143:0.177:0.212))
+ (IOPATH B Y (0.239:0.241:0.242) (0.212:0.228:0.243))
+ (IOPATH B Y (0.167:0.180:0.194) (0.152:0.153:0.155))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.303:0.303:0.303) (0.673:0.673:0.673))
+ (IOPATH A1 X (0.220:0.240:0.259) (0.643:0.648:0.653))
+ (IOPATH S X (0.423:0.435:0.446) (0.775:0.776:0.777))
+ (IOPATH S X (0.329:0.329:0.330) (0.723:0.732:0.742))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.223:0.225:0.228) (0.213:0.213:0.213))
+ (IOPATH A X (0.290:0.290:0.290) (0.300:0.302:0.304))
+ (IOPATH B X (0.201:0.201:0.202) (0.152:0.154:0.156))
+ (IOPATH B X (0.249:0.251:0.253) (0.270:0.271:0.271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.295:0.295:0.295) (0.664:0.664:0.664))
+ (IOPATH A1 X (0.237:0.244:0.250) (0.634:0.640:0.647))
+ (IOPATH S X (0.419:0.430:0.442) (0.769:0.770:0.770))
+ (IOPATH S X (0.324:0.325:0.326) (0.717:0.726:0.735))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.288:0.295:0.303) (0.271:0.271:0.271))
+ (IOPATH A2 Y (0.259:0.259:0.260) (0.132:0.132:0.132))
+ (IOPATH B1 Y (0.161:0.174:0.187) (0.081:0.085:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.471:0.471:0.471) (0.536:0.536:0.536))
+ (IOPATH A2 X (0.425:0.427:0.428) (0.497:0.498:0.498))
+ (IOPATH B1 X (0.436:0.438:0.439) (0.257:0.280:0.304))
+ (IOPATH C1 X (0.488:0.489:0.490) (0.285:0.296:0.307))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.249:0.249:0.249) (0.262:0.262:0.262))
+ (IOPATH A Y (0.264:0.264:0.264) (0.181:0.181:0.181))
+ (IOPATH B Y (0.210:0.210:0.211) (0.228:0.234:0.240))
+ (IOPATH B Y (0.192:0.197:0.202) (0.133:0.133:0.133))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.219:0.253:0.287) (0.256:0.266:0.276))
+ (IOPATH A Y (0.258:0.267:0.276) (0.151:0.184:0.216))
+ (IOPATH B Y (0.216:0.218:0.220) (0.244:0.245:0.245))
+ (IOPATH B Y (0.205:0.206:0.206) (0.136:0.138:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.513:0.525:0.536) (0.502:0.503:0.504))
+ (IOPATH A2_N X (0.446:0.453:0.461) (0.410:0.432:0.454))
+ (IOPATH B1 X (0.374:0.374:0.374) (0.555:0.555:0.555))
+ (IOPATH B2 X (0.325:0.325:0.325) (0.507:0.509:0.511))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.164:0.165:0.165) (0.145:0.145:0.145))
+ (IOPATH B Y (0.151:0.151:0.151) (0.146:0.148:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.370:0.371:0.371) (0.323:0.331:0.339))
+ (IOPATH A Y (0.306:0.314:0.321) (0.290:0.290:0.291))
+ (IOPATH B Y (0.191:0.191:0.192) (0.228:0.229:0.230))
+ (IOPATH B Y (0.180:0.181:0.182) (0.113:0.113:0.114))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.247:0.247:0.247) (0.642:0.642:0.642))
+ (IOPATH A1 X (0.221:0.243:0.265) (0.643:0.654:0.664))
+ (IOPATH S X (0.425:0.436:0.448) (0.777:0.778:0.779))
+ (IOPATH S X (0.330:0.331:0.332) (0.725:0.734:0.744))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.109:0.109:0.109) (0.111:0.111:0.111))
+ (IOPATH B Y (0.138:0.148:0.159) (0.162:0.163:0.163))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.283:0.283:0.283) (0.405:0.405:0.405))
+ (IOPATH A2 X (0.242:0.242:0.243) (0.356:0.358:0.360))
+ (IOPATH B1 X (0.224:0.227:0.230) (0.196:0.198:0.199))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.426:0.426:0.426) (0.432:0.432:0.432))
+ (IOPATH B X (0.468:0.468:0.468) (0.499:0.499:0.499))
+ (IOPATH C X (0.484:0.484:0.484) (0.538:0.538:0.538))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.360:0.360:0.360) (0.431:0.431:0.431))
+ (IOPATH B X (0.352:0.352:0.352) (0.455:0.457:0.458))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.293:0.293:0.293) (0.410:0.410:0.410))
+ (IOPATH A2 X (0.240:0.240:0.240) (0.363:0.364:0.365))
+ (IOPATH B1 X (0.350:0.437:0.523) (0.361:0.365:0.368))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.236:0.236:0.236) (0.378:0.378:0.378))
+ (IOPATH B Y (0.106:0.107:0.108) (0.117:0.117:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.410:0.410:0.410) (0.507:0.507:0.507))
+ (IOPATH A2 X (0.370:0.370:0.370) (0.453:0.454:0.455))
+ (IOPATH B1 X (0.358:0.359:0.361) (0.232:0.237:0.242))
+ (IOPATH C1 X (0.459:0.554:0.649) (0.362:0.366:0.369))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.203:0.203:0.203) (0.213:0.213:0.213))
+ (IOPATH B Y (0.194:0.195:0.196) (0.201:0.201:0.201))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.221:0.221:0.221) (0.158:0.158:0.158))
+ (IOPATH A2 Y (0.256:0.256:0.256) (0.171:0.171:0.171))
+ (IOPATH B1 Y (0.177:0.177:0.177) (0.085:0.085:0.085))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.221:0.221:0.221) (0.696:0.697:0.698))
+ (IOPATH B X (0.208:0.213:0.217) (0.640:0.644:0.648))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.219:0.220:0.220) (0.129:0.131:0.132))
+ (IOPATH A2 Y (0.232:0.232:0.232) (0.103:0.103:0.103))
+ (IOPATH B1 Y (0.180:0.197:0.214) (0.138:0.140:0.142))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.295:0.295:0.295))
+ (IOPATH A Y (0.309:0.309:0.309) (0.211:0.211:0.211))
+ (IOPATH B Y (0.281:0.281:0.281) (0.300:0.300:0.300))
+ (IOPATH B Y (0.273:0.273:0.273) (0.194:0.194:0.194))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.207:0.208:0.209) (0.124:0.125:0.127))
+ (IOPATH A2 Y (0.202:0.207:0.212) (0.101:0.124:0.147))
+ (IOPATH B1 Y (0.169:0.186:0.203) (0.129:0.132:0.134))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.138:0.138:0.138) (0.172:0.172:0.172))
+ (IOPATH B Y (0.228:0.230:0.233) (0.219:0.274:0.330))
+ (IOPATH C Y (0.139:0.140:0.141) (0.167:0.168:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.230:0.230:0.230) (0.630:0.630:0.630))
+ (IOPATH A1 X (0.232:0.232:0.232) (0.648:0.648:0.648))
+ (IOPATH S X (0.535:0.539:0.543) (0.785:0.844:0.902))
+ (IOPATH S X (0.343:0.419:0.495) (0.817:0.821:0.824))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.229:0.229:0.229) (0.628:0.628:0.628))
+ (IOPATH A1 X (0.237:0.237:0.237) (0.651:0.651:0.651))
+ (IOPATH S X (0.397:0.417:0.438) (0.797:0.801:0.804))
+ (IOPATH S X (0.359:0.363:0.368) (0.699:0.716:0.733))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.185:0.185:0.185) (0.657:0.657:0.657))
+ (IOPATH B X (0.311:0.316:0.321) (0.626:0.642:0.659))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.705:0.705:0.705) (0.595:0.595:0.595))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.299:0.299:0.299) (0.389:0.389:0.389))
+ (IOPATH A2 X (0.896:0.896:0.896) (0.845:0.845:0.845))
+ (IOPATH B1 X (0.347:0.347:0.347) (0.526:0.526:0.526))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.432:0.432:0.432) (1.342:1.342:1.342))
+ (IOPATH B X (0.344:0.344:0.344) (1.237:1.237:1.237))
+ (IOPATH C X (0.373:0.373:0.373) (1.178:1.178:1.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.404:0.404:0.404) (0.200:0.200:0.200))
+ (IOPATH B Y (0.317:0.317:0.317) (0.117:0.117:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.269:0.269:0.269) (0.280:0.280:0.280))
+ (IOPATH B Y (0.260:0.266:0.273) (0.359:0.359:0.359))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (1.027:1.027:1.027) (1.024:1.024:1.024))
+ (IOPATH B X (0.452:0.453:0.453) (0.573:0.574:0.574))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.504:0.505:0.505) (0.544:0.544:0.544))
+ (IOPATH B X (0.549:0.550:0.552) (0.593:0.596:0.599))
+ (IOPATH C X (0.546:0.546:0.546) (0.574:0.574:0.574))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.859:0.859:0.859) (0.832:0.832:0.832))
+ (IOPATH A2 X (0.307:0.307:0.307) (0.418:0.418:0.418))
+ (IOPATH B1 X (0.281:0.281:0.281) (0.425:0.427:0.428))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.284:0.284:0.284) (0.132:0.132:0.132))
+ (IOPATH B Y (0.620:0.620:0.620) (0.473:0.473:0.473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.287:0.287:0.287) (0.715:0.715:0.715))
+ (IOPATH B X (0.258:0.260:0.261) (0.685:0.687:0.689))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.355:0.355:0.355) (1.251:1.251:1.251))
+ (IOPATH B X (0.294:0.294:0.294) (1.154:1.154:1.154))
+ (IOPATH C X (0.275:0.276:0.276) (1.096:1.096:1.097))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.025:1.025:1.025) (0.905:0.905:0.905))
+ (IOPATH A2 X (0.364:0.364:0.364) (0.511:0.511:0.511))
+ (IOPATH B1 X (0.424:0.426:0.427) (0.525:0.527:0.529))
+ (IOPATH B2 X (0.414:0.414:0.414) (0.513:0.513:0.513))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.863:0.863:0.863) (0.835:0.835:0.835))
+ (IOPATH A2 X (0.312:0.312:0.312) (0.422:0.422:0.422))
+ (IOPATH B1 X (0.297:0.297:0.297) (0.439:0.439:0.439))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.545:0.545:0.545) (0.608:0.608:0.608))
+ (IOPATH A2 X (0.468:0.468:0.469) (0.585:0.585:0.585))
+ (IOPATH B1 X (0.518:0.519:0.520) (0.381:0.384:0.387))
+ (IOPATH C1 X (0.487:0.487:0.488) (0.300:0.300:0.300))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.858:0.858:0.858) (0.831:0.831:0.831))
+ (IOPATH A2 X (0.316:0.316:0.316) (0.424:0.424:0.424))
+ (IOPATH B1 X (0.260:0.260:0.260) (0.405:0.410:0.416))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.313:0.313:0.313) (0.786:0.786:0.787))
+ (IOPATH B X (0.305:0.306:0.307) (0.752:0.754:0.756))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.498:0.500:0.501) (0.581:0.583:0.586))
+ (IOPATH A2 X (0.505:0.506:0.507) (0.589:0.592:0.594))
+ (IOPATH B1 X (0.512:0.512:0.512) (0.335:0.335:0.335))
+ (IOPATH C1 X (0.442:0.442:0.442) (0.294:0.294:0.294))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.859:0.859:0.859) (0.832:0.832:0.832))
+ (IOPATH A2 X (0.316:0.316:0.316) (0.424:0.424:0.424))
+ (IOPATH B1 X (0.264:0.264:0.264) (0.407:0.412:0.417))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.034:1.034:1.034) (0.913:0.913:0.913))
+ (IOPATH A2 X (0.379:0.379:0.379) (0.522:0.522:0.522))
+ (IOPATH B1 X (0.482:0.482:0.482) (0.579:0.579:0.579))
+ (IOPATH B2 X (0.375:0.375:0.376) (0.539:0.539:0.539))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.412:0.412:0.412) (1.316:1.316:1.316))
+ (IOPATH B X (0.325:0.325:0.325) (1.211:1.211:1.211))
+ (IOPATH C X (0.279:0.279:0.279) (1.114:1.114:1.114))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.268:0.268:0.268) (0.722:0.722:0.722))
+ (IOPATH B X (0.208:0.209:0.210) (0.679:0.680:0.680))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.041:1.041:1.041) (0.918:0.918:0.918))
+ (IOPATH A2 X (0.388:0.388:0.388) (0.529:0.529:0.529))
+ (IOPATH B1 X (0.413:0.413:0.413) (0.487:0.489:0.490))
+ (IOPATH B2 X (0.357:0.357:0.357) (0.504:0.504:0.504))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.053:1.053:1.053) (0.930:0.930:0.930))
+ (IOPATH A2 X (0.401:0.401:0.401) (0.541:0.541:0.541))
+ (IOPATH B1 X (0.437:0.438:0.438) (0.508:0.508:0.508))
+ (IOPATH B2 X (0.389:0.389:0.389) (0.529:0.529:0.529))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.368:0.369:0.369) (0.483:0.483:0.483))
+ (IOPATH A2 X (0.297:0.298:0.298) (0.467:0.467:0.467))
+ (IOPATH B1 X (0.346:0.347:0.349) (0.456:0.458:0.460))
+ (IOPATH B2 X (0.298:0.299:0.300) (0.404:0.408:0.412))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.049:1.049:1.049) (0.927:0.927:0.927))
+ (IOPATH A2 X (0.396:0.396:0.396) (0.537:0.537:0.537))
+ (IOPATH B1 X (0.404:0.405:0.405) (0.477:0.482:0.488))
+ (IOPATH B2 X (0.368:0.368:0.369) (0.497:0.497:0.497))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.185:0.185:0.185) (0.342:0.342:0.342))
+ (IOPATH B Y (0.475:0.475:0.475) (0.491:0.491:0.491))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.955:0.955:0.955) (1.181:1.181:1.181))
+ (IOPATH A2 X (0.419:0.419:0.419) (0.768:0.769:0.769))
+ (IOPATH A3 X (0.473:0.473:0.473) (0.667:0.668:0.668))
+ (IOPATH B1 X (0.382:0.423:0.464) (0.289:0.332:0.374))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.338:0.338:0.338) (0.703:0.704:0.705))
+ (IOPATH B X (0.243:0.244:0.244) (0.724:0.724:0.724))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.564:0.565:0.566) (0.621:0.623:0.625))
+ (IOPATH A2 X (0.570:0.570:0.570) (0.615:0.615:0.615))
+ (IOPATH A3 X (0.519:0.519:0.520) (0.592:0.592:0.592))
+ (IOPATH B1 X (0.373:0.373:0.373) (0.486:0.486:0.486))
+ (IOPATH B2 X (0.897:0.897:0.897) (0.983:0.983:0.983))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.992:0.992:0.992) (0.889:0.889:0.889))
+ (IOPATH A2 X (0.343:0.343:0.343) (0.500:0.500:0.500))
+ (IOPATH B1 X (0.381:0.381:0.381) (0.470:0.470:0.471))
+ (IOPATH B2 X (0.313:0.313:0.313) (0.477:0.477:0.477))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.297:0.297:0.297) (0.732:0.732:0.732))
+ (IOPATH B X (0.217:0.217:0.218) (0.694:0.694:0.694))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.997:0.997:0.997) (0.888:0.888:0.888))
+ (IOPATH A2 X (0.347:0.347:0.347) (0.498:0.498:0.498))
+ (IOPATH B1 X (0.373:0.373:0.373) (0.459:0.460:0.461))
+ (IOPATH B2 X (0.319:0.320:0.320) (0.478:0.478:0.478))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.320:0.320:0.320) (0.606:0.606:0.606))
+ (IOPATH A2 X (0.327:0.327:0.327) (0.677:0.677:0.677))
+ (IOPATH B1 X (0.250:0.250:0.250) (0.615:0.615:0.615))
+ (IOPATH C1 X (0.204:0.204:0.204) (0.524:0.524:0.524))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.283:0.283:0.283) (0.214:0.214:0.214))
+ (IOPATH A2 Y (0.183:0.184:0.185) (0.184:0.184:0.184))
+ (IOPATH B1 Y (0.086:0.091:0.097) (0.188:0.188:0.188))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.477:0.477:0.477) (0.555:0.555:0.555))
+ (IOPATH A2 X (0.390:0.390:0.390) (0.462:0.462:0.462))
+ (IOPATH B1 X (0.351:0.353:0.354) (0.239:0.239:0.240))
+ (IOPATH C1 X (0.336:0.351:0.367) (0.206:0.211:0.216))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.169:0.169:0.169) (0.183:0.183:0.183))
+ (IOPATH B Y (0.109:0.115:0.121) (0.187:0.187:0.187))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.261:0.261:0.261) (0.737:0.737:0.737))
+ (IOPATH B X (0.265:0.265:0.265) (0.720:0.720:0.721))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.314:0.314:0.314) (0.667:0.668:0.669))
+ (IOPATH B X (0.171:0.176:0.181) (0.616:0.621:0.626))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.008:1.008:1.008) (0.897:0.897:0.897))
+ (IOPATH A2 X (0.359:0.359:0.359) (0.508:0.508:0.508))
+ (IOPATH B1 X (0.367:0.367:0.367) (0.449:0.455:0.460))
+ (IOPATH B2 X (0.339:0.340:0.340) (0.461:0.465:0.469))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.265:0.265:0.265) (0.706:0.706:0.706))
+ (IOPATH B X (0.268:0.268:0.268) (0.682:0.682:0.682))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.372:0.373:0.373) (0.745:0.746:0.747))
+ (IOPATH B X (0.266:0.267:0.267) (0.762:0.762:0.762))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.258:0.258:0.259) (0.414:0.414:0.414))
+ (IOPATH A2 X (0.301:0.302:0.303) (0.444:0.446:0.448))
+ (IOPATH B1 X (0.210:0.211:0.211) (0.386:0.390:0.393))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.416:0.416:0.416) (0.502:0.502:0.502))
+ (IOPATH A2 X (0.375:0.376:0.376) (0.486:0.486:0.486))
+ (IOPATH B1 X (0.367:0.367:0.367) (0.269:0.269:0.269))
+ (IOPATH C1 X (0.337:0.338:0.338) (0.232:0.232:0.232))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.313:0.314:0.315) (0.441:0.444:0.446))
+ (IOPATH A2 X (0.321:0.322:0.323) (0.459:0.462:0.464))
+ (IOPATH B1 X (0.286:0.286:0.287) (0.440:0.440:0.440))
+ (IOPATH B2 X (0.288:0.289:0.290) (0.395:0.399:0.402))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.450:0.450:0.450) (0.502:0.502:0.502))
+ (IOPATH B X (0.353:0.353:0.354) (0.435:0.435:0.435))
+ (IOPATH C X (0.340:0.342:0.343) (0.415:0.415:0.416))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.327:0.328:0.328) (0.342:0.348:0.353))
+ (IOPATH B X (0.332:0.333:0.333) (0.392:0.392:0.392))
+ (IOPATH C X (0.358:0.358:0.358) (0.424:0.425:0.426))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.487:0.487:0.487) (0.569:0.569:0.569))
+ (IOPATH A2 X (0.526:0.559:0.592) (0.552:0.616:0.680))
+ (IOPATH A3 X (0.468:0.468:0.469) (0.562:0.562:0.563))
+ (IOPATH B1 X (0.330:0.330:0.330) (0.464:0.464:0.464))
+ (IOPATH B2 X (0.854:0.854:0.854) (0.962:0.962:0.962))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.370:0.371:0.372) (0.499:0.503:0.506))
+ (IOPATH A2 X (0.309:0.310:0.310) (0.487:0.488:0.488))
+ (IOPATH B1 X (0.295:0.295:0.296) (0.432:0.432:0.432))
+ (IOPATH B2 X (0.341:0.341:0.341) (0.441:0.441:0.441))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.462:0.462:0.462) (0.445:0.447:0.449))
+ (IOPATH B X (0.439:0.440:0.440) (0.499:0.499:0.499))
+ (IOPATH C X (0.439:0.440:0.440) (0.496:0.496:0.496))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.880:0.880:0.880) (0.854:0.854:0.854))
+ (IOPATH A2 X (0.333:0.333:0.333) (0.443:0.443:0.443))
+ (IOPATH B1 X (0.275:0.275:0.275) (0.433:0.435:0.436))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.498:0.498:0.498) (0.584:0.584:0.584))
+ (IOPATH A2 X (0.471:0.471:0.471) (0.604:0.604:0.604))
+ (IOPATH B1 X (0.442:0.442:0.442) (0.529:0.529:0.529))
+ (IOPATH B2 X (0.476:0.477:0.478) (0.530:0.534:0.538))
+ (IOPATH C1 X (0.419:0.420:0.420) (0.289:0.289:0.289))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.245:0.247:0.248) (0.731:0.734:0.736))
+ (IOPATH B X (0.210:0.210:0.210) (0.680:0.680:0.680))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.394:0.395:0.395) (0.388:0.395:0.401))
+ (IOPATH B X (0.376:0.377:0.377) (0.430:0.430:0.431))
+ (IOPATH C X (0.391:0.391:0.391) (0.484:0.484:0.484))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.440:0.441:0.442) (0.532:0.532:0.532))
+ (IOPATH A2 X (0.486:0.487:0.487) (0.554:0.562:0.569))
+ (IOPATH A3 X (0.491:0.491:0.491) (0.551:0.552:0.554))
+ (IOPATH B1 X (0.335:0.335:0.335) (0.467:0.467:0.467))
+ (IOPATH B2 X (0.856:0.856:0.856) (0.963:0.963:0.963))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.039:1.039:1.039) (0.922:0.922:0.922))
+ (IOPATH A2 X (0.382:0.382:0.382) (0.529:0.529:0.529))
+ (IOPATH B1 X (0.401:0.401:0.401) (0.512:0.512:0.513))
+ (IOPATH B2 X (0.426:0.459:0.492) (0.493:0.551:0.610))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.015:1.015:1.015) (0.902:0.902:0.902))
+ (IOPATH A2 X (0.365:0.365:0.365) (0.514:0.514:0.514))
+ (IOPATH B1 X (0.389:0.389:0.389) (0.472:0.473:0.475))
+ (IOPATH B2 X (0.359:0.360:0.360) (0.507:0.507:0.507))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.281:0.281:0.281) (0.191:0.191:0.191))
+ (IOPATH A2 Y (0.264:0.264:0.264) (0.183:0.183:0.183))
+ (IOPATH B1 Y (0.149:0.149:0.149) (0.180:0.180:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.187:0.187:0.187) (0.207:0.207:0.207))
+ (IOPATH B Y (0.121:0.124:0.127) (0.124:0.139:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.413:0.414:0.414) (0.544:0.544:0.545))
+ (IOPATH A2 X (0.424:0.424:0.424) (0.516:0.517:0.519))
+ (IOPATH A3 X (0.435:0.438:0.441) (0.516:0.526:0.537))
+ (IOPATH B1 X (0.291:0.291:0.291) (0.434:0.434:0.434))
+ (IOPATH B2 X (0.798:0.798:0.798) (0.928:0.928:0.928))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.301:0.301:0.301) (1.145:1.145:1.145))
+ (IOPATH B X (0.240:0.240:0.240) (1.049:1.049:1.049))
+ (IOPATH C X (0.190:0.190:0.190) (0.977:0.977:0.977))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.007:1.007:1.007) (0.896:0.896:0.896))
+ (IOPATH A2 X (0.352:0.352:0.352) (0.504:0.504:0.504))
+ (IOPATH B1 X (0.360:0.360:0.360) (0.455:0.456:0.458))
+ (IOPATH B2 X (0.326:0.326:0.327) (0.503:0.503:0.504))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.293:0.293:0.293) (0.726:0.726:0.726))
+ (IOPATH B X (0.175:0.180:0.184) (0.622:0.626:0.631))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.411:0.411:0.411) (0.478:0.486:0.493))
+ (IOPATH A2 X (0.429:0.429:0.430) (0.520:0.522:0.523))
+ (IOPATH A3 X (0.417:0.418:0.418) (0.528:0.528:0.528))
+ (IOPATH B1 X (0.292:0.292:0.292) (0.434:0.434:0.434))
+ (IOPATH B2 X (0.793:0.793:0.793) (0.925:0.925:0.925))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.832:0.832:0.832) (0.815:0.815:0.815))
+ (IOPATH A2 X (0.286:0.286:0.286) (0.404:0.404:0.404))
+ (IOPATH B1 X (0.271:0.301:0.331) (0.384:0.444:0.503))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.862:0.862:0.862) (0.866:0.866:0.866))
+ (IOPATH B X (0.424:0.424:0.424) (0.463:0.463:0.463))
+ (IOPATH C X (0.469:0.469:0.469) (0.528:0.528:0.528))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.829:0.829:0.829) (0.813:0.813:0.813))
+ (IOPATH A2 X (0.278:0.278:0.278) (0.399:0.399:0.399))
+ (IOPATH B1 X (0.205:0.205:0.205) (0.381:0.382:0.383))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.993:0.993:0.993) (0.885:0.885:0.885))
+ (IOPATH A2 X (0.353:0.353:0.353) (0.502:0.502:0.502))
+ (IOPATH B1 X (0.348:0.348:0.348) (0.445:0.446:0.447))
+ (IOPATH B2 X (0.325:0.325:0.325) (0.482:0.482:0.482))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.834:0.834:0.834) (0.828:0.828:0.828))
+ (IOPATH B Y (0.828:0.828:0.828) (0.825:0.825:0.825))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.498:0.498:0.498) (0.434:0.434:0.434))
+ (IOPATH B Y (0.400:0.400:0.400) (0.243:0.243:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.501:0.501:0.501) (0.437:0.437:0.437))
+ (IOPATH B Y (0.403:0.403:0.403) (0.245:0.245:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.508:0.508:0.508) (0.443:0.443:0.443))
+ (IOPATH B Y (0.412:0.412:0.412) (0.250:0.251:0.251))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.500:0.500:0.500) (0.436:0.436:0.436))
+ (IOPATH B Y (0.403:0.403:0.403) (0.244:0.245:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.498:0.498:0.498) (0.435:0.435:0.435))
+ (IOPATH B Y (0.401:0.401:0.401) (0.243:0.243:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.510:0.510:0.510) (0.444:0.444:0.444))
+ (IOPATH B Y (0.414:0.414:0.414) (0.251:0.251:0.252))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.500:0.500:0.500) (0.436:0.436:0.436))
+ (IOPATH B Y (0.402:0.402:0.403) (0.244:0.244:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.507:0.507:0.507) (0.442:0.442:0.442))
+ (IOPATH B Y (0.411:0.411:0.411) (0.249:0.250:0.250))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.505:0.505:0.505) (0.441:0.441:0.441))
+ (IOPATH B Y (0.409:0.409:0.409) (0.248:0.248:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.508:0.508:0.508) (0.443:0.443:0.443))
+ (IOPATH B Y (0.412:0.412:0.412) (0.250:0.251:0.251))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.519:0.519:0.519) (0.451:0.451:0.451))
+ (IOPATH B Y (0.424:0.424:0.424) (0.257:0.257:0.257))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.495:0.495:0.495) (0.432:0.432:0.432))
+ (IOPATH B Y (0.397:0.397:0.397) (0.241:0.241:0.241))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.504:0.504:0.504) (0.440:0.440:0.440))
+ (IOPATH B Y (0.407:0.407:0.407) (0.247:0.248:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.513:0.513:0.513) (0.447:0.447:0.447))
+ (IOPATH B Y (0.418:0.418:0.418) (0.253:0.253:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.492:0.492:0.492) (0.430:0.430:0.430))
+ (IOPATH B Y (0.394:0.394:0.394) (0.239:0.239:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.498:0.498:0.498) (0.434:0.434:0.434))
+ (IOPATH B Y (0.400:0.400:0.400) (0.243:0.243:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.516:0.516:0.516) (0.449:0.449:0.449))
+ (IOPATH B Y (0.420:0.421:0.421) (0.255:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.515:0.515:0.515) (0.448:0.448:0.448))
+ (IOPATH B Y (0.420:0.420:0.420) (0.254:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.496:0.496:0.496) (0.433:0.433:0.433))
+ (IOPATH B Y (0.398:0.398:0.398) (0.241:0.241:0.242))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.497:0.497:0.497) (0.434:0.434:0.434))
+ (IOPATH B Y (0.399:0.399:0.399) (0.242:0.242:0.242))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.494:0.494:0.494) (0.431:0.431:0.431))
+ (IOPATH B Y (0.396:0.396:0.396) (0.240:0.240:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.504:0.504:0.504) (0.440:0.440:0.440))
+ (IOPATH B Y (0.407:0.407:0.407) (0.247:0.247:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.509:0.509:0.509) (0.444:0.444:0.444))
+ (IOPATH B Y (0.413:0.414:0.414) (0.251:0.251:0.251))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.745:0.745:0.745) (0.859:0.859:0.859))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.732:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.389:-0.383))
+ (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.062))
+ (HOLD (negedge D) (posedge CLK) (-0.088:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.121:0.122:0.122))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.740:0.740:0.740) (0.855:0.855:0.855))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.733:0.737))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.394:-0.388:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.088:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.728:0.728:0.728) (0.844:0.844:0.844))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.734:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.088:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.276:0.276))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.911:0.911:0.911) (0.989:0.989:0.989))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.732:0.737))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.394:-0.388:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.072:-0.077))
+ (HOLD (negedge D) (posedge CLK) (-0.079:-0.094:-0.109))
+ (SETUP (posedge D) (posedge CLK) (0.128:0.133:0.139))
+ (SETUP (negedge D) (posedge CLK) (0.265:0.282:0.300))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.889:0.889:0.889) (0.971:0.971:0.971))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.732:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.389:-0.383))
+ (HOLD (posedge D) (posedge CLK) (-0.074:-0.076:-0.078))
+ (HOLD (negedge D) (posedge CLK) (-0.069:-0.077:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.136:0.138:0.140))
+ (SETUP (negedge D) (posedge CLK) (0.254:0.263:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.823:0.823:0.823) (0.924:0.924:0.924))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.385:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.077:-0.079:-0.081))
+ (HOLD (negedge D) (posedge CLK) (-0.071:-0.079:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.139:0.141:0.143))
+ (SETUP (negedge D) (posedge CLK) (0.256:0.265:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.804:0.804:0.804) (0.909:0.909:0.909))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.732:0.737))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.394:-0.388:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.069:-0.070:-0.071))
+ (HOLD (negedge D) (posedge CLK) (-0.067:-0.071:-0.075))
+ (SETUP (posedge D) (posedge CLK) (0.130:0.131:0.132))
+ (SETUP (negedge D) (posedge CLK) (0.251:0.256:0.260))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.833:0.833:0.833) (0.934:0.934:0.934))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.729:0.734:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.380))
+ (HOLD (posedge D) (posedge CLK) (-0.062:-0.064:-0.066))
+ (HOLD (negedge D) (posedge CLK) (-0.066:-0.068:-0.069))
+ (SETUP (posedge D) (posedge CLK) (0.123:0.124:0.126))
+ (SETUP (negedge D) (posedge CLK) (0.250:0.252:0.254))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.819:0.819:0.819) (0.921:0.921:0.921))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.729:0.734:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.380))
+ (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.063))
+ (HOLD (negedge D) (posedge CLK) (-0.064:-0.066:-0.069))
+ (SETUP (posedge D) (posedge CLK) (0.122:0.122:0.123))
+ (SETUP (negedge D) (posedge CLK) (0.248:0.250:0.253))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.772:0.772:0.772) (0.881:0.881:0.881))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.734:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.089:-0.089:-0.090))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.277:0.277:0.277))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.838:0.838:0.838) (0.936:0.936:0.936))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.737:0.741))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.388:-0.382:-0.376))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.070:-0.075:-0.079))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.255:0.260:0.265))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.946:0.946:0.946) (1.012:1.012:1.012))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.727:0.731:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.390:-0.384))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.078:-0.090))
+ (HOLD (negedge D) (posedge CLK) (-0.074:-0.078:-0.082))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.140:0.153))
+ (SETUP (negedge D) (posedge CLK) (0.259:0.264:0.269))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.889:0.889:0.889) (0.972:0.972:0.972))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.729:0.733:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.381))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.895:0.895:0.895) (0.978:0.978:0.978))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.735:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.384:-0.378))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.089:-0.089:-0.089))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.276:0.277:0.277))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.989:0.989:0.989) (1.041:1.041:1.041))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.726:0.731:0.735))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.391:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.065:-0.065))
+ (HOLD (negedge D) (posedge CLK) (-0.075:-0.076:-0.076))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.126))
+ (SETUP (negedge D) (posedge CLK) (0.261:0.261:0.261))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.697:0.697:0.697) (0.815:0.815:0.815))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.732:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.389:-0.383))
+ (HOLD (posedge D) (posedge CLK) (-0.063:-0.063:-0.063))
+ (HOLD (negedge D) (posedge CLK) (-0.060:-0.060:-0.060))
+ (SETUP (posedge D) (posedge CLK) (0.123:0.123:0.123))
+ (SETUP (negedge D) (posedge CLK) (0.243:0.243:0.243))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.839:0.839:0.839) (0.937:0.937:0.937))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.736:0.741))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.389:-0.383:-0.377))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.066))
+ (HOLD (negedge D) (posedge CLK) (-0.075:-0.075:-0.075))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.127))
+ (SETUP (negedge D) (posedge CLK) (0.261:0.261:0.261))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.814:0.814:0.814) (0.918:0.918:0.918))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.383:-0.377))
+ (HOLD (posedge D) (posedge CLK) (-0.096:-0.096:-0.096))
+ (HOLD (negedge D) (posedge CLK) (-0.105:-0.105:-0.105))
+ (SETUP (posedge D) (posedge CLK) (0.160:0.160:0.160))
+ (SETUP (negedge D) (posedge CLK) (0.295:0.295:0.295))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.776:0.776:0.776) (0.885:0.885:0.885))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.727:0.731:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.390:-0.383))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.088:-0.088:-0.089))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.276:0.276:0.276))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.783:0.783:0.783) (0.891:0.891:0.891))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.727:0.732:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.389:-0.383))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.068:-0.070))
+ (HOLD (negedge D) (posedge CLK) (-0.089:-0.089:-0.090))
+ (SETUP (posedge D) (posedge CLK) (0.128:0.129:0.131))
+ (SETUP (negedge D) (posedge CLK) (0.277:0.277:0.277))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.774:0.774:0.774) (0.882:0.882:0.882))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.727:0.731:0.735))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.390:-0.384))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.128:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.761:0.761:0.761) (0.871:0.871:0.871))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.729:0.733:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.381))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.068:-0.069))
+ (HOLD (negedge D) (posedge CLK) (-0.089:-0.089:-0.089))
+ (SETUP (posedge D) (posedge CLK) (0.128:0.129:0.130))
+ (SETUP (negedge D) (posedge CLK) (0.276:0.276:0.276))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.764:0.764:0.764) (0.874:0.874:0.874))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.385:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.087:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.128:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.274:0.275:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.271:0.271:0.271) (0.305:0.305:0.305))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.237:0.237:0.237) (0.264:0.264:0.264))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.297:0.297:0.297) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.248:0.248:0.248) (0.234:0.234:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.178:0.184:0.191) (0.062:0.065:0.069))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.172:0.178:0.183) (0.059:0.062:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.095:0.098:0.100) (0.220:0.223:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.087:0.088:0.089) (0.165:0.167:0.169))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.051:0.051:0.051) (0.090:0.090:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.306:0.306:0.306) (0.279:0.279:0.279))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.282:0.282:0.282) (0.258:0.258:0.258))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.033:0.033:0.033))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.046:0.046:0.046))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.046:0.047:0.047))
+ (IOPATH TE_B Z (0.098:0.108:0.118) (0.223:0.236:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.083:0.084:0.086) (0.160:0.162:0.165))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.305:0.305:0.305) (0.278:0.278:0.278))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.251:0.251:0.251) (0.238:0.238:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.111:0.112:0.113) (0.239:0.241:0.242))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.082:0.084:0.086) (0.159:0.162:0.164))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.300:0.300:0.300) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.254:0.254:0.254) (0.239:0.239:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.030:0.030:0.030))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.098:0.100) (0.220:0.222:0.225))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.090:0.091:0.092) (0.169:0.171:0.173))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.091:0.091:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.294:0.294:0.294) (0.269:0.269:0.269))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.257:0.257:0.257) (0.239:0.239:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.043:0.043:0.043) (0.033:0.033:0.033))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.100:0.102:0.103) (0.225:0.227:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.065:0.065:0.065) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.094:0.096:0.097) (0.175:0.177:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.057:0.057:0.057) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.295:0.295:0.295) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.256:0.256:0.256) (0.238:0.238:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.097:0.099:0.101) (0.221:0.224:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.065:0.065:0.065) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.093:0.095:0.097) (0.173:0.176:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.297:0.297:0.297) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.250:0.250:0.250) (0.235:0.235:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.031:0.031:0.031))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.095:0.097:0.099) (0.220:0.222:0.225))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.095:0.096:0.098) (0.176:0.178:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.053:0.053:0.053) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.303:0.303:0.303) (0.277:0.277:0.277))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.269:0.269:0.269) (0.249:0.249:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.044:0.044:0.045))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.098:0.100) (0.220:0.223:0.225))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.097:0.098:0.100) (0.179:0.181:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.050:0.050:0.050) (0.087:0.087:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.305:0.305:0.305) (0.279:0.279:0.279))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.259:0.259:0.259) (0.243:0.243:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.043:0.043:0.043) (0.032:0.032:0.032))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.046:0.047:0.047))
+ (IOPATH TE_B Z (0.102:0.104:0.106) (0.228:0.231:0.233))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.091:0.092:0.094) (0.171:0.173:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.056:0.056:0.056) (0.099:0.099:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.314:0.314:0.314) (0.286:0.286:0.286))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.267:0.267:0.267) (0.251:0.251:0.251))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.040:0.040:0.040) (0.030:0.030:0.030))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.044:0.044:0.044))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.073:0.073:0.073) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.104:0.106:0.108) (0.231:0.233:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.085:0.087:0.088) (0.164:0.165:0.167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.090:0.090:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.302:0.302:0.302) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.251:0.251:0.251) (0.237:0.237:0.237))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.033:0.033:0.033))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.046:0.047:0.047))
+ (IOPATH TE_B Z (0.106:0.107:0.109) (0.233:0.235:0.237))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.089:0.091:0.092) (0.169:0.170:0.172))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.300:0.300:0.300) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.263:0.263:0.263) (0.244:0.244:0.244))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.031:0.031:0.031))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.044:0.044:0.044))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.105:0.106:0.108) (0.232:0.234:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.084:0.086:0.087) (0.162:0.164:0.166))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.053:0.053:0.053) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.102:0.102:0.102) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.174:0.174:0.174) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.103:0.103:0.103) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.093:0.093:0.093) (0.086:0.086:0.086))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.573:0.574:0.574) (1.120:1.120:1.120))
+ (IOPATH B X (0.252:0.258:0.264) (0.692:0.694:0.695))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.143:0.143:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.043:0.043:0.043) (0.032:0.032:0.032))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.011:0.011:0.011))
+ (IOPATH TE_B Z (0.112:0.113:0.114) (0.240:0.242:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.013:0.013:0.013))
+ (IOPATH TE_B Z (0.086:0.087:0.089) (0.164:0.166:0.168))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.057:0.057:0.057) (0.099:0.099:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.380:0.381:0.381) (0.165:0.165:0.165))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.tt.sdf b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.tt.sdf
new file mode 100644
index 00000000..b36041a0
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/min/digital_pll.tt.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:48:59 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _334_.B2 (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco _296_.B (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco _351_.A1 (0.976:0.976:0.976) (0.487:0.487:0.487))
+ (INTERCONNECT dco _354_.A1 (0.976:0.976:0.976) (0.487:0.487:0.487))
+ (INTERCONNECT dco _337_.A1 (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco _348_.A1 (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco _350_.B2 (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco _341_.B2 (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco _342_.A1 (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco _326_.A1 (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _343_.A1 (0.963:0.963:0.963) (0.475:0.475:0.475))
+ (INTERCONNECT dco _346_.B2 (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _312_.A1 (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _319_.A1 (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _289_.A2 (0.959:0.959:0.959) (0.470:0.470:0.470))
+ (INTERCONNECT dco _295_.A1 (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco _314_.A1 (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _317_.A1 (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _316_.B2 (0.960:0.960:0.960) (0.471:0.471:0.471))
+ (INTERCONNECT dco _293_.A_N (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco _306_.A1 (0.960:0.960:0.960) (0.471:0.471:0.471))
+ (INTERCONNECT dco _310_.A1 (0.963:0.963:0.963) (0.475:0.475:0.475))
+ (INTERCONNECT dco _309_.A1 (0.963:0.963:0.963) (0.475:0.475:0.475))
+ (INTERCONNECT dco _305_.A1 (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco _300_.A1 (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco _302_.A1 (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco _299_.A1 (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco _313_.B (0.960:0.960:0.960) (0.471:0.471:0.471))
+ (INTERCONNECT dco _353_.A1 (0.974:0.974:0.974) (0.484:0.484:0.484))
+ (INTERCONNECT dco _366_.A (0.975:0.975:0.975) (0.485:0.485:0.485))
+ (INTERCONNECT dco _369_.A (0.974:0.974:0.974) (0.484:0.484:0.484))
+ (INTERCONNECT dco _368_.A (0.975:0.975:0.975) (0.486:0.486:0.486))
+ (INTERCONNECT dco _352_.A_N (0.975:0.975:0.975) (0.485:0.485:0.485))
+ (INTERCONNECT dco _367_.A (0.975:0.975:0.975) (0.486:0.486:0.486))
+ (INTERCONNECT dco _371_.A (0.972:0.972:0.972) (0.482:0.482:0.482))
+ (INTERCONNECT dco _358_.A (0.973:0.973:0.973) (0.484:0.484:0.484))
+ (INTERCONNECT dco _373_.A (0.973:0.973:0.973) (0.483:0.483:0.483))
+ (INTERCONNECT dco _372_.A (0.973:0.973:0.973) (0.484:0.484:0.484))
+ (INTERCONNECT dco _360_.A (0.969:0.969:0.969) (0.480:0.480:0.480))
+ (INTERCONNECT dco _361_.A (0.970:0.970:0.970) (0.481:0.481:0.481))
+ (INTERCONNECT dco _365_.A (0.965:0.965:0.965) (0.476:0.476:0.476))
+ (INTERCONNECT dco _364_.A (0.974:0.974:0.974) (0.484:0.484:0.484))
+ (INTERCONNECT dco _357_.A (0.970:0.970:0.970) (0.481:0.481:0.481))
+ (INTERCONNECT dco _356_.A (0.971:0.971:0.971) (0.482:0.482:0.482))
+ (INTERCONNECT dco _362_.A (0.966:0.966:0.966) (0.476:0.476:0.476))
+ (INTERCONNECT dco _370_.A (0.962:0.962:0.962) (0.474:0.474:0.474))
+ (INTERCONNECT dco _363_.A (0.962:0.962:0.962) (0.473:0.473:0.473))
+ (INTERCONNECT dco _377_.A (0.960:0.960:0.960) (0.472:0.472:0.472))
+ (INTERCONNECT dco _378_.A (0.957:0.957:0.957) (0.468:0.468:0.468))
+ (INTERCONNECT dco _359_.A (0.968:0.968:0.968) (0.478:0.478:0.478))
+ (INTERCONNECT dco _375_.A (0.966:0.966:0.966) (0.477:0.477:0.477))
+ (INTERCONNECT dco _374_.A (0.966:0.966:0.966) (0.477:0.477:0.477))
+ (INTERCONNECT dco _376_.A (0.966:0.966:0.966) (0.477:0.477:0.477))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (0.966:0.966:0.966) (0.477:0.477:0.477))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (0.970:0.970:0.970) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (0.966:0.966:0.966) (0.477:0.477:0.477))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (0.968:0.968:0.968) (0.478:0.478:0.478))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (0.958:0.958:0.958) (0.469:0.469:0.469))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (0.960:0.960:0.960) (0.472:0.472:0.472))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (0.962:0.962:0.962) (0.473:0.473:0.473))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (0.964:0.964:0.964) (0.475:0.475:0.475))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (0.966:0.966:0.966) (0.476:0.476:0.476))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (0.969:0.969:0.969) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (0.970:0.970:0.970) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (0.974:0.974:0.974) (0.484:0.484:0.484))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (0.966:0.966:0.966) (0.477:0.477:0.477))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (0.970:0.970:0.970) (0.481:0.481:0.481))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (0.969:0.969:0.969) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (0.973:0.973:0.973) (0.484:0.484:0.484))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (0.973:0.973:0.973) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (0.973:0.973:0.973) (0.484:0.484:0.484))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (0.972:0.972:0.972) (0.482:0.482:0.482))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (0.975:0.975:0.975) (0.486:0.486:0.486))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (0.975:0.975:0.975) (0.485:0.485:0.485))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (0.975:0.975:0.975) (0.486:0.486:0.486))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (0.974:0.974:0.974) (0.484:0.484:0.484))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (0.975:0.975:0.975) (0.485:0.485:0.485))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (0.974:0.974:0.974) (0.484:0.484:0.484))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (0.958:0.958:0.958) (0.469:0.469:0.469))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (0.960:0.960:0.960) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (0.963:0.963:0.963) (0.475:0.475:0.475))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (0.960:0.960:0.960) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (0.959:0.959:0.959) (0.471:0.471:0.471))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (0.956:0.956:0.956) (0.468:0.468:0.468))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (0.962:0.962:0.962) (0.473:0.473:0.473))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (0.976:0.976:0.976) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (0.976:0.976:0.976) (0.486:0.486:0.486))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (0.976:0.976:0.976) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (0.977:0.977:0.977) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (0.963:0.963:0.963) (0.474:0.474:0.474))
+ (INTERCONNECT div[0] _222_.B2 (0.034:0.034:0.034) (0.015:0.015:0.015))
+ (INTERCONNECT div[0] _235_.A (0.034:0.034:0.034) (0.015:0.015:0.015))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.034:0.034:0.034) (0.015:0.015:0.015))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.034:0.034:0.034) (0.015:0.015:0.015))
+ (INTERCONNECT div[1] _219_.A (0.048:0.048:0.048) (0.022:0.022:0.022))
+ (INTERCONNECT div[1] _222_.A1 (0.048:0.048:0.048) (0.022:0.022:0.022))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.048:0.048:0.048) (0.022:0.022:0.022))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.048:0.048:0.048) (0.022:0.022:0.022))
+ (INTERCONNECT div[2] _213_.B1 (0.041:0.041:0.041) (0.018:0.018:0.018))
+ (INTERCONNECT div[2] _216_.A (0.041:0.041:0.041) (0.018:0.018:0.018))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.041:0.041:0.041) (0.018:0.018:0.018))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.041:0.041:0.041) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] _213_.A1 (0.060:0.060:0.060) (0.027:0.027:0.027))
+ (INTERCONNECT div[3] _214_.B1 (0.060:0.060:0.060) (0.027:0.027:0.027))
+ (INTERCONNECT div[3] _215_.B1 (0.060:0.060:0.060) (0.027:0.027:0.027))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.060:0.060:0.060) (0.027:0.027:0.027))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.060:0.060:0.060) (0.027:0.027:0.027))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.060:0.060:0.060) (0.027:0.027:0.027))
+ (INTERCONNECT div[4] _207_.A1 (0.030:0.030:0.030) (0.013:0.013:0.013))
+ (INTERCONNECT div[4] _225_.B1 (0.030:0.030:0.030) (0.013:0.013:0.013))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.030:0.030:0.030) (0.013:0.013:0.013))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.030:0.030:0.030) (0.013:0.013:0.013))
+ (INTERCONNECT enable _355_.A (0.045:0.045:0.045) (0.021:0.021:0.021))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.020:0.020:0.020) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.020:0.020:0.020) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.016:0.016:0.016) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.024:0.024:0.024) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.025:0.025:0.025) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.019:0.019:0.019) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.015:0.015:0.015) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.037:0.037:0.037) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.026:0.026:0.026) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.026:0.026:0.026) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT osc _394_.D (0.021:0.021:0.021) (0.010:0.010:0.010))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.021:0.021:0.021) (0.010:0.010:0.010))
+ (INTERCONNECT resetb _355_.B (0.028:0.028:0.028) (0.012:0.012:0.012))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.028:0.028:0.028) (0.012:0.012:0.012))
+ (INTERCONNECT _176_.Y _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _292_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _320_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _322_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _298_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _180_.Y _347_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _181_.Y _285_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _181_.Y _275_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _184_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _183_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _277_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _186_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _187_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _284_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _185_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _234_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _201_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _203_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _233_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _227_.X _269_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _227_.X _244_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _249_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _254_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _250_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _252_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _263_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _251_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _253_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _246_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _245_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _335_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _327_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _252_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _228_.X _248_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _231_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _230_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _324_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _229_.X _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _231_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _298_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _330_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _233_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _267_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _314_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _321_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _311_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _331_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _338_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _267_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _260_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _257_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _270_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _256_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _258_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _252_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _331_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _339_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _252_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _258_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _284_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _306_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _301_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _329_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _338_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _321_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _292_.Y _299_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _331_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _292_.Y _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _311_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _304_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _297_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _316_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _294_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _301_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _294_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _301_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _316_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _299_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _309_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _313_.Y _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _354_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _338_.X _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _368_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _366_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _367_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _371_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _358_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _372_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _373_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _369_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _360_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _357_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _365_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _356_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _364_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _377_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _363_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _378_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _370_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _375_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _362_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _359_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _376_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _361_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _282_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _279_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _273_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _199_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _187_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _186_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _273_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _279_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _383_.Q _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _185_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _195_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _184_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _183_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _239_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _228_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _241_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _267_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _390_.Q _241_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _347_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _344_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _298_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _301_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _297_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _290_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _260_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _393_.Q _323_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _345_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _352_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _176_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _290_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _322_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _307_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _257_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.136:0.136:0.136) (0.106:0.106:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.140:0.140:0.140) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.089:0.089:0.089) (0.064:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.149:0.149:0.149) (0.112:0.112:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.131:0.131:0.131) (0.090:0.090:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.268:0.268:0.268) (0.272:0.272:0.272))
+ (IOPATH A Y (0.510:0.510:0.510) (0.210:0.210:0.210))
+ (IOPATH B Y (0.258:0.258:0.258) (0.261:0.261:0.261))
+ (IOPATH B Y (0.480:0.480:0.480) (0.194:0.194:0.194))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.299:0.299:0.299) (0.131:0.131:0.131))
+ (IOPATH A X (0.276:0.276:0.276) (0.221:0.221:0.221))
+ (IOPATH B X (0.268:0.268:0.268) (0.119:0.119:0.119))
+ (IOPATH B X (0.277:0.277:0.277) (0.189:0.189:0.189))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.136:0.136:0.136) (0.284:0.284:0.284))
+ (IOPATH A1 X (0.123:0.123:0.123) (0.286:0.286:0.286))
+ (IOPATH S X (0.253:0.255:0.257) (0.341:0.356:0.371))
+ (IOPATH S X (0.169:0.196:0.222) (0.355:0.356:0.358))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.133:0.133:0.133) (0.286:0.286:0.286))
+ (IOPATH A1 X (0.127:0.127:0.127) (0.292:0.292:0.292))
+ (IOPATH S X (0.258:0.260:0.262) (0.347:0.362:0.376))
+ (IOPATH S X (0.174:0.201:0.228) (0.361:0.362:0.364))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.133:0.133:0.133) (0.283:0.283:0.283))
+ (IOPATH A1 X (0.125:0.125:0.125) (0.288:0.288:0.288))
+ (IOPATH S X (0.253:0.255:0.257) (0.342:0.356:0.371))
+ (IOPATH S X (0.170:0.196:0.223) (0.355:0.357:0.359))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.153:0.153:0.153) (0.300:0.300:0.300))
+ (IOPATH A1 X (0.133:0.133:0.133) (0.296:0.296:0.296))
+ (IOPATH S X (0.259:0.261:0.263) (0.349:0.363:0.378))
+ (IOPATH S X (0.175:0.202:0.229) (0.362:0.364:0.365))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.154:0.154:0.154) (0.299:0.299:0.299))
+ (IOPATH A1 X (0.127:0.127:0.127) (0.291:0.291:0.291))
+ (IOPATH S X (0.255:0.257:0.259) (0.344:0.358:0.373))
+ (IOPATH S X (0.171:0.198:0.224) (0.357:0.359:0.361))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.077:0.077:0.077) (0.068:0.068:0.068))
+ (IOPATH B Y (0.077:0.077:0.077) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.122:0.122:0.122) (0.298:0.298:0.298))
+ (IOPATH B X (0.103:0.103:0.103) (0.269:0.269:0.269))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.167:0.168:0.169) (0.190:0.193:0.195))
+ (IOPATH B X (0.165:0.165:0.165) (0.217:0.217:0.217))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.140:0.140:0.140) (0.058:0.058:0.058))
+ (IOPATH B Y (0.112:0.112:0.112) (0.045:0.045:0.045))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.034:0.036:0.038) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.149:0.149:0.149) (0.178:0.178:0.178))
+ (IOPATH B X (0.143:0.143:0.143) (0.192:0.192:0.192))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.129:0.129:0.129) (0.057:0.057:0.057))
+ (IOPATH B Y (0.100:0.100:0.100) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.150:0.150:0.150) (0.332:0.332:0.332))
+ (IOPATH B X (0.137:0.137:0.137) (0.307:0.307:0.307))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.170:0.170:0.170) (0.192:0.192:0.192))
+ (IOPATH B X (0.162:0.162:0.162) (0.206:0.206:0.206))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.199:0.199:0.199))
+ (IOPATH B X (0.157:0.157:0.157) (0.203:0.203:0.203))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.222:0.222:0.222) (0.230:0.230:0.230))
+ (IOPATH B X (0.191:0.191:0.191) (0.228:0.228:0.228))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.084:0.084) (0.068:0.068:0.068))
+ (IOPATH B Y (0.072:0.072:0.072) (0.057:0.057:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.255:0.255:0.255) (0.123:0.123:0.123))
+ (IOPATH A X (0.230:0.230:0.230) (0.215:0.215:0.215))
+ (IOPATH B X (0.213:0.213:0.213) (0.096:0.096:0.096))
+ (IOPATH B X (0.222:0.222:0.222) (0.172:0.172:0.172))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.149:0.149:0.149) (0.207:0.208:0.208))
+ (IOPATH A2 X (0.179:0.182:0.184) (0.229:0.234:0.239))
+ (IOPATH B1 X (0.106:0.106:0.107) (0.200:0.200:0.200))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.162:0.162:0.162) (0.325:0.326:0.326))
+ (IOPATH A2 X (0.191:0.193:0.196) (0.361:0.366:0.371))
+ (IOPATH B1 X (0.125:0.125:0.125) (0.333:0.333:0.334))
+ (IOPATH C1 X (0.120:0.120:0.120) (0.294:0.294:0.294))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.173:0.173:0.173) (0.218:0.218:0.218))
+ (IOPATH A2 X (0.193:0.193:0.193) (0.270:0.270:0.270))
+ (IOPATH A3 X (0.195:0.196:0.197) (0.280:0.280:0.281))
+ (IOPATH B1 X (0.133:0.133:0.133) (0.239:0.239:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.121:0.121:0.122) (0.050:0.050:0.050))
+ (IOPATH B Y (0.098:0.099:0.099) (0.044:0.044:0.045))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.138:0.138:0.138) (0.167:0.167:0.168))
+ (IOPATH B X (0.141:0.142:0.143) (0.187:0.188:0.189))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.054:0.054:0.055) (0.047:0.047:0.047))
+ (IOPATH B Y (0.064:0.064:0.065) (0.054:0.054:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.183:0.183:0.183) (0.253:0.253:0.253))
+ (IOPATH A2 X (0.184:0.184:0.184) (0.237:0.238:0.239))
+ (IOPATH B1 X (0.173:0.175:0.176) (0.130:0.131:0.131))
+ (IOPATH C1 X (0.171:0.172:0.172) (0.118:0.120:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.145:0.147:0.149) (0.063:0.063:0.063))
+ (IOPATH B Y (0.130:0.130:0.130) (0.049:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.124:0.125:0.125) (0.143:0.143:0.144))
+ (IOPATH A Y (0.185:0.186:0.186) (0.078:0.079:0.080))
+ (IOPATH B Y (0.141:0.141:0.141) (0.132:0.134:0.136))
+ (IOPATH B Y (0.155:0.157:0.158) (0.088:0.088:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.142:0.142:0.142) (0.305:0.307:0.308))
+ (IOPATH B X (0.116:0.116:0.116) (0.288:0.289:0.289))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.094:0.094:0.094) (0.087:0.087:0.087))
+ (IOPATH B Y (0.102:0.103:0.104) (0.098:0.099:0.100))
+ (IOPATH C Y (0.103:0.103:0.103) (0.098:0.098:0.098))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.146:0.146:0.146) (0.219:0.219:0.219))
+ (IOPATH A2 X (0.149:0.150:0.151) (0.238:0.239:0.239))
+ (IOPATH B1 X (0.113:0.113:0.114) (0.215:0.215:0.215))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.190:0.190:0.190) (0.250:0.250:0.250))
+ (IOPATH A2 X (0.193:0.194:0.196) (0.292:0.292:0.292))
+ (IOPATH A3 X (0.193:0.194:0.194) (0.277:0.277:0.278))
+ (IOPATH B1 X (0.143:0.143:0.143) (0.226:0.226:0.226))
+ (IOPATH B2 X (0.147:0.160:0.174) (0.248:0.250:0.251))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.157:0.157:0.157) (0.060:0.062:0.064))
+ (IOPATH A2 Y (0.158:0.159:0.159) (0.062:0.062:0.063))
+ (IOPATH B1 Y (0.125:0.125:0.125) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.115:0.117:0.118) (0.194:0.194:0.195))
+ (IOPATH A2 X (0.116:0.117:0.117) (0.204:0.205:0.205))
+ (IOPATH B1 X (0.093:0.093:0.093) (0.177:0.177:0.177))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.122:0.122:0.122) (0.047:0.047:0.047))
+ (IOPATH B Y (0.109:0.111:0.113) (0.042:0.051:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.109:0.109:0.110) (0.460:0.461:0.461))
+ (IOPATH B X (0.124:0.125:0.126) (0.431:0.433:0.434))
+ (IOPATH C X (0.119:0.119:0.119) (0.392:0.394:0.396))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.134:0.135:0.135) (0.150:0.150:0.151))
+ (IOPATH A Y (0.190:0.190:0.191) (0.089:0.089:0.089))
+ (IOPATH B Y (0.150:0.152:0.153) (0.143:0.149:0.154))
+ (IOPATH B Y (0.162:0.168:0.173) (0.098:0.100:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.072:0.072:0.072) (0.067:0.067:0.067))
+ (IOPATH B Y (0.089:0.092:0.094) (0.070:0.082:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.152:0.152:0.152) (0.326:0.326:0.326))
+ (IOPATH B X (0.119:0.119:0.119) (0.287:0.287:0.287))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.049:0.054:0.058) (0.043:0.044:0.044))
+ (IOPATH B Y (0.071:0.072:0.072) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.153:0.153:0.153) (0.231:0.231:0.231))
+ (IOPATH A2 X (0.137:0.151:0.165) (0.223:0.225:0.227))
+ (IOPATH B1 X (0.133:0.134:0.136) (0.194:0.194:0.194))
+ (IOPATH B2 X (0.124:0.124:0.124) (0.174:0.174:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.067:0.070:0.072) (0.056:0.057:0.058))
+ (IOPATH B Y (0.072:0.072:0.073) (0.054:0.054:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.286:0.288:0.290) (0.060:0.061:0.063))
+ (IOPATH A2 Y (0.339:0.340:0.341) (0.058:0.059:0.060))
+ (IOPATH B1 Y (0.321:0.321:0.322) (0.050:0.050:0.051))
+ (IOPATH C1 Y (0.266:0.267:0.268) (0.058:0.059:0.060))
+ (IOPATH D1 Y (0.193:0.195:0.197) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.147:0.147:0.147) (0.206:0.206:0.207))
+ (IOPATH A2 X (0.115:0.115:0.115) (0.193:0.193:0.194))
+ (IOPATH B1 X (0.128:0.128:0.128) (0.108:0.108:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.136:0.137) (0.165:0.166:0.166))
+ (IOPATH B X (0.125:0.125:0.126) (0.177:0.177:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.448:0.454:0.459) (0.500:0.502:0.504))
+ (IOPATH A2 X (0.401:0.401:0.402) (0.478:0.480:0.481))
+ (IOPATH A3 X (0.382:0.382:0.382) (0.442:0.443:0.443))
+ (IOPATH B1 X (0.404:0.404:0.404) (0.235:0.238:0.242))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.262:0.262:0.262) (0.415:0.415:0.415))
+ (IOPATH B X (0.237:0.237:0.237) (0.380:0.380:0.380))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.203:0.203:0.203) (0.374:0.374:0.374))
+ (IOPATH B X (0.200:0.200:0.200) (0.352:0.352:0.352))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.217:0.217:0.217) (0.378:0.378:0.378))
+ (IOPATH B X (0.178:0.178:0.178) (0.343:0.343:0.343))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.193:0.193:0.193) (0.085:0.085:0.085))
+ (IOPATH B Y (0.155:0.155:0.155) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.155:0.155:0.155) (0.343:0.343:0.343))
+ (IOPATH B X (0.164:0.164:0.164) (0.328:0.328:0.328))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.111:0.111:0.111) (0.612:0.612:0.612))
+ (IOPATH B X (0.121:0.121:0.121) (0.591:0.591:0.591))
+ (IOPATH C_N X (0.224:0.229:0.234) (0.633:0.633:0.633))
+ (IOPATH D_N X (0.158:0.162:0.166) (0.520:0.520:0.520))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.148:0.148:0.148) (0.162:0.162:0.162))
+ (IOPATH B X (0.158:0.158:0.158) (0.185:0.185:0.185))
+ (IOPATH C X (0.208:0.210:0.212) (0.207:0.214:0.221))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.120:0.120:0.120) (0.148:0.148:0.148))
+ (IOPATH B X (0.120:0.121:0.123) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.443:0.443:0.444))
+ (IOPATH B X (0.098:0.100:0.101) (0.421:0.422:0.423))
+ (IOPATH C_N X (0.172:0.176:0.180) (0.426:0.426:0.426))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.116:0.116:0.116) (0.507:0.507:0.508))
+ (IOPATH B X (0.120:0.121:0.121) (0.470:0.472:0.473))
+ (IOPATH C X (0.112:0.112:0.112) (0.444:0.444:0.444))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.229:0.229:0.229) (0.196:0.196:0.196))
+ (IOPATH B X (0.231:0.231:0.231) (0.241:0.241:0.241))
+ (IOPATH C X (0.241:0.241:0.241) (0.232:0.232:0.233))
+ (IOPATH D X (0.251:0.251:0.251) (0.271:0.271:0.271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.086:0.086:0.086) (0.071:0.071:0.071))
+ (IOPATH B Y (0.102:0.102:0.102) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.252:0.252:0.252) (0.094:0.094:0.094))
+ (IOPATH B Y (0.221:0.221:0.221) (0.089:0.089:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.165:0.165:0.165) (0.148:0.148:0.148))
+ (IOPATH B Y (0.155:0.155:0.155) (0.127:0.127:0.127))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.136:0.136) (0.681:0.681:0.681))
+ (IOPATH B X (0.120:0.120:0.120) (0.654:0.654:0.654))
+ (IOPATH C X (0.122:0.123:0.124) (0.621:0.621:0.621))
+ (IOPATH D X (0.145:0.146:0.146) (0.566:0.569:0.572))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.279:0.279:0.279) (0.443:0.443:0.443))
+ (IOPATH A2 X (0.329:0.329:0.329) (0.459:0.464:0.469))
+ (IOPATH A3 X (0.235:0.235:0.235) (0.396:0.396:0.396))
+ (IOPATH B1 X (0.265:0.265:0.265) (0.179:0.181:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.157:0.157:0.157) (0.171:0.171:0.171))
+ (IOPATH A Y (0.234:0.234:0.234) (0.110:0.110:0.110))
+ (IOPATH B Y (0.190:0.190:0.190) (0.202:0.207:0.212))
+ (IOPATH B Y (0.244:0.249:0.255) (0.143:0.143:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.130:0.130:0.130) (0.062:0.062:0.062))
+ (IOPATH B Y (0.132:0.136:0.141) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.199:0.199:0.199) (0.212:0.212:0.212))
+ (IOPATH B X (0.248:0.248:0.248) (0.265:0.271:0.277))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.210:0.216:0.223) (0.073:0.076:0.079))
+ (IOPATH B Y (0.191:0.191:0.191) (0.065:0.066:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.139:0.139:0.139) (0.118:0.118:0.118))
+ (IOPATH B Y (0.137:0.141:0.146) (0.112:0.112:0.113))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.121:0.121:0.121) (0.056:0.056:0.056))
+ (IOPATH B Y (0.132:0.136:0.140) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.155:0.155:0.155) (0.164:0.164:0.164))
+ (IOPATH A Y (0.224:0.224:0.224) (0.108:0.108:0.108))
+ (IOPATH B Y (0.187:0.188:0.188) (0.199:0.204:0.209))
+ (IOPATH B Y (0.238:0.243:0.248) (0.138:0.139:0.139))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.246:0.246:0.246) (0.269:0.274:0.279))
+ (IOPATH A2 X (0.178:0.178:0.178) (0.258:0.258:0.259))
+ (IOPATH B1_N X (0.232:0.232:0.232) (0.254:0.255:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.236:0.236:0.237) (0.292:0.295:0.297))
+ (IOPATH A2 X (0.234:0.253:0.271) (0.310:0.317:0.324))
+ (IOPATH A3 X (0.240:0.240:0.241) (0.305:0.305:0.306))
+ (IOPATH B1 X (0.216:0.216:0.216) (0.288:0.289:0.289))
+ (IOPATH B2 X (0.254:0.254:0.255) (0.318:0.323:0.329))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.180:0.200:0.220) (0.258:0.265:0.272))
+ (IOPATH A2 X (0.225:0.225:0.226) (0.274:0.275:0.276))
+ (IOPATH A3 X (0.200:0.200:0.201) (0.281:0.281:0.281))
+ (IOPATH B1 X (0.153:0.153:0.153) (0.247:0.247:0.247))
+ (IOPATH B2 X (0.206:0.207:0.207) (0.289:0.295:0.300))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.161:0.161:0.161) (0.180:0.180:0.180))
+ (IOPATH A Y (0.213:0.213:0.213) (0.116:0.116:0.116))
+ (IOPATH B Y (0.172:0.172:0.172) (0.185:0.190:0.195))
+ (IOPATH B Y (0.200:0.205:0.210) (0.116:0.116:0.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.135:0.137) (0.167:0.167:0.167))
+ (IOPATH B X (0.137:0.151:0.165) (0.188:0.195:0.203))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.123:0.123:0.124) (0.057:0.057:0.058))
+ (IOPATH A2 Y (0.103:0.110:0.117) (0.048:0.055:0.063))
+ (IOPATH B1 Y (0.070:0.075:0.081) (0.075:0.075:0.075))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.195:0.195:0.195) (0.266:0.266:0.266))
+ (IOPATH A2 X (0.167:0.167:0.167) (0.236:0.241:0.247))
+ (IOPATH B1 X (0.128:0.129:0.129) (0.193:0.193:0.193))
+ (IOPATH B2 X (0.117:0.124:0.131) (0.170:0.175:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.157:0.158:0.160) (0.100:0.100:0.100))
+ (IOPATH A2 Y (0.178:0.179:0.179) (0.084:0.084:0.085))
+ (IOPATH B1 Y (0.141:0.141:0.142) (0.048:0.048:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.106:0.120:0.134) (0.132:0.139:0.147))
+ (IOPATH A Y (0.140:0.146:0.153) (0.065:0.077:0.089))
+ (IOPATH B Y (0.115:0.116:0.116) (0.114:0.122:0.130))
+ (IOPATH B Y (0.101:0.108:0.115) (0.064:0.065:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.152:0.152:0.152) (0.298:0.298:0.298))
+ (IOPATH A1 X (0.112:0.123:0.134) (0.282:0.285:0.288))
+ (IOPATH S X (0.211:0.217:0.224) (0.339:0.339:0.339))
+ (IOPATH S X (0.163:0.163:0.163) (0.320:0.325:0.330))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.136:0.137) (0.092:0.093:0.093))
+ (IOPATH A X (0.141:0.141:0.141) (0.162:0.163:0.164))
+ (IOPATH B X (0.116:0.117:0.117) (0.070:0.070:0.071))
+ (IOPATH B X (0.132:0.132:0.133) (0.142:0.142:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.148:0.148:0.148) (0.293:0.293:0.293))
+ (IOPATH A1 X (0.121:0.125:0.129) (0.279:0.282:0.285))
+ (IOPATH S X (0.208:0.215:0.221) (0.336:0.336:0.336))
+ (IOPATH S X (0.160:0.160:0.160) (0.317:0.322:0.327))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.159:0.163:0.168) (0.092:0.092:0.092))
+ (IOPATH A2 Y (0.144:0.144:0.144) (0.060:0.060:0.060))
+ (IOPATH B1 Y (0.095:0.101:0.108) (0.037:0.038:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.214:0.214:0.214) (0.282:0.282:0.282))
+ (IOPATH A2 X (0.196:0.196:0.197) (0.258:0.259:0.259))
+ (IOPATH B1 X (0.201:0.202:0.203) (0.138:0.150:0.162))
+ (IOPATH C1 X (0.230:0.230:0.230) (0.150:0.156:0.162))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.125:0.125:0.125) (0.146:0.146:0.146))
+ (IOPATH A Y (0.160:0.160:0.160) (0.082:0.082:0.082))
+ (IOPATH B Y (0.105:0.106:0.106) (0.121:0.125:0.128))
+ (IOPATH B Y (0.116:0.119:0.122) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.111:0.124:0.138) (0.136:0.143:0.151))
+ (IOPATH A Y (0.150:0.157:0.163) (0.069:0.081:0.094))
+ (IOPATH B Y (0.109:0.110:0.110) (0.129:0.129:0.129))
+ (IOPATH B Y (0.121:0.121:0.122) (0.062:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.261:0.268:0.274) (0.237:0.238:0.238))
+ (IOPATH A2_N X (0.223:0.227:0.232) (0.197:0.209:0.220))
+ (IOPATH B1 X (0.183:0.183:0.183) (0.267:0.267:0.267))
+ (IOPATH B2 X (0.157:0.157:0.157) (0.242:0.244:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.089:0.089:0.089) (0.068:0.068:0.068))
+ (IOPATH B Y (0.085:0.085:0.086) (0.068:0.069:0.070))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.155:0.155:0.156) (0.174:0.179:0.184))
+ (IOPATH A Y (0.176:0.181:0.185) (0.106:0.106:0.106))
+ (IOPATH B Y (0.096:0.097:0.097) (0.118:0.119:0.120))
+ (IOPATH B Y (0.104:0.105:0.106) (0.052:0.052:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.126:0.126:0.126) (0.282:0.282:0.282))
+ (IOPATH A1 X (0.113:0.125:0.137) (0.282:0.289:0.295))
+ (IOPATH S X (0.212:0.218:0.225) (0.340:0.340:0.340))
+ (IOPATH S X (0.163:0.164:0.164) (0.321:0.326:0.331))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.048:0.048:0.048))
+ (IOPATH B Y (0.078:0.084:0.090) (0.066:0.066:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.134) (0.210:0.210:0.210))
+ (IOPATH A2 X (0.112:0.112:0.112) (0.184:0.185:0.186))
+ (IOPATH B1 X (0.106:0.109:0.111) (0.102:0.103:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.207:0.207:0.207) (0.202:0.202:0.202))
+ (IOPATH B X (0.227:0.227:0.227) (0.235:0.235:0.235))
+ (IOPATH C X (0.230:0.230:0.230) (0.255:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.184:0.184:0.184) (0.203:0.203:0.203))
+ (IOPATH B X (0.178:0.178:0.178) (0.214:0.215:0.216))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.139:0.139:0.139) (0.214:0.214:0.214))
+ (IOPATH A2 X (0.113:0.113:0.113) (0.188:0.188:0.189))
+ (IOPATH B1 X (0.170:0.198:0.226) (0.177:0.179:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.126:0.126:0.126) (0.179:0.179:0.179))
+ (IOPATH B Y (0.061:0.061:0.062) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.182:0.182:0.182) (0.263:0.263:0.263))
+ (IOPATH A2 X (0.166:0.166:0.166) (0.235:0.235:0.236))
+ (IOPATH B1 X (0.161:0.161:0.162) (0.122:0.124:0.127))
+ (IOPATH C1 X (0.216:0.252:0.288) (0.179:0.181:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.116:0.116:0.116) (0.101:0.101:0.101))
+ (IOPATH B Y (0.114:0.114:0.115) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.123:0.123:0.123) (0.064:0.064:0.064))
+ (IOPATH A2 Y (0.145:0.145:0.145) (0.072:0.072:0.072))
+ (IOPATH B1 Y (0.099:0.099:0.099) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.118:0.118:0.118) (0.302:0.303:0.303))
+ (IOPATH B X (0.113:0.115:0.117) (0.277:0.279:0.281))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.120:0.121:0.122) (0.058:0.059:0.060))
+ (IOPATH A2 Y (0.131:0.131:0.131) (0.047:0.047:0.047))
+ (IOPATH B1 Y (0.104:0.110:0.117) (0.049:0.049:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.137:0.137:0.137) (0.158:0.158:0.158))
+ (IOPATH A Y (0.181:0.181:0.181) (0.093:0.093:0.093))
+ (IOPATH B Y (0.136:0.136:0.136) (0.157:0.157:0.157))
+ (IOPATH B Y (0.158:0.158:0.158) (0.083:0.083:0.083))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.113:0.114:0.115) (0.055:0.056:0.057))
+ (IOPATH A2 Y (0.116:0.119:0.122) (0.046:0.056:0.066))
+ (IOPATH B1 Y (0.098:0.104:0.110) (0.044:0.044:0.044))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.076:0.076:0.076) (0.072:0.072:0.072))
+ (IOPATH B Y (0.119:0.121:0.122) (0.093:0.104:0.115))
+ (IOPATH C Y (0.076:0.077:0.078) (0.072:0.073:0.073))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.116:0.116:0.116) (0.275:0.275:0.275))
+ (IOPATH A1 X (0.117:0.117:0.117) (0.285:0.285:0.285))
+ (IOPATH S X (0.255:0.256:0.258) (0.343:0.358:0.372))
+ (IOPATH S X (0.171:0.197:0.224) (0.357:0.358:0.360))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.115:0.115:0.115) (0.274:0.274:0.274))
+ (IOPATH A1 X (0.119:0.119:0.119) (0.285:0.285:0.285))
+ (IOPATH S X (0.198:0.205:0.212) (0.347:0.348:0.349))
+ (IOPATH S X (0.177:0.179:0.181) (0.309:0.314:0.320))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.099:0.099:0.099) (0.285:0.285:0.285))
+ (IOPATH B X (0.157:0.160:0.162) (0.272:0.278:0.284))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.382:0.382:0.382) (0.162:0.162:0.162))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.151:0.151:0.151) (0.204:0.204:0.204))
+ (IOPATH A2 X (0.334:0.334:0.334) (0.440:0.440:0.440))
+ (IOPATH B1 X (0.172:0.172:0.172) (0.284:0.284:0.284))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.231:0.231:0.231) (0.576:0.576:0.576))
+ (IOPATH B X (0.192:0.192:0.192) (0.532:0.532:0.532))
+ (IOPATH C X (0.203:0.203:0.203) (0.501:0.501:0.501))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.235:0.235:0.235) (0.097:0.097:0.097))
+ (IOPATH B Y (0.189:0.189:0.189) (0.061:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.165:0.165:0.165) (0.132:0.132:0.132))
+ (IOPATH B Y (0.158:0.163:0.168) (0.168:0.168:0.168))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.498:0.498:0.498) (0.381:0.381:0.381))
+ (IOPATH B X (0.237:0.238:0.238) (0.268:0.268:0.269))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.251:0.251:0.252) (0.251:0.251:0.251))
+ (IOPATH B X (0.272:0.273:0.273) (0.273:0.276:0.279))
+ (IOPATH C X (0.265:0.265:0.265) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.306:0.306:0.306) (0.426:0.426:0.426))
+ (IOPATH A2 X (0.155:0.155:0.155) (0.226:0.226:0.226))
+ (IOPATH B1 X (0.150:0.150:0.150) (0.225:0.226:0.227))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.168:0.168:0.168) (0.066:0.066:0.066))
+ (IOPATH B Y (0.341:0.341:0.341) (0.097:0.097:0.097))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.147:0.147:0.147) (0.311:0.311:0.311))
+ (IOPATH B X (0.134:0.134:0.135) (0.293:0.295:0.298))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.189:0.189:0.189) (0.538:0.538:0.538))
+ (IOPATH B X (0.161:0.161:0.161) (0.495:0.495:0.495))
+ (IOPATH C X (0.152:0.152:0.152) (0.464:0.464:0.464))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.390:0.390:0.390) (0.471:0.471:0.471))
+ (IOPATH A2 X (0.178:0.178:0.178) (0.275:0.275:0.275))
+ (IOPATH B1 X (0.208:0.209:0.210) (0.270:0.272:0.274))
+ (IOPATH B2 X (0.201:0.201:0.201) (0.273:0.273:0.273))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.428:0.428:0.428))
+ (IOPATH A2 X (0.158:0.158:0.158) (0.228:0.228:0.228))
+ (IOPATH B1 X (0.157:0.157:0.157) (0.232:0.232:0.232))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.250:0.250:0.250) (0.318:0.318:0.318))
+ (IOPATH A2 X (0.220:0.220:0.220) (0.300:0.300:0.300))
+ (IOPATH B1 X (0.246:0.247:0.248) (0.193:0.196:0.198))
+ (IOPATH C1 X (0.233:0.233:0.233) (0.157:0.157:0.157))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.306:0.306:0.306) (0.426:0.426:0.426))
+ (IOPATH A2 X (0.160:0.160:0.160) (0.229:0.229:0.229))
+ (IOPATH B1 X (0.140:0.140:0.140) (0.216:0.219:0.222))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.167:0.167:0.168) (0.347:0.348:0.348))
+ (IOPATH B X (0.162:0.163:0.163) (0.327:0.329:0.331))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.232:0.232:0.233) (0.303:0.305:0.308))
+ (IOPATH A2 X (0.236:0.236:0.237) (0.300:0.303:0.305))
+ (IOPATH B1 X (0.242:0.242:0.242) (0.175:0.176:0.176))
+ (IOPATH C1 X (0.209:0.209:0.209) (0.152:0.152:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.306:0.306:0.306) (0.426:0.426:0.426))
+ (IOPATH A2 X (0.159:0.159:0.159) (0.229:0.229:0.229))
+ (IOPATH B1 X (0.142:0.142:0.142) (0.217:0.220:0.223))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.395:0.395:0.395) (0.474:0.474:0.474))
+ (IOPATH A2 X (0.185:0.185:0.185) (0.280:0.280:0.280))
+ (IOPATH B1 X (0.229:0.229:0.229) (0.309:0.309:0.309))
+ (IOPATH B2 X (0.183:0.183:0.184) (0.283:0.283:0.283))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.220:0.220:0.220) (0.565:0.565:0.565))
+ (IOPATH B X (0.181:0.181:0.181) (0.521:0.521:0.521))
+ (IOPATH C X (0.155:0.155:0.155) (0.473:0.473:0.473))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.140:0.140:0.140) (0.315:0.315:0.315))
+ (IOPATH B X (0.111:0.112:0.112) (0.291:0.291:0.291))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.398:0.398:0.398) (0.476:0.476:0.476))
+ (IOPATH A2 X (0.190:0.190:0.190) (0.283:0.283:0.283))
+ (IOPATH B1 X (0.202:0.202:0.202) (0.255:0.256:0.256))
+ (IOPATH B2 X (0.174:0.175:0.175) (0.266:0.266:0.266))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.406:0.406:0.406) (0.482:0.482:0.482))
+ (IOPATH A2 X (0.198:0.198:0.198) (0.289:0.289:0.289))
+ (IOPATH B1 X (0.216:0.216:0.216) (0.266:0.266:0.266))
+ (IOPATH B2 X (0.192:0.192:0.192) (0.278:0.278:0.278))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.174:0.174:0.174) (0.258:0.258:0.258))
+ (IOPATH A2 X (0.142:0.142:0.142) (0.243:0.243:0.243))
+ (IOPATH B1 X (0.167:0.168:0.168) (0.221:0.223:0.226))
+ (IOPATH B2 X (0.144:0.144:0.145) (0.194:0.198:0.202))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.403:0.403:0.403) (0.480:0.480:0.480))
+ (IOPATH A2 X (0.195:0.195:0.195) (0.287:0.287:0.287))
+ (IOPATH B1 X (0.198:0.198:0.199) (0.250:0.253:0.256))
+ (IOPATH B2 X (0.180:0.180:0.181) (0.263:0.263:0.263))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.104:0.104:0.104) (0.163:0.163:0.163))
+ (IOPATH B Y (0.255:0.255:0.255) (0.107:0.107:0.107))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.364:0.364:0.364) (0.597:0.597:0.597))
+ (IOPATH A2 X (0.215:0.215:0.215) (0.390:0.390:0.390))
+ (IOPATH A3 X (0.234:0.234:0.234) (0.340:0.341:0.341))
+ (IOPATH B1 X (0.197:0.214:0.231) (0.149:0.175:0.201))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.172:0.172:0.172) (0.309:0.309:0.309))
+ (IOPATH B X (0.131:0.131:0.131) (0.312:0.312:0.312))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.282:0.282:0.283) (0.324:0.326:0.328))
+ (IOPATH A2 X (0.281:0.281:0.281) (0.332:0.333:0.333))
+ (IOPATH A3 X (0.255:0.256:0.256) (0.320:0.320:0.320))
+ (IOPATH B1 X (0.201:0.201:0.201) (0.260:0.260:0.260))
+ (IOPATH B2 X (0.350:0.350:0.350) (0.523:0.523:0.523))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.374:0.374:0.374) (0.465:0.465:0.465))
+ (IOPATH A2 X (0.167:0.167:0.167) (0.272:0.272:0.272))
+ (IOPATH B1 X (0.187:0.187:0.187) (0.250:0.250:0.250))
+ (IOPATH B2 X (0.153:0.153:0.153) (0.255:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.153:0.153:0.153) (0.319:0.319:0.319))
+ (IOPATH B X (0.117:0.117:0.117) (0.299:0.299:0.299))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.376:0.376:0.376) (0.463:0.463:0.463))
+ (IOPATH A2 X (0.169:0.169:0.169) (0.270:0.270:0.270))
+ (IOPATH B1 X (0.182:0.182:0.182) (0.242:0.243:0.243))
+ (IOPATH B2 X (0.156:0.156:0.157) (0.254:0.254:0.254))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.151:0.151:0.151) (0.309:0.309:0.309))
+ (IOPATH A2 X (0.154:0.154:0.154) (0.352:0.352:0.352))
+ (IOPATH B1 X (0.127:0.127:0.127) (0.318:0.318:0.318))
+ (IOPATH C1 X (0.107:0.107:0.107) (0.271:0.271:0.271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.155:0.155:0.155) (0.089:0.089:0.089))
+ (IOPATH A2 Y (0.107:0.107:0.107) (0.070:0.070:0.070))
+ (IOPATH B1 Y (0.049:0.053:0.058) (0.077:0.077:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.209:0.209:0.209) (0.285:0.285:0.285))
+ (IOPATH A2 X (0.174:0.174:0.174) (0.241:0.241:0.241))
+ (IOPATH B1 X (0.155:0.156:0.157) (0.125:0.126:0.127))
+ (IOPATH C1 X (0.150:0.158:0.166) (0.110:0.112:0.114))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.091:0.091:0.091) (0.074:0.074:0.074))
+ (IOPATH B Y (0.064:0.069:0.074) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.329:0.329:0.329))
+ (IOPATH B X (0.146:0.146:0.146) (0.315:0.315:0.315))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.158:0.159:0.159) (0.293:0.293:0.293))
+ (IOPATH B X (0.093:0.095:0.096) (0.267:0.269:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.382:0.382:0.382) (0.468:0.468:0.468))
+ (IOPATH A2 X (0.175:0.175:0.175) (0.275:0.275:0.275))
+ (IOPATH B1 X (0.179:0.179:0.179) (0.239:0.242:0.245))
+ (IOPATH B2 X (0.164:0.164:0.164) (0.248:0.251:0.254))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.137:0.137:0.137) (0.309:0.309:0.309))
+ (IOPATH B X (0.139:0.139:0.139) (0.298:0.298:0.298))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.195:0.195:0.195) (0.334:0.334:0.334))
+ (IOPATH B X (0.147:0.148:0.148) (0.334:0.334:0.334))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.124:0.124:0.125) (0.209:0.209:0.209))
+ (IOPATH A2 X (0.142:0.143:0.144) (0.230:0.232:0.234))
+ (IOPATH B1 X (0.105:0.106:0.106) (0.198:0.201:0.205))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.183:0.183:0.183) (0.266:0.266:0.266))
+ (IOPATH A2 X (0.168:0.168:0.169) (0.247:0.248:0.248))
+ (IOPATH B1 X (0.164:0.164:0.164) (0.138:0.138:0.139))
+ (IOPATH C1 X (0.150:0.151:0.151) (0.118:0.118:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.148:0.148:0.149) (0.236:0.238:0.241))
+ (IOPATH A2 X (0.151:0.152:0.152) (0.238:0.241:0.243))
+ (IOPATH B1 X (0.137:0.137:0.138) (0.214:0.214:0.214))
+ (IOPATH B2 X (0.138:0.139:0.139) (0.190:0.194:0.197))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.210:0.210:0.210) (0.242:0.242:0.242))
+ (IOPATH B X (0.166:0.166:0.167) (0.200:0.200:0.200))
+ (IOPATH C X (0.159:0.159:0.160) (0.192:0.193:0.193))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.152:0.152:0.152) (0.157:0.161:0.164))
+ (IOPATH B X (0.155:0.155:0.155) (0.181:0.181:0.181))
+ (IOPATH C X (0.165:0.165:0.165) (0.195:0.196:0.197))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.239:0.239:0.239) (0.299:0.299:0.299))
+ (IOPATH A2 X (0.253:0.264:0.275) (0.301:0.333:0.366))
+ (IOPATH A3 X (0.225:0.225:0.225) (0.303:0.303:0.303))
+ (IOPATH B1 X (0.174:0.174:0.174) (0.247:0.247:0.247))
+ (IOPATH B2 X (0.322:0.322:0.322) (0.510:0.510:0.510))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.176:0.177:0.178) (0.261:0.265:0.268))
+ (IOPATH A2 X (0.149:0.149:0.150) (0.252:0.252:0.252))
+ (IOPATH B1 X (0.143:0.143:0.143) (0.214:0.214:0.214))
+ (IOPATH B2 X (0.165:0.166:0.166) (0.217:0.218:0.218))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.226:0.226:0.226) (0.209:0.210:0.211))
+ (IOPATH B X (0.215:0.215:0.216) (0.235:0.235:0.235))
+ (IOPATH C X (0.213:0.213:0.213) (0.233:0.233:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.318:0.318:0.318) (0.436:0.436:0.436))
+ (IOPATH A2 X (0.170:0.170:0.170) (0.238:0.238:0.238))
+ (IOPATH B1 X (0.149:0.149:0.149) (0.229:0.229:0.230))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.224:0.224:0.224) (0.313:0.313:0.313))
+ (IOPATH A2 X (0.214:0.215:0.215) (0.309:0.309:0.309))
+ (IOPATH B1 X (0.200:0.200:0.200) (0.268:0.268:0.268))
+ (IOPATH B2 X (0.220:0.221:0.222) (0.263:0.266:0.270))
+ (IOPATH C1 X (0.192:0.192:0.192) (0.146:0.146:0.146))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.135:0.135) (0.318:0.320:0.323))
+ (IOPATH B X (0.115:0.115:0.115) (0.294:0.294:0.294))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.186:0.187:0.187) (0.180:0.183:0.187))
+ (IOPATH B X (0.177:0.177:0.177) (0.198:0.198:0.199))
+ (IOPATH C X (0.184:0.184:0.184) (0.222:0.222:0.222))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.214:0.214:0.215) (0.282:0.282:0.282))
+ (IOPATH A2 X (0.233:0.233:0.233) (0.300:0.303:0.307))
+ (IOPATH A3 X (0.234:0.234:0.234) (0.299:0.300:0.301))
+ (IOPATH B1 X (0.177:0.177:0.177) (0.249:0.249:0.249))
+ (IOPATH B2 X (0.324:0.324:0.324) (0.511:0.511:0.511))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.398:0.398:0.398) (0.479:0.479:0.479))
+ (IOPATH A2 X (0.188:0.188:0.188) (0.284:0.284:0.284))
+ (IOPATH B1 X (0.199:0.199:0.199) (0.266:0.267:0.267))
+ (IOPATH B2 X (0.207:0.218:0.229) (0.265:0.295:0.325))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.386:0.386:0.386) (0.470:0.470:0.470))
+ (IOPATH A2 X (0.178:0.178:0.178) (0.278:0.278:0.278))
+ (IOPATH B1 X (0.190:0.191:0.191) (0.249:0.250:0.251))
+ (IOPATH B2 X (0.176:0.176:0.176) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.158:0.158:0.158) (0.083:0.083:0.083))
+ (IOPATH A2 Y (0.145:0.145:0.145) (0.075:0.075:0.075))
+ (IOPATH B1 Y (0.082:0.082:0.082) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.101:0.101:0.101) (0.086:0.086:0.086))
+ (IOPATH B Y (0.072:0.074:0.075) (0.059:0.064:0.070))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.198:0.198:0.198) (0.287:0.287:0.287))
+ (IOPATH A2 X (0.199:0.199:0.199) (0.282:0.282:0.283))
+ (IOPATH A3 X (0.206:0.207:0.207) (0.281:0.288:0.296))
+ (IOPATH B1 X (0.152:0.152:0.152) (0.234:0.234:0.234))
+ (IOPATH B2 X (0.295:0.295:0.295) (0.495:0.495:0.495))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.156:0.156:0.156) (0.486:0.486:0.486))
+ (IOPATH B X (0.129:0.129:0.129) (0.444:0.444:0.444))
+ (IOPATH C X (0.102:0.102:0.102) (0.406:0.406:0.406))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.382:0.382:0.382) (0.468:0.468:0.468))
+ (IOPATH A2 X (0.172:0.172:0.172) (0.273:0.273:0.273))
+ (IOPATH B1 X (0.175:0.175:0.175) (0.241:0.242:0.242))
+ (IOPATH B2 X (0.159:0.160:0.160) (0.266:0.266:0.266))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.150:0.150:0.150) (0.316:0.316:0.316))
+ (IOPATH B X (0.096:0.097:0.099) (0.270:0.272:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.195:0.195:0.195) (0.258:0.261:0.264))
+ (IOPATH A2 X (0.201:0.201:0.201) (0.283:0.284:0.285))
+ (IOPATH A3 X (0.197:0.197:0.198) (0.287:0.287:0.287))
+ (IOPATH B1 X (0.152:0.152:0.152) (0.233:0.233:0.233))
+ (IOPATH B2 X (0.293:0.293:0.293) (0.493:0.493:0.493))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.293:0.293:0.293) (0.418:0.418:0.418))
+ (IOPATH A2 X (0.143:0.143:0.143) (0.219:0.219:0.219))
+ (IOPATH B1 X (0.142:0.150:0.159) (0.208:0.238:0.269))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.399:0.399:0.399) (0.306:0.306:0.306))
+ (IOPATH B X (0.201:0.201:0.201) (0.216:0.216:0.216))
+ (IOPATH C X (0.216:0.216:0.216) (0.244:0.244:0.244))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.291:0.291:0.291) (0.417:0.417:0.417))
+ (IOPATH A2 X (0.139:0.139:0.139) (0.216:0.216:0.216))
+ (IOPATH B1 X (0.111:0.111:0.111) (0.204:0.204:0.205))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.374:0.374:0.374) (0.461:0.461:0.461))
+ (IOPATH A2 X (0.172:0.172:0.172) (0.271:0.271:0.271))
+ (IOPATH B1 X (0.168:0.168:0.168) (0.235:0.235:0.236))
+ (IOPATH B2 X (0.158:0.158:0.158) (0.256:0.256:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.519:0.519:0.519) (0.385:0.385:0.385))
+ (IOPATH B Y (0.515:0.515:0.515) (0.384:0.384:0.384))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.270:0.270:0.270) (0.076:0.076:0.076))
+ (IOPATH B Y (0.212:0.213:0.213) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.271:0.271:0.271) (0.077:0.077:0.077))
+ (IOPATH B Y (0.214:0.214:0.214) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.080:0.080:0.080))
+ (IOPATH B Y (0.217:0.218:0.218) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.271:0.271:0.271) (0.077:0.077:0.077))
+ (IOPATH B Y (0.214:0.214:0.214) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.270:0.270:0.270) (0.076:0.076:0.076))
+ (IOPATH B Y (0.213:0.213:0.213) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.276:0.276:0.276) (0.081:0.081:0.081))
+ (IOPATH B Y (0.218:0.219:0.219) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.271:0.271:0.271) (0.077:0.077:0.077))
+ (IOPATH B Y (0.214:0.214:0.214) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.274:0.274:0.274) (0.079:0.079:0.079))
+ (IOPATH B Y (0.217:0.217:0.217) (0.059:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.079:0.079:0.079))
+ (IOPATH B Y (0.216:0.216:0.216) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.080:0.080:0.080))
+ (IOPATH B Y (0.218:0.218:0.218) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.085:0.085:0.085))
+ (IOPATH B Y (0.224:0.224:0.224) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.269:0.269:0.269) (0.075:0.075:0.075))
+ (IOPATH B Y (0.211:0.211:0.212) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.078:0.078:0.078))
+ (IOPATH B Y (0.216:0.216:0.216) (0.058:0.058:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.277:0.277:0.277) (0.082:0.082:0.082))
+ (IOPATH B Y (0.220:0.220:0.220) (0.061:0.061:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.267:0.267:0.267) (0.074:0.074:0.074))
+ (IOPATH B Y (0.210:0.210:0.210) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.270:0.270:0.270) (0.076:0.076:0.076))
+ (IOPATH B Y (0.212:0.213:0.213) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.083:0.083:0.083))
+ (IOPATH B Y (0.222:0.222:0.222) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.083:0.083:0.083))
+ (IOPATH B Y (0.221:0.222:0.222) (0.062:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.269:0.269:0.269) (0.075:0.075:0.075))
+ (IOPATH B Y (0.212:0.212:0.212) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.269:0.269:0.269) (0.076:0.076:0.076))
+ (IOPATH B Y (0.212:0.212:0.212) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.268:0.268:0.268) (0.075:0.075:0.075))
+ (IOPATH B Y (0.211:0.211:0.211) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.078:0.078:0.078))
+ (IOPATH B Y (0.215:0.216:0.216) (0.057:0.057:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.080:0.080:0.080))
+ (IOPATH B Y (0.218:0.218:0.218) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.371:0.371:0.371) (0.415:0.415:0.415))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.368:0.368:0.368) (0.412:0.412:0.412))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.361:0.361:0.361) (0.406:0.406:0.406))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.471:0.471:0.471) (0.486:0.486:0.486))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.031:-0.033))
+ (HOLD (negedge D) (posedge CLK) (-0.031:-0.043:-0.056))
+ (SETUP (posedge D) (posedge CLK) (0.057:0.059:0.062))
+ (SETUP (negedge D) (posedge CLK) (0.104:0.117:0.131))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.457:0.457:0.457) (0.475:0.475:0.475))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.356:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.033:-0.034:-0.035))
+ (HOLD (negedge D) (posedge CLK) (-0.025:-0.032:-0.040))
+ (SETUP (posedge D) (posedge CLK) (0.061:0.063:0.064))
+ (SETUP (negedge D) (posedge CLK) (0.098:0.106:0.114))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.415:0.415:0.415) (0.447:0.447:0.447))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.203))
+ (HOLD (posedge D) (posedge CLK) (-0.034:-0.036:-0.037))
+ (HOLD (negedge D) (posedge CLK) (-0.026:-0.033:-0.041))
+ (SETUP (posedge D) (posedge CLK) (0.063:0.065:0.066))
+ (SETUP (negedge D) (posedge CLK) (0.099:0.107:0.114))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.405:0.405:0.405) (0.441:0.441:0.441))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.025:-0.028:-0.031))
+ (SETUP (posedge D) (posedge CLK) (0.057:0.058:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.098:0.101:0.104))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.422:0.422:0.422) (0.452:0.452:0.452))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.027:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.026:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.097:0.098:0.100))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.412:0.412:0.412) (0.445:0.445:0.445))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.025:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
+ (SETUP (negedge D) (posedge CLK) (0.095:0.098:0.100))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.388:0.388:0.388) (0.428:0.428:0.428))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.203))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.424:0.424:0.424) (0.453:0.453:0.453))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.203))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.029:-0.032))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.100:0.102:0.105))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.493:0.493:0.493) (0.499:0.499:0.499))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.035:-0.043))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.031:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.064:0.073))
+ (SETUP (negedge D) (posedge CLK) (0.101:0.104:0.107))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.458:0.458:0.458) (0.475:0.475:0.475))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.462:0.462:0.462) (0.479:0.479:0.479))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.519:0.519:0.519) (0.511:0.511:0.511))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.357))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.030))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.103))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.345:0.345:0.345) (0.392:0.392:0.392))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+ (SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.425:0.425:0.425) (0.453:0.453:0.453))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.409:0.409:0.409) (0.443:0.443:0.443))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
+ (HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
+ (SETUP (posedge D) (posedge CLK) (0.075:0.075:0.075))
+ (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.391:0.391:0.391) (0.430:0.430:0.430))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.394:0.394:0.394) (0.433:0.433:0.433))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
+ (SETUP (posedge D) (posedge CLK) (0.057:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.111))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.390:0.390:0.390) (0.428:0.428:0.428))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.381:0.381:0.381) (0.421:0.421:0.421))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.357:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.037:-0.037))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.383:0.383:0.383) (0.423:0.423:0.423))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.154:0.154:0.154) (0.174:0.174:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.134:0.134) (0.150:0.150:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.175:0.175:0.175) (0.149:0.149:0.149))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.123:0.123:0.123) (0.122:0.122:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.091:0.094:0.096) (0.022:0.022:0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.087:0.091:0.095) (0.021:0.022:0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.114:0.116:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.049:0.049:0.050) (0.088:0.089:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.142:0.142:0.142) (0.135:0.135:0.135))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.054:0.058:0.063) (0.115:0.121:0.127))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.046:0.047:0.048) (0.085:0.086:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.037:0.037:0.037) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.153:0.153:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.061:0.062:0.062) (0.125:0.125:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.046:0.047:0.048) (0.084:0.086:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.176:0.176:0.176) (0.151:0.151:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.126:0.126:0.126) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.049:0.050:0.051) (0.089:0.090:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.033:0.033:0.033) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.055:0.056:0.057) (0.117:0.118:0.119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.052:0.052:0.053) (0.092:0.093:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.036:0.036) (0.053:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.128:0.128:0.128) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.051:0.052:0.053) (0.091:0.092:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.030:0.030:0.030) (0.044:0.044:0.044))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.175:0.175:0.175) (0.149:0.149:0.149))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.122:0.122:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.114:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.052:0.053:0.053) (0.092:0.093:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.033:0.033:0.033) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.135:0.135) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.052:0.053:0.054) (0.092:0.093:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.153:0.153:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.127:0.127:0.127))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.056:0.057:0.058) (0.118:0.119:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.050:0.051:0.052) (0.090:0.091:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.036:0.036) (0.053:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.185:0.185:0.185) (0.157:0.157:0.157))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.132:0.132:0.132) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.057:0.058:0.058) (0.119:0.120:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.048:0.048:0.049) (0.086:0.087:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.123:0.123:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.058:0.059:0.060) (0.121:0.122:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.049:0.050:0.051) (0.089:0.090:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.036:0.036) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.176:0.176:0.176) (0.151:0.151:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.131:0.131:0.131) (0.127:0.127:0.127))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.058:0.059:0.059) (0.121:0.121:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.047:0.048:0.049) (0.086:0.087:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.033:0.033:0.033) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.103:0.103:0.103) (0.096:0.096:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.065:0.065:0.065) (0.071:0.071:0.071))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.051:0.051:0.051) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.260:0.260:0.261) (0.482:0.482:0.482))
+ (IOPATH B X (0.137:0.141:0.146) (0.303:0.304:0.305))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.024:0.024:0.024) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.057:0.058:0.058) (0.120:0.121:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.048:0.049:0.050) (0.087:0.088:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.036:0.036) (0.053:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.138:0.138:0.138) (-0.009:-0.009:-0.009))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ff.sdf b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ff.sdf
new file mode 100644
index 00000000..d11a63ad
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ff.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:49:04 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (0.744:0.744:0.744) (0.328:0.328:0.328))
+ (INTERCONNECT dco _334_.B2 (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco _296_.B (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco _351_.A1 (0.764:0.764:0.764) (0.347:0.347:0.347))
+ (INTERCONNECT dco _354_.A1 (0.764:0.764:0.764) (0.347:0.347:0.347))
+ (INTERCONNECT dco _337_.A1 (0.766:0.766:0.766) (0.348:0.348:0.348))
+ (INTERCONNECT dco _348_.A1 (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco _350_.B2 (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco _341_.B2 (0.766:0.766:0.766) (0.348:0.348:0.348))
+ (INTERCONNECT dco _342_.A1 (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco _326_.A1 (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco _343_.A1 (0.745:0.745:0.745) (0.330:0.330:0.330))
+ (INTERCONNECT dco _346_.B2 (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco _312_.A1 (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco _319_.A1 (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco _289_.A2 (0.739:0.739:0.739) (0.323:0.323:0.323))
+ (INTERCONNECT dco _295_.A1 (0.739:0.739:0.739) (0.324:0.324:0.324))
+ (INTERCONNECT dco _314_.A1 (0.744:0.744:0.744) (0.329:0.329:0.329))
+ (INTERCONNECT dco _317_.A1 (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco _316_.B2 (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco _293_.A_N (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco _306_.A1 (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco _310_.A1 (0.745:0.745:0.745) (0.330:0.330:0.330))
+ (INTERCONNECT dco _309_.A1 (0.745:0.745:0.745) (0.330:0.330:0.330))
+ (INTERCONNECT dco _305_.A1 (0.739:0.739:0.739) (0.324:0.324:0.324))
+ (INTERCONNECT dco _300_.A1 (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco _302_.A1 (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco _299_.A1 (0.739:0.739:0.739) (0.324:0.324:0.324))
+ (INTERCONNECT dco _313_.B (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco _353_.A1 (0.760:0.760:0.760) (0.344:0.344:0.344))
+ (INTERCONNECT dco _366_.A (0.763:0.763:0.763) (0.346:0.346:0.346))
+ (INTERCONNECT dco _369_.A (0.761:0.761:0.761) (0.344:0.344:0.344))
+ (INTERCONNECT dco _368_.A (0.763:0.763:0.763) (0.346:0.346:0.346))
+ (INTERCONNECT dco _352_.A_N (0.762:0.762:0.762) (0.345:0.345:0.345))
+ (INTERCONNECT dco _367_.A (0.763:0.763:0.763) (0.346:0.346:0.346))
+ (INTERCONNECT dco _371_.A (0.757:0.757:0.757) (0.340:0.340:0.340))
+ (INTERCONNECT dco _358_.A (0.760:0.760:0.760) (0.343:0.343:0.343))
+ (INTERCONNECT dco _373_.A (0.759:0.759:0.759) (0.342:0.342:0.342))
+ (INTERCONNECT dco _372_.A (0.760:0.760:0.760) (0.343:0.343:0.343))
+ (INTERCONNECT dco _360_.A (0.753:0.753:0.753) (0.337:0.337:0.337))
+ (INTERCONNECT dco _361_.A (0.755:0.755:0.755) (0.339:0.339:0.339))
+ (INTERCONNECT dco _365_.A (0.747:0.747:0.747) (0.331:0.331:0.331))
+ (INTERCONNECT dco _364_.A (0.760:0.760:0.760) (0.344:0.344:0.344))
+ (INTERCONNECT dco _357_.A (0.755:0.755:0.755) (0.338:0.338:0.338))
+ (INTERCONNECT dco _356_.A (0.757:0.757:0.757) (0.340:0.340:0.340))
+ (INTERCONNECT dco _362_.A (0.748:0.748:0.748) (0.332:0.332:0.332))
+ (INTERCONNECT dco _370_.A (0.744:0.744:0.744) (0.328:0.328:0.328))
+ (INTERCONNECT dco _363_.A (0.743:0.743:0.743) (0.327:0.327:0.327))
+ (INTERCONNECT dco _377_.A (0.741:0.741:0.741) (0.325:0.325:0.325))
+ (INTERCONNECT dco _378_.A (0.736:0.736:0.736) (0.320:0.320:0.320))
+ (INTERCONNECT dco _359_.A (0.751:0.751:0.751) (0.335:0.335:0.335))
+ (INTERCONNECT dco _375_.A (0.749:0.749:0.749) (0.332:0.332:0.332))
+ (INTERCONNECT dco _374_.A (0.748:0.748:0.748) (0.332:0.332:0.332))
+ (INTERCONNECT dco _376_.A (0.748:0.748:0.748) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (0.748:0.748:0.748) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (0.754:0.754:0.754) (0.338:0.338:0.338))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (0.748:0.748:0.748) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (0.751:0.751:0.751) (0.335:0.335:0.335))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (0.737:0.737:0.737) (0.321:0.321:0.321))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (0.741:0.741:0.741) (0.325:0.325:0.325))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (0.743:0.743:0.743) (0.327:0.327:0.327))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (0.748:0.748:0.748) (0.332:0.332:0.332))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (0.753:0.753:0.753) (0.337:0.337:0.337))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (0.754:0.754:0.754) (0.338:0.338:0.338))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (0.761:0.761:0.761) (0.344:0.344:0.344))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (0.749:0.749:0.749) (0.333:0.333:0.333))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (0.755:0.755:0.755) (0.339:0.339:0.339))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (0.753:0.753:0.753) (0.337:0.337:0.337))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (0.760:0.760:0.760) (0.343:0.343:0.343))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (0.759:0.759:0.759) (0.342:0.342:0.342))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (0.760:0.760:0.760) (0.343:0.343:0.343))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (0.757:0.757:0.757) (0.340:0.340:0.340))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (0.763:0.763:0.763) (0.346:0.346:0.346))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (0.762:0.762:0.762) (0.345:0.345:0.345))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (0.763:0.763:0.763) (0.346:0.346:0.346))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (0.761:0.761:0.761) (0.344:0.344:0.344))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (0.763:0.763:0.763) (0.346:0.346:0.346))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (0.760:0.760:0.760) (0.344:0.344:0.344))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (0.739:0.739:0.739) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (0.737:0.737:0.737) (0.322:0.322:0.322))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (0.745:0.745:0.745) (0.330:0.330:0.330))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (0.740:0.740:0.740) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (0.739:0.739:0.739) (0.324:0.324:0.324))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (0.734:0.734:0.734) (0.319:0.319:0.319))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (0.743:0.743:0.743) (0.328:0.328:0.328))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (0.745:0.745:0.745) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (0.766:0.766:0.766) (0.348:0.348:0.348))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (0.744:0.744:0.744) (0.329:0.329:0.329))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (0.766:0.766:0.766) (0.348:0.348:0.348))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (0.766:0.766:0.766) (0.349:0.349:0.349))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (0.766:0.766:0.766) (0.348:0.348:0.348))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (0.764:0.764:0.764) (0.347:0.347:0.347))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (0.764:0.764:0.764) (0.347:0.347:0.347))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (0.765:0.765:0.765) (0.348:0.348:0.348))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (0.744:0.744:0.744) (0.328:0.328:0.328))
+ (INTERCONNECT div[0] _222_.B2 (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT div[0] _235_.A (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.026:0.026:0.026) (0.010:0.010:0.010))
+ (INTERCONNECT div[1] _219_.A (0.037:0.037:0.037) (0.015:0.015:0.015))
+ (INTERCONNECT div[1] _222_.A1 (0.037:0.037:0.037) (0.015:0.015:0.015))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.037:0.037:0.037) (0.015:0.015:0.015))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.037:0.037:0.037) (0.015:0.015:0.015))
+ (INTERCONNECT div[2] _213_.B1 (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[2] _216_.A (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.031:0.031:0.031) (0.012:0.012:0.012))
+ (INTERCONNECT div[3] _213_.A1 (0.046:0.046:0.046) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] _214_.B1 (0.046:0.046:0.046) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] _215_.B1 (0.046:0.046:0.046) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.046:0.046:0.046) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.046:0.046:0.046) (0.018:0.018:0.018))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.046:0.046:0.046) (0.019:0.019:0.019))
+ (INTERCONNECT div[4] _207_.A1 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] _225_.B1 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT enable _355_.A (0.035:0.035:0.035) (0.014:0.014:0.014))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.035:0.035:0.035) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.012:0.012:0.012) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.012:0.012:0.012) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.018:0.018:0.018) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.018:0.018:0.018) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.021:0.021:0.021) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.019:0.019:0.019) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.015:0.015:0.015) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.016:0.016:0.016) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.016:0.016:0.016) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.028:0.028:0.028) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.028:0.028:0.028) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.016:0.016:0.016) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.016:0.016:0.016) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.011:0.011:0.011) (0.003:0.003:0.003))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.011:0.011:0.011) (0.003:0.003:0.003))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.029:0.029:0.029) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.029:0.029:0.029) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.013:0.013:0.013) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.013:0.013:0.013) (0.004:0.004:0.004))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.016:0.016:0.016) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.016:0.016:0.016) (0.005:0.005:0.005))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.020:0.020:0.020) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.023:0.023:0.023) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.022:0.022:0.022) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.024:0.024:0.024) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.023:0.023:0.023) (0.009:0.009:0.009))
+ (INTERCONNECT osc _394_.D (0.016:0.016:0.016) (0.006:0.006:0.006))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.016:0.016:0.016) (0.006:0.006:0.006))
+ (INTERCONNECT resetb _355_.B (0.021:0.021:0.021) (0.007:0.007:0.007))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.021:0.021:0.021) (0.007:0.007:0.007))
+ (INTERCONNECT _176_.Y _242_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _320_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _264_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _298_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _285_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _275_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _184_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _183_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _277_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _186_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _187_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _185_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _182_.X _234_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _286_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _287_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _201_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _203_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _233_.C_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _269_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _244_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _249_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _254_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _250_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _252_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _263_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _251_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _253_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _246_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _245_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _335_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _327_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _320_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _252_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _248_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _231_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _230_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _253_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _298_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _233_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _267_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _314_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _321_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _311_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _329_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _331_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _338_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _267_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _271_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _260_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _257_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _270_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _256_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _244_.Y _253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _247_.Y _258_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _252_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _339_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _252_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _283_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _301_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _315_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _299_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _331_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _311_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _304_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _297_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _316_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _294_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _301_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _294_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _301_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _304_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _299_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _300_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _343_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _298_.X _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _328_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _313_.Y _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _338_.X _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _368_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _366_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _367_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _371_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _358_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _372_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _373_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _369_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _360_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _357_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _355_.Y _365_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _356_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _364_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _377_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _363_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _378_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _370_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _375_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _374_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _355_.Y _362_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _359_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _376_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _361_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _279_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _273_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _186_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _197_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _200_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _273_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _282_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _185_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _194_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _191_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _183_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _188_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _271_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _228_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _241_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _267_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _241_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _228_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _347_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _349_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _344_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _298_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _179_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _318_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _301_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _297_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _290_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _178_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _229_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _344_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _320_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _246_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _260_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _393_.Q _323_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _345_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _352_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _176_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _290_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _322_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _307_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _257_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _182_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.099:0.099:0.099) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.103:0.103:0.103) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.064:0.064:0.064) (0.032:0.032:0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.112:0.112:0.112) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.098:0.098:0.098) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.190:0.190:0.190) (0.184:0.184:0.184))
+ (IOPATH A Y (0.383:0.383:0.383) (0.134:0.134:0.134))
+ (IOPATH B Y (0.184:0.184:0.184) (0.172:0.172:0.172))
+ (IOPATH B Y (0.361:0.361:0.361) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.220:0.220:0.220) (0.082:0.082:0.082))
+ (IOPATH A X (0.192:0.192:0.192) (0.147:0.147:0.147))
+ (IOPATH B X (0.197:0.197:0.197) (0.074:0.074:0.074))
+ (IOPATH B X (0.195:0.195:0.195) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.083:0.083:0.083) (0.147:0.147:0.147))
+ (IOPATH A1 X (0.077:0.077:0.077) (0.147:0.147:0.147))
+ (IOPATH S X (0.161:0.162:0.163) (0.190:0.187:0.183))
+ (IOPATH S X (0.101:0.104:0.108) (0.209:0.210:0.211))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.083:0.083:0.083) (0.149:0.149:0.149))
+ (IOPATH A1 X (0.080:0.080:0.080) (0.151:0.151:0.151))
+ (IOPATH S X (0.165:0.166:0.167) (0.194:0.191:0.188))
+ (IOPATH S X (0.104:0.108:0.112) (0.213:0.214:0.215))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.082:0.082:0.082) (0.146:0.146:0.146))
+ (IOPATH A1 X (0.079:0.079:0.079) (0.148:0.148:0.148))
+ (IOPATH S X (0.161:0.162:0.164) (0.190:0.187:0.184))
+ (IOPATH S X (0.101:0.105:0.108) (0.209:0.210:0.212))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.095:0.095:0.095) (0.158:0.158:0.158))
+ (IOPATH A1 X (0.083:0.083:0.083) (0.154:0.154:0.154))
+ (IOPATH S X (0.166:0.167:0.168) (0.195:0.192:0.189))
+ (IOPATH S X (0.105:0.109:0.113) (0.214:0.215:0.216))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.093:0.093:0.093) (0.158:0.158:0.158))
+ (IOPATH A1 X (0.080:0.080:0.080) (0.150:0.150:0.150))
+ (IOPATH S X (0.163:0.164:0.165) (0.192:0.188:0.185))
+ (IOPATH S X (0.102:0.106:0.110) (0.211:0.212:0.213))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.056:0.056:0.056) (0.037:0.037:0.037))
+ (IOPATH B Y (0.056:0.056:0.056) (0.038:0.038:0.038))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.078:0.078:0.078) (0.176:0.176:0.176))
+ (IOPATH B X (0.068:0.068:0.068) (0.158:0.158:0.158))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.107:0.108:0.109) (0.121:0.123:0.124))
+ (IOPATH B X (0.106:0.106:0.106) (0.137:0.137:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.099:0.099:0.099) (0.033:0.033:0.033))
+ (IOPATH B Y (0.079:0.079:0.079) (0.027:0.027:0.027))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.027:0.028) (0.015:0.015:0.015))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.092:0.092:0.092) (0.112:0.112:0.112))
+ (IOPATH B X (0.089:0.089:0.089) (0.121:0.121:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.090:0.090:0.090) (0.031:0.031:0.031))
+ (IOPATH B Y (0.071:0.071:0.071) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.099:0.099:0.099) (0.198:0.198:0.198))
+ (IOPATH B X (0.092:0.092:0.092) (0.182:0.182:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.106:0.106:0.106) (0.121:0.121:0.121))
+ (IOPATH B X (0.102:0.102:0.102) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.112:0.112:0.112) (0.126:0.126:0.126))
+ (IOPATH B X (0.099:0.099:0.099) (0.129:0.129:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.147:0.147:0.147))
+ (IOPATH B X (0.124:0.124:0.124) (0.147:0.147:0.147))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.032:0.032:0.032))
+ (IOPATH B Y (0.052:0.052:0.052) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.181:0.181:0.181) (0.075:0.075:0.075))
+ (IOPATH A X (0.151:0.151:0.151) (0.141:0.141:0.141))
+ (IOPATH B X (0.152:0.152:0.152) (0.059:0.059:0.059))
+ (IOPATH B X (0.152:0.152:0.152) (0.112:0.112:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.092:0.092:0.092) (0.132:0.132:0.133))
+ (IOPATH A2 X (0.108:0.108:0.109) (0.147:0.150:0.154))
+ (IOPATH B1 X (0.067:0.068:0.068) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.101:0.101:0.101) (0.201:0.202:0.202))
+ (IOPATH A2 X (0.116:0.116:0.117) (0.225:0.228:0.232))
+ (IOPATH B1 X (0.081:0.081:0.081) (0.159:0.159:0.159))
+ (IOPATH C1 X (0.077:0.077:0.078) (0.139:0.139:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.109:0.109:0.109) (0.140:0.140:0.140))
+ (IOPATH A2 X (0.120:0.120:0.121) (0.174:0.174:0.174))
+ (IOPATH A3 X (0.122:0.122:0.122) (0.179:0.180:0.182))
+ (IOPATH B1 X (0.085:0.086:0.086) (0.096:0.096:0.097))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.085:0.085) (0.029:0.029:0.029))
+ (IOPATH B Y (0.066:0.068:0.070) (0.024:0.024:0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.084:0.084:0.084) (0.105:0.105:0.105))
+ (IOPATH B X (0.088:0.088:0.089) (0.115:0.117:0.119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.038:0.039:0.039) (0.025:0.025:0.025))
+ (IOPATH B Y (0.043:0.045:0.048) (0.030:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.110:0.110:0.110) (0.158:0.158:0.158))
+ (IOPATH A2 X (0.110:0.110:0.110) (0.148:0.149:0.149))
+ (IOPATH B1 X (0.091:0.092:0.092) (0.084:0.084:0.085))
+ (IOPATH C1 X (0.090:0.090:0.091) (0.076:0.077:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.103:0.105:0.106) (0.038:0.038:0.038))
+ (IOPATH B Y (0.092:0.092:0.093) (0.031:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.083:0.083:0.083) (0.095:0.096:0.097))
+ (IOPATH A Y (0.131:0.133:0.134) (0.048:0.048:0.049))
+ (IOPATH B Y (0.087:0.087:0.087) (0.086:0.087:0.089))
+ (IOPATH B Y (0.112:0.114:0.115) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.182:0.183:0.184))
+ (IOPATH B X (0.078:0.078:0.078) (0.170:0.170:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.066:0.066:0.066) (0.052:0.052:0.052))
+ (IOPATH B Y (0.072:0.073:0.075) (0.059:0.059:0.060))
+ (IOPATH C Y (0.074:0.074:0.074) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.093:0.093:0.093) (0.139:0.139:0.139))
+ (IOPATH A2 X (0.096:0.097:0.097) (0.152:0.153:0.155))
+ (IOPATH B1 X (0.074:0.074:0.074) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.111:0.111:0.111) (0.128:0.128:0.128))
+ (IOPATH A2 X (0.113:0.114:0.115) (0.152:0.152:0.152))
+ (IOPATH A3 X (0.113:0.113:0.113) (0.142:0.143:0.145))
+ (IOPATH B1 X (0.085:0.085:0.085) (0.089:0.089:0.089))
+ (IOPATH B2 X (0.089:0.095:0.100) (0.105:0.107:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.107:0.107:0.107) (0.035:0.036:0.036))
+ (IOPATH A2 Y (0.109:0.110:0.111) (0.038:0.038:0.038))
+ (IOPATH B1 Y (0.061:0.061:0.061) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.071:0.072:0.073) (0.121:0.121:0.121))
+ (IOPATH A2 X (0.073:0.073:0.074) (0.129:0.130:0.131))
+ (IOPATH B1 X (0.056:0.056:0.056) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.086:0.086:0.086) (0.029:0.029:0.029))
+ (IOPATH B Y (0.077:0.078:0.079) (0.025:0.027:0.029))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.073) (0.263:0.263:0.264))
+ (IOPATH B X (0.073:0.077:0.081) (0.246:0.247:0.248))
+ (IOPATH C X (0.076:0.076:0.076) (0.221:0.223:0.224))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.086:0.087:0.087) (0.101:0.101:0.102))
+ (IOPATH A Y (0.136:0.136:0.136) (0.053:0.053:0.053))
+ (IOPATH B Y (0.088:0.088:0.088) (0.092:0.096:0.100))
+ (IOPATH B Y (0.116:0.119:0.123) (0.052:0.052:0.052))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.041:0.041:0.041))
+ (IOPATH B Y (0.064:0.066:0.069) (0.043:0.049:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.097:0.097:0.097) (0.193:0.193:0.193))
+ (IOPATH B X (0.078:0.078:0.078) (0.169:0.169:0.169))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.039:0.042) (0.026:0.026:0.026))
+ (IOPATH B Y (0.050:0.050:0.051) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.083:0.083:0.083) (0.137:0.137:0.137))
+ (IOPATH A2 X (0.077:0.084:0.091) (0.131:0.133:0.135))
+ (IOPATH B1 X (0.070:0.071:0.072) (0.117:0.117:0.118))
+ (IOPATH B2 X (0.064:0.064:0.064) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.047:0.050:0.053) (0.034:0.034:0.035))
+ (IOPATH B Y (0.051:0.052:0.053) (0.033:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.191:0.193:0.195) (0.036:0.037:0.037))
+ (IOPATH A2 Y (0.228:0.228:0.229) (0.035:0.036:0.037))
+ (IOPATH B1 Y (0.156:0.157:0.158) (0.030:0.031:0.031))
+ (IOPATH C1 Y (0.128:0.129:0.130) (0.028:0.029:0.030))
+ (IOPATH D1 Y (0.087:0.089:0.091) (0.022:0.022:0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.092:0.092:0.092) (0.131:0.131:0.131))
+ (IOPATH A2 X (0.073:0.073:0.073) (0.121:0.121:0.122))
+ (IOPATH B1 X (0.066:0.066:0.066) (0.068:0.068:0.068))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.083:0.083:0.084) (0.100:0.102:0.103))
+ (IOPATH B X (0.078:0.078:0.078) (0.109:0.110:0.111))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.303:0.305:0.307) (0.317:0.319:0.321))
+ (IOPATH A2 X (0.284:0.285:0.285) (0.304:0.306:0.307))
+ (IOPATH A3 X (0.272:0.272:0.272) (0.281:0.282:0.282))
+ (IOPATH B1 X (0.263:0.263:0.263) (0.148:0.151:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.257:0.257:0.257))
+ (IOPATH B X (0.166:0.166:0.166) (0.233:0.233:0.233))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.228:0.228:0.228))
+ (IOPATH B X (0.137:0.137:0.137) (0.215:0.215:0.215))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.229:0.229:0.229))
+ (IOPATH B X (0.123:0.123:0.123) (0.208:0.208:0.208))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.137:0.137:0.137) (0.047:0.047:0.047))
+ (IOPATH B Y (0.112:0.112:0.112) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.107:0.107:0.107) (0.207:0.207:0.207))
+ (IOPATH B X (0.110:0.110:0.110) (0.197:0.197:0.197))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.073:0.073:0.073) (0.350:0.350:0.350))
+ (IOPATH B X (0.079:0.079:0.079) (0.336:0.336:0.336))
+ (IOPATH C_N X (0.146:0.149:0.153) (0.339:0.339:0.339))
+ (IOPATH D_N X (0.107:0.110:0.113) (0.285:0.285:0.285))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.087:0.087:0.087) (0.101:0.101:0.101))
+ (IOPATH B X (0.093:0.093:0.093) (0.115:0.115:0.115))
+ (IOPATH C X (0.118:0.119:0.120) (0.130:0.134:0.138))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.074:0.074:0.074) (0.092:0.092:0.092))
+ (IOPATH B X (0.075:0.076:0.077) (0.105:0.106:0.106))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.062:0.062:0.062) (0.255:0.255:0.255))
+ (IOPATH B X (0.066:0.067:0.068) (0.240:0.241:0.242))
+ (IOPATH C_N X (0.114:0.117:0.120) (0.241:0.241:0.241))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.081:0.081:0.081) (0.293:0.293:0.293))
+ (IOPATH B X (0.084:0.084:0.084) (0.271:0.272:0.273))
+ (IOPATH C X (0.078:0.078:0.078) (0.253:0.253:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.135:0.135) (0.125:0.125:0.125))
+ (IOPATH B X (0.136:0.136:0.136) (0.150:0.150:0.150))
+ (IOPATH C X (0.141:0.142:0.142) (0.147:0.148:0.148))
+ (IOPATH D X (0.148:0.148:0.148) (0.171:0.171:0.171))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.064:0.064:0.064) (0.043:0.043:0.043))
+ (IOPATH B Y (0.074:0.074:0.074) (0.051:0.051:0.051))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.186:0.186:0.186) (0.059:0.059:0.059))
+ (IOPATH B Y (0.165:0.165:0.165) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.125:0.125:0.125) (0.087:0.087:0.087))
+ (IOPATH B Y (0.116:0.116:0.116) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.092:0.092:0.092) (0.389:0.389:0.389))
+ (IOPATH B X (0.082:0.082:0.082) (0.372:0.372:0.372))
+ (IOPATH C X (0.083:0.084:0.084) (0.350:0.350:0.350))
+ (IOPATH D X (0.095:0.096:0.096) (0.316:0.318:0.321))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.189:0.189:0.189) (0.281:0.281:0.281))
+ (IOPATH A2 X (0.202:0.202:0.202) (0.288:0.292:0.297))
+ (IOPATH A3 X (0.165:0.165:0.165) (0.247:0.247:0.248))
+ (IOPATH B1 X (0.158:0.158:0.158) (0.114:0.115:0.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.101:0.101:0.101) (0.117:0.117:0.117))
+ (IOPATH A Y (0.169:0.169:0.169) (0.066:0.066:0.066))
+ (IOPATH B Y (0.100:0.100:0.100) (0.130:0.134:0.138))
+ (IOPATH B Y (0.171:0.175:0.180) (0.066:0.066:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.089:0.089:0.089) (0.029:0.029:0.029))
+ (IOPATH B Y (0.089:0.093:0.098) (0.006:0.006:0.006))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.135:0.135:0.135))
+ (IOPATH B X (0.144:0.144:0.144) (0.165:0.170:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.149:0.153:0.156) (0.046:0.046:0.047))
+ (IOPATH B Y (0.135:0.136:0.136) (0.041:0.042:0.042))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.102:0.102:0.102) (0.071:0.071:0.071))
+ (IOPATH B Y (0.101:0.104:0.108) (0.071:0.071:0.071))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.084:0.084) (0.028:0.028:0.028))
+ (IOPATH B Y (0.089:0.094:0.098) (0.006:0.006:0.006))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.099:0.099:0.099) (0.112:0.112:0.112))
+ (IOPATH A Y (0.161:0.161:0.161) (0.064:0.064:0.064))
+ (IOPATH B Y (0.097:0.097:0.097) (0.128:0.132:0.137))
+ (IOPATH B Y (0.165:0.170:0.175) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.134) (0.172:0.176:0.180))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.168:0.168:0.168))
+ (IOPATH B1_N X (0.151:0.152:0.152) (0.127:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.143:0.143:0.144) (0.157:0.159:0.161))
+ (IOPATH A2 X (0.142:0.150:0.158) (0.166:0.172:0.178))
+ (IOPATH A3 X (0.145:0.145:0.146) (0.160:0.162:0.163))
+ (IOPATH B1 X (0.125:0.125:0.125) (0.132:0.133:0.133))
+ (IOPATH B2 X (0.132:0.132:0.132) (0.152:0.157:0.162))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.103:0.111:0.119) (0.132:0.138:0.144))
+ (IOPATH A2 X (0.124:0.124:0.124) (0.143:0.144:0.145))
+ (IOPATH A3 X (0.114:0.115:0.115) (0.142:0.144:0.145))
+ (IOPATH B1 X (0.087:0.087:0.087) (0.106:0.106:0.106))
+ (IOPATH B2 X (0.099:0.099:0.099) (0.135:0.140:0.144))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.092:0.092:0.092) (0.122:0.122:0.122))
+ (IOPATH A Y (0.151:0.151:0.151) (0.059:0.059:0.059))
+ (IOPATH B Y (0.086:0.086:0.086) (0.119:0.124:0.128))
+ (IOPATH B Y (0.139:0.144:0.149) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.083:0.083:0.084) (0.102:0.103:0.104))
+ (IOPATH B X (0.086:0.094:0.103) (0.117:0.123:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.082:0.083:0.084) (0.033:0.034:0.034))
+ (IOPATH A2 Y (0.071:0.077:0.082) (0.028:0.029:0.030))
+ (IOPATH B1 Y (0.046:0.051:0.055) (0.019:0.019:0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.102:0.102:0.102) (0.161:0.161:0.161))
+ (IOPATH A2 X (0.089:0.089:0.089) (0.138:0.144:0.150))
+ (IOPATH B1 X (0.067:0.067:0.067) (0.117:0.118:0.118))
+ (IOPATH B2 X (0.058:0.062:0.067) (0.102:0.104:0.107))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.109:0.110:0.111) (0.047:0.047:0.047))
+ (IOPATH A2 Y (0.119:0.121:0.122) (0.047:0.048:0.048))
+ (IOPATH B1 Y (0.070:0.071:0.071) (0.028:0.028:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.067:0.071:0.075) (0.087:0.094:0.100))
+ (IOPATH A Y (0.097:0.103:0.108) (0.038:0.040:0.042))
+ (IOPATH B Y (0.065:0.066:0.068) (0.072:0.078:0.083))
+ (IOPATH B Y (0.071:0.076:0.081) (0.032:0.032:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.093:0.093:0.093) (0.157:0.157:0.157))
+ (IOPATH A1 X (0.071:0.078:0.084) (0.144:0.147:0.149))
+ (IOPATH S X (0.135:0.140:0.146) (0.192:0.192:0.192))
+ (IOPATH S X (0.100:0.100:0.100) (0.188:0.192:0.197))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.096:0.097:0.098) (0.046:0.046:0.046))
+ (IOPATH A X (0.080:0.080:0.080) (0.105:0.106:0.107))
+ (IOPATH B X (0.078:0.079:0.081) (0.037:0.037:0.038))
+ (IOPATH B X (0.082:0.082:0.082) (0.087:0.089:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.091:0.091:0.091) (0.154:0.154:0.154))
+ (IOPATH A1 X (0.077:0.079:0.081) (0.142:0.145:0.147))
+ (IOPATH S X (0.133:0.138:0.144) (0.190:0.190:0.190))
+ (IOPATH S X (0.098:0.098:0.098) (0.185:0.190:0.194))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.107:0.111:0.115) (0.025:0.025:0.025))
+ (IOPATH A2 Y (0.099:0.099:0.099) (0.035:0.035:0.035))
+ (IOPATH B1 Y (0.045:0.049:0.054) (0.019:0.019:0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.127:0.127:0.127) (0.178:0.178:0.178))
+ (IOPATH A2 X (0.117:0.117:0.118) (0.159:0.160:0.162))
+ (IOPATH B1 X (0.102:0.104:0.107) (0.087:0.095:0.104))
+ (IOPATH C1 X (0.118:0.118:0.119) (0.093:0.099:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.077:0.077:0.077) (0.101:0.101:0.101))
+ (IOPATH A Y (0.116:0.116:0.116) (0.046:0.046:0.046))
+ (IOPATH B Y (0.067:0.068:0.068) (0.077:0.080:0.083))
+ (IOPATH B Y (0.083:0.085:0.088) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.071:0.075:0.079) (0.090:0.097:0.103))
+ (IOPATH A Y (0.106:0.111:0.117) (0.041:0.044:0.047))
+ (IOPATH B Y (0.069:0.069:0.069) (0.081:0.083:0.084))
+ (IOPATH B Y (0.085:0.086:0.087) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.146:0.153:0.159) (0.139:0.139:0.139))
+ (IOPATH A2_N X (0.123:0.127:0.130) (0.119:0.125:0.130))
+ (IOPATH B1 X (0.112:0.112:0.112) (0.162:0.162:0.162))
+ (IOPATH B2 X (0.095:0.095:0.095) (0.146:0.147:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.062:0.062:0.062) (0.039:0.039:0.039))
+ (IOPATH B Y (0.061:0.061:0.062) (0.042:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.075:0.075:0.075) (0.115:0.119:0.124))
+ (IOPATH A Y (0.121:0.125:0.129) (0.037:0.037:0.037))
+ (IOPATH B Y (0.061:0.062:0.062) (0.075:0.076:0.077))
+ (IOPATH B Y (0.073:0.074:0.075) (0.030:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.079:0.079:0.079) (0.146:0.146:0.146))
+ (IOPATH A1 X (0.072:0.078:0.085) (0.145:0.150:0.155))
+ (IOPATH S X (0.135:0.141:0.147) (0.193:0.193:0.193))
+ (IOPATH S X (0.100:0.100:0.100) (0.188:0.193:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.042:0.042:0.042) (0.023:0.023:0.023))
+ (IOPATH B Y (0.052:0.057:0.062) (0.028:0.028:0.028))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.081:0.081:0.081) (0.132:0.132:0.132))
+ (IOPATH A2 X (0.068:0.068:0.068) (0.114:0.115:0.116))
+ (IOPATH B1 X (0.052:0.054:0.055) (0.064:0.066:0.068))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.126:0.126:0.126) (0.129:0.129:0.129))
+ (IOPATH B X (0.139:0.139:0.139) (0.150:0.150:0.150))
+ (IOPATH C X (0.139:0.139:0.139) (0.163:0.163:0.163))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.116:0.116:0.116) (0.129:0.129:0.129))
+ (IOPATH B X (0.114:0.114:0.114) (0.136:0.137:0.138))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.084:0.084:0.084) (0.135:0.135:0.135))
+ (IOPATH A2 X (0.070:0.070:0.070) (0.117:0.118:0.118))
+ (IOPATH B1 X (0.073:0.071:0.068) (0.111:0.112:0.114))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.079:0.079:0.079) (0.112:0.112:0.112))
+ (IOPATH B Y (0.043:0.043:0.044) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.106:0.106:0.106) (0.165:0.165:0.165))
+ (IOPATH A2 X (0.098:0.098:0.098) (0.146:0.146:0.147))
+ (IOPATH B1 X (0.081:0.082:0.083) (0.078:0.080:0.081))
+ (IOPATH C1 X (0.105:0.110:0.114) (0.114:0.115:0.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.085:0.085:0.085) (0.060:0.060:0.060))
+ (IOPATH B Y (0.083:0.084:0.084) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.084:0.084:0.084) (0.029:0.029:0.029))
+ (IOPATH A2 Y (0.099:0.099:0.099) (0.034:0.034:0.034))
+ (IOPATH B1 Y (0.050:0.050:0.050) (0.015:0.015:0.015))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.078:0.078:0.079) (0.179:0.179:0.180))
+ (IOPATH B X (0.072:0.075:0.078) (0.161:0.163:0.165))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.082:0.083:0.084) (0.031:0.031:0.031))
+ (IOPATH A2 Y (0.090:0.090:0.090) (0.029:0.029:0.029))
+ (IOPATH B1 Y (0.053:0.056:0.060) (0.009:0.009:0.008))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.084:0.084:0.084) (0.106:0.106:0.106))
+ (IOPATH A Y (0.127:0.127:0.127) (0.051:0.051:0.051))
+ (IOPATH B Y (0.079:0.079:0.079) (0.102:0.102:0.102))
+ (IOPATH B Y (0.112:0.112:0.112) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.076:0.077:0.079) (0.028:0.028:0.028))
+ (IOPATH A2 Y (0.080:0.082:0.085) (0.028:0.031:0.034))
+ (IOPATH B1 Y (0.049:0.052:0.056) (0.005:0.005:0.004))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.035:0.035:0.035))
+ (IOPATH B Y (0.081:0.082:0.083) (0.042:0.035:0.028))
+ (IOPATH C Y (0.052:0.054:0.055) (0.039:0.039:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.074:0.074:0.074) (0.141:0.141:0.141))
+ (IOPATH A1 X (0.073:0.073:0.073) (0.146:0.146:0.146))
+ (IOPATH S X (0.162:0.163:0.164) (0.191:0.188:0.185))
+ (IOPATH S X (0.102:0.105:0.109) (0.210:0.211:0.212))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.073:0.073:0.073) (0.140:0.140:0.140))
+ (IOPATH A1 X (0.075:0.075:0.075) (0.147:0.147:0.147))
+ (IOPATH S X (0.129:0.133:0.137) (0.191:0.191:0.191))
+ (IOPATH S X (0.103:0.103:0.104) (0.183:0.186:0.189))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.066:0.066:0.066) (0.168:0.168:0.168))
+ (IOPATH B X (0.090:0.090:0.091) (0.160:0.163:0.167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.264:0.264:0.264) (-0.006:-0.006:-0.006))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.099:0.099:0.099) (0.131:0.131:0.131))
+ (IOPATH A2 X (0.111:0.111:0.111) (0.280:0.280:0.280))
+ (IOPATH B1 X (0.097:0.097:0.097) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.150:0.150:0.150) (0.338:0.338:0.338))
+ (IOPATH B X (0.133:0.133:0.133) (0.312:0.312:0.312))
+ (IOPATH C X (0.138:0.138:0.138) (0.292:0.292:0.292))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.167:0.167:0.167) (0.057:0.057:0.057))
+ (IOPATH B Y (0.137:0.137:0.137) (0.040:0.040:0.040))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.127:0.127:0.127) (0.085:0.085:0.085))
+ (IOPATH B Y (0.121:0.125:0.129) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.313:0.313:0.313) (0.156:0.156:0.156))
+ (IOPATH B X (0.159:0.159:0.159) (0.173:0.173:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.160:0.160:0.160) (0.162:0.162:0.162))
+ (IOPATH B X (0.173:0.173:0.174) (0.177:0.179:0.181))
+ (IOPATH C X (0.168:0.168:0.168) (0.177:0.177:0.177))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.088:0.088:0.088) (0.269:0.269:0.269))
+ (IOPATH A2 X (0.102:0.102:0.102) (0.146:0.146:0.146))
+ (IOPATH B1 X (0.092:0.092:0.092) (0.111:0.112:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.121:0.121:0.121) (0.040:0.040:0.040))
+ (IOPATH B Y (0.237:0.237:0.237) (-0.048:-0.048:-0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.089:0.089:0.089) (0.186:0.186:0.186))
+ (IOPATH B X (0.084:0.084:0.084) (0.172:0.174:0.176))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.122:0.122:0.122) (0.315:0.315:0.315))
+ (IOPATH B X (0.109:0.109:0.109) (0.288:0.288:0.288))
+ (IOPATH C X (0.103:0.103:0.103) (0.267:0.267:0.267))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.127:0.127:0.127) (0.274:0.274:0.274))
+ (IOPATH A2 X (0.109:0.109:0.109) (0.144:0.144:0.144))
+ (IOPATH B1 X (0.122:0.122:0.122) (0.140:0.142:0.144))
+ (IOPATH B2 X (0.121:0.121:0.121) (0.139:0.139:0.139))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.090:0.090:0.090) (0.271:0.271:0.271))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.096:0.096:0.096) (0.116:0.116:0.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.151:0.151:0.151) (0.207:0.207:0.207))
+ (IOPATH A2 X (0.138:0.138:0.138) (0.192:0.192:0.192))
+ (IOPATH B1 X (0.138:0.138:0.138) (0.126:0.128:0.130))
+ (IOPATH C1 X (0.131:0.131:0.131) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.087:0.087:0.087) (0.269:0.269:0.269))
+ (IOPATH A2 X (0.105:0.105:0.105) (0.149:0.149:0.149))
+ (IOPATH B1 X (0.089:0.089:0.089) (0.103:0.106:0.109))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.109:0.109:0.109) (0.207:0.207:0.207))
+ (IOPATH B X (0.106:0.106:0.106) (0.195:0.196:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.144:0.144:0.144) (0.195:0.197:0.199))
+ (IOPATH A2 X (0.145:0.145:0.145) (0.192:0.194:0.196))
+ (IOPATH B1 X (0.136:0.136:0.136) (0.115:0.115:0.115))
+ (IOPATH C1 X (0.118:0.118:0.118) (0.098:0.098:0.098))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.088:0.088:0.088) (0.269:0.269:0.269))
+ (IOPATH A2 X (0.105:0.105:0.105) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.089:0.090:0.090) (0.103:0.106:0.109))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.131:0.131:0.131) (0.276:0.276:0.276))
+ (IOPATH A2 X (0.115:0.115:0.115) (0.148:0.148:0.148))
+ (IOPATH B1 X (0.128:0.128:0.128) (0.170:0.170:0.170))
+ (IOPATH B2 X (0.114:0.114:0.114) (0.145:0.145:0.145))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.141:0.141:0.141) (0.331:0.331:0.331))
+ (IOPATH B X (0.125:0.125:0.125) (0.305:0.305:0.305))
+ (IOPATH C X (0.110:0.110:0.110) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.088:0.088:0.088) (0.186:0.186:0.186))
+ (IOPATH B X (0.072:0.073:0.073) (0.171:0.171:0.171))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.134:0.134:0.134) (0.278:0.278:0.278))
+ (IOPATH A2 X (0.118:0.118:0.118) (0.150:0.150:0.150))
+ (IOPATH B1 X (0.121:0.121:0.121) (0.130:0.131:0.131))
+ (IOPATH B2 X (0.109:0.109:0.109) (0.133:0.133:0.133))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.140:0.140:0.140) (0.282:0.282:0.282))
+ (IOPATH A2 X (0.125:0.125:0.125) (0.155:0.155:0.155))
+ (IOPATH B1 X (0.132:0.132:0.132) (0.138:0.138:0.138))
+ (IOPATH B2 X (0.121:0.121:0.121) (0.141:0.141:0.141))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.094:0.094:0.094) (0.154:0.154:0.154))
+ (IOPATH A2 X (0.078:0.078:0.078) (0.144:0.144:0.144))
+ (IOPATH B1 X (0.085:0.085:0.085) (0.135:0.137:0.139))
+ (IOPATH B2 X (0.070:0.071:0.071) (0.118:0.121:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.138:0.138:0.138) (0.281:0.281:0.281))
+ (IOPATH A2 X (0.123:0.123:0.123) (0.153:0.153:0.153))
+ (IOPATH B1 X (0.121:0.121:0.122) (0.125:0.128:0.131))
+ (IOPATH B2 X (0.113:0.113:0.113) (0.130:0.131:0.131))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.071:0.071:0.071) (0.102:0.102:0.102))
+ (IOPATH B Y (0.173:0.173:0.173) (-0.032:-0.032:-0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.127:0.127:0.127) (0.373:0.373:0.373))
+ (IOPATH A2 X (0.142:0.142:0.142) (0.242:0.242:0.242))
+ (IOPATH A3 X (0.143:0.143:0.143) (0.213:0.213:0.213))
+ (IOPATH B1 X (0.110:0.116:0.122) (0.095:0.114:0.134))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.103:0.103:0.103) (0.185:0.185:0.185))
+ (IOPATH B X (0.086:0.086:0.086) (0.184:0.184:0.184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.174:0.174:0.175) (0.178:0.180:0.182))
+ (IOPATH A2 X (0.176:0.176:0.176) (0.184:0.184:0.184))
+ (IOPATH A3 X (0.162:0.162:0.162) (0.173:0.173:0.173))
+ (IOPATH B1 X (0.132:0.132:0.132) (0.110:0.110:0.110))
+ (IOPATH B2 X (0.109:0.109:0.109) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.119:0.119:0.119) (0.271:0.271:0.271))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.143:0.143:0.143))
+ (IOPATH B1 X (0.111:0.111:0.111) (0.128:0.128:0.128))
+ (IOPATH B2 X (0.095:0.095:0.095) (0.126:0.126:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.094:0.094:0.094) (0.192:0.192:0.192))
+ (IOPATH B X (0.077:0.077:0.077) (0.176:0.176:0.176))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.117:0.117:0.117) (0.267:0.267:0.267))
+ (IOPATH A2 X (0.102:0.102:0.102) (0.140:0.140:0.140))
+ (IOPATH B1 X (0.106:0.106:0.106) (0.121:0.121:0.122))
+ (IOPATH B2 X (0.094:0.095:0.095) (0.124:0.124:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.090:0.090:0.090) (0.192:0.192:0.192))
+ (IOPATH A2 X (0.092:0.092:0.092) (0.218:0.218:0.218))
+ (IOPATH B1 X (0.074:0.074:0.074) (0.153:0.153:0.153))
+ (IOPATH C1 X (0.064:0.064:0.064) (0.129:0.129:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.106:0.106:0.106) (0.038:0.038:0.038))
+ (IOPATH A2 Y (0.076:0.076:0.076) (0.025:0.025:0.025))
+ (IOPATH B1 Y (0.035:0.039:0.043) (0.017:0.017:0.017))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.115:0.115:0.115) (0.179:0.179:0.179))
+ (IOPATH A2 X (0.101:0.101:0.101) (0.151:0.151:0.151))
+ (IOPATH B1 X (0.077:0.078:0.078) (0.078:0.080:0.081))
+ (IOPATH C1 X (0.074:0.079:0.084) (0.071:0.072:0.074))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.064:0.064:0.064) (0.030:0.030:0.030))
+ (IOPATH B Y (0.048:0.052:0.056) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.097:0.097:0.097) (0.198:0.198:0.198))
+ (IOPATH B X (0.097:0.097:0.098) (0.187:0.188:0.188))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.092:0.092:0.092) (0.174:0.174:0.175))
+ (IOPATH B X (0.063:0.063:0.064) (0.157:0.158:0.159))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.123:0.123:0.123) (0.272:0.272:0.272))
+ (IOPATH A2 X (0.108:0.108:0.108) (0.144:0.144:0.144))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.117:0.120:0.123))
+ (IOPATH B2 X (0.099:0.100:0.100) (0.121:0.123:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.087:0.087:0.087) (0.183:0.183:0.183))
+ (IOPATH B X (0.086:0.086:0.086) (0.176:0.176:0.176))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.121:0.121:0.121) (0.202:0.202:0.203))
+ (IOPATH B X (0.101:0.101:0.101) (0.200:0.200:0.200))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.074:0.075:0.075) (0.132:0.132:0.132))
+ (IOPATH A2 X (0.086:0.086:0.086) (0.146:0.148:0.149))
+ (IOPATH B1 X (0.059:0.059:0.059) (0.095:0.098:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.107:0.107:0.107) (0.168:0.168:0.168))
+ (IOPATH A2 X (0.099:0.099:0.099) (0.153:0.153:0.153))
+ (IOPATH B1 X (0.084:0.084:0.084) (0.088:0.088:0.088))
+ (IOPATH C1 X (0.076:0.076:0.077) (0.075:0.075:0.075))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.080:0.080:0.081) (0.141:0.143:0.144))
+ (IOPATH A2 X (0.081:0.081:0.081) (0.141:0.143:0.146))
+ (IOPATH B1 X (0.071:0.071:0.071) (0.130:0.130:0.131))
+ (IOPATH B2 X (0.067:0.067:0.067) (0.115:0.119:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.120:0.120:0.120) (0.154:0.154:0.154))
+ (IOPATH B X (0.100:0.100:0.100) (0.125:0.125:0.125))
+ (IOPATH C X (0.094:0.095:0.095) (0.120:0.121:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.088:0.089:0.089) (0.098:0.100:0.103))
+ (IOPATH B X (0.091:0.091:0.091) (0.112:0.113:0.113))
+ (IOPATH C X (0.097:0.097:0.097) (0.123:0.123:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.146:0.146:0.146) (0.161:0.161:0.161))
+ (IOPATH A2 X (0.154:0.157:0.161) (0.164:0.186:0.209))
+ (IOPATH A3 X (0.139:0.139:0.139) (0.161:0.161:0.161))
+ (IOPATH B1 X (0.111:0.111:0.111) (0.102:0.102:0.102))
+ (IOPATH B2 X (0.088:0.088:0.088) (0.266:0.266:0.266))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.099:0.100:0.100) (0.158:0.161:0.163))
+ (IOPATH A2 X (0.085:0.085:0.085) (0.151:0.151:0.152))
+ (IOPATH B1 X (0.078:0.078:0.078) (0.130:0.130:0.130))
+ (IOPATH B2 X (0.081:0.081:0.081) (0.134:0.134:0.134))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.135:0.135:0.136))
+ (IOPATH B X (0.134:0.134:0.135) (0.150:0.150:0.150))
+ (IOPATH C X (0.131:0.131:0.131) (0.149:0.150:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.098:0.098:0.098) (0.278:0.278:0.278))
+ (IOPATH A2 X (0.115:0.115:0.115) (0.156:0.156:0.156))
+ (IOPATH B1 X (0.098:0.098:0.098) (0.114:0.114:0.115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.122:0.122:0.122) (0.192:0.192:0.192))
+ (IOPATH A2 X (0.119:0.119:0.119) (0.188:0.188:0.188))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.164:0.164:0.164))
+ (IOPATH B2 X (0.119:0.119:0.119) (0.161:0.164:0.168))
+ (IOPATH C1 X (0.092:0.092:0.092) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.090:0.090:0.091) (0.190:0.192:0.193))
+ (IOPATH B X (0.079:0.079:0.079) (0.173:0.173:0.173))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.112:0.112:0.113) (0.113:0.116:0.119))
+ (IOPATH B X (0.106:0.106:0.106) (0.124:0.125:0.125))
+ (IOPATH C X (0.110:0.111:0.111) (0.140:0.140:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.133:0.133:0.134) (0.149:0.149:0.149))
+ (IOPATH A2 X (0.143:0.143:0.143) (0.160:0.163:0.167))
+ (IOPATH A3 X (0.144:0.144:0.144) (0.159:0.160:0.161))
+ (IOPATH B1 X (0.114:0.114:0.114) (0.103:0.103:0.103))
+ (IOPATH B2 X (0.090:0.090:0.090) (0.267:0.267:0.267))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.135:0.135:0.135) (0.281:0.281:0.281))
+ (IOPATH A2 X (0.118:0.118:0.118) (0.152:0.152:0.152))
+ (IOPATH B1 X (0.122:0.122:0.122) (0.138:0.138:0.138))
+ (IOPATH B2 X (0.127:0.130:0.134) (0.134:0.157:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.125:0.125:0.125) (0.274:0.274:0.274))
+ (IOPATH A2 X (0.111:0.111:0.111) (0.147:0.147:0.147))
+ (IOPATH B1 X (0.113:0.113:0.113) (0.126:0.127:0.128))
+ (IOPATH B2 X (0.109:0.109:0.109) (0.136:0.136:0.136))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.108:0.108:0.108) (0.045:0.045:0.045))
+ (IOPATH A2 Y (0.103:0.103:0.103) (0.033:0.033:0.033))
+ (IOPATH B1 Y (0.057:0.057:0.057) (0.025:0.025:0.025))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.072:0.072:0.072) (0.039:0.039:0.039))
+ (IOPATH B Y (0.054:0.054:0.055) (0.035:0.038:0.041))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.117:0.118:0.118) (0.150:0.150:0.151))
+ (IOPATH A2 X (0.117:0.117:0.117) (0.147:0.148:0.149))
+ (IOPATH A3 X (0.122:0.122:0.123) (0.146:0.151:0.157))
+ (IOPATH B1 X (0.092:0.092:0.092) (0.093:0.093:0.093))
+ (IOPATH B2 X (0.067:0.067:0.067) (0.253:0.253:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.096:0.096:0.096) (0.282:0.282:0.282))
+ (IOPATH B X (0.083:0.083:0.083) (0.254:0.254:0.254))
+ (IOPATH C X (0.068:0.068:0.068) (0.229:0.229:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.122:0.122:0.122) (0.272:0.272:0.272))
+ (IOPATH A2 X (0.105:0.105:0.105) (0.143:0.143:0.143))
+ (IOPATH B1 X (0.104:0.104:0.105) (0.120:0.121:0.121))
+ (IOPATH B2 X (0.097:0.098:0.098) (0.132:0.132:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.092:0.092:0.092) (0.190:0.190:0.190))
+ (IOPATH B X (0.065:0.065:0.066) (0.159:0.160:0.161))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.113:0.113:0.114) (0.130:0.134:0.137))
+ (IOPATH A2 X (0.117:0.117:0.117) (0.149:0.149:0.150))
+ (IOPATH A3 X (0.116:0.116:0.117) (0.149:0.149:0.149))
+ (IOPATH B1 X (0.092:0.092:0.092) (0.092:0.092:0.092))
+ (IOPATH B2 X (0.064:0.064:0.064) (0.253:0.253:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.077:0.077:0.077) (0.264:0.264:0.264))
+ (IOPATH A2 X (0.093:0.093:0.093) (0.141:0.141:0.141))
+ (IOPATH B1 X (0.084:0.086:0.087) (0.099:0.121:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.240:0.240:0.240) (0.111:0.111:0.111))
+ (IOPATH B X (0.120:0.120:0.120) (0.136:0.136:0.136))
+ (IOPATH C X (0.124:0.124:0.124) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.076:0.076:0.076) (0.263:0.263:0.263))
+ (IOPATH A2 X (0.090:0.090:0.090) (0.139:0.139:0.139))
+ (IOPATH B1 X (0.071:0.072:0.072) (0.095:0.095:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.115:0.115:0.115) (0.267:0.267:0.267))
+ (IOPATH A2 X (0.104:0.104:0.104) (0.141:0.141:0.141))
+ (IOPATH B1 X (0.099:0.099:0.099) (0.116:0.116:0.117))
+ (IOPATH B2 X (0.096:0.096:0.096) (0.126:0.126:0.126))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.393:0.393:0.393) (0.248:0.248:0.248))
+ (IOPATH B Y (0.390:0.390:0.390) (0.248:0.248:0.248))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.179:0.179:0.179) (-0.067:-0.067:-0.067))
+ (IOPATH B Y (0.143:0.143:0.143) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.180:0.180:0.180) (-0.066:-0.066:-0.066))
+ (IOPATH B Y (0.144:0.144:0.144) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.183:0.183:0.183) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.146:0.146:0.147) (-0.020:-0.020:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.180:0.180:0.180) (-0.066:-0.066:-0.066))
+ (IOPATH B Y (0.144:0.144:0.144) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.179:0.179:0.179) (-0.067:-0.067:-0.067))
+ (IOPATH B Y (0.143:0.143:0.143) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.183:0.183:0.183) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.147:0.147:0.147) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.180:0.180:0.180) (-0.066:-0.066:-0.066))
+ (IOPATH B Y (0.144:0.144:0.144) (-0.022:-0.022:-0.022))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.182:0.182:0.182) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.146:0.146:0.146) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.182:0.182:0.182) (-0.065:-0.065:-0.065))
+ (IOPATH B Y (0.145:0.145:0.146) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.183:0.183:0.183) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.146:0.147:0.147) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.187:0.187:0.187) (-0.061:-0.061:-0.061))
+ (IOPATH B Y (0.151:0.151:0.151) (-0.017:-0.017:-0.018))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (-0.068:-0.068:-0.068))
+ (IOPATH B Y (0.142:0.142:0.142) (-0.023:-0.023:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.181:0.181:0.181) (-0.065:-0.065:-0.065))
+ (IOPATH B Y (0.145:0.145:0.145) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.185:0.185:0.185) (-0.063:-0.063:-0.063))
+ (IOPATH B Y (0.148:0.148:0.148) (-0.019:-0.019:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.177:0.177:0.177) (-0.068:-0.068:-0.068))
+ (IOPATH B Y (0.141:0.141:0.141) (-0.024:-0.024:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.179:0.179:0.179) (-0.067:-0.067:-0.067))
+ (IOPATH B Y (0.143:0.143:0.143) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.186:0.186:0.186) (-0.062:-0.062:-0.062))
+ (IOPATH B Y (0.149:0.149:0.150) (-0.018:-0.018:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.185:0.185:0.185) (-0.062:-0.062:-0.062))
+ (IOPATH B Y (0.149:0.149:0.149) (-0.019:-0.019:-0.019))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (-0.067:-0.067:-0.067))
+ (IOPATH B Y (0.142:0.142:0.142) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.178:0.178:0.178) (-0.067:-0.067:-0.067))
+ (IOPATH B Y (0.142:0.143:0.143) (-0.023:-0.023:-0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.177:0.177:0.177) (-0.068:-0.068:-0.068))
+ (IOPATH B Y (0.142:0.142:0.142) (-0.024:-0.024:-0.024))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.181:0.181:0.181) (-0.065:-0.065:-0.065))
+ (IOPATH B Y (0.145:0.145:0.145) (-0.021:-0.021:-0.021))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.183:0.183:0.183) (-0.064:-0.064:-0.064))
+ (IOPATH B Y (0.147:0.147:0.147) (-0.020:-0.020:-0.020))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.236:0.236:0.236) (0.262:0.262:0.262))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.233:0.233:0.233) (0.260:0.260:0.260))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.228:0.228:0.228) (0.256:0.256:0.256))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.309:0.309:0.309) (0.311:0.311:0.311))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.020))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.033:-0.041))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.037))
+ (SETUP (negedge D) (posedge CLK) (0.068:0.078:0.087))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.297:0.297:0.297) (0.303:0.303:0.303))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.024:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.036:0.037))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.068:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.268:0.268:0.268) (0.285:0.285:0.285))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.021))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.025:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.036:0.037:0.038))
+ (SETUP (negedge D) (posedge CLK) (0.066:0.069:0.073))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.260:0.260:0.260) (0.281:0.281:0.281))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.068:0.070))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.273:0.273:0.273) (0.288:0.288:0.288))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.021:-0.022:-0.023))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.065:0.066:0.067))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.265:0.265:0.265) (0.283:0.283:0.283))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.023))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.064:0.065:0.067))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.247:0.247:0.247) (0.271:0.271:0.271))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.274:0.274:0.274) (0.289:0.289:0.289))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.220:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.122:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.066:0.068:0.070))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.329:0.329:0.329) (0.323:0.323:0.323))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.021:-0.023))
+ (HOLD (negedge D) (posedge CLK) (-0.023:-0.025:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.038:0.041))
+ (SETUP (negedge D) (posedge CLK) (0.067:0.069:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.300:0.300:0.300) (0.305:0.305:0.305))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.303:0.303:0.303) (0.307:0.307:0.307))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.345:0.345:0.345) (0.331:0.331:0.331))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.218:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.124))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.067:0.068:0.069))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.217:0.217:0.217) (0.246:0.246:0.246))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.273:0.273:0.273) (0.288:0.288:0.288))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
+ (SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.261:0.261:0.261) (0.281:0.281:0.281))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.221))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.123:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
+ (SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.249:0.249:0.249) (0.272:0.272:0.272))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.251:0.251:0.251) (0.273:0.273:0.273))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.073:0.073))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.249:0.249:0.249) (0.271:0.271:0.271))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.219))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.125:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.242:0.242:0.242) (0.266:0.266:0.266))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.218:0.219:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.124:-0.123))
+ (HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.035:0.035:0.036))
+ (SETUP (negedge D) (posedge CLK) (0.072:0.072:0.072))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.244:0.244:0.244) (0.267:0.267:0.267))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.219:0.220:0.220))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.124:-0.123:-0.122))
+ (HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.034:0.035:0.035))
+ (SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.105:0.105:0.105) (0.120:0.120:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.125:0.125:0.125) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.055:0.056:0.057) (0.006:0.005:0.004))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.054:0.055:0.056) (0.007:0.006:0.005))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.037:0.039) (0.072:0.075:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.029:0.031:0.032) (0.054:0.056:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.032:0.032:0.032))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.087:0.087:0.087) (0.087:0.087:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.001:0.001:0.001))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.010))
+ (IOPATH TE_B Z (0.034:0.039:0.043) (0.073:0.078:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.028:0.030:0.032) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.028:0.028:0.028) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.072:0.072:0.072) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.039:0.040:0.042) (0.077:0.079:0.081))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.028:0.029:0.031) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.074:0.074:0.074) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.031:0.032:0.034) (0.055:0.057:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.077:0.077:0.077) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.035:0.037:0.039) (0.073:0.075:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.006:-0.006))
+ (IOPATH TE_B Z (0.031:0.033:0.035) (0.056:0.058:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.077:0.077:0.077) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.006:-0.006:-0.006))
+ (IOPATH TE_B Z (0.032:0.034:0.035) (0.057:0.059:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.022:0.022:0.022) (0.030:0.030:0.030))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.073:0.073:0.073) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.031:0.033:0.035) (0.056:0.058:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.025:0.025) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.081:0.081:0.081) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.002:0.002:0.002))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.034:0.036:0.038) (0.072:0.074:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.008))
+ (IOPATH TE_B Z (0.033:0.034:0.036) (0.058:0.059:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.023:0.023:0.023) (0.031:0.031:0.031))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.076:0.076:0.076) (0.081:0.081:0.081))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.010:-0.010:-0.010))
+ (IOPATH TE_B Z (0.036:0.038:0.040) (0.074:0.076:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.008:-0.008:-0.008))
+ (IOPATH TE_B Z (0.031:0.032:0.034) (0.055:0.057:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.134:0.134) (0.108:0.108:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.078:0.078:0.078) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.024:0.024:0.024) (-0.011:-0.011:-0.011))
+ (IOPATH TE_B Z (0.037:0.038:0.040) (0.075:0.076:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.028:0.030:0.032) (0.052:0.055:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.024:0.024:0.024) (0.033:0.033:0.033))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.073:0.073:0.073) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.009:0.009:0.009) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.038:0.039:0.041) (0.076:0.078:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.030:0.032:0.034) (0.055:0.057:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.037:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.079:0.079:0.079) (0.082:0.082:0.082))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.006:0.006:0.006))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.010:0.010:0.010) (0.003:0.003:0.003))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.009:-0.009:-0.009))
+ (IOPATH TE_B Z (0.037:0.039:0.041) (0.075:0.077:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (-0.007:-0.007:-0.007))
+ (IOPATH TE_B Z (0.027:0.029:0.031) (0.052:0.054:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.025:0.025:0.025) (0.034:0.034:0.034))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.074:0.074:0.074) (0.065:0.065:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.049:0.049:0.049) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.035:0.035:0.035) (0.026:0.026:0.026))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.280:0.280:0.280))
+ (IOPATH B X (0.092:0.094:0.095) (0.177:0.178:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.055:0.055:0.055) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.012:0.012:0.012) (0.005:0.005:0.005))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.004:0.004:0.004))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.005:-0.005:-0.005) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.038:0.039:0.039) (0.076:0.077:0.077))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.001:-0.001:-0.001) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.029:0.031:0.032) (0.053:0.055:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.027:0.027:0.027) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.041:0.041:0.041) (-0.079:-0.079:-0.079))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ss.sdf b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ss.sdf
new file mode 100644
index 00000000..5ad980bf
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.ss.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:49:04 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (1.636:1.636:1.636) (0.939:0.939:0.939))
+ (INTERCONNECT dco _334_.B2 (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco _296_.B (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco _351_.A1 (1.657:1.657:1.657) (0.958:0.958:0.958))
+ (INTERCONNECT dco _354_.A1 (1.657:1.657:1.657) (0.958:0.958:0.958))
+ (INTERCONNECT dco _337_.A1 (1.658:1.658:1.658) (0.960:0.960:0.960))
+ (INTERCONNECT dco _348_.A1 (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco _350_.B2 (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco _341_.B2 (1.658:1.658:1.658) (0.960:0.960:0.960))
+ (INTERCONNECT dco _342_.A1 (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco _326_.A1 (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco _343_.A1 (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco _346_.B2 (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco _312_.A1 (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco _319_.A1 (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco _289_.A2 (1.630:1.630:1.630) (0.933:0.933:0.933))
+ (INTERCONNECT dco _295_.A1 (1.631:1.631:1.631) (0.934:0.934:0.934))
+ (INTERCONNECT dco _314_.A1 (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco _317_.A1 (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco _316_.B2 (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco _293_.A_N (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco _306_.A1 (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco _310_.A1 (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco _309_.A1 (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco _305_.A1 (1.631:1.631:1.631) (0.934:0.934:0.934))
+ (INTERCONNECT dco _300_.A1 (1.631:1.631:1.631) (0.934:0.934:0.934))
+ (INTERCONNECT dco _302_.A1 (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco _299_.A1 (1.631:1.631:1.631) (0.934:0.934:0.934))
+ (INTERCONNECT dco _313_.B (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco _353_.A1 (1.653:1.653:1.653) (0.954:0.954:0.954))
+ (INTERCONNECT dco _366_.A (1.655:1.655:1.655) (0.957:0.957:0.957))
+ (INTERCONNECT dco _369_.A (1.653:1.653:1.653) (0.955:0.955:0.955))
+ (INTERCONNECT dco _368_.A (1.656:1.656:1.656) (0.957:0.957:0.957))
+ (INTERCONNECT dco _352_.A_N (1.655:1.655:1.655) (0.956:0.956:0.956))
+ (INTERCONNECT dco _367_.A (1.656:1.656:1.656) (0.957:0.957:0.957))
+ (INTERCONNECT dco _371_.A (1.650:1.650:1.650) (0.951:0.951:0.951))
+ (INTERCONNECT dco _358_.A (1.652:1.652:1.652) (0.954:0.954:0.954))
+ (INTERCONNECT dco _373_.A (1.651:1.651:1.651) (0.953:0.953:0.953))
+ (INTERCONNECT dco _372_.A (1.652:1.652:1.652) (0.954:0.954:0.954))
+ (INTERCONNECT dco _360_.A (1.646:1.646:1.646) (0.948:0.948:0.948))
+ (INTERCONNECT dco _361_.A (1.648:1.648:1.648) (0.950:0.950:0.950))
+ (INTERCONNECT dco _365_.A (1.639:1.639:1.639) (0.941:0.941:0.941))
+ (INTERCONNECT dco _364_.A (1.653:1.653:1.653) (0.954:0.954:0.954))
+ (INTERCONNECT dco _357_.A (1.647:1.647:1.647) (0.949:0.949:0.949))
+ (INTERCONNECT dco _356_.A (1.649:1.649:1.649) (0.951:0.951:0.951))
+ (INTERCONNECT dco _362_.A (1.640:1.640:1.640) (0.942:0.942:0.942))
+ (INTERCONNECT dco _370_.A (1.636:1.636:1.636) (0.938:0.938:0.938))
+ (INTERCONNECT dco _363_.A (1.635:1.635:1.635) (0.937:0.937:0.937))
+ (INTERCONNECT dco _377_.A (1.633:1.633:1.633) (0.935:0.935:0.935))
+ (INTERCONNECT dco _378_.A (1.627:1.627:1.627) (0.930:0.930:0.930))
+ (INTERCONNECT dco _359_.A (1.644:1.644:1.644) (0.946:0.946:0.946))
+ (INTERCONNECT dco _375_.A (1.641:1.641:1.641) (0.943:0.943:0.943))
+ (INTERCONNECT dco _374_.A (1.641:1.641:1.641) (0.943:0.943:0.943))
+ (INTERCONNECT dco _376_.A (1.640:1.640:1.640) (0.942:0.942:0.942))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (1.640:1.640:1.640) (0.942:0.942:0.942))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (1.647:1.647:1.647) (0.948:0.948:0.948))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (1.641:1.641:1.641) (0.943:0.943:0.943))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (1.644:1.644:1.644) (0.945:0.945:0.945))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (1.629:1.629:1.629) (0.931:0.931:0.931))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (1.633:1.633:1.633) (0.935:0.935:0.935))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (1.635:1.635:1.635) (0.937:0.937:0.937))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (1.640:1.640:1.640) (0.942:0.942:0.942))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (1.646:1.646:1.646) (0.948:0.948:0.948))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (1.647:1.647:1.647) (0.948:0.948:0.948))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (1.653:1.653:1.653) (0.955:0.955:0.955))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (1.641:1.641:1.641) (0.943:0.943:0.943))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (1.648:1.648:1.648) (0.950:0.950:0.950))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (1.646:1.646:1.646) (0.948:0.948:0.948))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (1.652:1.652:1.652) (0.954:0.954:0.954))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (1.651:1.651:1.651) (0.953:0.953:0.953))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (1.652:1.652:1.652) (0.954:0.954:0.954))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (1.650:1.650:1.650) (0.951:0.951:0.951))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (1.656:1.656:1.656) (0.957:0.957:0.957))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (1.655:1.655:1.655) (0.956:0.956:0.956))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (1.656:1.656:1.656) (0.957:0.957:0.957))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (1.653:1.653:1.653) (0.955:0.955:0.955))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (1.655:1.655:1.655) (0.957:0.957:0.957))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (1.653:1.653:1.653) (0.954:0.954:0.954))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (1.631:1.631:1.631) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (1.629:1.629:1.629) (0.932:0.932:0.932))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (1.631:1.631:1.631) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (1.632:1.632:1.632) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (1.631:1.631:1.631) (0.934:0.934:0.934))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (1.626:1.626:1.626) (0.929:0.929:0.929))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (1.635:1.635:1.635) (0.938:0.938:0.938))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (1.637:1.637:1.637) (0.940:0.940:0.940))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (1.637:1.637:1.637) (0.939:0.939:0.939))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (1.658:1.658:1.658) (0.960:0.960:0.960))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (1.636:1.636:1.636) (0.939:0.939:0.939))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (1.659:1.659:1.659) (0.960:0.960:0.960))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (1.659:1.659:1.659) (0.960:0.960:0.960))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (1.657:1.657:1.657) (0.958:0.958:0.958))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (1.657:1.657:1.657) (0.958:0.958:0.958))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (1.657:1.657:1.657) (0.959:0.959:0.959))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (1.658:1.658:1.658) (0.959:0.959:0.959))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (1.636:1.636:1.636) (0.938:0.938:0.938))
+ (INTERCONNECT div[0] _222_.B2 (0.055:0.055:0.055) (0.032:0.032:0.032))
+ (INTERCONNECT div[0] _235_.A (0.055:0.055:0.055) (0.032:0.032:0.032))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.055:0.055:0.055) (0.032:0.032:0.032))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.055:0.055:0.055) (0.032:0.032:0.032))
+ (INTERCONNECT div[1] _219_.A (0.078:0.078:0.078) (0.045:0.045:0.045))
+ (INTERCONNECT div[1] _222_.A1 (0.078:0.078:0.078) (0.045:0.045:0.045))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.078:0.078:0.078) (0.046:0.046:0.046))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.078:0.078:0.078) (0.046:0.046:0.046))
+ (INTERCONNECT div[2] _213_.B1 (0.065:0.065:0.065) (0.037:0.037:0.037))
+ (INTERCONNECT div[2] _216_.A (0.065:0.065:0.065) (0.037:0.037:0.037))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.065:0.065:0.065) (0.037:0.037:0.037))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.065:0.065:0.065) (0.037:0.037:0.037))
+ (INTERCONNECT div[3] _213_.A1 (0.098:0.098:0.098) (0.056:0.056:0.056))
+ (INTERCONNECT div[3] _214_.B1 (0.098:0.098:0.098) (0.056:0.056:0.056))
+ (INTERCONNECT div[3] _215_.B1 (0.098:0.098:0.098) (0.056:0.056:0.056))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.098:0.098:0.098) (0.056:0.056:0.056))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.098:0.098:0.098) (0.056:0.056:0.056))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.098:0.098:0.098) (0.056:0.056:0.056))
+ (INTERCONNECT div[4] _207_.A1 (0.048:0.048:0.048) (0.027:0.027:0.027))
+ (INTERCONNECT div[4] _225_.B1 (0.048:0.048:0.048) (0.027:0.027:0.027))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.048:0.048:0.048) (0.027:0.027:0.027))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.048:0.048:0.048) (0.027:0.027:0.027))
+ (INTERCONNECT enable _355_.A (0.073:0.073:0.073) (0.043:0.043:0.043))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.073:0.073:0.073) (0.043:0.043:0.043))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.032:0.032:0.032) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.032:0.032:0.032) (0.019:0.019:0.019))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.026:0.026:0.026) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.026:0.026:0.026) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.039:0.039:0.039) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.039:0.039:0.039) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.046:0.046:0.046) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.047:0.047:0.047) (0.027:0.027:0.027))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.045:0.045:0.045) (0.026:0.026:0.026))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.041:0.041:0.041) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.040:0.040:0.040) (0.023:0.023:0.023))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.032:0.032:0.032) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.032:0.032:0.032) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.050:0.050:0.050) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.059:0.059:0.059) (0.035:0.035:0.035))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.059:0.059:0.059) (0.035:0.035:0.035))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.035:0.035:0.035) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.024:0.024:0.024) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.024:0.024:0.024) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.061:0.061:0.061) (0.036:0.036:0.036))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.061:0.061:0.061) (0.036:0.036:0.036))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.029:0.029:0.029) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.029:0.029:0.029) (0.016:0.016:0.016))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.034:0.034:0.034) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.034:0.034:0.034) (0.020:0.020:0.020))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.052:0.052:0.052) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.052:0.052:0.052) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.043:0.043:0.043) (0.025:0.025:0.025))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.042:0.042:0.042) (0.024:0.024:0.024))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.048:0.048:0.048) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.048:0.048:0.048) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.051:0.051:0.051) (0.030:0.030:0.030))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.051:0.051:0.051) (0.029:0.029:0.029))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.049:0.049:0.049) (0.028:0.028:0.028))
+ (INTERCONNECT osc _394_.D (0.034:0.034:0.034) (0.020:0.020:0.020))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.034:0.034:0.034) (0.020:0.020:0.020))
+ (INTERCONNECT resetb _355_.B (0.044:0.044:0.044) (0.025:0.025:0.025))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.044:0.044:0.044) (0.025:0.025:0.025))
+ (INTERCONNECT _176_.Y _242_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _320_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _264_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _298_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _285_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _275_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _184_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _183_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _277_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _186_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _187_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _185_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _182_.X _234_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _287_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _201_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _203_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _233_.C_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _269_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _244_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _249_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _254_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _250_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _252_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _263_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _251_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _253_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _246_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _245_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _335_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _327_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _320_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _252_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _248_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _231_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _230_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _253_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _298_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _233_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _267_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _314_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _321_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _311_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _329_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _331_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _338_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _267_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _271_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _260_.S (0.001:0.001:0.001) (0.000:0.001:0.001))
+ (INTERCONNECT _243_.X _257_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _270_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _256_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _244_.Y _253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _247_.Y _258_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _252_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _339_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _252_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _283_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _301_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _315_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _299_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _331_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _311_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _304_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _297_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _316_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _294_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _301_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _294_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _301_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _304_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _299_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _300_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _343_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _298_.X _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _328_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _313_.Y _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _338_.X _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _368_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _366_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _367_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _371_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _358_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _372_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _373_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _369_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _360_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _357_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _355_.Y _365_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _356_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _364_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _377_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _363_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _378_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _370_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _375_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _374_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _355_.Y _362_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _359_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _376_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _361_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _279_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _273_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _186_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _197_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _200_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _273_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _282_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _185_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _194_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _191_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _193_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _183_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _188_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _271_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _228_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _241_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _267_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _241_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _228_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _347_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _349_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _344_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _298_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _179_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _318_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _301_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _297_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _290_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _178_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _229_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _344_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _320_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _260_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _393_.Q _323_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _345_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _352_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _176_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _290_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _322_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _307_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _257_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _182_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.250:0.250:0.250) (0.243:0.243:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.248:0.248:0.248) (0.217:0.217:0.217))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.161:0.161:0.161) (0.150:0.150:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.270:0.270:0.270) (0.247:0.247:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.231:0.231:0.231) (0.188:0.188:0.188))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.482:0.482:0.482) (0.539:0.539:0.539))
+ (IOPATH A Y (0.844:0.844:0.844) (0.452:0.452:0.452))
+ (IOPATH B Y (0.461:0.461:0.461) (0.527:0.527:0.527))
+ (IOPATH B Y (0.797:0.797:0.797) (0.419:0.419:0.419))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.497:0.497:0.497) (0.279:0.279:0.279))
+ (IOPATH A X (0.484:0.484:0.484) (0.413:0.413:0.413))
+ (IOPATH B X (0.453:0.453:0.453) (0.256:0.256:0.256))
+ (IOPATH B X (0.482:0.482:0.482) (0.361:0.361:0.361))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.270:0.270:0.270) (0.651:0.651:0.651))
+ (IOPATH A1 X (0.245:0.245:0.245) (0.655:0.655:0.655))
+ (IOPATH S X (0.539:0.543:0.547) (0.786:0.845:0.904))
+ (IOPATH S X (0.345:0.422:0.499) (0.820:0.824:0.827))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.267:0.267:0.267) (0.657:0.657:0.657))
+ (IOPATH A1 X (0.253:0.253:0.253) (0.666:0.666:0.666))
+ (IOPATH S X (0.548:0.552:0.556) (0.798:0.857:0.916))
+ (IOPATH S X (0.353:0.431:0.508) (0.832:0.836:0.839))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.264:0.264:0.264) (0.648:0.648:0.648))
+ (IOPATH A1 X (0.250:0.250:0.250) (0.658:0.658:0.658))
+ (IOPATH S X (0.540:0.544:0.548) (0.787:0.846:0.905))
+ (IOPATH S X (0.345:0.422:0.499) (0.821:0.825:0.828))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.308:0.308:0.308) (0.680:0.680:0.680))
+ (IOPATH A1 X (0.264:0.264:0.264) (0.675:0.675:0.675))
+ (IOPATH S X (0.550:0.554:0.558) (0.801:0.860:0.919))
+ (IOPATH S X (0.355:0.433:0.511) (0.835:0.839:0.842))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.311:0.311:0.311) (0.678:0.678:0.678))
+ (IOPATH A1 X (0.254:0.254:0.254) (0.663:0.663:0.663))
+ (IOPATH S X (0.543:0.547:0.551) (0.791:0.850:0.909))
+ (IOPATH S X (0.348:0.425:0.503) (0.825:0.829:0.832))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.143:0.143:0.143) (0.153:0.153:0.153))
+ (IOPATH B Y (0.136:0.136:0.136) (0.137:0.137:0.137))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.232:0.232:0.232) (0.686:0.686:0.686))
+ (IOPATH B X (0.196:0.196:0.196) (0.626:0.626:0.626))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.328:0.329:0.330) (0.407:0.410:0.413))
+ (IOPATH B X (0.322:0.322:0.323) (0.467:0.467:0.467))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.251:0.251:0.251) (0.123:0.123:0.123))
+ (IOPATH B Y (0.199:0.199:0.199) (0.094:0.094:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.060:0.062:0.065) (0.089:0.089:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.304:0.304:0.304) (0.388:0.388:0.388))
+ (IOPATH B X (0.291:0.291:0.291) (0.417:0.417:0.417))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.232:0.232:0.232) (0.123:0.123:0.123))
+ (IOPATH B Y (0.181:0.181:0.181) (0.093:0.093:0.093))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.277:0.277:0.277) (0.755:0.755:0.755))
+ (IOPATH B X (0.253:0.253:0.253) (0.701:0.701:0.701))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.339:0.339:0.339) (0.414:0.414:0.414))
+ (IOPATH B X (0.326:0.326:0.326) (0.445:0.445:0.445))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.365:0.365:0.365) (0.430:0.430:0.430))
+ (IOPATH B X (0.320:0.320:0.320) (0.442:0.442:0.442))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.440:0.440:0.440) (0.486:0.486:0.486))
+ (IOPATH B X (0.380:0.380:0.380) (0.488:0.488:0.488))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.156:0.156:0.156) (0.166:0.166:0.166))
+ (IOPATH B Y (0.128:0.128:0.128) (0.127:0.127:0.127))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.430:0.430:0.430) (0.268:0.268:0.268))
+ (IOPATH A X (0.424:0.424:0.424) (0.405:0.405:0.405))
+ (IOPATH B X (0.361:0.361:0.361) (0.209:0.209:0.209))
+ (IOPATH B X (0.390:0.390:0.390) (0.330:0.330:0.330))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.305:0.305:0.305) (0.400:0.401:0.402))
+ (IOPATH A2 X (0.369:0.374:0.379) (0.424:0.438:0.451))
+ (IOPATH B1 X (0.198:0.198:0.198) (0.377:0.377:0.378))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.334:0.334:0.334) (0.638:0.639:0.640))
+ (IOPATH A2 X (0.395:0.400:0.405) (0.686:0.699:0.712))
+ (IOPATH B1 X (0.233:0.233:0.233) (0.639:0.640:0.641))
+ (IOPATH C1 X (0.224:0.224:0.224) (0.571:0.572:0.573))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.365:0.365:0.365) (0.412:0.412:0.412))
+ (IOPATH A2 X (0.408:0.408:0.408) (0.509:0.509:0.510))
+ (IOPATH A3 X (0.417:0.419:0.421) (0.520:0.520:0.521))
+ (IOPATH B1 X (0.242:0.242:0.242) (0.446:0.447:0.447))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.215:0.216:0.216) (0.105:0.105:0.105))
+ (IOPATH B Y (0.175:0.176:0.177) (0.094:0.096:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.282:0.282:0.282) (0.364:0.365:0.366))
+ (IOPATH B X (0.289:0.292:0.295) (0.408:0.409:0.410))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.098:0.099:0.100) (0.105:0.105:0.105))
+ (IOPATH B Y (0.112:0.113:0.114) (0.118:0.121:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.409:0.409:0.409) (0.487:0.487:0.487))
+ (IOPATH A2 X (0.409:0.409:0.409) (0.457:0.459:0.460))
+ (IOPATH B1 X (0.387:0.389:0.390) (0.249:0.251:0.252))
+ (IOPATH C1 X (0.380:0.380:0.381) (0.231:0.234:0.237))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.250:0.254:0.257) (0.130:0.130:0.131))
+ (IOPATH B Y (0.231:0.232:0.232) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.238:0.240:0.242) (0.265:0.265:0.266))
+ (IOPATH A Y (0.308:0.308:0.309) (0.170:0.172:0.174))
+ (IOPATH B Y (0.283:0.283:0.283) (0.252:0.255:0.258))
+ (IOPATH B Y (0.258:0.260:0.262) (0.199:0.199:0.199))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.264:0.264:0.264) (0.698:0.700:0.703))
+ (IOPATH B X (0.213:0.213:0.213) (0.665:0.666:0.667))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.170:0.170:0.170) (0.196:0.196:0.196))
+ (IOPATH B Y (0.175:0.176:0.177) (0.223:0.225:0.228))
+ (IOPATH C Y (0.181:0.181:0.181) (0.227:0.227:0.227))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.293:0.293:0.293) (0.422:0.422:0.422))
+ (IOPATH A2 X (0.302:0.304:0.307) (0.441:0.442:0.443))
+ (IOPATH B1 X (0.207:0.207:0.207) (0.409:0.409:0.409))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.402:0.402:0.402) (0.469:0.469:0.469))
+ (IOPATH A2 X (0.414:0.416:0.418) (0.554:0.554:0.554))
+ (IOPATH A3 X (0.416:0.418:0.419) (0.506:0.506:0.507))
+ (IOPATH B1 X (0.278:0.278:0.278) (0.423:0.423:0.423))
+ (IOPATH B2 X (0.289:0.317:0.345) (0.461:0.465:0.469))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.289:0.289:0.289) (0.134:0.136:0.138))
+ (IOPATH A2 Y (0.271:0.271:0.272) (0.136:0.138:0.140))
+ (IOPATH B1 Y (0.217:0.217:0.217) (0.096:0.096:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.242:0.245:0.247) (0.389:0.389:0.389))
+ (IOPATH A2 X (0.245:0.247:0.249) (0.381:0.381:0.382))
+ (IOPATH B1 X (0.180:0.180:0.180) (0.338:0.338:0.338))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.213:0.213:0.213) (0.092:0.092:0.092))
+ (IOPATH B Y (0.196:0.200:0.204) (0.083:0.111:0.138))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.204:0.207:0.209) (1.084:1.085:1.085))
+ (IOPATH B X (0.232:0.235:0.238) (1.022:1.028:1.033))
+ (IOPATH C X (0.227:0.227:0.227) (0.943:0.946:0.949))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.265:0.265:0.266) (0.283:0.284:0.285))
+ (IOPATH A Y (0.322:0.323:0.324) (0.198:0.198:0.198))
+ (IOPATH B Y (0.307:0.311:0.315) (0.272:0.287:0.301))
+ (IOPATH B Y (0.273:0.286:0.300) (0.222:0.226:0.230))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.125:0.125:0.125) (0.145:0.145:0.145))
+ (IOPATH B Y (0.157:0.162:0.167) (0.149:0.178:0.206))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.296:0.296:0.296) (0.746:0.746:0.746))
+ (IOPATH B X (0.225:0.225:0.225) (0.665:0.665:0.665))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.088:0.095:0.101) (0.090:0.092:0.093))
+ (IOPATH B Y (0.131:0.131:0.131) (0.102:0.102:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.321:0.321:0.321) (0.431:0.431:0.431))
+ (IOPATH A2 X (0.286:0.316:0.345) (0.421:0.425:0.429))
+ (IOPATH B1 X (0.276:0.280:0.283) (0.391:0.391:0.391))
+ (IOPATH B2 X (0.256:0.256:0.256) (0.355:0.355:0.355))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.125:0.127:0.129) (0.120:0.122:0.125))
+ (IOPATH B Y (0.126:0.126:0.126) (0.119:0.119:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.513:0.514:0.516) (0.132:0.135:0.138))
+ (IOPATH A2 Y (0.595:0.596:0.597) (0.130:0.131:0.133))
+ (IOPATH B1 Y (0.562:0.562:0.563) (0.099:0.101:0.104))
+ (IOPATH C1 Y (0.469:0.473:0.478) (0.123:0.126:0.128))
+ (IOPATH D1 Y (0.343:0.346:0.349) (0.104:0.104:0.104))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.391:0.393:0.394))
+ (IOPATH A2 X (0.243:0.243:0.243) (0.374:0.374:0.375))
+ (IOPATH B1 X (0.263:0.263:0.263) (0.211:0.211:0.211))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.276:0.278:0.281) (0.357:0.357:0.358))
+ (IOPATH B X (0.255:0.257:0.258) (0.386:0.386:0.387))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.837:0.849:0.861) (0.959:0.968:0.977))
+ (IOPATH A2 X (0.725:0.725:0.726) (0.925:0.928:0.930))
+ (IOPATH A3 X (0.690:0.690:0.690) (0.860:0.861:0.861))
+ (IOPATH B1 X (0.735:0.735:0.735) (0.449:0.455:0.461))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.486:0.486:0.486) (0.923:0.923:0.923))
+ (IOPATH B X (0.424:0.424:0.424) (0.847:0.847:0.847))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.382:0.382:0.382) (0.849:0.849:0.849))
+ (IOPATH B X (0.377:0.377:0.377) (0.803:0.803:0.803))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.414:0.414:0.414) (0.863:0.863:0.863))
+ (IOPATH B X (0.327:0.327:0.327) (0.790:0.790:0.791))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.351:0.351:0.351) (0.188:0.189:0.189))
+ (IOPATH B Y (0.286:0.286:0.287) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.284:0.284:0.284) (0.778:0.778:0.778))
+ (IOPATH B X (0.303:0.303:0.303) (0.749:0.749:0.749))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.209:0.209:0.209) (1.444:1.444:1.444))
+ (IOPATH B X (0.229:0.229:0.229) (1.401:1.401:1.401))
+ (IOPATH C_N X (0.444:0.453:0.462) (1.547:1.547:1.547))
+ (IOPATH D_N X (0.306:0.312:0.318) (1.264:1.264:1.265))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.321:0.321:0.321) (0.354:0.354:0.354))
+ (IOPATH B X (0.342:0.342:0.342) (0.402:0.402:0.402))
+ (IOPATH C X (0.455:0.459:0.463) (0.446:0.467:0.487))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.245:0.245:0.245) (0.326:0.326:0.326))
+ (IOPATH B X (0.245:0.248:0.252) (0.376:0.376:0.376))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.172:0.172:0.172) (1.043:1.044:1.045))
+ (IOPATH B X (0.181:0.182:0.184) (1.001:1.002:1.003))
+ (IOPATH C_N X (0.341:0.347:0.353) (1.012:1.013:1.013))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.214:0.214:0.214) (1.203:1.204:1.204))
+ (IOPATH B X (0.225:0.226:0.227) (1.117:1.119:1.121))
+ (IOPATH C X (0.208:0.209:0.209) (1.068:1.068:1.068))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.492:0.492:0.492) (0.423:0.423:0.423))
+ (IOPATH B X (0.497:0.497:0.497) (0.528:0.528:0.528))
+ (IOPATH C X (0.522:0.523:0.523) (0.496:0.497:0.499))
+ (IOPATH D X (0.542:0.543:0.543) (0.589:0.589:0.589))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.152:0.152:0.152) (0.155:0.155:0.155))
+ (IOPATH B Y (0.181:0.181:0.181) (0.183:0.183:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.439:0.439:0.439) (0.190:0.190:0.190))
+ (IOPATH B Y (0.390:0.390:0.390) (0.178:0.178:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.297:0.297:0.297) (0.328:0.328:0.328))
+ (IOPATH B Y (0.271:0.271:0.271) (0.278:0.278:0.278))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.257:0.257:0.257) (1.621:1.621:1.621))
+ (IOPATH B X (0.223:0.223:0.223) (1.566:1.566:1.566))
+ (IOPATH C X (0.228:0.230:0.233) (1.508:1.509:1.509))
+ (IOPATH D X (0.282:0.282:0.283) (1.393:1.396:1.399))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.537:0.537:0.537) (0.844:0.844:0.844))
+ (IOPATH A2 X (0.678:0.679:0.679) (0.884:0.892:0.900))
+ (IOPATH A3 X (0.442:0.443:0.443) (0.782:0.782:0.782))
+ (IOPATH B1 X (0.507:0.507:0.507) (0.340:0.343:0.345))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.305:0.305:0.305) (0.319:0.319:0.319))
+ (IOPATH A Y (0.389:0.389:0.389) (0.241:0.241:0.241))
+ (IOPATH B Y (0.435:0.436:0.436) (0.392:0.400:0.408))
+ (IOPATH B Y (0.424:0.433:0.442) (0.360:0.360:0.360))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.235:0.235:0.235) (0.147:0.147:0.147))
+ (IOPATH B Y (0.239:0.246:0.253) (0.205:0.205:0.205))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.396:0.396:0.396) (0.451:0.451:0.451))
+ (IOPATH B X (0.530:0.530:0.530) (0.562:0.573:0.583))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.357:0.368:0.380) (0.142:0.149:0.156))
+ (IOPATH B Y (0.331:0.332:0.333) (0.130:0.131:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.256:0.256:0.256) (0.264:0.264:0.264))
+ (IOPATH B Y (0.261:0.265:0.270) (0.249:0.250:0.250))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.218:0.218:0.218) (0.125:0.125:0.125))
+ (IOPATH B Y (0.239:0.246:0.253) (0.203:0.203:0.203))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.302:0.302:0.302) (0.308:0.308:0.308))
+ (IOPATH A Y (0.373:0.373:0.373) (0.237:0.237:0.237))
+ (IOPATH B Y (0.431:0.431:0.431) (0.387:0.394:0.402))
+ (IOPATH B Y (0.413:0.422:0.430) (0.353:0.353:0.353))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.548:0.548:0.549) (0.518:0.526:0.534))
+ (IOPATH A2 X (0.355:0.355:0.355) (0.488:0.488:0.489))
+ (IOPATH B1_N X (0.458:0.458:0.459) (0.484:0.486:0.488))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.488:0.489:0.491) (0.560:0.563:0.566))
+ (IOPATH A2 X (0.489:0.526:0.564) (0.581:0.591:0.602))
+ (IOPATH A3 X (0.503:0.505:0.507) (0.563:0.563:0.564))
+ (IOPATH B1 X (0.424:0.425:0.425) (0.552:0.552:0.552))
+ (IOPATH B2 X (0.545:0.545:0.545) (0.597:0.606:0.615))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.384:0.425:0.467) (0.490:0.500:0.510))
+ (IOPATH A2 X (0.489:0.489:0.489) (0.503:0.506:0.508))
+ (IOPATH A3 X (0.435:0.437:0.439) (0.515:0.516:0.516))
+ (IOPATH B1 X (0.304:0.304:0.304) (0.474:0.475:0.475))
+ (IOPATH B2 X (0.461:0.461:0.461) (0.542:0.551:0.560))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.336:0.336:0.336) (0.344:0.344:0.344))
+ (IOPATH A Y (0.372:0.372:0.372) (0.267:0.267:0.267))
+ (IOPATH B Y (0.403:0.403:0.403) (0.357:0.364:0.372))
+ (IOPATH B Y (0.350:0.358:0.366) (0.308:0.308:0.309))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.275:0.277:0.280) (0.359:0.360:0.361))
+ (IOPATH B X (0.278:0.308:0.338) (0.412:0.423:0.434))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.215:0.216:0.217) (0.127:0.129:0.131))
+ (IOPATH A2 Y (0.186:0.195:0.205) (0.103:0.128:0.153))
+ (IOPATH B1 Y (0.127:0.137:0.147) (0.182:0.183:0.184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.420:0.420:0.420) (0.504:0.504:0.504))
+ (IOPATH A2 X (0.357:0.358:0.359) (0.442:0.451:0.461))
+ (IOPATH B1 X (0.272:0.272:0.272) (0.387:0.388:0.389))
+ (IOPATH B2 X (0.244:0.257:0.271) (0.346:0.354:0.362))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.271:0.273:0.275) (0.234:0.234:0.234))
+ (IOPATH A2 Y (0.309:0.309:0.310) (0.183:0.185:0.187))
+ (IOPATH B1 Y (0.246:0.247:0.248) (0.097:0.098:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.212:0.248:0.283) (0.249:0.260:0.270))
+ (IOPATH A Y (0.243:0.252:0.261) (0.144:0.177:0.210))
+ (IOPATH B Y (0.237:0.239:0.240) (0.213:0.228:0.244))
+ (IOPATH B Y (0.168:0.182:0.195) (0.151:0.152:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.307:0.307:0.307) (0.676:0.676:0.676))
+ (IOPATH A1 X (0.221:0.241:0.260) (0.644:0.649:0.654))
+ (IOPATH S X (0.426:0.438:0.449) (0.779:0.780:0.780))
+ (IOPATH S X (0.332:0.333:0.334) (0.726:0.735:0.745))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.225:0.227:0.229) (0.215:0.215:0.215))
+ (IOPATH A X (0.292:0.292:0.292) (0.301:0.303:0.305))
+ (IOPATH B X (0.202:0.203:0.204) (0.153:0.156:0.158))
+ (IOPATH B X (0.251:0.253:0.255) (0.271:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.298:0.298:0.298) (0.667:0.667:0.667))
+ (IOPATH A1 X (0.239:0.245:0.251) (0.635:0.642:0.648))
+ (IOPATH S X (0.422:0.433:0.444) (0.772:0.773:0.774))
+ (IOPATH S X (0.328:0.328:0.329) (0.719:0.729:0.738))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.292:0.300:0.307) (0.275:0.275:0.275))
+ (IOPATH A2 Y (0.262:0.263:0.263) (0.134:0.134:0.134))
+ (IOPATH B1 Y (0.163:0.176:0.188) (0.080:0.085:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.473:0.473:0.473) (0.537:0.537:0.537))
+ (IOPATH A2 X (0.425:0.427:0.429) (0.498:0.498:0.499))
+ (IOPATH B1 X (0.437:0.439:0.440) (0.257:0.281:0.305))
+ (IOPATH C1 X (0.492:0.493:0.494) (0.287:0.298:0.309))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.254:0.254:0.254) (0.266:0.266:0.266))
+ (IOPATH A Y (0.269:0.269:0.269) (0.186:0.186:0.186))
+ (IOPATH B Y (0.212:0.212:0.212) (0.230:0.236:0.242))
+ (IOPATH B Y (0.195:0.200:0.205) (0.134:0.135:0.135))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.221:0.255:0.289) (0.258:0.269:0.279))
+ (IOPATH A Y (0.261:0.271:0.280) (0.153:0.186:0.219))
+ (IOPATH B Y (0.218:0.220:0.222) (0.246:0.247:0.247))
+ (IOPATH B Y (0.209:0.209:0.209) (0.139:0.140:0.142))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.517:0.528:0.539) (0.506:0.507:0.508))
+ (IOPATH A2_N X (0.448:0.455:0.463) (0.412:0.434:0.456))
+ (IOPATH B1 X (0.377:0.377:0.377) (0.557:0.557:0.557))
+ (IOPATH B2 X (0.327:0.327:0.327) (0.509:0.511:0.513))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.166:0.166:0.167) (0.147:0.147:0.147))
+ (IOPATH B Y (0.153:0.154:0.154) (0.148:0.150:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.373:0.374:0.374) (0.326:0.334:0.342))
+ (IOPATH A Y (0.309:0.316:0.324) (0.293:0.293:0.294))
+ (IOPATH B Y (0.191:0.192:0.193) (0.229:0.230:0.231))
+ (IOPATH B Y (0.181:0.182:0.183) (0.114:0.114:0.114))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.250:0.250:0.250) (0.644:0.644:0.644))
+ (IOPATH A1 X (0.223:0.244:0.266) (0.645:0.655:0.666))
+ (IOPATH S X (0.428:0.439:0.451) (0.781:0.782:0.782))
+ (IOPATH S X (0.334:0.335:0.336) (0.728:0.738:0.747))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.109:0.109:0.109) (0.113:0.113:0.113))
+ (IOPATH B Y (0.140:0.151:0.161) (0.164:0.165:0.166))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.284:0.284:0.284) (0.405:0.405:0.405))
+ (IOPATH A2 X (0.244:0.244:0.244) (0.357:0.359:0.361))
+ (IOPATH B1 X (0.224:0.227:0.231) (0.196:0.198:0.199))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.431:0.431:0.431) (0.435:0.435:0.435))
+ (IOPATH B X (0.472:0.472:0.472) (0.502:0.502:0.502))
+ (IOPATH C X (0.489:0.489:0.489) (0.543:0.543:0.543))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.362:0.362:0.362) (0.433:0.433:0.433))
+ (IOPATH B X (0.354:0.354:0.354) (0.457:0.458:0.460))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.295:0.295:0.295) (0.412:0.412:0.412))
+ (IOPATH A2 X (0.241:0.241:0.241) (0.364:0.365:0.365))
+ (IOPATH B1 X (0.355:0.443:0.530) (0.367:0.371:0.375))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.239:0.239:0.239) (0.380:0.380:0.380))
+ (IOPATH B Y (0.107:0.108:0.108) (0.117:0.117:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.413:0.413:0.413) (0.510:0.510:0.510))
+ (IOPATH A2 X (0.373:0.373:0.373) (0.455:0.456:0.458))
+ (IOPATH B1 X (0.360:0.361:0.362) (0.233:0.238:0.243))
+ (IOPATH C1 X (0.465:0.562:0.659) (0.369:0.373:0.376))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.206:0.206:0.206) (0.218:0.218:0.218))
+ (IOPATH B Y (0.196:0.197:0.198) (0.204:0.204:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.223:0.223:0.223) (0.159:0.159:0.159))
+ (IOPATH A2 Y (0.259:0.259:0.259) (0.173:0.173:0.173))
+ (IOPATH B1 Y (0.178:0.178:0.178) (0.087:0.087:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.224:0.224:0.224) (0.697:0.698:0.700))
+ (IOPATH B X (0.209:0.213:0.217) (0.641:0.645:0.649))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.222:0.223:0.224) (0.131:0.133:0.135))
+ (IOPATH A2 Y (0.234:0.234:0.234) (0.104:0.104:0.104))
+ (IOPATH B1 Y (0.183:0.200:0.217) (0.141:0.143:0.145))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.296:0.296:0.296))
+ (IOPATH A Y (0.310:0.310:0.310) (0.212:0.212:0.212))
+ (IOPATH B Y (0.283:0.283:0.283) (0.302:0.302:0.302))
+ (IOPATH B Y (0.275:0.275:0.275) (0.196:0.196:0.196))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.209:0.210:0.211) (0.125:0.127:0.128))
+ (IOPATH A2 Y (0.202:0.207:0.212) (0.101:0.124:0.148))
+ (IOPATH B1 Y (0.171:0.188:0.206) (0.131:0.134:0.136))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.140:0.140:0.140) (0.174:0.174:0.174))
+ (IOPATH B Y (0.232:0.235:0.238) (0.222:0.279:0.335))
+ (IOPATH C Y (0.141:0.142:0.142) (0.169:0.170:0.171))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.232:0.232:0.232) (0.631:0.631:0.631))
+ (IOPATH A1 X (0.233:0.233:0.233) (0.650:0.650:0.650))
+ (IOPATH S X (0.542:0.546:0.550) (0.789:0.849:0.908))
+ (IOPATH S X (0.347:0.425:0.502) (0.824:0.827:0.831))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.230:0.230:0.230) (0.629:0.629:0.629))
+ (IOPATH A1 X (0.238:0.238:0.238) (0.652:0.652:0.652))
+ (IOPATH S X (0.399:0.420:0.441) (0.801:0.804:0.808))
+ (IOPATH S X (0.363:0.367:0.372) (0.701:0.718:0.735))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.186:0.186:0.186) (0.658:0.658:0.658))
+ (IOPATH B X (0.315:0.320:0.325) (0.628:0.644:0.661))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.732:0.732:0.732) (0.613:0.613:0.613))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.300:0.300:0.300) (0.389:0.389:0.389))
+ (IOPATH A2 X (0.907:0.907:0.907) (0.857:0.857:0.857))
+ (IOPATH B1 X (0.354:0.354:0.354) (0.531:0.531:0.531))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.441:0.441:0.441) (1.351:1.351:1.351))
+ (IOPATH B X (0.353:0.353:0.353) (1.245:1.245:1.245))
+ (IOPATH C X (0.382:0.382:0.382) (1.186:1.186:1.186))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.414:0.414:0.414) (0.205:0.205:0.205))
+ (IOPATH B Y (0.326:0.326:0.326) (0.120:0.120:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.290:0.290:0.290))
+ (IOPATH B Y (0.269:0.275:0.282) (0.370:0.370:0.370))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (1.045:1.045:1.045) (1.035:1.035:1.035))
+ (IOPATH B X (0.460:0.460:0.460) (0.578:0.579:0.579))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.514:0.514:0.515) (0.550:0.550:0.550))
+ (IOPATH B X (0.559:0.560:0.562) (0.603:0.606:0.609))
+ (IOPATH C X (0.555:0.555:0.555) (0.580:0.580:0.580))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.868:0.868:0.868) (0.845:0.845:0.845))
+ (IOPATH A2 X (0.308:0.308:0.308) (0.419:0.419:0.419))
+ (IOPATH B1 X (0.285:0.285:0.285) (0.427:0.429:0.430))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.291:0.291:0.291) (0.137:0.137:0.137))
+ (IOPATH B Y (0.641:0.641:0.641) (0.488:0.488:0.488))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.293:0.293:0.293) (0.719:0.719:0.719))
+ (IOPATH B X (0.263:0.264:0.265) (0.690:0.692:0.695))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.367:0.367:0.367) (1.263:1.263:1.263))
+ (IOPATH B X (0.302:0.302:0.302) (1.165:1.165:1.165))
+ (IOPATH C X (0.283:0.284:0.284) (1.106:1.106:1.107))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.037:1.037:1.037) (0.918:0.918:0.918))
+ (IOPATH A2 X (0.365:0.365:0.365) (0.511:0.511:0.511))
+ (IOPATH B1 X (0.429:0.431:0.432) (0.530:0.533:0.535))
+ (IOPATH B2 X (0.417:0.418:0.418) (0.515:0.515:0.515))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.873:0.873:0.873) (0.848:0.848:0.848))
+ (IOPATH A2 X (0.314:0.314:0.314) (0.423:0.423:0.423))
+ (IOPATH B1 X (0.300:0.300:0.300) (0.441:0.441:0.441))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.559:0.559:0.559) (0.619:0.619:0.619))
+ (IOPATH A2 X (0.480:0.480:0.481) (0.594:0.594:0.594))
+ (IOPATH B1 X (0.531:0.532:0.534) (0.393:0.395:0.398))
+ (IOPATH C1 X (0.500:0.500:0.500) (0.308:0.308:0.308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.867:0.867:0.867) (0.844:0.844:0.844))
+ (IOPATH A2 X (0.318:0.318:0.318) (0.426:0.426:0.426))
+ (IOPATH B1 X (0.265:0.265:0.265) (0.408:0.413:0.418))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.319:0.319:0.319) (0.791:0.791:0.791))
+ (IOPATH B X (0.311:0.312:0.313) (0.759:0.762:0.764))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.508:0.509:0.510) (0.590:0.593:0.595))
+ (IOPATH A2 X (0.515:0.516:0.517) (0.599:0.601:0.604))
+ (IOPATH B1 X (0.521:0.521:0.521) (0.341:0.341:0.341))
+ (IOPATH C1 X (0.449:0.449:0.449) (0.298:0.298:0.298))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.868:0.868:0.868) (0.845:0.845:0.845))
+ (IOPATH A2 X (0.318:0.318:0.318) (0.425:0.425:0.425))
+ (IOPATH B1 X (0.267:0.267:0.268) (0.409:0.414:0.419))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.048:1.048:1.048) (0.927:0.927:0.927))
+ (IOPATH A2 X (0.382:0.382:0.382) (0.524:0.524:0.524))
+ (IOPATH B1 X (0.491:0.491:0.491) (0.585:0.585:0.585))
+ (IOPATH B2 X (0.379:0.379:0.380) (0.542:0.542:0.543))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.421:0.421:0.421) (1.328:1.328:1.328))
+ (IOPATH B X (0.333:0.333:0.333) (1.222:1.222:1.222))
+ (IOPATH C X (0.286:0.286:0.286) (1.125:1.125:1.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.273:0.273:0.273) (0.725:0.725:0.725))
+ (IOPATH B X (0.211:0.212:0.212) (0.682:0.682:0.682))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.055:1.055:1.055) (0.933:0.933:0.933))
+ (IOPATH A2 X (0.391:0.391:0.391) (0.532:0.532:0.532))
+ (IOPATH B1 X (0.418:0.419:0.419) (0.491:0.492:0.494))
+ (IOPATH B2 X (0.359:0.359:0.359) (0.506:0.506:0.506))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.069:1.069:1.069) (0.945:0.945:0.945))
+ (IOPATH A2 X (0.407:0.407:0.407) (0.545:0.545:0.545))
+ (IOPATH B1 X (0.445:0.445:0.445) (0.512:0.512:0.513))
+ (IOPATH B2 X (0.394:0.394:0.394) (0.532:0.532:0.532))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.373:0.373:0.373) (0.486:0.486:0.486))
+ (IOPATH A2 X (0.301:0.301:0.302) (0.469:0.470:0.470))
+ (IOPATH B1 X (0.351:0.352:0.354) (0.461:0.463:0.465))
+ (IOPATH B2 X (0.302:0.303:0.303) (0.408:0.413:0.417))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.063:1.063:1.063) (0.942:0.942:0.942))
+ (IOPATH A2 X (0.400:0.400:0.400) (0.541:0.541:0.541))
+ (IOPATH B1 X (0.410:0.410:0.411) (0.481:0.486:0.491))
+ (IOPATH B2 X (0.371:0.372:0.372) (0.499:0.499:0.499))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.188:0.188:0.188) (0.346:0.346:0.346))
+ (IOPATH B Y (0.490:0.490:0.490) (0.503:0.503:0.503))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.970:0.970:0.970) (1.198:1.198:1.198))
+ (IOPATH A2 X (0.425:0.426:0.426) (0.773:0.773:0.773))
+ (IOPATH A3 X (0.485:0.485:0.485) (0.672:0.673:0.674))
+ (IOPATH B1 X (0.388:0.430:0.472) (0.293:0.337:0.381))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.348:0.348:0.348) (0.706:0.707:0.708))
+ (IOPATH B X (0.248:0.249:0.249) (0.727:0.727:0.727))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.575:0.577:0.578) (0.630:0.632:0.634))
+ (IOPATH A2 X (0.580:0.580:0.580) (0.621:0.621:0.622))
+ (IOPATH A3 X (0.527:0.527:0.528) (0.596:0.597:0.597))
+ (IOPATH B1 X (0.381:0.381:0.381) (0.491:0.491:0.491))
+ (IOPATH B2 X (0.913:0.913:0.913) (1.002:1.002:1.002))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.010:1.010:1.010) (0.907:0.907:0.907))
+ (IOPATH A2 X (0.350:0.350:0.350) (0.506:0.506:0.506))
+ (IOPATH B1 X (0.390:0.390:0.390) (0.477:0.477:0.477))
+ (IOPATH B2 X (0.318:0.318:0.319) (0.481:0.481:0.481))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.304:0.304:0.304) (0.737:0.737:0.737))
+ (IOPATH B X (0.221:0.221:0.222) (0.698:0.698:0.698))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.009:1.009:1.009) (0.901:0.901:0.901))
+ (IOPATH A2 X (0.349:0.349:0.349) (0.500:0.500:0.500))
+ (IOPATH B1 X (0.377:0.377:0.377) (0.461:0.462:0.463))
+ (IOPATH B2 X (0.321:0.321:0.322) (0.479:0.479:0.479))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.325:0.325:0.325) (0.610:0.610:0.610))
+ (IOPATH A2 X (0.331:0.331:0.332) (0.680:0.680:0.681))
+ (IOPATH B1 X (0.254:0.254:0.254) (0.618:0.618:0.618))
+ (IOPATH C1 X (0.208:0.208:0.208) (0.527:0.527:0.527))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.286:0.286:0.286) (0.217:0.217:0.217))
+ (IOPATH A2 Y (0.186:0.187:0.188) (0.190:0.190:0.190))
+ (IOPATH B1 Y (0.087:0.093:0.099) (0.191:0.191:0.191))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.481:0.481:0.481) (0.558:0.558:0.558))
+ (IOPATH A2 X (0.393:0.393:0.393) (0.464:0.464:0.464))
+ (IOPATH B1 X (0.351:0.353:0.355) (0.239:0.240:0.240))
+ (IOPATH C1 X (0.337:0.352:0.368) (0.207:0.212:0.217))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.173:0.173:0.173) (0.188:0.188:0.188))
+ (IOPATH B Y (0.111:0.118:0.125) (0.192:0.192:0.192))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.265:0.265:0.265) (0.741:0.741:0.741))
+ (IOPATH B X (0.271:0.271:0.271) (0.724:0.724:0.724))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.324:0.324:0.324) (0.670:0.671:0.672))
+ (IOPATH B X (0.172:0.177:0.182) (0.618:0.623:0.628))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.023:1.023:1.023) (0.912:0.912:0.912))
+ (IOPATH A2 X (0.363:0.363:0.363) (0.512:0.512:0.512))
+ (IOPATH B1 X (0.372:0.373:0.373) (0.453:0.458:0.463))
+ (IOPATH B2 X (0.342:0.342:0.342) (0.463:0.467:0.471))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.269:0.269:0.269) (0.709:0.709:0.709))
+ (IOPATH B X (0.273:0.273:0.273) (0.686:0.686:0.686))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.385:0.385:0.385) (0.752:0.753:0.754))
+ (IOPATH B X (0.272:0.272:0.273) (0.768:0.768:0.768))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.262:0.262:0.263) (0.416:0.416:0.416))
+ (IOPATH A2 X (0.305:0.307:0.308) (0.449:0.451:0.453))
+ (IOPATH B1 X (0.213:0.214:0.214) (0.390:0.394:0.398))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.420:0.420:0.420) (0.506:0.506:0.506))
+ (IOPATH A2 X (0.379:0.379:0.379) (0.488:0.488:0.489))
+ (IOPATH B1 X (0.369:0.369:0.369) (0.271:0.271:0.271))
+ (IOPATH C1 X (0.339:0.340:0.340) (0.233:0.233:0.233))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.317:0.319:0.320) (0.446:0.448:0.451))
+ (IOPATH A2 X (0.325:0.326:0.327) (0.465:0.467:0.470))
+ (IOPATH B1 X (0.289:0.289:0.290) (0.442:0.442:0.442))
+ (IOPATH B2 X (0.292:0.292:0.293) (0.399:0.403:0.407))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.459:0.459:0.459) (0.509:0.509:0.509))
+ (IOPATH B X (0.356:0.356:0.357) (0.437:0.438:0.438))
+ (IOPATH C X (0.342:0.343:0.345) (0.416:0.417:0.417))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.328:0.329:0.329) (0.343:0.348:0.354))
+ (IOPATH B X (0.333:0.333:0.334) (0.393:0.393:0.393))
+ (IOPATH C X (0.359:0.359:0.359) (0.425:0.426:0.427))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.496:0.496:0.496) (0.574:0.574:0.574))
+ (IOPATH A2 X (0.533:0.565:0.597) (0.555:0.619:0.683))
+ (IOPATH A3 X (0.473:0.473:0.473) (0.565:0.565:0.565))
+ (IOPATH B1 X (0.335:0.335:0.335) (0.467:0.467:0.467))
+ (IOPATH B2 X (0.867:0.867:0.867) (0.979:0.979:0.979))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.375:0.376:0.377) (0.505:0.509:0.512))
+ (IOPATH A2 X (0.313:0.314:0.314) (0.492:0.492:0.492))
+ (IOPATH B1 X (0.298:0.298:0.298) (0.435:0.435:0.435))
+ (IOPATH B2 X (0.347:0.347:0.347) (0.447:0.447:0.447))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.470:0.470:0.470) (0.451:0.453:0.454))
+ (IOPATH B X (0.446:0.446:0.447) (0.504:0.504:0.504))
+ (IOPATH C X (0.445:0.445:0.446) (0.501:0.501:0.501))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.894:0.894:0.894) (0.870:0.870:0.870))
+ (IOPATH A2 X (0.339:0.339:0.339) (0.448:0.448:0.448))
+ (IOPATH B1 X (0.282:0.282:0.282) (0.438:0.440:0.441))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.504:0.504:0.504) (0.590:0.590:0.590))
+ (IOPATH A2 X (0.477:0.477:0.477) (0.608:0.608:0.608))
+ (IOPATH B1 X (0.446:0.446:0.446) (0.532:0.532:0.532))
+ (IOPATH B2 X (0.482:0.483:0.483) (0.537:0.541:0.545))
+ (IOPATH C1 X (0.424:0.424:0.425) (0.292:0.292:0.292))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.252:0.254:0.255) (0.738:0.740:0.743))
+ (IOPATH B X (0.213:0.213:0.213) (0.683:0.683:0.683))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.400:0.400:0.400) (0.392:0.399:0.405))
+ (IOPATH B X (0.378:0.379:0.379) (0.432:0.432:0.433))
+ (IOPATH C X (0.393:0.393:0.393) (0.485:0.485:0.485))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.446:0.447:0.448) (0.536:0.536:0.536))
+ (IOPATH A2 X (0.493:0.493:0.493) (0.558:0.566:0.573))
+ (IOPATH A3 X (0.497:0.497:0.497) (0.554:0.556:0.557))
+ (IOPATH B1 X (0.342:0.342:0.342) (0.471:0.471:0.471))
+ (IOPATH B2 X (0.871:0.871:0.871) (0.982:0.982:0.982))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.056:1.056:1.056) (0.939:0.939:0.939))
+ (IOPATH A2 X (0.388:0.388:0.388) (0.535:0.535:0.535))
+ (IOPATH B1 X (0.410:0.410:0.410) (0.519:0.519:0.519))
+ (IOPATH B2 X (0.433:0.466:0.498) (0.499:0.557:0.616))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.029:1.029:1.029) (0.917:0.917:0.917))
+ (IOPATH A2 X (0.370:0.370:0.370) (0.517:0.517:0.517))
+ (IOPATH B1 X (0.395:0.395:0.395) (0.476:0.477:0.479))
+ (IOPATH B2 X (0.364:0.364:0.365) (0.510:0.510:0.510))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.283:0.283:0.283) (0.193:0.193:0.193))
+ (IOPATH A2 Y (0.268:0.268:0.268) (0.187:0.187:0.187))
+ (IOPATH B1 Y (0.152:0.152:0.152) (0.183:0.183:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.193:0.193:0.193) (0.215:0.215:0.215))
+ (IOPATH B Y (0.124:0.128:0.132) (0.128:0.142:0.157))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.417:0.417:0.418) (0.548:0.548:0.548))
+ (IOPATH A2 X (0.426:0.426:0.426) (0.517:0.518:0.520))
+ (IOPATH A3 X (0.438:0.441:0.444) (0.519:0.529:0.540))
+ (IOPATH B1 X (0.294:0.294:0.294) (0.436:0.436:0.436))
+ (IOPATH B2 X (0.808:0.808:0.808) (0.943:0.943:0.943))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.307:0.307:0.307) (1.149:1.149:1.149))
+ (IOPATH B X (0.244:0.244:0.244) (1.051:1.051:1.051))
+ (IOPATH C X (0.192:0.192:0.192) (0.978:0.978:0.978))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.021:1.021:1.021) (0.911:0.911:0.911))
+ (IOPATH A2 X (0.355:0.355:0.355) (0.506:0.506:0.506))
+ (IOPATH B1 X (0.364:0.365:0.365) (0.458:0.459:0.461))
+ (IOPATH B2 X (0.328:0.329:0.330) (0.504:0.504:0.505))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.299:0.299:0.299) (0.731:0.731:0.731))
+ (IOPATH B X (0.177:0.182:0.187) (0.624:0.629:0.634))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.413:0.413:0.413) (0.480:0.487:0.494))
+ (IOPATH A2 X (0.430:0.430:0.431) (0.521:0.523:0.524))
+ (IOPATH A3 X (0.418:0.419:0.420) (0.529:0.529:0.529))
+ (IOPATH B1 X (0.295:0.295:0.295) (0.436:0.436:0.436))
+ (IOPATH B2 X (0.802:0.802:0.802) (0.940:0.940:0.940))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.841:0.841:0.841) (0.828:0.828:0.828))
+ (IOPATH A2 X (0.287:0.287:0.287) (0.405:0.405:0.405))
+ (IOPATH B1 X (0.274:0.303:0.333) (0.385:0.445:0.505))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.873:0.873:0.873) (0.874:0.874:0.874))
+ (IOPATH B X (0.429:0.429:0.429) (0.467:0.467:0.467))
+ (IOPATH C X (0.473:0.473:0.473) (0.532:0.532:0.532))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.838:0.838:0.838) (0.826:0.826:0.826))
+ (IOPATH A2 X (0.278:0.278:0.278) (0.399:0.399:0.399))
+ (IOPATH B1 X (0.205:0.206:0.206) (0.382:0.382:0.383))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (1.006:1.006:1.006) (0.898:0.898:0.898))
+ (IOPATH A2 X (0.356:0.356:0.356) (0.504:0.504:0.504))
+ (IOPATH B1 X (0.350:0.350:0.351) (0.446:0.448:0.449))
+ (IOPATH B2 X (0.327:0.327:0.327) (0.483:0.483:0.483))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.851:0.851:0.851) (0.847:0.847:0.847))
+ (IOPATH B Y (0.844:0.844:0.844) (0.842:0.842:0.842))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.508:0.508:0.508) (0.440:0.440:0.440))
+ (IOPATH B Y (0.406:0.406:0.406) (0.246:0.246:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.511:0.511:0.511) (0.443:0.443:0.443))
+ (IOPATH B Y (0.410:0.410:0.410) (0.249:0.249:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.519:0.519:0.519) (0.450:0.450:0.450))
+ (IOPATH B Y (0.420:0.420:0.420) (0.254:0.254:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.510:0.510:0.510) (0.443:0.443:0.443))
+ (IOPATH B Y (0.410:0.410:0.410) (0.248:0.249:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.508:0.508:0.508) (0.441:0.441:0.441))
+ (IOPATH B Y (0.407:0.407:0.407) (0.247:0.247:0.247))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.521:0.521:0.521) (0.451:0.451:0.451))
+ (IOPATH B Y (0.422:0.422:0.422) (0.256:0.256:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.510:0.510:0.510) (0.443:0.443:0.443))
+ (IOPATH B Y (0.409:0.409:0.409) (0.248:0.248:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.518:0.518:0.518) (0.449:0.449:0.449))
+ (IOPATH B Y (0.418:0.418:0.418) (0.254:0.254:0.254))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.516:0.516:0.516) (0.447:0.447:0.447))
+ (IOPATH B Y (0.416:0.416:0.416) (0.252:0.252:0.253))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.520:0.520:0.520) (0.450:0.450:0.450))
+ (IOPATH B Y (0.420:0.420:0.421) (0.255:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.531:0.531:0.531) (0.459:0.459:0.459))
+ (IOPATH B Y (0.432:0.432:0.432) (0.262:0.262:0.262))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.504:0.504:0.504) (0.438:0.438:0.438))
+ (IOPATH B Y (0.402:0.403:0.403) (0.244:0.244:0.244))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.515:0.515:0.515) (0.446:0.446:0.446))
+ (IOPATH B Y (0.415:0.415:0.415) (0.252:0.252:0.252))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.524:0.524:0.524) (0.453:0.453:0.453))
+ (IOPATH B Y (0.425:0.425:0.425) (0.257:0.257:0.258))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.501:0.501:0.501) (0.435:0.435:0.435))
+ (IOPATH B Y (0.399:0.399:0.399) (0.242:0.242:0.242))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.507:0.507:0.507) (0.440:0.440:0.440))
+ (IOPATH B Y (0.406:0.406:0.406) (0.246:0.246:0.246))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.527:0.527:0.527) (0.456:0.456:0.456))
+ (IOPATH B Y (0.428:0.428:0.428) (0.259:0.259:0.260))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.526:0.526:0.526) (0.455:0.455:0.455))
+ (IOPATH B Y (0.427:0.428:0.428) (0.259:0.259:0.259))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.505:0.505:0.505) (0.438:0.438:0.438))
+ (IOPATH B Y (0.403:0.403:0.404) (0.244:0.245:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.506:0.506:0.506) (0.439:0.439:0.439))
+ (IOPATH B Y (0.404:0.405:0.405) (0.245:0.245:0.246))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.503:0.503:0.503) (0.437:0.437:0.437))
+ (IOPATH B Y (0.401:0.401:0.401) (0.243:0.243:0.243))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.514:0.514:0.514) (0.446:0.446:0.446))
+ (IOPATH B Y (0.414:0.414:0.414) (0.251:0.251:0.252))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.521:0.521:0.521) (0.451:0.451:0.451))
+ (IOPATH B Y (0.421:0.422:0.422) (0.255:0.255:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.750:0.750:0.750) (0.864:0.864:0.864))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.394:-0.388:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.060:-0.061:-0.061))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.087:-0.087))
+ (SETUP (posedge D) (posedge CLK) (0.120:0.121:0.122))
+ (SETUP (negedge D) (posedge CLK) (0.274:0.274:0.274))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.744:0.744:0.744) (0.859:0.859:0.859))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.734:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.395:-0.390:-0.384))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.066))
+ (HOLD (negedge D) (posedge CLK) (-0.086:-0.086:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.127))
+ (SETUP (negedge D) (posedge CLK) (0.273:0.273:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.732:0.732:0.732) (0.848:0.848:0.848))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.381))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.086:-0.086:-0.087))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.273:0.274:0.274))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.917:0.917:0.917) (0.994:0.994:0.994))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.734:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.390:-0.384))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.072:-0.077))
+ (HOLD (negedge D) (posedge CLK) (-0.078:-0.094:-0.109))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.133:0.139))
+ (SETUP (negedge D) (posedge CLK) (0.264:0.282:0.299))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.893:0.893:0.893) (0.975:0.975:0.975))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.729:0.733:0.737))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.396:-0.391:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.073:-0.076:-0.078))
+ (HOLD (negedge D) (posedge CLK) (-0.068:-0.077:-0.085))
+ (SETUP (posedge D) (posedge CLK) (0.135:0.137:0.140))
+ (SETUP (negedge D) (posedge CLK) (0.253:0.262:0.272))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.827:0.827:0.827) (0.929:0.929:0.929))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.737:0.742))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.385:-0.378))
+ (HOLD (posedge D) (posedge CLK) (-0.077:-0.079:-0.081))
+ (HOLD (negedge D) (posedge CLK) (-0.071:-0.078:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.139:0.141:0.143))
+ (SETUP (negedge D) (posedge CLK) (0.256:0.264:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.810:0.810:0.810) (0.915:0.915:0.915))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.735:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.381))
+ (HOLD (posedge D) (posedge CLK) (-0.068:-0.069:-0.070))
+ (HOLD (negedge D) (posedge CLK) (-0.066:-0.070:-0.074))
+ (SETUP (posedge D) (posedge CLK) (0.129:0.130:0.131))
+ (SETUP (negedge D) (posedge CLK) (0.250:0.255:0.259))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.838:0.838:0.838) (0.938:0.938:0.938))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.736:0.740))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.393:-0.387:-0.381))
+ (HOLD (posedge D) (posedge CLK) (-0.062:-0.063:-0.065))
+ (HOLD (negedge D) (posedge CLK) (-0.065:-0.067:-0.068))
+ (SETUP (posedge D) (posedge CLK) (0.122:0.124:0.126))
+ (SETUP (negedge D) (posedge CLK) (0.249:0.251:0.253))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.823:0.823:0.823) (0.926:0.926:0.926))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.394:-0.388:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.061:-0.061:-0.062))
+ (HOLD (negedge D) (posedge CLK) (-0.063:-0.065:-0.068))
+ (SETUP (posedge D) (posedge CLK) (0.121:0.122:0.122))
+ (SETUP (negedge D) (posedge CLK) (0.246:0.249:0.252))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.776:0.776:0.776) (0.885:0.885:0.885))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.733:0.738:0.742))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.384:-0.378))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.128:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.275:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.843:0.843:0.843) (0.940:0.940:0.940))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.734:0.738:0.743))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.390:-0.384:-0.378))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.070:-0.074:-0.078))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.127))
+ (SETUP (negedge D) (posedge CLK) (0.254:0.259:0.264))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.958:0.958:0.958) (1.022:1.022:1.022))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.732:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.392:-0.386))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.078:-0.090))
+ (HOLD (negedge D) (posedge CLK) (-0.074:-0.078:-0.082))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.139:0.153))
+ (SETUP (negedge D) (posedge CLK) (0.259:0.264:0.268))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.897:0.897:0.897) (0.978:0.978:0.978))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.731:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.394:-0.388:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.066))
+ (HOLD (negedge D) (posedge CLK) (-0.086:-0.086:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.126:0.127))
+ (SETUP (negedge D) (posedge CLK) (0.273:0.273:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.904:0.904:0.904) (0.985:0.985:0.985))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.733:0.737:0.741))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.385:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.087:-0.087:-0.087))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.274:0.274:0.275))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.997:0.997:0.997) (1.048:1.048:1.048))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.733:0.738))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.398:-0.391:-0.384))
+ (HOLD (posedge D) (posedge CLK) (-0.064:-0.065:-0.065))
+ (HOLD (negedge D) (posedge CLK) (-0.075:-0.075:-0.075))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.125:0.126))
+ (SETUP (negedge D) (posedge CLK) (0.260:0.261:0.261))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.700:0.700:0.700) (0.818:0.818:0.818))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.729:0.733:0.737))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.391:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.062:-0.062:-0.062))
+ (HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059))
+ (SETUP (posedge D) (posedge CLK) (0.123:0.123:0.123))
+ (SETUP (negedge D) (posedge CLK) (0.243:0.243:0.243))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.842:0.842:0.842) (0.939:0.939:0.939))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.733:0.737:0.742))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.385:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.065:-0.065))
+ (HOLD (negedge D) (posedge CLK) (-0.074:-0.074:-0.074))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.126:0.126))
+ (SETUP (negedge D) (posedge CLK) (0.259:0.259:0.259))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.816:0.816:0.816) (0.920:0.920:0.920))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.733:0.737:0.742))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.391:-0.385:-0.379))
+ (HOLD (posedge D) (posedge CLK) (-0.095:-0.095:-0.095))
+ (HOLD (negedge D) (posedge CLK) (-0.105:-0.105:-0.105))
+ (SETUP (posedge D) (posedge CLK) (0.159:0.159:0.159))
+ (SETUP (negedge D) (posedge CLK) (0.294:0.294:0.294))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.781:0.781:0.781) (0.889:0.889:0.889))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.733:0.737))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.391:-0.386))
+ (HOLD (posedge D) (posedge CLK) (-0.066:-0.067:-0.068))
+ (HOLD (negedge D) (posedge CLK) (-0.086:-0.087:-0.087))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.129))
+ (SETUP (negedge D) (posedge CLK) (0.274:0.274:0.274))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.786:0.786:0.786) (0.894:0.894:0.894))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.729:0.733:0.737))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.397:-0.391:-0.385))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.068:-0.069))
+ (HOLD (negedge D) (posedge CLK) (-0.088:-0.089:-0.089))
+ (SETUP (posedge D) (posedge CLK) (0.128:0.129:0.130))
+ (SETUP (negedge D) (posedge CLK) (0.276:0.276:0.276))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.778:0.778:0.778) (0.886:0.886:0.886))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.728:0.732:0.736))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.398:-0.392:-0.386))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.086:-0.086:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.126:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.273:0.273:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.765:0.765:0.765) (0.875:0.875:0.875))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.730:0.735:0.739))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.394:-0.388:-0.382))
+ (HOLD (posedge D) (posedge CLK) (-0.067:-0.068:-0.069))
+ (HOLD (negedge D) (posedge CLK) (-0.088:-0.088:-0.088))
+ (SETUP (posedge D) (posedge CLK) (0.127:0.129:0.130))
+ (SETUP (negedge D) (posedge CLK) (0.275:0.276:0.276))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.769:0.769:0.769) (0.878:0.878:0.878))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.732:0.736:0.741))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.392:-0.386:-0.380))
+ (HOLD (posedge D) (posedge CLK) (-0.065:-0.066:-0.067))
+ (HOLD (negedge D) (posedge CLK) (-0.085:-0.086:-0.086))
+ (SETUP (posedge D) (posedge CLK) (0.125:0.127:0.128))
+ (SETUP (negedge D) (posedge CLK) (0.272:0.273:0.273))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.273:0.273:0.273) (0.307:0.307:0.307))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.237:0.237:0.237) (0.265:0.265:0.265))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.298:0.298:0.298) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.249:0.249:0.249) (0.234:0.234:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.178:0.185:0.192) (0.062:0.065:0.069))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.041:0.041:0.041))
+ (IOPATH TE Z (0.173:0.178:0.184) (0.059:0.062:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.098:0.101) (0.220:0.223:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.087:0.088:0.090) (0.166:0.168:0.170))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.090:0.090:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.307:0.307:0.307) (0.280:0.280:0.280))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.286:0.286:0.286) (0.261:0.261:0.261))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.045:0.045:0.045) (0.034:0.034:0.034))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.042:0.042:0.042) (0.047:0.047:0.047))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.071:0.071:0.071) (0.046:0.047:0.047))
+ (IOPATH TE_B Z (0.099:0.109:0.119) (0.225:0.237:0.249))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.083:0.085:0.087) (0.160:0.163:0.166))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.060:0.060:0.060) (0.105:0.105:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.306:0.306:0.306) (0.279:0.279:0.279))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.252:0.252:0.252) (0.239:0.239:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.029:0.029:0.029))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.041:0.041:0.041))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.071:0.071:0.071) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.112:0.114:0.115) (0.241:0.243:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.082:0.084:0.086) (0.159:0.162:0.165))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.085:0.085:0.085))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.301:0.301:0.301) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.256:0.256:0.256) (0.240:0.240:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.030:0.030:0.030))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.098:0.100) (0.220:0.223:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.090:0.092:0.093) (0.170:0.172:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.294:0.294:0.294) (0.269:0.269:0.269))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.259:0.259:0.259) (0.240:0.240:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.033:0.033:0.033))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.100:0.102:0.104) (0.226:0.228:0.230))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.065:0.065:0.065) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.095:0.097:0.098) (0.177:0.179:0.181))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.295:0.295:0.295) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.258:0.258:0.258) (0.239:0.239:0.239))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.038:0.038:0.038) (0.028:0.028:0.028))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.097:0.099:0.101) (0.222:0.224:0.227))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.065:0.065:0.065) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.094:0.096:0.098) (0.175:0.178:0.180))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.048:0.048:0.048) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.298:0.298:0.298) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.250:0.250:0.250) (0.235:0.235:0.235))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.042:0.042:0.042) (0.031:0.031:0.031))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.095:0.098:0.100) (0.220:0.222:0.225))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.066:0.066:0.066) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.096:0.097:0.099) (0.178:0.180:0.182))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.054:0.054:0.054) (0.095:0.095:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.304:0.304:0.304) (0.278:0.278:0.278))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.272:0.272:0.272) (0.251:0.251:0.251))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.040:0.040:0.040) (0.029:0.029:0.029))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.039:0.039:0.039) (0.045:0.045:0.045))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.046:0.046:0.046))
+ (IOPATH TE_B Z (0.096:0.098:0.100) (0.220:0.223:0.226))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.096:0.098:0.100) (0.178:0.180:0.183))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.050:0.050:0.050) (0.087:0.087:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.307:0.307:0.307) (0.280:0.280:0.280))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.261:0.261:0.261) (0.245:0.245:0.245))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.033:0.033:0.033))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.036:0.036:0.036) (0.043:0.043:0.043))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.071:0.071:0.071) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.103:0.105:0.106) (0.229:0.231:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.068:0.068:0.068) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.092:0.093:0.095) (0.172:0.174:0.176))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.058:0.058:0.058) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.317:0.317:0.317) (0.288:0.288:0.288))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.270:0.270:0.270) (0.254:0.254:0.254))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.041:0.041:0.041) (0.030:0.030:0.030))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.044:0.044:0.044))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.074:0.074:0.074) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.105:0.107:0.109) (0.232:0.234:0.236))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.071:0.071:0.071) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.086:0.087:0.089) (0.164:0.166:0.168))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.052:0.052:0.052) (0.091:0.091:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.303:0.303:0.303) (0.276:0.276:0.276))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.252:0.252:0.252) (0.238:0.238:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.044:0.044:0.044) (0.034:0.034:0.034))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.042:0.042:0.042))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.070:0.070:0.070) (0.047:0.047:0.047))
+ (IOPATH TE_B Z (0.107:0.109:0.110) (0.234:0.236:0.238))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.090:0.091:0.093) (0.169:0.172:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.059:0.059) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.301:0.301:0.301) (0.275:0.275:0.275))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.265:0.265:0.265) (0.246:0.246:0.246))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.042:0.042:0.042) (0.031:0.031:0.031))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.038:0.038:0.038) (0.044:0.044:0.044))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.069:0.069:0.069) (0.046:0.046:0.047))
+ (IOPATH TE_B Z (0.106:0.107:0.109) (0.233:0.235:0.237))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.067:0.067:0.067) (0.050:0.050:0.050))
+ (IOPATH TE_B Z (0.085:0.086:0.088) (0.163:0.165:0.167))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.054:0.054:0.054) (0.094:0.094:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.102:0.102:0.102) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.176:0.176:0.176) (0.172:0.172:0.172))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.103:0.103:0.103) (0.129:0.129:0.129))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.093:0.093:0.093) (0.086:0.086:0.086))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.579:0.580:0.580) (1.130:1.130:1.130))
+ (IOPATH B X (0.255:0.261:0.267) (0.694:0.696:0.697))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.143:0.143:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.043:0.043:0.043) (0.033:0.033:0.033))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.041:0.041:0.041))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.008:0.008:0.008) (0.011:0.011:0.011))
+ (IOPATH TE_B Z (0.110:0.111:0.112) (0.238:0.239:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.011:0.011:0.011) (0.013:0.013:0.013))
+ (IOPATH TE_B Z (0.086:0.088:0.089) (0.165:0.167:0.169))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.057:0.057:0.057) (0.101:0.101:0.101))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.384:0.385:0.385) (0.166:0.166:0.167))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.tt.sdf b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.tt.sdf
new file mode 100644
index 00000000..1bfd94c5
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/sdf/nom/digital_pll.tt.sdf
@@ -0,0 +1,4482 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "digital_pll")
+ (DATE "Thu Oct 13 13:49:04 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.600::1.600)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 100.000::100.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "digital_pll")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT dco _288_.A (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT dco _334_.B2 (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco _296_.B (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco _351_.A1 (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco _354_.A1 (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco _337_.A1 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _348_.A1 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _350_.B2 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _341_.B2 (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco _342_.A1 (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco _326_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _343_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _346_.B2 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _312_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _319_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _289_.A2 (0.985:0.985:0.985) (0.482:0.482:0.482))
+ (INTERCONNECT dco _295_.A1 (0.985:0.985:0.985) (0.482:0.482:0.482))
+ (INTERCONNECT dco _314_.A1 (0.991:0.991:0.991) (0.487:0.487:0.487))
+ (INTERCONNECT dco _317_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _316_.B2 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _293_.A_N (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _306_.A1 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _310_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _309_.A1 (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco _305_.A1 (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco _300_.A1 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _302_.A1 (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _299_.A1 (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco _313_.B (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco _353_.A1 (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco _366_.A (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco _369_.A (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco _368_.A (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco _352_.A_N (1.008:1.008:1.008) (0.504:0.504:0.504))
+ (INTERCONNECT dco _367_.A (1.010:1.010:1.010) (0.505:0.505:0.505))
+ (INTERCONNECT dco _371_.A (1.003:1.003:1.003) (0.499:0.499:0.499))
+ (INTERCONNECT dco _358_.A (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco _373_.A (1.005:1.005:1.005) (0.501:0.501:0.501))
+ (INTERCONNECT dco _372_.A (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco _360_.A (1.000:1.000:1.000) (0.496:0.496:0.496))
+ (INTERCONNECT dco _361_.A (1.002:1.002:1.002) (0.498:0.498:0.498))
+ (INTERCONNECT dco _365_.A (0.993:0.993:0.993) (0.490:0.490:0.490))
+ (INTERCONNECT dco _364_.A (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco _357_.A (1.001:1.001:1.001) (0.497:0.497:0.497))
+ (INTERCONNECT dco _356_.A (1.003:1.003:1.003) (0.499:0.499:0.499))
+ (INTERCONNECT dco _362_.A (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco _370_.A (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT dco _363_.A (0.989:0.989:0.989) (0.486:0.486:0.486))
+ (INTERCONNECT dco _377_.A (0.987:0.987:0.987) (0.484:0.484:0.484))
+ (INTERCONNECT dco _378_.A (0.982:0.982:0.982) (0.479:0.479:0.479))
+ (INTERCONNECT dco _359_.A (0.997:0.997:0.997) (0.494:0.494:0.494))
+ (INTERCONNECT dco _375_.A (0.995:0.995:0.995) (0.491:0.491:0.491))
+ (INTERCONNECT dco _374_.A (0.995:0.995:0.995) (0.491:0.491:0.491))
+ (INTERCONNECT dco _376_.A (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__376__A.DIODE (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__374__A.DIODE (1.000:1.000:1.000) (0.497:0.497:0.497))
+ (INTERCONNECT dco ANTENNA__375__A.DIODE (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__359__A.DIODE (0.997:0.997:0.997) (0.494:0.494:0.494))
+ (INTERCONNECT dco ANTENNA__378__A.DIODE (0.983:0.983:0.983) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__377__A.DIODE (0.987:0.987:0.987) (0.484:0.484:0.484))
+ (INTERCONNECT dco ANTENNA__363__A.DIODE (0.989:0.989:0.989) (0.486:0.486:0.486))
+ (INTERCONNECT dco ANTENNA__370__A.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__362__A.DIODE (0.994:0.994:0.994) (0.491:0.491:0.491))
+ (INTERCONNECT dco ANTENNA__356__A.DIODE (1.000:1.000:1.000) (0.496:0.496:0.496))
+ (INTERCONNECT dco ANTENNA__357__A.DIODE (1.000:1.000:1.000) (0.497:0.497:0.497))
+ (INTERCONNECT dco ANTENNA__364__A.DIODE (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco ANTENNA__365__A.DIODE (0.995:0.995:0.995) (0.492:0.492:0.492))
+ (INTERCONNECT dco ANTENNA__361__A.DIODE (1.002:1.002:1.002) (0.498:0.498:0.498))
+ (INTERCONNECT dco ANTENNA__360__A.DIODE (1.000:1.000:1.000) (0.496:0.496:0.496))
+ (INTERCONNECT dco ANTENNA__372__A.DIODE (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco ANTENNA__373__A.DIODE (1.005:1.005:1.005) (0.501:0.501:0.501))
+ (INTERCONNECT dco ANTENNA__358__A.DIODE (1.006:1.006:1.006) (0.502:0.502:0.502))
+ (INTERCONNECT dco ANTENNA__371__A.DIODE (1.003:1.003:1.003) (0.499:0.499:0.499))
+ (INTERCONNECT dco ANTENNA__367__A.DIODE (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco ANTENNA__352__A_N.DIODE (1.008:1.008:1.008) (0.504:0.504:0.504))
+ (INTERCONNECT dco ANTENNA__368__A.DIODE (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco ANTENNA__369__A.DIODE (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco ANTENNA__366__A.DIODE (1.009:1.009:1.009) (0.505:0.505:0.505))
+ (INTERCONNECT dco ANTENNA__353__A1.DIODE (1.007:1.007:1.007) (0.503:0.503:0.503))
+ (INTERCONNECT dco ANTENNA__313__B.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__299__A1.DIODE (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__302__A1.DIODE (0.983:0.983:0.983) (0.480:0.480:0.480))
+ (INTERCONNECT dco ANTENNA__300__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__305__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__309__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__310__A1.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__306__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__293__A_N.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__316__B2.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__317__A1.DIODE (0.986:0.986:0.986) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__314__A1.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__295__A1.DIODE (0.985:0.985:0.985) (0.483:0.483:0.483))
+ (INTERCONNECT dco ANTENNA__289__A2.DIODE (0.980:0.980:0.980) (0.477:0.477:0.477))
+ (INTERCONNECT dco ANTENNA__319__A1.DIODE (0.989:0.989:0.989) (0.486:0.486:0.486))
+ (INTERCONNECT dco ANTENNA__312__A1.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__346__B2.DIODE (0.991:0.991:0.991) (0.488:0.488:0.488))
+ (INTERCONNECT dco ANTENNA__343__A1.DIODE (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco ANTENNA__326__A1.DIODE (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT dco ANTENNA__342__A1.DIODE (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__341__B2.DIODE (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco ANTENNA__350__B2.DIODE (1.012:1.012:1.012) (0.508:0.508:0.508))
+ (INTERCONNECT dco ANTENNA__348__A1.DIODE (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__337__A1.DIODE (1.012:1.012:1.012) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__354__A1.DIODE (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco ANTENNA__351__A1.DIODE (1.011:1.011:1.011) (0.506:0.506:0.506))
+ (INTERCONNECT dco ANTENNA__296__B.DIODE (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__334__B2.DIODE (1.011:1.011:1.011) (0.507:0.507:0.507))
+ (INTERCONNECT dco ANTENNA__288__A.DIODE (0.990:0.990:0.990) (0.487:0.487:0.487))
+ (INTERCONNECT div[0] _222_.B2 (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[0] _235_.A (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[0] ANTENNA__235__A.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[0] ANTENNA__222__B2.DIODE (0.035:0.035:0.035) (0.016:0.016:0.016))
+ (INTERCONNECT div[1] _219_.A (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[1] _222_.A1 (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[1] ANTENNA__222__A1.DIODE (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[1] ANTENNA__219__A.DIODE (0.050:0.050:0.050) (0.023:0.023:0.023))
+ (INTERCONNECT div[2] _213_.B1 (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] _216_.A (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] ANTENNA__216__A.DIODE (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[2] ANTENNA__213__B1.DIODE (0.042:0.042:0.042) (0.019:0.019:0.019))
+ (INTERCONNECT div[3] _213_.A1 (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] _214_.B1 (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] _215_.B1 (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] ANTENNA__215__B1.DIODE (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[3] ANTENNA__214__B1.DIODE (0.062:0.062:0.062) (0.028:0.028:0.028))
+ (INTERCONNECT div[3] ANTENNA__213__A1.DIODE (0.062:0.062:0.062) (0.029:0.029:0.029))
+ (INTERCONNECT div[4] _207_.A1 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] _225_.B1 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] ANTENNA__225__B1.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT div[4] ANTENNA__207__A1.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT enable _355_.A (0.047:0.047:0.047) (0.022:0.022:0.022))
+ (INTERCONNECT enable ANTENNA__355__A.DIODE (0.047:0.047:0.047) (0.022:0.022:0.022))
+ (INTERCONNECT ext_trim[0] _289_.A1 (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[0] ANTENNA__289__A1.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[10] _313_.A_N (0.017:0.017:0.017) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[10] ANTENNA__313__A_N.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
+ (INTERCONNECT ext_trim[11] _316_.B1 (0.028:0.028:0.028) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[11] ANTENNA__316__B1.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[12] _317_.A2 (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[12] ANTENNA__317__A2.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[13] _319_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[13] ANTENNA__319__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[14] _326_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[14] ANTENNA__326__A2.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[15] _334_.B1 (0.025:0.025:0.025) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[15] ANTENNA__334__B1.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011))
+ (INTERCONNECT ext_trim[16] _337_.A2 (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[16] ANTENNA__337__A2.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[17] _341_.B1 (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[17] ANTENNA__341__B1.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
+ (INTERCONNECT ext_trim[18] _342_.A2 (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[18] ANTENNA__342__A2.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[19] _343_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[19] ANTENNA__343__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[1] _295_.A2 (0.020:0.020:0.020) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[1] ANTENNA__295__A2.DIODE (0.020:0.020:0.020) (0.009:0.009:0.009))
+ (INTERCONNECT ext_trim[20] _346_.B1 (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[20] ANTENNA__346__B1.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[21] _348_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[21] ANTENNA__348__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[22] _350_.B1 (0.038:0.038:0.038) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[22] ANTENNA__350__B1.DIODE (0.038:0.038:0.038) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[23] _351_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[23] ANTENNA__351__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[24] _353_.A2 (0.015:0.015:0.015) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[24] ANTENNA__353__A2.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
+ (INTERCONNECT ext_trim[25] _354_.A2 (0.039:0.039:0.039) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[25] ANTENNA__354__A2.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018))
+ (INTERCONNECT ext_trim[2] _299_.A2 (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[2] ANTENNA__299__A2.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
+ (INTERCONNECT ext_trim[3] _300_.A2 (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[3] ANTENNA__300__A2.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT ext_trim[4] _302_.A2 (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[4] ANTENNA__302__A2.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[5] _305_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[5] ANTENNA__305__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[6] _306_.A2 (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[6] ANTENNA__306__A2.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
+ (INTERCONNECT ext_trim[7] _309_.A2 (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[7] ANTENNA__309__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[8] _310_.A2 (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[8] ANTENNA__310__A2.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
+ (INTERCONNECT ext_trim[9] _312_.A2 (0.032:0.032:0.032) (0.014:0.014:0.014))
+ (INTERCONNECT ext_trim[9] ANTENNA__312__A2.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
+ (INTERCONNECT osc _394_.D (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT osc ANTENNA__394__D.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
+ (INTERCONNECT resetb _355_.B (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT resetb ANTENNA__355__B.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
+ (INTERCONNECT _176_.Y _242_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _176_.Y _320_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _176_.Y _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _243_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _244_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _177_.Y _290_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _177_.Y _322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _178_.Y _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _291_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _178_.Y _307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _179_.Y _240_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _265_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _330_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _179_.Y _338_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _240_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _250_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _264_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _298_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _180_.Y _347_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _285_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _181_.Y _275_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _184_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _183_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _277_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _186_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _187_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _284_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _181_.Y _185_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _182_.X _234_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _281_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _283_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _182_.X _286_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _182_.X _287_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _183_.X _401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _184_.X _400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _185_.X _399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _186_.X _398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _187_.X _397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _188_.Y _207_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _189_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _204_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _190_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _191_.Y _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _192_.Y _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _193_.X _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _194_.Y _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _203_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _195_.X _212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _196_.X _208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _197_.X _202_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _198_.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _199_.Y _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _201_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _202_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _200_.X _218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _201_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _203_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _202_.X _212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _204_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _205_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _203_.X _206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _207_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _204_.Y _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _205_.X _225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _206_.Y _207_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _227_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _207_.X _236_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _208_.Y _209_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _209_.Y _216_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _211_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _210_.X _212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _211_.Y _215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _213_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _214_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _212_.X _215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _213_.X _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _214_.Y _224_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _215_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _217_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _216_.Y _224_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _217_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _218_.Y _222_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _219_.Y _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _220_.X _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _221_.Y _235_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _222_.X _223_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _224_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _223_.Y _236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _224_.Y _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _227_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _225_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _226_.X _227_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _227_.X _243_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _233_.C_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _269_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _227_.X _244_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _249_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _254_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _250_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _252_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _263_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _251_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _227_.X _253_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _246_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _227_.X _245_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _228_.X _311_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _335_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _308_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _327_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _320_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _252_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _228_.X _248_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _228_.X _231_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _229_.X _230_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _253_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _324_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _334_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _229_.X _342_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _230_.X _231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _293_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _298_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _230_.X _330_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _231_.Y _233_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _231_.Y _288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _232_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _233_.X _238_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _234_.X _238_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _236_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _237_.X _238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _267_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _238_.X _272_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _251_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _239_.Y _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _240_.Y _314_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _321_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _240_.Y _328_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _311_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _329_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _331_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _335_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _338_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _241_.Y _242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _241_.Y _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _242_.X _243_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _262_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _267_.A1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _271_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _264_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _260_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _243_.X _257_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _270_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _243_.X _256_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _244_.Y _253_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _244_.Y _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _245_.Y _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _246_.X _258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _247_.Y _258_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _247_.Y _261_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _252_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _248_.Y _331_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _248_.Y _339_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _249_.Y _263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _252_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _250_.Y _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _252_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _264_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _251_.X _266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _253_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _252_.X _258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _252_.X _261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _255_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _255_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _254_.Y _256_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _255_.X _257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _256_.Y _257_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _257_.X _393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _258_.Y _259_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _259_.Y _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _260_.X _392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _261_.X _262_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _262_.X _391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _263_.Y _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _264_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _265_.Y _390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _266_.Y _267_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _267_.X _389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _268_.Y _269_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _269_.Y _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _270_.X _388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _271_.Y _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _272_.X _387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _273_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _274_.X _278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _275_.X _386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _276_.Y _277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _277_.X _385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _281_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _278_.Y _283_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _278_.Y _284_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _279_.Y _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _280_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _281_.Y _384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _282_.Y _283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _283_.Y _383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _284_.Y _382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _285_.X _381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _286_.X _380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _287_.X _379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _288_.Y _306_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _288_.Y _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _289_.X ringosc\.dstage\[0\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _290_.X _294_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _301_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _311_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _315_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _329_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _290_.X _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _291_.Y _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _291_.Y _323_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _299_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _331_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _329_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _311_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _304_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _297_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _316_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _294_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _292_.Y _301_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _292_.Y _303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _294_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _310_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _301_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _304_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _317_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _293_.X _316_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _299_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _293_.X _300_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _309_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _294_.X _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _294_.X _343_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _295_.X ringosc\.dstage\[1\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _296_.Y _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _297_.X _317_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _298_.X _306_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _298_.X _346_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _299_.X ringosc\.dstage\[2\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _300_.X ringosc\.dstage\[3\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _301_.X _340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _302_.X ringosc\.dstage\[4\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _304_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _310_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _303_.X _330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _305_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _304_.X _326_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _305_.X ringosc\.dstage\[5\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _306_.X ringosc\.dstage\[6\]\.id\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _308_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _307_.X _318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _328_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _307_.X _335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _308_.X _309_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _309_.X ringosc\.dstage\[7\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _310_.X ringosc\.dstage\[8\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _311_.X _312_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _312_.X ringosc\.dstage\[9\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _313_.Y _314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _314_.X ringosc\.dstage\[10\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _315_.X _316_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _315_.X _330_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayen0.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _316_.X ringosc\.dstage\[11\]\.id\.delayenb0.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _317_.X ringosc\.iss\.ctrlen0.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _317_.X ringosc\.iss\.delayen0.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _318_.X _319_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _319_.X ringosc\.dstage\[0\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _320_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _321_.Y _322_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _322_.X _326_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _323_.Y _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _324_.X _347_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _325_.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _326_.X ringosc\.dstage\[1\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _327_.X _334_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _336_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _328_.X _343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _329_.X _332_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _330_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _331_.X _333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _332_.X _333_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _333_.X _346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _334_.X ringosc\.dstage\[2\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _335_.X _336_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _335_.X _340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _336_.X _354_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _337_.X ringosc\.dstage\[3\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _338_.X _341_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _338_.X _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _340_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _339_.X _354_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _341_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _340_.X _350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _341_.X ringosc\.dstage\[4\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _342_.X ringosc\.dstage\[5\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayen1.TE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _343_.X ringosc\.dstage\[6\]\.id\.delayenb1.TE_B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _344_.Y _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _345_.Y _346_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _346_.X ringosc\.dstage\[7\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _347_.X _348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _348_.X ringosc\.dstage\[8\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _349_.X _350_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _350_.X ringosc\.dstage\[9\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _351_.X ringosc\.dstage\[10\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _352_.X _353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _353_.X ringosc\.dstage\[11\]\.id\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayen1.TE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _354_.X ringosc\.iss\.delayenb1.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _355_.Y _368_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _366_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _367_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.ctrlen0.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y ringosc\.iss\.reseten0.TE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _371_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _358_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _372_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _373_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _369_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _360_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _357_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _355_.Y _365_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _356_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _355_.Y _364_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _355_.Y _377_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _363_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _378_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _370_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _375_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _355_.Y _374_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _355_.Y _362_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _355_.Y _359_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _355_.Y _376_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _355_.Y _361_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _356_.Y _379_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _357_.Y _380_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _358_.Y _381_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _359_.Y _382_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _360_.Y _383_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _361_.Y _384_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _362_.Y _385_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _363_.Y _386_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _364_.Y _387_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _365_.Y _388_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _366_.Y _389_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _367_.Y _390_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _368_.Y _391_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _369_.Y _392_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _370_.Y _393_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _371_.Y _394_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _372_.Y _395_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _373_.Y _396_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _374_.Y _397_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _375_.Y _398_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _376_.Y _399_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _377_.Y _400_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _378_.Y _401_.RESET_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _379_.Q _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _380_.Q _286_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _381_.Q _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _382_.Q _284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _282_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _279_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _273_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _199_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _220_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _382_.Q _187_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _186_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _197_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _200_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _273_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _383_.Q _282_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _185_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _194_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _384_.Q _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _384_.Q _279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _184_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _191_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _385_.Q _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _385_.Q _277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _183_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _188_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _386_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _276_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _386_.Q _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _232_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _233_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _387_.Q _271_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _387_.Q _272_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _388_.Q _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _388_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _389_.Q _180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _228_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _241_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _249_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _389_.Q _267_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _241_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _228_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _347_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _349_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _344_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _390_.Q _298_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _179_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _318_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _301_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _390_.Q _297_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _391_.Q _290_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _178_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _229_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _344_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _320_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _245_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _391_.Q _246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _391_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _392_.Q _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _229_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _260_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _392_.Q _352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _393_.Q _323_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _345_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _352_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _176_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _254_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _290_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _393_.Q _322_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _307_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _393_.Q _257_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _394_.Q _395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _395_.Q _182_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _395_.Q _396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _396_.Q _182_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _198_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _199_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _397_.Q _220_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _398_.Q _200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _185_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _399_.Q _196_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _400_.Q _193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _401_.Q _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT clockp_buffer_0.X clockp[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT clockp_buffer_1.X clockp[1] (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf0.X ringosc\.dstage\[0\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delaybuf1.X ringosc\.dstage\[0\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayen1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb0.Z ringosc\.dstage\[1\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayenb1.Z ringosc\.dstage\[0\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[0\]\.id\.delayint0.Y ringosc\.dstage\[0\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf0.X ringosc\.dstage\[10\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delaybuf1.X ringosc\.dstage\[10\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayen1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb0.Z ringosc\.dstage\[11\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayenb1.Z ringosc\.dstage\[10\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[10\]\.id\.delayint0.Y ringosc\.dstage\[10\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf0.X ringosc\.dstage\[11\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delaybuf1.X ringosc\.dstage\[11\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayen1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb0.Z ringosc\.iss\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayenb1.Z ringosc\.dstage\[11\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[11\]\.id\.delayint0.Y ringosc\.dstage\[11\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf0.X ringosc\.dstage\[1\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delaybuf1.X ringosc\.dstage\[1\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayen1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb0.Z ringosc\.dstage\[2\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayenb1.Z ringosc\.dstage\[1\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[1\]\.id\.delayint0.Y ringosc\.dstage\[1\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf0.X ringosc\.dstage\[2\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delaybuf1.X ringosc\.dstage\[2\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayen1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb0.Z ringosc\.dstage\[3\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayenb1.Z ringosc\.dstage\[2\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[2\]\.id\.delayint0.Y ringosc\.dstage\[2\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf0.X ringosc\.dstage\[3\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delaybuf1.X ringosc\.dstage\[3\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayen1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb0.Z ringosc\.dstage\[4\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayenb1.Z ringosc\.dstage\[3\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[3\]\.id\.delayint0.Y ringosc\.dstage\[3\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf0.X ringosc\.dstage\[4\]\.id\.delayenb1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delaybuf1.X ringosc\.dstage\[4\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayen1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb0.Z ringosc\.dstage\[5\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayenb1.Z ringosc\.dstage\[4\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[4\]\.id\.delayint0.Y ringosc\.dstage\[4\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf0.X ringosc\.dstage\[5\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delaybuf1.X ringosc\.dstage\[5\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayen1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.dstage\[6\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb0.Z ringosc\.ibufp10.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayenb1.Z ringosc\.dstage\[5\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[5\]\.id\.delayint0.Y ringosc\.dstage\[5\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf0.X ringosc\.dstage\[6\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delaybuf1.X ringosc\.dstage\[6\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayen1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb0.Z ringosc\.dstage\[7\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayenb1.Z ringosc\.dstage\[6\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[6\]\.id\.delayint0.Y ringosc\.dstage\[6\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delaybuf1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf0.X ringosc\.dstage\[7\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delaybuf1.X ringosc\.dstage\[7\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayen1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb0.Z ringosc\.dstage\[8\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayenb1.Z ringosc\.dstage\[7\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[7\]\.id\.delayint0.Y ringosc\.dstage\[7\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf0.X ringosc\.dstage\[8\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delaybuf1.X ringosc\.dstage\[8\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayen1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb0.Z ringosc\.dstage\[9\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayenb1.Z ringosc\.dstage\[8\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[8\]\.id\.delayint0.Y ringosc\.dstage\[8\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delaybuf1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf0.X ringosc\.dstage\[9\]\.id\.delayenb1.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delaybuf1.X ringosc\.dstage\[9\]\.id\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayen1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb0.Z ringosc\.dstage\[10\]\.id\.delaybuf0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayenb1.Z ringosc\.dstage\[9\]\.id\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.dstage\[9\]\.id\.delayint0.Y ringosc\.dstage\[9\]\.id\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.ibufp00.Y ringosc\.ibufp01.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _379_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _380_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _381_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _382_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _383_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _384_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _385_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT ringosc\.ibufp01.Y _386_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _387_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _388_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _389_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _390_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _391_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _392_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _393_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _394_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _395_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _396_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _397_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp01.Y _398_.CLK (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT ringosc\.ibufp01.Y _399_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT ringosc\.ibufp01.Y _400_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y _401_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp01.Y clockp_buffer_0.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT ringosc\.ibufp10.Y ringosc\.ibufp11.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.ibufp11.Y clockp_buffer_1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.const1.HI ringosc\.iss\.reseten0.A (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.ctrlen0.X ringosc\.iss\.delayenb0.TE_B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delaybuf0.X ringosc\.iss\.delayen1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayen0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayen1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.delayenb1.Z ringosc\.iss\.delayint0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.delayint0.Y ringosc\.iss\.delayen0.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.dstage\[0\]\.id\.delaybuf0.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT ringosc\.iss\.reseten0.Z ringosc\.ibufp00.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _176_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.139:0.139:0.139) (0.109:0.109:0.109))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _177_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.144:0.144:0.144) (0.103:0.103:0.103))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _178_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.092:0.092:0.092) (0.066:0.066:0.066))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _179_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.154:0.154:0.154) (0.116:0.116:0.116))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _180_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.135:0.135:0.135) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _181_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.276:0.276:0.276))
+ (IOPATH A Y (0.522:0.522:0.522) (0.214:0.214:0.214))
+ (IOPATH B Y (0.263:0.263:0.263) (0.265:0.265:0.265))
+ (IOPATH B Y (0.492:0.492:0.492) (0.198:0.198:0.198))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _182_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.303:0.303:0.303) (0.132:0.132:0.132))
+ (IOPATH A X (0.280:0.280:0.280) (0.223:0.223:0.223))
+ (IOPATH B X (0.273:0.273:0.273) (0.121:0.121:0.121))
+ (IOPATH B X (0.282:0.282:0.282) (0.191:0.191:0.191))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _183_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.137:0.137:0.137) (0.285:0.285:0.285))
+ (IOPATH A1 X (0.124:0.124:0.124) (0.287:0.287:0.287))
+ (IOPATH S X (0.256:0.258:0.260) (0.343:0.358:0.372))
+ (IOPATH S X (0.171:0.198:0.225) (0.358:0.359:0.361))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _184_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.135:0.135:0.135) (0.288:0.288:0.288))
+ (IOPATH A1 X (0.128:0.128:0.128) (0.293:0.293:0.293))
+ (IOPATH S X (0.261:0.263:0.265) (0.349:0.364:0.379))
+ (IOPATH S X (0.177:0.204:0.231) (0.364:0.366:0.367))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _185_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.134:0.134:0.134) (0.284:0.284:0.284))
+ (IOPATH A1 X (0.126:0.126:0.126) (0.289:0.289:0.289))
+ (IOPATH S X (0.257:0.258:0.260) (0.343:0.358:0.373))
+ (IOPATH S X (0.172:0.199:0.226) (0.358:0.360:0.361))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _186_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.155:0.155:0.155) (0.301:0.301:0.301))
+ (IOPATH A1 X (0.134:0.134:0.134) (0.297:0.297:0.297))
+ (IOPATH S X (0.263:0.265:0.266) (0.351:0.366:0.380))
+ (IOPATH S X (0.178:0.205:0.232) (0.366:0.367:0.369))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _187_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.155:0.155:0.155) (0.301:0.301:0.301))
+ (IOPATH A1 X (0.128:0.128:0.128) (0.291:0.291:0.291))
+ (IOPATH S X (0.258:0.260:0.262) (0.346:0.360:0.375))
+ (IOPATH S X (0.174:0.201:0.228) (0.360:0.362:0.364))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _188_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.079:0.079:0.079) (0.070:0.070:0.070))
+ (IOPATH B Y (0.079:0.079:0.079) (0.063:0.063:0.063))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _189_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.123:0.123:0.123) (0.299:0.299:0.299))
+ (IOPATH B X (0.104:0.104:0.104) (0.270:0.270:0.270))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _190_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.169:0.169:0.170) (0.192:0.194:0.196))
+ (IOPATH B X (0.166:0.166:0.166) (0.218:0.218:0.218))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _191_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.142:0.142:0.142) (0.059:0.059:0.059))
+ (IOPATH B Y (0.114:0.114:0.114) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__inv_2")
+ (INSTANCE _192_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.035:0.037:0.039) (0.036:0.037:0.037))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _193_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.150:0.150:0.150) (0.178:0.178:0.178))
+ (IOPATH B X (0.144:0.144:0.144) (0.192:0.192:0.192))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _194_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.131:0.131:0.131) (0.058:0.058:0.058))
+ (IOPATH B Y (0.102:0.102:0.102) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _195_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.151:0.151:0.151) (0.334:0.334:0.334))
+ (IOPATH B X (0.138:0.138:0.138) (0.308:0.308:0.308))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _196_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.171:0.171:0.171) (0.193:0.193:0.193))
+ (IOPATH B X (0.163:0.163:0.163) (0.207:0.207:0.207))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _197_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.182:0.182:0.182) (0.201:0.201:0.201))
+ (IOPATH B X (0.158:0.158:0.158) (0.204:0.204:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _198_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.224:0.224:0.224) (0.231:0.231:0.231))
+ (IOPATH B X (0.193:0.193:0.193) (0.229:0.229:0.229))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _199_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.085:0.085:0.085) (0.069:0.069:0.069))
+ (IOPATH B Y (0.073:0.073:0.073) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _200_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.257:0.257:0.257) (0.124:0.124:0.124))
+ (IOPATH A X (0.232:0.232:0.232) (0.216:0.216:0.216))
+ (IOPATH B X (0.214:0.214:0.214) (0.097:0.097:0.097))
+ (IOPATH B X (0.224:0.224:0.224) (0.173:0.173:0.173))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _201_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.149:0.149:0.149) (0.208:0.208:0.208))
+ (IOPATH A2 X (0.180:0.182:0.185) (0.229:0.234:0.240))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.200:0.200:0.201))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _202_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.163:0.163:0.163) (0.326:0.327:0.327))
+ (IOPATH A2 X (0.192:0.195:0.197) (0.362:0.367:0.373))
+ (IOPATH B1 X (0.126:0.126:0.126) (0.334:0.334:0.334))
+ (IOPATH C1 X (0.121:0.121:0.121) (0.295:0.295:0.295))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a31o_2")
+ (INSTANCE _203_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.174:0.174:0.174) (0.219:0.219:0.219))
+ (IOPATH A2 X (0.194:0.194:0.194) (0.271:0.271:0.271))
+ (IOPATH A3 X (0.197:0.197:0.198) (0.281:0.281:0.282))
+ (IOPATH B1 X (0.134:0.134:0.134) (0.239:0.240:0.240))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _204_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.122:0.122:0.123) (0.050:0.050:0.050))
+ (IOPATH B Y (0.099:0.100:0.101) (0.044:0.045:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _205_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.167:0.168:0.168))
+ (IOPATH B X (0.142:0.143:0.144) (0.188:0.189:0.190))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _206_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.055:0.055:0.055) (0.047:0.047:0.047))
+ (IOPATH B Y (0.064:0.065:0.066) (0.054:0.055:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _207_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.185:0.185:0.185) (0.254:0.254:0.254))
+ (IOPATH A2 X (0.185:0.185:0.185) (0.238:0.239:0.239))
+ (IOPATH B1 X (0.175:0.176:0.177) (0.131:0.132:0.132))
+ (IOPATH C1 X (0.173:0.173:0.174) (0.119:0.121:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _208_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.147:0.149:0.151) (0.064:0.064:0.064))
+ (IOPATH B Y (0.132:0.133:0.133) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _209_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.125:0.125:0.126) (0.143:0.144:0.144))
+ (IOPATH A Y (0.187:0.187:0.188) (0.079:0.080:0.080))
+ (IOPATH B Y (0.142:0.142:0.142) (0.133:0.135:0.137))
+ (IOPATH B Y (0.157:0.159:0.160) (0.089:0.089:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _210_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.144:0.144:0.144) (0.306:0.308:0.309))
+ (IOPATH B X (0.116:0.116:0.116) (0.289:0.290:0.290))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _211_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.095:0.095:0.095) (0.088:0.088:0.088))
+ (IOPATH B Y (0.103:0.104:0.105) (0.099:0.100:0.101))
+ (IOPATH C Y (0.104:0.104:0.104) (0.099:0.099:0.099))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _212_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.147:0.147:0.147) (0.220:0.220:0.220))
+ (IOPATH A2 X (0.150:0.151:0.152) (0.239:0.240:0.240))
+ (IOPATH B1 X (0.114:0.114:0.114) (0.215:0.215:0.215))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _213_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.191:0.191:0.191) (0.251:0.251:0.251))
+ (IOPATH A2 X (0.194:0.195:0.197) (0.292:0.293:0.293))
+ (IOPATH A3 X (0.194:0.194:0.195) (0.278:0.278:0.279))
+ (IOPATH B1 X (0.144:0.144:0.144) (0.226:0.226:0.226))
+ (IOPATH B2 X (0.148:0.161:0.175) (0.248:0.250:0.252))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _214_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.158:0.158:0.158) (0.061:0.062:0.064))
+ (IOPATH A2 Y (0.159:0.160:0.160) (0.062:0.063:0.063))
+ (IOPATH B1 Y (0.126:0.126:0.126) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _215_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.116:0.118:0.119) (0.195:0.196:0.196))
+ (IOPATH A2 X (0.117:0.118:0.118) (0.205:0.206:0.206))
+ (IOPATH B1 X (0.095:0.095:0.095) (0.178:0.178:0.178))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _216_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.124:0.124:0.124) (0.047:0.047:0.047))
+ (IOPATH B Y (0.111:0.113:0.114) (0.042:0.051:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _217_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.109:0.110:0.111) (0.461:0.461:0.462))
+ (IOPATH B X (0.124:0.126:0.127) (0.432:0.433:0.435))
+ (IOPATH C X (0.120:0.120:0.120) (0.392:0.395:0.397))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _218_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.135:0.135:0.136) (0.150:0.151:0.151))
+ (IOPATH A Y (0.192:0.192:0.192) (0.090:0.090:0.090))
+ (IOPATH B Y (0.151:0.153:0.154) (0.143:0.149:0.155))
+ (IOPATH B Y (0.164:0.169:0.175) (0.099:0.100:0.102))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _219_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.073:0.073:0.073) (0.069:0.069:0.069))
+ (IOPATH B Y (0.090:0.093:0.095) (0.070:0.083:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _220_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.154:0.154:0.154) (0.327:0.327:0.327))
+ (IOPATH B X (0.120:0.120:0.120) (0.288:0.288:0.288))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _221_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.050:0.054:0.059) (0.043:0.044:0.044))
+ (IOPATH B Y (0.072:0.072:0.072) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _222_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.154:0.154:0.154) (0.232:0.232:0.232))
+ (IOPATH A2 X (0.138:0.152:0.165) (0.223:0.225:0.228))
+ (IOPATH B1 X (0.133:0.134:0.136) (0.194:0.194:0.195))
+ (IOPATH B2 X (0.124:0.124:0.124) (0.174:0.174:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _223_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.068:0.071:0.073) (0.057:0.058:0.059))
+ (IOPATH B Y (0.073:0.073:0.073) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
+ (INSTANCE _224_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.287:0.289:0.291) (0.060:0.062:0.063))
+ (IOPATH A2 Y (0.340:0.341:0.342) (0.058:0.059:0.060))
+ (IOPATH B1 Y (0.322:0.322:0.323) (0.050:0.051:0.051))
+ (IOPATH C1 Y (0.267:0.268:0.269) (0.058:0.059:0.060))
+ (IOPATH D1 Y (0.194:0.196:0.198) (0.047:0.047:0.047))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _225_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.148:0.148:0.148) (0.207:0.207:0.208))
+ (IOPATH A2 X (0.116:0.116:0.116) (0.194:0.194:0.194))
+ (IOPATH B1 X (0.129:0.129:0.129) (0.108:0.108:0.108))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _226_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.137:0.138) (0.166:0.166:0.167))
+ (IOPATH B X (0.126:0.126:0.127) (0.178:0.178:0.179))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _227_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.453:0.458:0.464) (0.502:0.504:0.506))
+ (IOPATH A2 X (0.406:0.407:0.407) (0.480:0.482:0.483))
+ (IOPATH A3 X (0.387:0.387:0.387) (0.444:0.445:0.445))
+ (IOPATH B1 X (0.409:0.409:0.409) (0.236:0.240:0.244))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _228_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.270:0.270:0.270) (0.420:0.420:0.420))
+ (IOPATH B X (0.242:0.242:0.242) (0.384:0.384:0.384))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _229_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.209:0.209:0.209) (0.379:0.379:0.379))
+ (IOPATH B X (0.206:0.206:0.206) (0.357:0.357:0.357))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _230_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.221:0.221:0.221) (0.381:0.381:0.381))
+ (IOPATH B X (0.183:0.183:0.183) (0.346:0.347:0.347))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _231_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.200:0.200:0.200) (0.088:0.088:0.088))
+ (IOPATH B Y (0.161:0.161:0.161) (0.064:0.064:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _232_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.157:0.157:0.157) (0.345:0.345:0.345))
+ (IOPATH B X (0.167:0.167:0.167) (0.329:0.329:0.329))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4bb_2")
+ (INSTANCE _233_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.111:0.111:0.111) (0.612:0.612:0.612))
+ (IOPATH B X (0.122:0.122:0.122) (0.591:0.591:0.591))
+ (IOPATH C_N X (0.225:0.230:0.235) (0.634:0.634:0.634))
+ (IOPATH D_N X (0.159:0.163:0.167) (0.521:0.521:0.521))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _234_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.149:0.149:0.149) (0.162:0.162:0.162))
+ (IOPATH B X (0.159:0.159:0.159) (0.185:0.185:0.185))
+ (IOPATH C X (0.210:0.211:0.213) (0.207:0.215:0.222))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _235_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.121:0.121:0.121) (0.149:0.149:0.149))
+ (IOPATH B X (0.120:0.122:0.123) (0.170:0.170:0.171))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3b_2")
+ (INSTANCE _236_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.093:0.093:0.093) (0.444:0.444:0.444))
+ (IOPATH B X (0.099:0.100:0.102) (0.422:0.423:0.424))
+ (IOPATH C_N X (0.173:0.177:0.180) (0.427:0.427:0.427))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _237_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.119:0.119:0.119) (0.513:0.513:0.513))
+ (IOPATH B X (0.124:0.124:0.125) (0.475:0.477:0.478))
+ (IOPATH C X (0.115:0.115:0.115) (0.449:0.449:0.449))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and4_2")
+ (INSTANCE _238_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.231:0.231:0.231) (0.197:0.197:0.197))
+ (IOPATH B X (0.232:0.233:0.233) (0.242:0.242:0.242))
+ (IOPATH C X (0.242:0.243:0.243) (0.233:0.234:0.234))
+ (IOPATH D X (0.253:0.253:0.253) (0.273:0.273:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _239_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.088:0.088:0.088) (0.073:0.073:0.073))
+ (IOPATH B Y (0.104:0.104:0.104) (0.086:0.086:0.086))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _240_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.264:0.264:0.264) (0.098:0.098:0.098))
+ (IOPATH B Y (0.232:0.232:0.232) (0.092:0.092:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _241_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.170:0.170:0.170) (0.154:0.154:0.154))
+ (IOPATH B Y (0.159:0.159:0.159) (0.130:0.130:0.130))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or4_2")
+ (INSTANCE _242_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.685:0.685:0.685))
+ (IOPATH B X (0.122:0.122:0.122) (0.656:0.656:0.656))
+ (IOPATH C X (0.124:0.125:0.127) (0.624:0.624:0.624))
+ (IOPATH D X (0.148:0.148:0.149) (0.570:0.573:0.576))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _243_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.283:0.283:0.283) (0.447:0.447:0.447))
+ (IOPATH A2 X (0.334:0.334:0.334) (0.463:0.468:0.473))
+ (IOPATH A3 X (0.239:0.239:0.239) (0.399:0.399:0.399))
+ (IOPATH B1 X (0.269:0.269:0.269) (0.182:0.183:0.184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _244_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.159:0.159:0.159) (0.173:0.173:0.173))
+ (IOPATH A Y (0.237:0.237:0.237) (0.112:0.112:0.112))
+ (IOPATH B Y (0.192:0.192:0.192) (0.204:0.209:0.214))
+ (IOPATH B Y (0.247:0.252:0.258) (0.144:0.144:0.144))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _245_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.132:0.132:0.132) (0.062:0.062:0.062))
+ (IOPATH B Y (0.133:0.138:0.142) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _246_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.201:0.201:0.201) (0.214:0.214:0.214))
+ (IOPATH B X (0.250:0.250:0.250) (0.267:0.273:0.279))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _247_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.213:0.218:0.224) (0.072:0.075:0.078))
+ (IOPATH B Y (0.193:0.193:0.193) (0.066:0.067:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _248_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.145:0.145:0.145) (0.123:0.123:0.123))
+ (IOPATH B Y (0.143:0.148:0.152) (0.116:0.117:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _249_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.122:0.122:0.122) (0.056:0.056:0.056))
+ (IOPATH B Y (0.133:0.137:0.142) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _250_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.156:0.156:0.156) (0.166:0.166:0.166))
+ (IOPATH A Y (0.226:0.226:0.226) (0.109:0.109:0.109))
+ (IOPATH B Y (0.189:0.189:0.189) (0.201:0.206:0.211))
+ (IOPATH B Y (0.240:0.245:0.250) (0.140:0.140:0.140))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21bo_2")
+ (INSTANCE _251_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.248:0.248:0.248) (0.271:0.276:0.281))
+ (IOPATH A2 X (0.179:0.179:0.179) (0.259:0.259:0.259))
+ (IOPATH B1_N X (0.233:0.233:0.233) (0.255:0.256:0.257))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _252_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.239:0.239:0.240) (0.295:0.298:0.300))
+ (IOPATH A2 X (0.236:0.254:0.272) (0.311:0.318:0.326))
+ (IOPATH A3 X (0.241:0.242:0.242) (0.305:0.306:0.306))
+ (IOPATH B1 X (0.219:0.219:0.219) (0.291:0.291:0.291))
+ (IOPATH B2 X (0.256:0.257:0.257) (0.320:0.326:0.331))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _253_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.180:0.200:0.221) (0.259:0.266:0.273))
+ (IOPATH A2 X (0.226:0.226:0.227) (0.274:0.276:0.277))
+ (IOPATH A3 X (0.200:0.201:0.201) (0.281:0.282:0.282))
+ (IOPATH B1 X (0.155:0.155:0.155) (0.249:0.249:0.249))
+ (IOPATH B2 X (0.208:0.208:0.208) (0.291:0.297:0.302))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _254_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.163:0.163:0.163) (0.182:0.182:0.182))
+ (IOPATH A Y (0.215:0.215:0.215) (0.118:0.118:0.118))
+ (IOPATH B Y (0.173:0.173:0.173) (0.187:0.192:0.197))
+ (IOPATH B Y (0.203:0.208:0.214) (0.118:0.118:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _255_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.137:0.138) (0.168:0.168:0.168))
+ (IOPATH B X (0.138:0.152:0.167) (0.189:0.197:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _256_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.124:0.124:0.124) (0.057:0.058:0.059))
+ (IOPATH A2 Y (0.104:0.111:0.118) (0.048:0.056:0.064))
+ (IOPATH B1 Y (0.070:0.075:0.080) (0.076:0.076:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _257_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.198:0.198:0.198) (0.268:0.268:0.268))
+ (IOPATH A2 X (0.169:0.169:0.170) (0.237:0.242:0.247))
+ (IOPATH B1 X (0.130:0.130:0.130) (0.194:0.194:0.195))
+ (IOPATH B2 X (0.119:0.125:0.132) (0.171:0.176:0.181))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _258_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.158:0.159:0.160) (0.101:0.101:0.101))
+ (IOPATH A2 Y (0.179:0.179:0.180) (0.084:0.085:0.085))
+ (IOPATH B1 Y (0.141:0.142:0.142) (0.048:0.048:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _259_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.107:0.120:0.134) (0.132:0.140:0.148))
+ (IOPATH A Y (0.141:0.147:0.154) (0.066:0.077:0.089))
+ (IOPATH B Y (0.115:0.116:0.116) (0.114:0.122:0.130))
+ (IOPATH B Y (0.102:0.109:0.116) (0.065:0.065:0.065))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _260_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.154:0.154:0.154) (0.300:0.300:0.300))
+ (IOPATH A1 X (0.113:0.124:0.135) (0.283:0.286:0.289))
+ (IOPATH S X (0.211:0.217:0.223) (0.340:0.341:0.341))
+ (IOPATH S X (0.164:0.165:0.165) (0.320:0.325:0.330))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xor2_2")
+ (INSTANCE _261_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.137:0.138) (0.093:0.093:0.094))
+ (IOPATH A X (0.142:0.142:0.142) (0.162:0.163:0.165))
+ (IOPATH B X (0.117:0.118:0.118) (0.071:0.071:0.071))
+ (IOPATH B X (0.133:0.133:0.134) (0.142:0.143:0.143))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _262_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.149:0.149:0.149) (0.295:0.295:0.295))
+ (IOPATH A1 X (0.122:0.126:0.130) (0.279:0.283:0.286))
+ (IOPATH S X (0.208:0.214:0.221) (0.337:0.337:0.337))
+ (IOPATH S X (0.161:0.162:0.162) (0.317:0.322:0.326))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _263_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.162:0.166:0.171) (0.093:0.093:0.093))
+ (IOPATH A2 Y (0.145:0.145:0.145) (0.061:0.061:0.061))
+ (IOPATH B1 Y (0.096:0.102:0.109) (0.037:0.038:0.039))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _264_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.215:0.215:0.215) (0.283:0.283:0.283))
+ (IOPATH A2 X (0.196:0.197:0.197) (0.259:0.259:0.259))
+ (IOPATH B1 X (0.202:0.203:0.204) (0.138:0.150:0.162))
+ (IOPATH C1 X (0.231:0.232:0.232) (0.150:0.155:0.161))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _265_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.126:0.126:0.126) (0.149:0.149:0.149))
+ (IOPATH A Y (0.164:0.164:0.164) (0.084:0.084:0.084))
+ (IOPATH B Y (0.106:0.106:0.107) (0.122:0.125:0.129))
+ (IOPATH B Y (0.118:0.121:0.124) (0.061:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _266_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.112:0.125:0.139) (0.137:0.144:0.152))
+ (IOPATH A Y (0.152:0.159:0.166) (0.070:0.083:0.095))
+ (IOPATH B Y (0.110:0.111:0.112) (0.129:0.130:0.130))
+ (IOPATH B Y (0.123:0.123:0.124) (0.063:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o2bb2a_2")
+ (INSTANCE _267_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1_N X (0.262:0.268:0.274) (0.239:0.239:0.240))
+ (IOPATH A2_N X (0.224:0.229:0.233) (0.198:0.210:0.222))
+ (IOPATH B1 X (0.185:0.185:0.185) (0.268:0.268:0.268))
+ (IOPATH B2 X (0.158:0.158:0.158) (0.244:0.245:0.246))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _268_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.090:0.090:0.090) (0.069:0.069:0.069))
+ (IOPATH B Y (0.086:0.086:0.087) (0.069:0.070:0.071))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _269_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.156:0.156:0.156) (0.176:0.181:0.186))
+ (IOPATH A Y (0.178:0.183:0.187) (0.107:0.107:0.107))
+ (IOPATH B Y (0.096:0.097:0.098) (0.119:0.120:0.121))
+ (IOPATH B Y (0.105:0.106:0.106) (0.052:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _270_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.127:0.127:0.127) (0.283:0.283:0.283))
+ (IOPATH A1 X (0.114:0.126:0.137) (0.283:0.290:0.297))
+ (IOPATH S X (0.212:0.218:0.224) (0.342:0.342:0.342))
+ (IOPATH S X (0.165:0.166:0.166) (0.322:0.326:0.331))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _271_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.059:0.059:0.059) (0.049:0.049:0.049))
+ (IOPATH B Y (0.078:0.084:0.089) (0.066:0.066:0.067))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _272_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.135:0.135:0.135) (0.210:0.210:0.210))
+ (IOPATH A2 X (0.113:0.113:0.113) (0.185:0.186:0.187))
+ (IOPATH B1 X (0.107:0.109:0.111) (0.102:0.104:0.105))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _273_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.210:0.210:0.210) (0.204:0.204:0.204))
+ (IOPATH B X (0.229:0.229:0.229) (0.237:0.237:0.237))
+ (IOPATH C X (0.232:0.232:0.232) (0.257:0.257:0.257))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2_2")
+ (INSTANCE _274_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.185:0.185:0.185) (0.204:0.204:0.204))
+ (IOPATH B X (0.179:0.179:0.179) (0.215:0.216:0.217))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21a_2")
+ (INSTANCE _275_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.140:0.140:0.140) (0.215:0.215:0.215))
+ (IOPATH A2 X (0.114:0.114:0.114) (0.188:0.189:0.189))
+ (IOPATH B1 X (0.172:0.200:0.228) (0.180:0.182:0.184))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _276_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.128:0.128:0.128) (0.180:0.180:0.180))
+ (IOPATH B Y (0.061:0.062:0.062) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _277_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.183:0.183:0.183) (0.265:0.265:0.265))
+ (IOPATH A2 X (0.167:0.167:0.167) (0.236:0.237:0.237))
+ (IOPATH B1 X (0.162:0.162:0.163) (0.123:0.125:0.128))
+ (IOPATH C1 X (0.219:0.256:0.293) (0.183:0.185:0.187))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _278_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.118:0.118:0.118) (0.102:0.102:0.102))
+ (IOPATH B Y (0.115:0.115:0.116) (0.094:0.094:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _279_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.124:0.124:0.124) (0.065:0.065:0.065))
+ (IOPATH A2 Y (0.146:0.146:0.146) (0.072:0.072:0.072))
+ (IOPATH B1 Y (0.100:0.100:0.100) (0.036:0.036:0.036))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _280_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.119:0.119:0.119) (0.303:0.304:0.304))
+ (IOPATH B X (0.113:0.116:0.118) (0.278:0.280:0.281))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _281_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.121:0.123:0.124) (0.060:0.060:0.061))
+ (IOPATH A2 Y (0.132:0.132:0.132) (0.047:0.047:0.047))
+ (IOPATH B1 Y (0.106:0.112:0.119) (0.050:0.050:0.051))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__xnor2_2")
+ (INSTANCE _282_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.138:0.138:0.138) (0.159:0.159:0.159))
+ (IOPATH A Y (0.182:0.182:0.182) (0.093:0.093:0.093))
+ (IOPATH B Y (0.137:0.137:0.137) (0.158:0.158:0.158))
+ (IOPATH B Y (0.160:0.160:0.160) (0.084:0.084:0.084))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21oi_2")
+ (INSTANCE _283_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.114:0.115:0.116) (0.056:0.057:0.057))
+ (IOPATH A2 Y (0.116:0.119:0.122) (0.046:0.056:0.066))
+ (IOPATH B1 Y (0.098:0.105:0.111) (0.044:0.044:0.044))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand3_2")
+ (INSTANCE _284_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.077:0.077:0.077) (0.073:0.073:0.073))
+ (IOPATH B Y (0.122:0.123:0.125) (0.094:0.105:0.116))
+ (IOPATH C Y (0.076:0.078:0.079) (0.073:0.073:0.074))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _285_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.117:0.117:0.117) (0.276:0.276:0.276))
+ (IOPATH A1 X (0.118:0.118:0.118) (0.285:0.285:0.285))
+ (IOPATH S X (0.258:0.260:0.262) (0.345:0.360:0.374))
+ (IOPATH S X (0.173:0.200:0.227) (0.360:0.361:0.363))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__mux2_1")
+ (INSTANCE _286_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A0 X (0.116:0.116:0.116) (0.274:0.274:0.274))
+ (IOPATH A1 X (0.120:0.120:0.120) (0.286:0.286:0.286))
+ (IOPATH S X (0.198:0.206:0.213) (0.348:0.349:0.350))
+ (IOPATH S X (0.179:0.181:0.183) (0.309:0.315:0.321))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _287_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.100:0.100:0.100) (0.286:0.286:0.286))
+ (IOPATH B X (0.159:0.161:0.163) (0.272:0.278:0.284))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _288_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.398:0.398:0.398) (0.170:0.170:0.170))
+ (IOPATH B Y (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _289_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.152:0.152:0.152) (0.205:0.205:0.205))
+ (IOPATH A2 X (0.338:0.338:0.338) (0.446:0.446:0.446))
+ (IOPATH B1 X (0.175:0.175:0.175) (0.288:0.288:0.288))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _290_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.237:0.237:0.237) (0.581:0.581:0.581))
+ (IOPATH B X (0.197:0.197:0.197) (0.537:0.537:0.537))
+ (IOPATH C X (0.207:0.207:0.207) (0.506:0.506:0.506))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _291_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.241:0.241:0.241) (0.100:0.100:0.100))
+ (IOPATH B Y (0.194:0.194:0.194) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _292_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.171:0.171:0.171) (0.137:0.137:0.137))
+ (IOPATH B Y (0.163:0.168:0.173) (0.173:0.174:0.174))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and2b_2")
+ (INSTANCE _293_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.507:0.507:0.507) (0.385:0.385:0.385))
+ (IOPATH B X (0.242:0.242:0.242) (0.271:0.271:0.271))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _294_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.257:0.257:0.257) (0.255:0.255:0.255))
+ (IOPATH B X (0.278:0.278:0.279) (0.278:0.281:0.284))
+ (IOPATH C X (0.270:0.270:0.270) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _295_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.156:0.156:0.156) (0.227:0.227:0.227))
+ (IOPATH B1 X (0.151:0.151:0.151) (0.226:0.227:0.228))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _296_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.172:0.172:0.172) (0.068:0.068:0.068))
+ (IOPATH B Y (0.351:0.351:0.351) (0.100:0.100:0.100))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _297_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.149:0.149:0.149) (0.313:0.313:0.313))
+ (IOPATH B X (0.135:0.136:0.137) (0.295:0.298:0.300))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _298_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.195:0.195:0.195) (0.544:0.544:0.544))
+ (IOPATH B X (0.165:0.165:0.165) (0.501:0.501:0.501))
+ (IOPATH C X (0.156:0.156:0.157) (0.470:0.470:0.470))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _299_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.394:0.394:0.394) (0.477:0.477:0.477))
+ (IOPATH A2 X (0.178:0.178:0.178) (0.275:0.275:0.275))
+ (IOPATH B1 X (0.210:0.211:0.212) (0.272:0.275:0.277))
+ (IOPATH B2 X (0.203:0.203:0.203) (0.274:0.274:0.274))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _300_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.311:0.311:0.311) (0.434:0.434:0.434))
+ (IOPATH A2 X (0.158:0.158:0.158) (0.229:0.229:0.229))
+ (IOPATH B1 X (0.158:0.158:0.158) (0.234:0.234:0.234))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _301_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.257:0.257:0.257) (0.322:0.322:0.322))
+ (IOPATH A2 X (0.226:0.226:0.226) (0.304:0.304:0.304))
+ (IOPATH B1 X (0.253:0.254:0.254) (0.198:0.201:0.204))
+ (IOPATH C1 X (0.239:0.239:0.239) (0.161:0.161:0.161))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _302_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.161:0.161:0.161) (0.230:0.230:0.230))
+ (IOPATH B1 X (0.142:0.142:0.142) (0.217:0.220:0.223))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _303_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.170:0.170:0.170) (0.350:0.350:0.351))
+ (IOPATH B X (0.165:0.165:0.166) (0.330:0.333:0.335))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _304_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.237:0.237:0.238) (0.307:0.309:0.312))
+ (IOPATH A2 X (0.240:0.241:0.241) (0.305:0.307:0.310))
+ (IOPATH B1 X (0.247:0.247:0.247) (0.178:0.178:0.178))
+ (IOPATH C1 X (0.213:0.213:0.213) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _305_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.308:0.308:0.308) (0.432:0.432:0.432))
+ (IOPATH A2 X (0.160:0.160:0.160) (0.230:0.230:0.230))
+ (IOPATH B1 X (0.143:0.143:0.143) (0.218:0.221:0.223))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _306_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.399:0.399:0.399) (0.481:0.481:0.481))
+ (IOPATH A2 X (0.187:0.187:0.187) (0.281:0.281:0.281))
+ (IOPATH B1 X (0.233:0.233:0.233) (0.313:0.313:0.313))
+ (IOPATH B2 X (0.185:0.185:0.185) (0.284:0.284:0.284))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _307_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.225:0.225:0.225) (0.569:0.569:0.569))
+ (IOPATH B X (0.185:0.185:0.185) (0.525:0.525:0.525))
+ (IOPATH C X (0.159:0.159:0.159) (0.477:0.477:0.477))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _308_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.142:0.142:0.142) (0.316:0.316:0.317))
+ (IOPATH B X (0.113:0.113:0.114) (0.292:0.292:0.292))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _309_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.403:0.403:0.403) (0.483:0.483:0.483))
+ (IOPATH A2 X (0.192:0.192:0.192) (0.285:0.285:0.285))
+ (IOPATH B1 X (0.205:0.205:0.205) (0.257:0.258:0.258))
+ (IOPATH B2 X (0.176:0.176:0.176) (0.267:0.267:0.267))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _310_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.412:0.412:0.412) (0.489:0.489:0.489))
+ (IOPATH A2 X (0.201:0.201:0.201) (0.291:0.291:0.291))
+ (IOPATH B1 X (0.220:0.220:0.220) (0.268:0.268:0.268))
+ (IOPATH B2 X (0.195:0.195:0.195) (0.280:0.280:0.280))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _311_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.177:0.177:0.177) (0.260:0.260:0.260))
+ (IOPATH A2 X (0.144:0.144:0.144) (0.244:0.244:0.245))
+ (IOPATH B1 X (0.169:0.170:0.171) (0.224:0.226:0.228))
+ (IOPATH B2 X (0.145:0.146:0.146) (0.196:0.200:0.204))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _312_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.409:0.409:0.409) (0.487:0.487:0.487))
+ (IOPATH A2 X (0.197:0.197:0.197) (0.289:0.289:0.289))
+ (IOPATH B1 X (0.202:0.202:0.202) (0.252:0.255:0.258))
+ (IOPATH B2 X (0.182:0.182:0.182) (0.264:0.265:0.265))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2b_2")
+ (INSTANCE _313_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N Y (0.106:0.106:0.106) (0.165:0.165:0.165))
+ (IOPATH B Y (0.264:0.264:0.264) (0.112:0.112:0.112))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o31a_2")
+ (INSTANCE _314_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.370:0.370:0.370) (0.605:0.605:0.605))
+ (IOPATH A2 X (0.218:0.219:0.219) (0.392:0.392:0.393))
+ (IOPATH A3 X (0.240:0.240:0.240) (0.342:0.343:0.343))
+ (IOPATH B1 X (0.200:0.217:0.235) (0.151:0.178:0.205))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _315_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.177:0.177:0.177) (0.310:0.310:0.310))
+ (IOPATH B X (0.133:0.134:0.134) (0.314:0.314:0.314))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _316_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.289:0.289:0.290) (0.329:0.331:0.334))
+ (IOPATH A2 X (0.287:0.287:0.287) (0.336:0.336:0.336))
+ (IOPATH A3 X (0.260:0.261:0.261) (0.323:0.323:0.323))
+ (IOPATH B1 X (0.207:0.207:0.207) (0.263:0.263:0.263))
+ (IOPATH B2 X (0.357:0.357:0.357) (0.533:0.533:0.533))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _317_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.382:0.382:0.382) (0.474:0.474:0.474))
+ (IOPATH A2 X (0.171:0.171:0.171) (0.275:0.275:0.275))
+ (IOPATH B1 X (0.192:0.192:0.192) (0.253:0.253:0.253))
+ (IOPATH B2 X (0.156:0.156:0.156) (0.258:0.258:0.258))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _318_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.156:0.156:0.156) (0.322:0.322:0.322))
+ (IOPATH B X (0.119:0.119:0.120) (0.301:0.301:0.301))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _319_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.381:0.381:0.381) (0.469:0.469:0.469))
+ (IOPATH A2 X (0.170:0.170:0.170) (0.270:0.270:0.270))
+ (IOPATH B1 X (0.184:0.184:0.184) (0.243:0.244:0.245))
+ (IOPATH B2 X (0.157:0.157:0.157) (0.255:0.255:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a211o_2")
+ (INSTANCE _320_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.154:0.154:0.154) (0.311:0.311:0.311))
+ (IOPATH A2 X (0.156:0.156:0.156) (0.354:0.354:0.354))
+ (IOPATH B1 X (0.128:0.128:0.128) (0.320:0.320:0.320))
+ (IOPATH C1 X (0.108:0.108:0.108) (0.272:0.272:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _321_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.157:0.157:0.157) (0.090:0.090:0.090))
+ (IOPATH A2 Y (0.107:0.108:0.108) (0.072:0.072:0.072))
+ (IOPATH B1 Y (0.050:0.054:0.059) (0.078:0.078:0.078))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _322_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.210:0.210:0.210) (0.287:0.287:0.287))
+ (IOPATH A2 X (0.175:0.175:0.175) (0.243:0.243:0.243))
+ (IOPATH B1 X (0.156:0.156:0.157) (0.126:0.126:0.127))
+ (IOPATH C1 X (0.151:0.159:0.166) (0.111:0.113:0.115))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _323_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.093:0.093:0.093) (0.076:0.076:0.076))
+ (IOPATH B Y (0.066:0.071:0.076) (0.080:0.080:0.080))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _324_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.146:0.146:0.146) (0.331:0.331:0.331))
+ (IOPATH B X (0.149:0.149:0.149) (0.317:0.317:0.317))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _325_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.163:0.163:0.163) (0.293:0.293:0.294))
+ (IOPATH B X (0.094:0.096:0.097) (0.268:0.270:0.273))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _326_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.388:0.388:0.388) (0.475:0.475:0.475))
+ (IOPATH A2 X (0.177:0.177:0.177) (0.277:0.277:0.277))
+ (IOPATH B1 X (0.182:0.182:0.182) (0.240:0.243:0.246))
+ (IOPATH B2 X (0.165:0.165:0.166) (0.249:0.252:0.255))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _327_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.139:0.139:0.139) (0.310:0.310:0.310))
+ (IOPATH B X (0.141:0.141:0.141) (0.300:0.300:0.300))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _328_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.201:0.201:0.201) (0.336:0.337:0.337))
+ (IOPATH B X (0.151:0.151:0.152) (0.337:0.337:0.337))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _329_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.126:0.126:0.127) (0.211:0.211:0.211))
+ (IOPATH A2 X (0.144:0.145:0.146) (0.232:0.234:0.236))
+ (IOPATH B1 X (0.107:0.107:0.107) (0.200:0.204:0.207))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o211a_2")
+ (INSTANCE _330_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.185:0.185:0.185) (0.268:0.268:0.268))
+ (IOPATH A2 X (0.170:0.170:0.170) (0.249:0.249:0.249))
+ (IOPATH B1 X (0.166:0.166:0.166) (0.139:0.139:0.140))
+ (IOPATH C1 X (0.152:0.152:0.153) (0.119:0.119:0.119))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _331_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.150:0.151:0.151) (0.238:0.241:0.243))
+ (IOPATH A2 X (0.153:0.153:0.154) (0.241:0.243:0.246))
+ (IOPATH B1 X (0.139:0.139:0.139) (0.215:0.215:0.215))
+ (IOPATH B2 X (0.140:0.140:0.141) (0.192:0.196:0.200))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _332_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.214:0.214:0.214) (0.247:0.247:0.247))
+ (IOPATH B X (0.168:0.168:0.168) (0.202:0.202:0.202))
+ (IOPATH C X (0.160:0.160:0.160) (0.193:0.193:0.194))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _333_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.152:0.152:0.152) (0.158:0.161:0.164))
+ (IOPATH B X (0.155:0.155:0.155) (0.181:0.181:0.181))
+ (IOPATH C X (0.165:0.166:0.166) (0.196:0.196:0.197))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _334_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.244:0.244:0.244) (0.302:0.302:0.302))
+ (IOPATH A2 X (0.257:0.269:0.280) (0.303:0.337:0.370))
+ (IOPATH A3 X (0.228:0.228:0.228) (0.305:0.305:0.305))
+ (IOPATH B1 X (0.178:0.178:0.178) (0.249:0.249:0.249))
+ (IOPATH B2 X (0.327:0.327:0.327) (0.520:0.520:0.520))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o22a_2")
+ (INSTANCE _335_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.179:0.179:0.180) (0.264:0.267:0.271))
+ (IOPATH A2 X (0.152:0.152:0.152) (0.254:0.254:0.254))
+ (IOPATH B1 X (0.144:0.144:0.144) (0.215:0.215:0.215))
+ (IOPATH B2 X (0.168:0.168:0.168) (0.220:0.220:0.221))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _336_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.230:0.230:0.230) (0.213:0.213:0.214))
+ (IOPATH B X (0.218:0.219:0.219) (0.238:0.238:0.238))
+ (IOPATH C X (0.215:0.216:0.216) (0.235:0.235:0.236))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _337_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.323:0.323:0.323) (0.444:0.444:0.444))
+ (IOPATH A2 X (0.173:0.173:0.173) (0.240:0.240:0.240))
+ (IOPATH B1 X (0.153:0.153:0.153) (0.231:0.232:0.232))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o221a_2")
+ (INSTANCE _338_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.226:0.226:0.226) (0.316:0.316:0.316))
+ (IOPATH A2 X (0.218:0.218:0.218) (0.312:0.312:0.312))
+ (IOPATH B1 X (0.202:0.202:0.202) (0.269:0.269:0.269))
+ (IOPATH B2 X (0.224:0.224:0.225) (0.266:0.270:0.274))
+ (IOPATH C1 X (0.194:0.195:0.195) (0.148:0.148:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _339_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.137:0.138:0.139) (0.322:0.324:0.326))
+ (IOPATH B X (0.117:0.117:0.117) (0.296:0.296:0.296))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3_2")
+ (INSTANCE _340_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.189:0.189:0.190) (0.181:0.185:0.188))
+ (IOPATH B X (0.178:0.178:0.178) (0.199:0.199:0.200))
+ (IOPATH C X (0.185:0.185:0.185) (0.223:0.223:0.223))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _341_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.217:0.218:0.219) (0.284:0.284:0.284))
+ (IOPATH A2 X (0.237:0.237:0.237) (0.303:0.306:0.309))
+ (IOPATH A3 X (0.238:0.238:0.238) (0.301:0.302:0.303))
+ (IOPATH B1 X (0.182:0.182:0.182) (0.251:0.251:0.251))
+ (IOPATH B2 X (0.330:0.330:0.330) (0.521:0.521:0.521))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _342_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.405:0.405:0.405) (0.487:0.487:0.487))
+ (IOPATH A2 X (0.191:0.191:0.191) (0.286:0.286:0.286))
+ (IOPATH B1 X (0.205:0.205:0.205) (0.270:0.270:0.270))
+ (IOPATH B2 X (0.211:0.223:0.234) (0.267:0.299:0.330))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _343_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.391:0.391:0.391) (0.477:0.477:0.477))
+ (IOPATH A2 X (0.181:0.181:0.181) (0.279:0.279:0.279))
+ (IOPATH B1 X (0.193:0.193:0.193) (0.251:0.252:0.252))
+ (IOPATH B2 X (0.178:0.178:0.179) (0.271:0.271:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__o21ai_2")
+ (INSTANCE _344_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 Y (0.159:0.159:0.159) (0.084:0.084:0.084))
+ (IOPATH A2 Y (0.147:0.147:0.147) (0.076:0.076:0.076))
+ (IOPATH B1 Y (0.084:0.084:0.084) (0.079:0.079:0.079))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _345_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.104:0.104:0.104) (0.090:0.090:0.090))
+ (IOPATH B Y (0.075:0.076:0.078) (0.060:0.066:0.072))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _346_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.200:0.200:0.200) (0.289:0.289:0.289))
+ (IOPATH A2 X (0.200:0.200:0.200) (0.282:0.283:0.284))
+ (IOPATH A3 X (0.207:0.208:0.209) (0.283:0.290:0.298))
+ (IOPATH B1 X (0.154:0.154:0.154) (0.234:0.234:0.234))
+ (IOPATH B2 X (0.298:0.298:0.298) (0.503:0.503:0.503))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or3_2")
+ (INSTANCE _347_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.159:0.159:0.159) (0.488:0.488:0.488))
+ (IOPATH B X (0.130:0.130:0.130) (0.445:0.445:0.445))
+ (IOPATH C X (0.103:0.103:0.103) (0.407:0.407:0.407))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _348_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.387:0.387:0.387) (0.475:0.475:0.475))
+ (IOPATH A2 X (0.173:0.173:0.173) (0.274:0.274:0.274))
+ (IOPATH B1 X (0.177:0.177:0.177) (0.242:0.243:0.244))
+ (IOPATH B2 X (0.160:0.161:0.161) (0.266:0.266:0.266))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE _349_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.153:0.153:0.153) (0.319:0.319:0.319))
+ (IOPATH B X (0.097:0.098:0.100) (0.271:0.274:0.276))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a32o_2")
+ (INSTANCE _350_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.196:0.196:0.196) (0.258:0.262:0.265))
+ (IOPATH A2 X (0.202:0.202:0.202) (0.284:0.284:0.285))
+ (IOPATH A3 X (0.198:0.198:0.198) (0.287:0.287:0.288))
+ (IOPATH B1 X (0.154:0.154:0.154) (0.234:0.234:0.234))
+ (IOPATH B2 X (0.295:0.295:0.295) (0.501:0.501:0.501))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _351_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.295:0.295:0.295) (0.425:0.425:0.425))
+ (IOPATH A2 X (0.144:0.144:0.144) (0.220:0.220:0.220))
+ (IOPATH B1 X (0.143:0.152:0.160) (0.208:0.240:0.272))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__and3b_2")
+ (INSTANCE _352_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A_N X (0.404:0.404:0.404) (0.308:0.308:0.308))
+ (IOPATH B X (0.203:0.203:0.203) (0.218:0.218:0.218))
+ (IOPATH C X (0.218:0.218:0.218) (0.246:0.246:0.246))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a21o_2")
+ (INSTANCE _353_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.293:0.293:0.293) (0.423:0.423:0.423))
+ (IOPATH A2 X (0.139:0.139:0.139) (0.216:0.216:0.216))
+ (IOPATH B1 X (0.112:0.112:0.112) (0.204:0.205:0.205))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__a22o_2")
+ (INSTANCE _354_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A1 X (0.378:0.378:0.378) (0.468:0.468:0.468))
+ (IOPATH A2 X (0.173:0.173:0.173) (0.272:0.272:0.272))
+ (IOPATH B1 X (0.169:0.169:0.169) (0.235:0.236:0.237))
+ (IOPATH B2 X (0.159:0.159:0.159) (0.256:0.256:0.256))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nand2_2")
+ (INSTANCE _355_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.528:0.528:0.528) (0.393:0.393:0.393))
+ (IOPATH B Y (0.524:0.524:0.524) (0.391:0.391:0.391))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _356_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.076:0.076:0.076))
+ (IOPATH B Y (0.216:0.216:0.216) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _357_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.276:0.276:0.276) (0.077:0.077:0.077))
+ (IOPATH B Y (0.218:0.218:0.218) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _358_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.080:0.080:0.080))
+ (IOPATH B Y (0.222:0.222:0.222) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _359_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.276:0.276:0.276) (0.077:0.077:0.077))
+ (IOPATH B Y (0.217:0.218:0.218) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _360_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.076:0.076:0.076))
+ (IOPATH B Y (0.216:0.216:0.217) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _361_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.081:0.081:0.081))
+ (IOPATH B Y (0.223:0.223:0.223) (0.060:0.060:0.060))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _362_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.276:0.276:0.276) (0.077:0.077:0.077))
+ (IOPATH B Y (0.217:0.217:0.218) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _363_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.080:0.080:0.080))
+ (IOPATH B Y (0.221:0.221:0.221) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _364_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.279:0.279:0.279) (0.079:0.079:0.079))
+ (IOPATH B Y (0.220:0.220:0.220) (0.058:0.058:0.058))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _365_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.280:0.280:0.280) (0.080:0.080:0.080))
+ (IOPATH B Y (0.222:0.222:0.222) (0.059:0.059:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _366_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.287:0.287:0.287) (0.086:0.086:0.086))
+ (IOPATH B Y (0.229:0.229:0.229) (0.064:0.064:0.064))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _367_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.074:0.074:0.074))
+ (IOPATH B Y (0.214:0.215:0.215) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _368_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.278:0.278:0.278) (0.079:0.079:0.079))
+ (IOPATH B Y (0.219:0.220:0.220) (0.058:0.058:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _369_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.283:0.283:0.283) (0.083:0.083:0.083))
+ (IOPATH B Y (0.224:0.225:0.225) (0.061:0.061:0.061))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _370_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.272:0.272:0.272) (0.073:0.073:0.073))
+ (IOPATH B Y (0.213:0.213:0.213) (0.053:0.053:0.053))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _371_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.275:0.275:0.275) (0.076:0.076:0.076))
+ (IOPATH B Y (0.216:0.216:0.216) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _372_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.285:0.285:0.285) (0.084:0.084:0.084))
+ (IOPATH B Y (0.226:0.226:0.227) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _373_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.285:0.285:0.285) (0.084:0.084:0.084))
+ (IOPATH B Y (0.226:0.226:0.226) (0.062:0.062:0.062))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _374_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.274:0.274:0.274) (0.075:0.075:0.075))
+ (IOPATH B Y (0.215:0.215:0.215) (0.055:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _375_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.274:0.274:0.274) (0.075:0.075:0.075))
+ (IOPATH B Y (0.215:0.215:0.216) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _376_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.273:0.273:0.273) (0.074:0.074:0.074))
+ (IOPATH B Y (0.214:0.214:0.214) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _377_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.278:0.278:0.278) (0.078:0.078:0.078))
+ (IOPATH B Y (0.219:0.219:0.220) (0.058:0.057:0.057))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__nor2_2")
+ (INSTANCE _378_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.281:0.281:0.281) (0.081:0.081:0.081))
+ (IOPATH B Y (0.222:0.223:0.223) (0.060:0.060:0.059))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _379_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.374:0.374:0.374) (0.417:0.417:0.417))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
+ (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.054))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _380_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.370:0.370:0.370) (0.414:0.414:0.414))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _381_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.363:0.363:0.363) (0.408:0.408:0.408))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _382_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.475:0.475:0.475) (0.489:0.489:0.489))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.031:-0.033))
+ (HOLD (negedge D) (posedge CLK) (-0.030:-0.043:-0.056))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.059:0.062))
+ (SETUP (negedge D) (posedge CLK) (0.103:0.117:0.132))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _383_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.459:0.459:0.459) (0.477:0.477:0.477))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.032:-0.034:-0.035))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.032:-0.039))
+ (SETUP (posedge D) (posedge CLK) (0.061:0.062:0.064))
+ (SETUP (negedge D) (posedge CLK) (0.097:0.105:0.113))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _384_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.418:0.418:0.418) (0.449:0.449:0.449))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.034:-0.036:-0.037))
+ (HOLD (negedge D) (posedge CLK) (-0.025:-0.033:-0.040))
+ (SETUP (posedge D) (posedge CLK) (0.063:0.065:0.066))
+ (SETUP (negedge D) (posedge CLK) (0.098:0.106:0.114))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _385_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.408:0.408:0.408) (0.443:0.443:0.443))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.025:-0.028:-0.031))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.097:0.101:0.104))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _386_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.425:0.425:0.425) (0.455:0.455:0.455))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.024:-0.025:-0.027))
+ (SETUP (posedge D) (posedge CLK) (0.053:0.054:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _387_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.415:0.415:0.415) (0.447:0.447:0.447))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
+ (HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.026))
+ (SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
+ (SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _388_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.390:0.390:0.390) (0.430:0.430:0.430))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _389_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.427:0.427:0.427) (0.455:0.455:0.455))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.361))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.203:-0.203))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.026:-0.029:-0.032))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.099:0.102:0.105))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _390_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.501:0.501:0.501) (0.503:0.503:0.503))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.035:-0.043))
+ (HOLD (negedge D) (posedge CLK) (-0.027:-0.030:-0.033))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.064:0.073))
+ (SETUP (negedge D) (posedge CLK) (0.100:0.104:0.107))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _391_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.463:0.463:0.463) (0.479:0.479:0.479))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _392_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.467:0.467:0.467) (0.483:0.483:0.483))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
+ (SETUP (negedge D) (posedge CLK) (0.109:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _393_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.523:0.523:0.523) (0.514:0.514:0.514))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _394_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.346:0.346:0.346) (0.393:0.393:0.393))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
+ (HOLD (negedge D) (posedge CLK) (-0.020:-0.020:-0.020))
+ (SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
+ (SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _395_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.426:0.426:0.426) (0.455:0.455:0.455))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
+ (HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
+ (SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _396_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.410:0.410:0.410) (0.444:0.444:0.444))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.360:0.360:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.044:-0.044:-0.044))
+ (HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
+ (SETUP (posedge D) (posedge CLK) (0.074:0.074:0.074))
+ (SETUP (negedge D) (posedge CLK) (0.121:0.121:0.121))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _397_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.393:0.393:0.393) (0.432:0.432:0.432))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.109:0.109))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _398_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.396:0.396:0.396) (0.434:0.434:0.434))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.037))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _399_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.392:0.392:0.392) (0.430:0.430:0.430))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.357:0.358:0.358))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.207:-0.206:-0.206))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.035:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _400_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.383:0.383:0.383) (0.423:0.423:0.423))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.358:0.359:0.359))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.206:-0.205:-0.205))
+ (HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.030))
+ (HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
+ (SETUP (posedge D) (posedge CLK) (0.056:0.057:0.058))
+ (SETUP (negedge D) (posedge CLK) (0.110:0.110:0.110))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__dfrtp_2")
+ (INSTANCE _401_)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH CLK Q (0.385:0.385:0.385) (0.426:0.426:0.426))
+ (IOPATH RESET_B Q () (0.000:0.000:0.000))
+ )
+ )
+ (TIMINGCHECK
+ (REMOVAL (posedge RESET_B) (posedge CLK) (0.359:0.359:0.360))
+ (RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.204))
+ (HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.029))
+ (HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.035))
+ (SETUP (posedge D) (posedge CLK) (0.055:0.056:0.057))
+ (SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.154:0.154:0.154) (0.175:0.175:0.175))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
+ (INSTANCE clockp_buffer_1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.175:0.175:0.175) (0.149:0.149:0.149))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.123:0.123:0.123) (0.122:0.122:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.091:0.094:0.096) (0.022:0.022:0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.087:0.091:0.095) (0.021:0.022:0.023))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.056) (0.114:0.116:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.049:0.050:0.050) (0.088:0.089:0.090))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[0\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.032:0.032:0.032) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.181:0.181:0.181) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.145:0.145:0.145) (0.136:0.136:0.136))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.054:0.059:0.064) (0.116:0.122:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.047:0.048:0.049) (0.085:0.086:0.088))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[10\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.038:0.038:0.038) (0.056:0.056:0.056))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.180:0.180:0.180) (0.153:0.153:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.062:0.063:0.064) (0.126:0.127:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.046:0.047:0.048) (0.084:0.086:0.087))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[11\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.030:0.030:0.030) (0.045:0.045:0.045))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.127:0.127:0.127) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.050:0.050:0.051) (0.089:0.090:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[1\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.033:0.033:0.033) (0.049:0.049:0.049))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.055:0.056:0.057) (0.117:0.118:0.120))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.052:0.053:0.054) (0.092:0.094:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[2\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.037:0.037:0.037) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.173:0.173:0.173) (0.148:0.148:0.148))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.129:0.129:0.129) (0.125:0.125:0.125))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.019:0.019:0.019) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.054:0.055:0.056) (0.115:0.117:0.118))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.033:0.033:0.033) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.052:0.053:0.054) (0.092:0.093:0.094))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[3\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.030:0.030:0.030) (0.044:0.044:0.044))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.175:0.175:0.175) (0.150:0.150:0.150))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.122:0.122:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.023:0.023:0.023) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.114:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.053:0.053:0.054) (0.093:0.094:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[4\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.034:0.034:0.034) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.179:0.179:0.179) (0.153:0.153:0.153))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.136:0.136:0.136) (0.131:0.131:0.131))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.020:0.020:0.020) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.053:0.054:0.055) (0.115:0.116:0.117))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.053:0.054:0.054) (0.093:0.094:0.095))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[5\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.031:0.031:0.031) (0.046:0.046:0.046))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.181:0.181:0.181) (0.154:0.154:0.154))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.130:0.130:0.130) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.017:0.017:0.017) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.056:0.057:0.058) (0.119:0.120:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.050:0.051:0.052) (0.090:0.091:0.092))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[6\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.036:0.036) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.187:0.187:0.187) (0.159:0.159:0.159))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.134:0.134:0.134) (0.132:0.132:0.132))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.021:0.021:0.021) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.037:0.037:0.037) (0.004:0.004:0.004))
+ (IOPATH TE_B Z (0.057:0.058:0.059) (0.120:0.121:0.122))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.048:0.049:0.050) (0.086:0.088:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[7\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.033:0.033:0.033) (0.048:0.048:0.048))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.178:0.178:0.178) (0.152:0.152:0.152))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.124:0.124:0.124) (0.124:0.124:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.059:0.060:0.061) (0.122:0.123:0.124))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.050:0.050:0.051) (0.089:0.090:0.091))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[8\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.037:0.037:0.037) (0.055:0.055:0.055))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.177:0.177:0.177) (0.151:0.151:0.151))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delaybuf1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.133:0.133:0.133) (0.128:0.128:0.128))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.022:0.022:0.022) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.018:0.018:0.018) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.035:0.035:0.035) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.058:0.059:0.060) (0.121:0.122:0.123))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.034:0.034:0.034) (0.007:0.007:0.007))
+ (IOPATH TE_B Z (0.047:0.048:0.049) (0.086:0.087:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.dstage\[9\]\.id\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.034:0.034:0.034) (0.050:0.050:0.050))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp00)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp01)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.104:0.104:0.104) (0.096:0.096:0.096))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_2")
+ (INSTANCE ringosc\.ibufp10)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.065:0.065:0.065) (0.070:0.070:0.070))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_8")
+ (INSTANCE ringosc\.ibufp11)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.051:0.051:0.051) (0.043:0.043:0.043))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__or2_2")
+ (INSTANCE ringosc\.iss\.ctrlen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.261:0.261:0.262) (0.486:0.487:0.487))
+ (IOPATH B X (0.138:0.143:0.147) (0.304:0.305:0.306))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
+ (INSTANCE ringosc\.iss\.delaybuf0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.025:0.025:0.025) (0.013:0.013:0.013))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_2")
+ (INSTANCE ringosc\.iss\.delayen1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (IOPATH TE Z (0.000:0.000:0.000))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_8")
+ (INSTANCE ringosc\.iss\.delayenb0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (-0.001:-0.001:-0.001) (0.005:0.005:0.005))
+ (IOPATH TE_B Z (0.057:0.058:0.058) (0.120:0.121:0.121))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvn_4")
+ (INSTANCE ringosc\.iss\.delayenb1)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000) (0.006:0.006:0.006))
+ (IOPATH TE_B Z (0.048:0.049:0.050) (0.087:0.088:0.089))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__clkinv_1")
+ (INSTANCE ringosc\.iss\.delayint0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Y (0.036:0.036:0.036) (0.054:0.054:0.054))
+ )
+ )
+ )
+ (CELL
+ (CELLTYPE "sky130_fd_sc_hd__einvp_1")
+ (INSTANCE ringosc\.iss\.reseten0)
+ (DELAY
+ (ABSOLUTE
+ (IOPATH A Z (0.000:0.000:0.000))
+ (IOPATH TE Z (0.139:0.139:0.139) (-0.011:-0.011:-0.011))
+ )
+ )
+ )
+)
diff --git a/signoff/digital_pll/openlane-signoff/spef/digital_pll.max.spef b/signoff/digital_pll/openlane-signoff/spef/digital_pll.max.spef
new file mode 100644
index 00000000..3c2f2879
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/spef/digital_pll.max.spef
@@ -0,0 +1,13613 @@
+*SPEF "ieee 1481-1999"
+*DESIGN "digital_pll"
+*DATE "11:11:11 Fri 11 11, 1111"
+*VENDOR "OpenRCX"
+*PROGRAM "Parallel Extraction"
+*VERSION "1.0"
+*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
+*DIVIDER /
+*DELIMITER :
+*BUS_DELIMITER []
+*T_UNIT 1 NS
+*C_UNIT 1 PF
+*R_UNIT 1 OHM
+*L_UNIT 1 HENRY
+
+*NAME_MAP
+*3 clockp[0]
+*4 clockp[1]
+*5 dco
+*6 div[0]
+*7 div[1]
+*8 div[2]
+*9 div[3]
+*10 div[4]
+*11 enable
+*12 ext_trim[0]
+*13 ext_trim[10]
+*14 ext_trim[11]
+*15 ext_trim[12]
+*16 ext_trim[13]
+*17 ext_trim[14]
+*18 ext_trim[15]
+*19 ext_trim[16]
+*20 ext_trim[17]
+*21 ext_trim[18]
+*22 ext_trim[19]
+*23 ext_trim[1]
+*24 ext_trim[20]
+*25 ext_trim[21]
+*26 ext_trim[22]
+*27 ext_trim[23]
+*28 ext_trim[24]
+*29 ext_trim[25]
+*30 ext_trim[2]
+*31 ext_trim[3]
+*32 ext_trim[4]
+*33 ext_trim[5]
+*34 ext_trim[6]
+*35 ext_trim[7]
+*36 ext_trim[8]
+*37 ext_trim[9]
+*38 osc
+*39 resetb
+*40 _000_
+*41 _001_
+*42 _002_
+*43 _003_
+*44 _004_
+*45 _005_
+*46 _006_
+*47 _007_
+*48 _008_
+*49 _009_
+*50 _010_
+*51 _011_
+*52 _012_
+*53 _013_
+*54 _014_
+*55 _015_
+*56 _016_
+*57 _017_
+*58 _018_
+*59 _019_
+*60 _020_
+*61 _021_
+*62 _022_
+*63 _023_
+*64 _024_
+*65 _025_
+*66 _026_
+*67 _027_
+*68 _028_
+*69 _029_
+*70 _030_
+*71 _031_
+*72 _032_
+*73 _033_
+*74 _034_
+*75 _035_
+*76 _036_
+*77 _037_
+*78 _038_
+*79 _039_
+*80 _040_
+*81 _041_
+*82 _042_
+*83 _043_
+*84 _044_
+*85 _045_
+*86 _046_
+*87 _047_
+*88 _048_
+*89 _049_
+*90 _050_
+*91 _051_
+*92 _052_
+*93 _053_
+*94 _054_
+*95 _055_
+*96 _056_
+*97 _057_
+*98 _058_
+*99 _059_
+*100 _060_
+*101 _061_
+*102 _062_
+*103 _063_
+*104 _064_
+*105 _065_
+*106 _066_
+*107 _067_
+*108 _068_
+*109 _069_
+*110 _070_
+*111 _071_
+*112 _072_
+*113 _073_
+*114 _074_
+*115 _075_
+*116 _076_
+*117 _077_
+*118 _078_
+*119 _079_
+*120 _080_
+*121 _081_
+*122 _082_
+*123 _083_
+*124 _084_
+*125 _085_
+*126 _086_
+*127 _087_
+*128 _088_
+*129 _089_
+*130 _090_
+*131 _091_
+*132 _092_
+*133 _093_
+*134 _094_
+*135 _095_
+*136 _096_
+*137 _097_
+*138 _098_
+*139 _099_
+*140 _100_
+*141 _101_
+*142 _102_
+*143 _103_
+*144 _104_
+*145 _105_
+*146 _106_
+*147 _107_
+*148 _108_
+*149 _109_
+*150 _110_
+*151 _111_
+*152 _112_
+*153 _113_
+*154 _114_
+*155 _115_
+*156 _116_
+*157 _117_
+*158 _118_
+*159 _119_
+*160 _120_
+*161 _121_
+*162 _122_
+*163 _123_
+*164 _124_
+*165 _125_
+*166 _126_
+*167 _127_
+*168 _128_
+*169 _129_
+*170 _130_
+*171 _131_
+*172 _132_
+*173 _133_
+*174 _134_
+*175 _135_
+*176 _136_
+*177 _137_
+*178 _138_
+*179 _139_
+*180 _140_
+*181 _141_
+*182 _142_
+*183 _143_
+*184 _144_
+*185 _145_
+*186 _146_
+*187 _147_
+*188 _148_
+*189 _149_
+*190 _150_
+*191 _151_
+*192 _152_
+*193 _153_
+*194 _154_
+*195 _155_
+*196 _156_
+*197 _157_
+*198 _158_
+*199 _159_
+*200 _160_
+*201 _161_
+*202 _162_
+*203 _163_
+*204 _164_
+*205 _165_
+*206 _166_
+*207 _167_
+*208 _168_
+*209 _169_
+*210 _170_
+*211 _171_
+*212 _172_
+*213 _173_
+*214 _174_
+*215 _175_
+*216 pll_control\.clock
+*217 pll_control\.count0\[0\]
+*218 pll_control\.count0\[1\]
+*219 pll_control\.count0\[2\]
+*220 pll_control\.count0\[3\]
+*221 pll_control\.count0\[4\]
+*222 pll_control\.count1\[0\]
+*223 pll_control\.count1\[1\]
+*224 pll_control\.count1\[2\]
+*225 pll_control\.count1\[3\]
+*226 pll_control\.count1\[4\]
+*227 pll_control\.oscbuf\[0\]
+*228 pll_control\.oscbuf\[1\]
+*229 pll_control\.oscbuf\[2\]
+*230 pll_control\.prep\[0\]
+*231 pll_control\.prep\[1\]
+*232 pll_control\.prep\[2\]
+*233 pll_control\.tint\[0\]
+*234 pll_control\.tint\[1\]
+*235 pll_control\.tint\[2\]
+*236 pll_control\.tint\[3\]
+*237 pll_control\.tint\[4\]
+*238 pll_control\.tval\[0\]
+*239 pll_control\.tval\[1\]
+*240 ringosc\.c\[0\]
+*241 ringosc\.c\[1\]
+*242 ringosc\.clockp\[1\]
+*243 ringosc\.dstage\[0\]\.id\.d0
+*244 ringosc\.dstage\[0\]\.id\.d1
+*245 ringosc\.dstage\[0\]\.id\.d2
+*246 ringosc\.dstage\[0\]\.id\.in
+*247 ringosc\.dstage\[0\]\.id\.out
+*248 ringosc\.dstage\[0\]\.id\.trim\[0\]
+*249 ringosc\.dstage\[0\]\.id\.trim\[1\]
+*250 ringosc\.dstage\[0\]\.id\.ts
+*251 ringosc\.dstage\[10\]\.id\.d0
+*252 ringosc\.dstage\[10\]\.id\.d1
+*253 ringosc\.dstage\[10\]\.id\.d2
+*254 ringosc\.dstage\[10\]\.id\.in
+*255 ringosc\.dstage\[10\]\.id\.out
+*256 ringosc\.dstage\[10\]\.id\.trim\[0\]
+*257 ringosc\.dstage\[10\]\.id\.trim\[1\]
+*258 ringosc\.dstage\[10\]\.id\.ts
+*259 ringosc\.dstage\[11\]\.id\.d0
+*260 ringosc\.dstage\[11\]\.id\.d1
+*261 ringosc\.dstage\[11\]\.id\.d2
+*262 ringosc\.dstage\[11\]\.id\.out
+*263 ringosc\.dstage\[11\]\.id\.trim\[0\]
+*264 ringosc\.dstage\[11\]\.id\.trim\[1\]
+*265 ringosc\.dstage\[11\]\.id\.ts
+*266 ringosc\.dstage\[1\]\.id\.d0
+*267 ringosc\.dstage\[1\]\.id\.d1
+*268 ringosc\.dstage\[1\]\.id\.d2
+*269 ringosc\.dstage\[1\]\.id\.out
+*270 ringosc\.dstage\[1\]\.id\.trim\[0\]
+*271 ringosc\.dstage\[1\]\.id\.trim\[1\]
+*272 ringosc\.dstage\[1\]\.id\.ts
+*273 ringosc\.dstage\[2\]\.id\.d0
+*274 ringosc\.dstage\[2\]\.id\.d1
+*275 ringosc\.dstage\[2\]\.id\.d2
+*276 ringosc\.dstage\[2\]\.id\.out
+*277 ringosc\.dstage\[2\]\.id\.trim\[0\]
+*278 ringosc\.dstage\[2\]\.id\.trim\[1\]
+*279 ringosc\.dstage\[2\]\.id\.ts
+*280 ringosc\.dstage\[3\]\.id\.d0
+*281 ringosc\.dstage\[3\]\.id\.d1
+*282 ringosc\.dstage\[3\]\.id\.d2
+*283 ringosc\.dstage\[3\]\.id\.out
+*284 ringosc\.dstage\[3\]\.id\.trim\[0\]
+*285 ringosc\.dstage\[3\]\.id\.trim\[1\]
+*286 ringosc\.dstage\[3\]\.id\.ts
+*287 ringosc\.dstage\[4\]\.id\.d0
+*288 ringosc\.dstage\[4\]\.id\.d1
+*289 ringosc\.dstage\[4\]\.id\.d2
+*290 ringosc\.dstage\[4\]\.id\.out
+*291 ringosc\.dstage\[4\]\.id\.trim\[0\]
+*292 ringosc\.dstage\[4\]\.id\.trim\[1\]
+*293 ringosc\.dstage\[4\]\.id\.ts
+*294 ringosc\.dstage\[5\]\.id\.d0
+*295 ringosc\.dstage\[5\]\.id\.d1
+*296 ringosc\.dstage\[5\]\.id\.d2
+*297 ringosc\.dstage\[5\]\.id\.out
+*298 ringosc\.dstage\[5\]\.id\.trim\[0\]
+*299 ringosc\.dstage\[5\]\.id\.trim\[1\]
+*300 ringosc\.dstage\[5\]\.id\.ts
+*301 ringosc\.dstage\[6\]\.id\.d0
+*302 ringosc\.dstage\[6\]\.id\.d1
+*303 ringosc\.dstage\[6\]\.id\.d2
+*304 ringosc\.dstage\[6\]\.id\.out
+*305 ringosc\.dstage\[6\]\.id\.trim\[0\]
+*306 ringosc\.dstage\[6\]\.id\.trim\[1\]
+*307 ringosc\.dstage\[6\]\.id\.ts
+*308 ringosc\.dstage\[7\]\.id\.d0
+*309 ringosc\.dstage\[7\]\.id\.d1
+*310 ringosc\.dstage\[7\]\.id\.d2
+*311 ringosc\.dstage\[7\]\.id\.out
+*312 ringosc\.dstage\[7\]\.id\.trim\[0\]
+*313 ringosc\.dstage\[7\]\.id\.trim\[1\]
+*314 ringosc\.dstage\[7\]\.id\.ts
+*315 ringosc\.dstage\[8\]\.id\.d0
+*316 ringosc\.dstage\[8\]\.id\.d1
+*317 ringosc\.dstage\[8\]\.id\.d2
+*318 ringosc\.dstage\[8\]\.id\.out
+*319 ringosc\.dstage\[8\]\.id\.trim\[0\]
+*320 ringosc\.dstage\[8\]\.id\.trim\[1\]
+*321 ringosc\.dstage\[8\]\.id\.ts
+*322 ringosc\.dstage\[9\]\.id\.d0
+*323 ringosc\.dstage\[9\]\.id\.d1
+*324 ringosc\.dstage\[9\]\.id\.d2
+*325 ringosc\.dstage\[9\]\.id\.trim\[0\]
+*326 ringosc\.dstage\[9\]\.id\.trim\[1\]
+*327 ringosc\.dstage\[9\]\.id\.ts
+*328 ringosc\.iss\.ctrl0
+*329 ringosc\.iss\.d0
+*330 ringosc\.iss\.d1
+*331 ringosc\.iss\.d2
+*332 ringosc\.iss\.one
+*333 ringosc\.iss\.reset
+*334 ringosc\.iss\.trim\[0\]
+*335 ringosc\.iss\.trim\[1\]
+*336 ANTENNA__207__A1
+*337 ANTENNA__213__A1
+*338 ANTENNA__213__B1
+*339 ANTENNA__214__B1
+*340 ANTENNA__215__B1
+*341 ANTENNA__216__A
+*342 ANTENNA__219__A
+*343 ANTENNA__222__A1
+*344 ANTENNA__222__B2
+*345 ANTENNA__225__B1
+*346 ANTENNA__235__A
+*347 ANTENNA__288__A
+*348 ANTENNA__289__A1
+*349 ANTENNA__289__A2
+*350 ANTENNA__293__A_N
+*351 ANTENNA__295__A1
+*352 ANTENNA__295__A2
+*353 ANTENNA__296__B
+*354 ANTENNA__299__A1
+*355 ANTENNA__299__A2
+*356 ANTENNA__300__A1
+*357 ANTENNA__300__A2
+*358 ANTENNA__302__A1
+*359 ANTENNA__302__A2
+*360 ANTENNA__305__A1
+*361 ANTENNA__305__A2
+*362 ANTENNA__306__A1
+*363 ANTENNA__306__A2
+*364 ANTENNA__309__A1
+*365 ANTENNA__309__A2
+*366 ANTENNA__310__A1
+*367 ANTENNA__310__A2
+*368 ANTENNA__312__A1
+*369 ANTENNA__312__A2
+*370 ANTENNA__313__A_N
+*371 ANTENNA__313__B
+*372 ANTENNA__314__A1
+*373 ANTENNA__316__B1
+*374 ANTENNA__316__B2
+*375 ANTENNA__317__A1
+*376 ANTENNA__317__A2
+*377 ANTENNA__319__A1
+*378 ANTENNA__319__A2
+*379 ANTENNA__326__A1
+*380 ANTENNA__326__A2
+*381 ANTENNA__334__B1
+*382 ANTENNA__334__B2
+*383 ANTENNA__337__A1
+*384 ANTENNA__337__A2
+*385 ANTENNA__341__B1
+*386 ANTENNA__341__B2
+*387 ANTENNA__342__A1
+*388 ANTENNA__342__A2
+*389 ANTENNA__343__A1
+*390 ANTENNA__343__A2
+*391 ANTENNA__346__B1
+*392 ANTENNA__346__B2
+*393 ANTENNA__348__A1
+*394 ANTENNA__348__A2
+*395 ANTENNA__350__B1
+*396 ANTENNA__350__B2
+*397 ANTENNA__351__A1
+*398 ANTENNA__351__A2
+*399 ANTENNA__352__A_N
+*400 ANTENNA__353__A1
+*401 ANTENNA__353__A2
+*402 ANTENNA__354__A1
+*403 ANTENNA__354__A2
+*404 ANTENNA__355__A
+*405 ANTENNA__355__B
+*406 ANTENNA__356__A
+*407 ANTENNA__357__A
+*408 ANTENNA__358__A
+*409 ANTENNA__359__A
+*410 ANTENNA__360__A
+*411 ANTENNA__361__A
+*412 ANTENNA__362__A
+*413 ANTENNA__363__A
+*414 ANTENNA__364__A
+*415 ANTENNA__365__A
+*416 ANTENNA__366__A
+*417 ANTENNA__367__A
+*418 ANTENNA__368__A
+*419 ANTENNA__369__A
+*420 ANTENNA__370__A
+*421 ANTENNA__371__A
+*422 ANTENNA__372__A
+*423 ANTENNA__373__A
+*424 ANTENNA__374__A
+*425 ANTENNA__375__A
+*426 ANTENNA__376__A
+*427 ANTENNA__377__A
+*428 ANTENNA__378__A
+*429 ANTENNA__394__D
+*430 FILLER_0_81
+*431 FILLER_0_90
+*432 FILLER_10_27
+*433 FILLER_10_3
+*434 FILLER_10_70
+*435 FILLER_10_85
+*436 FILLER_11_100
+*437 FILLER_11_113
+*438 FILLER_11_131
+*439 FILLER_11_135
+*440 FILLER_11_55
+*441 FILLER_11_85
+*442 FILLER_12_107
+*443 FILLER_12_16
+*444 FILLER_12_27
+*445 FILLER_12_75
+*446 FILLER_12_85
+*447 FILLER_13_118
+*448 FILLER_13_24
+*449 FILLER_13_3
+*450 FILLER_13_69
+*451 FILLER_13_94
+*452 FILLER_14_10
+*453 FILLER_14_135
+*454 FILLER_14_27
+*455 FILLER_14_5
+*456 FILLER_14_69
+*457 FILLER_14_79
+*458 FILLER_14_83
+*459 FILLER_15_135
+*460 FILLER_15_23
+*461 FILLER_15_67
+*462 FILLER_15_75
+*463 FILLER_15_99
+*464 FILLER_16_116
+*465 FILLER_16_135
+*466 FILLER_16_45
+*467 FILLER_16_67
+*468 FILLER_16_78
+*469 FILLER_17_108
+*470 FILLER_17_135
+*471 FILLER_17_26
+*472 FILLER_17_49
+*473 FILLER_17_69
+*474 FILLER_17_84
+*475 FILLER_18_72
+*476 FILLER_18_75
+*477 FILLER_18_97
+*478 FILLER_19_111
+*479 FILLER_19_47
+*480 FILLER_1_3
+*481 FILLER_1_50
+*482 FILLER_1_59
+*483 FILLER_20_33
+*484 FILLER_20_97
+*485 FILLER_21_111
+*486 FILLER_21_135
+*487 FILLER_21_72
+*488 FILLER_22_127
+*489 FILLER_22_35
+*490 FILLER_22_83
+*491 FILLER_22_88
+*492 FILLER_2_134
+*493 FILLER_2_3
+*494 FILLER_2_35
+*495 FILLER_2_79
+*496 FILLER_2_99
+*497 FILLER_3_109
+*498 FILLER_3_118
+*499 FILLER_3_132
+*500 FILLER_3_3
+*501 FILLER_3_49
+*502 FILLER_3_76
+*503 FILLER_3_99
+*504 FILLER_4_132
+*505 FILLER_4_31
+*506 FILLER_4_55
+*507 FILLER_4_62
+*508 FILLER_4_90
+*509 FILLER_5_134
+*510 FILLER_5_78
+*511 FILLER_5_87
+*512 FILLER_6_120
+*513 FILLER_6_95
+*514 FILLER_7_113
+*515 FILLER_7_124
+*516 FILLER_7_41
+*517 FILLER_7_47
+*518 FILLER_7_55
+*519 FILLER_7_89
+*520 FILLER_8_128
+*521 FILLER_8_134
+*522 FILLER_8_24
+*523 FILLER_8_42
+*524 FILLER_8_58
+*525 FILLER_8_70
+*526 FILLER_8_90
+*527 FILLER_9_111
+*528 FILLER_9_125
+*529 FILLER_9_135
+*530 FILLER_9_57
+*531 FILLER_9_78
+*532 PHY_0
+*533 PHY_1
+*534 PHY_10
+*535 PHY_11
+*536 PHY_12
+*537 PHY_13
+*538 PHY_14
+*539 PHY_15
+*540 PHY_16
+*541 PHY_17
+*542 PHY_18
+*543 PHY_19
+*544 PHY_2
+*545 PHY_20
+*546 PHY_21
+*547 PHY_22
+*548 PHY_23
+*549 PHY_24
+*550 PHY_25
+*551 PHY_26
+*552 PHY_27
+*553 PHY_28
+*554 PHY_29
+*555 PHY_3
+*556 PHY_30
+*557 PHY_31
+*558 PHY_32
+*559 PHY_33
+*560 PHY_34
+*561 PHY_35
+*562 PHY_36
+*563 PHY_37
+*564 PHY_38
+*565 PHY_39
+*566 PHY_4
+*567 PHY_40
+*568 PHY_41
+*569 PHY_42
+*570 PHY_43
+*571 PHY_44
+*572 PHY_45
+*573 PHY_5
+*574 PHY_6
+*575 PHY_7
+*576 PHY_8
+*577 PHY_9
+*578 TAP_46
+*579 TAP_47
+*580 TAP_48
+*581 TAP_49
+*582 TAP_50
+*583 TAP_51
+*584 TAP_52
+*585 TAP_53
+*586 TAP_54
+*587 TAP_55
+*588 TAP_56
+*589 TAP_57
+*590 TAP_58
+*591 TAP_59
+*592 TAP_60
+*593 TAP_61
+*594 TAP_62
+*595 TAP_63
+*596 TAP_64
+*597 TAP_65
+*598 TAP_66
+*599 TAP_67
+*600 TAP_68
+*601 TAP_69
+*602 TAP_70
+*603 TAP_71
+*604 TAP_72
+*605 TAP_73
+*606 TAP_74
+*607 TAP_75
+*608 TAP_76
+*609 TAP_77
+*610 TAP_78
+*611 TAP_79
+*612 TAP_80
+*613 TAP_81
+*614 TAP_82
+*615 TAP_83
+*616 TAP_84
+*617 TAP_85
+*618 TAP_86
+*619 TAP_87
+*620 TAP_88
+*621 TAP_89
+*622 TAP_90
+*623 TAP_91
+*624 TAP_92
+*625 TAP_93
+*626 TAP_94
+*627 TAP_95
+*628 _176_
+*629 _177_
+*630 _178_
+*631 _179_
+*632 _180_
+*633 _181_
+*634 _182_
+*635 _183_
+*636 _184_
+*637 _185_
+*638 _186_
+*639 _187_
+*640 _188_
+*641 _189_
+*642 _190_
+*643 _191_
+*644 _192_
+*645 _193_
+*646 _194_
+*647 _195_
+*648 _196_
+*649 _197_
+*650 _198_
+*651 _199_
+*652 _200_
+*653 _201_
+*654 _202_
+*655 _203_
+*656 _204_
+*657 _205_
+*658 _206_
+*659 _207_
+*660 _208_
+*661 _209_
+*662 _210_
+*663 _211_
+*664 _212_
+*665 _213_
+*666 _214_
+*667 _215_
+*668 _216_
+*669 _217_
+*670 _218_
+*671 _219_
+*672 _220_
+*673 _221_
+*674 _222_
+*675 _223_
+*676 _224_
+*677 _225_
+*678 _226_
+*679 _227_
+*680 _228_
+*681 _229_
+*682 _230_
+*683 _231_
+*684 _232_
+*685 _233_
+*686 _234_
+*687 _235_
+*688 _236_
+*689 _237_
+*690 _238_
+*691 _239_
+*692 _240_
+*693 _241_
+*694 _242_
+*695 _243_
+*696 _244_
+*697 _245_
+*698 _246_
+*699 _247_
+*700 _248_
+*701 _249_
+*702 _250_
+*703 _251_
+*704 _252_
+*705 _253_
+*706 _254_
+*707 _255_
+*708 _256_
+*709 _257_
+*710 _258_
+*711 _259_
+*712 _260_
+*713 _261_
+*714 _262_
+*715 _263_
+*716 _264_
+*717 _265_
+*718 _266_
+*719 _267_
+*720 _268_
+*721 _269_
+*722 _270_
+*723 _271_
+*724 _272_
+*725 _273_
+*726 _274_
+*727 _275_
+*728 _276_
+*729 _277_
+*730 _278_
+*731 _279_
+*732 _280_
+*733 _281_
+*734 _282_
+*735 _283_
+*736 _284_
+*737 _285_
+*738 _286_
+*739 _287_
+*740 _288_
+*741 _289_
+*742 _290_
+*743 _291_
+*744 _292_
+*745 _293_
+*746 _294_
+*747 _295_
+*748 _296_
+*749 _297_
+*750 _298_
+*751 _299_
+*752 _300_
+*753 _301_
+*754 _302_
+*755 _303_
+*756 _304_
+*757 _305_
+*758 _306_
+*759 _307_
+*760 _308_
+*761 _309_
+*762 _310_
+*763 _311_
+*764 _312_
+*765 _313_
+*766 _314_
+*767 _315_
+*768 _316_
+*769 _317_
+*770 _318_
+*771 _319_
+*772 _320_
+*773 _321_
+*774 _322_
+*775 _323_
+*776 _324_
+*777 _325_
+*778 _326_
+*779 _327_
+*780 _328_
+*781 _329_
+*782 _330_
+*783 _331_
+*784 _332_
+*785 _333_
+*786 _334_
+*787 _335_
+*788 _336_
+*789 _337_
+*790 _338_
+*791 _339_
+*792 _340_
+*793 _341_
+*794 _342_
+*795 _343_
+*796 _344_
+*797 _345_
+*798 _346_
+*799 _347_
+*800 _348_
+*801 _349_
+*802 _350_
+*803 _351_
+*804 _352_
+*805 _353_
+*806 _354_
+*807 _355_
+*808 _356_
+*809 _357_
+*810 _358_
+*811 _359_
+*812 _360_
+*813 _361_
+*814 _362_
+*815 _363_
+*816 _364_
+*817 _365_
+*818 _366_
+*819 _367_
+*820 _368_
+*821 _369_
+*822 _370_
+*823 _371_
+*824 _372_
+*825 _373_
+*826 _374_
+*827 _375_
+*828 _376_
+*829 _377_
+*830 _378_
+*831 _379_
+*832 _380_
+*833 _381_
+*834 _382_
+*835 _383_
+*836 _384_
+*837 _385_
+*838 _386_
+*839 _387_
+*840 _388_
+*841 _389_
+*842 _390_
+*843 _391_
+*844 _392_
+*845 _393_
+*846 _394_
+*847 _395_
+*848 _396_
+*849 _397_
+*850 _398_
+*851 _399_
+*852 _400_
+*853 _401_
+*854 clockp_buffer_0
+*855 clockp_buffer_1
+*856 ringosc\.dstage\[0\]\.id\.delaybuf0
+*857 ringosc\.dstage\[0\]\.id\.delaybuf1
+*858 ringosc\.dstage\[0\]\.id\.delayen0
+*859 ringosc\.dstage\[0\]\.id\.delayen1
+*860 ringosc\.dstage\[0\]\.id\.delayenb0
+*861 ringosc\.dstage\[0\]\.id\.delayenb1
+*862 ringosc\.dstage\[0\]\.id\.delayint0
+*863 ringosc\.dstage\[10\]\.id\.delaybuf0
+*864 ringosc\.dstage\[10\]\.id\.delaybuf1
+*865 ringosc\.dstage\[10\]\.id\.delayen0
+*866 ringosc\.dstage\[10\]\.id\.delayen1
+*867 ringosc\.dstage\[10\]\.id\.delayenb0
+*868 ringosc\.dstage\[10\]\.id\.delayenb1
+*869 ringosc\.dstage\[10\]\.id\.delayint0
+*870 ringosc\.dstage\[11\]\.id\.delaybuf0
+*871 ringosc\.dstage\[11\]\.id\.delaybuf1
+*872 ringosc\.dstage\[11\]\.id\.delayen0
+*873 ringosc\.dstage\[11\]\.id\.delayen1
+*874 ringosc\.dstage\[11\]\.id\.delayenb0
+*875 ringosc\.dstage\[11\]\.id\.delayenb1
+*876 ringosc\.dstage\[11\]\.id\.delayint0
+*877 ringosc\.dstage\[1\]\.id\.delaybuf0
+*878 ringosc\.dstage\[1\]\.id\.delaybuf1
+*879 ringosc\.dstage\[1\]\.id\.delayen0
+*880 ringosc\.dstage\[1\]\.id\.delayen1
+*881 ringosc\.dstage\[1\]\.id\.delayenb0
+*882 ringosc\.dstage\[1\]\.id\.delayenb1
+*883 ringosc\.dstage\[1\]\.id\.delayint0
+*884 ringosc\.dstage\[2\]\.id\.delaybuf0
+*885 ringosc\.dstage\[2\]\.id\.delaybuf1
+*886 ringosc\.dstage\[2\]\.id\.delayen0
+*887 ringosc\.dstage\[2\]\.id\.delayen1
+*888 ringosc\.dstage\[2\]\.id\.delayenb0
+*889 ringosc\.dstage\[2\]\.id\.delayenb1
+*890 ringosc\.dstage\[2\]\.id\.delayint0
+*891 ringosc\.dstage\[3\]\.id\.delaybuf0
+*892 ringosc\.dstage\[3\]\.id\.delaybuf1
+*893 ringosc\.dstage\[3\]\.id\.delayen0
+*894 ringosc\.dstage\[3\]\.id\.delayen1
+*895 ringosc\.dstage\[3\]\.id\.delayenb0
+*896 ringosc\.dstage\[3\]\.id\.delayenb1
+*897 ringosc\.dstage\[3\]\.id\.delayint0
+*898 ringosc\.dstage\[4\]\.id\.delaybuf0
+*899 ringosc\.dstage\[4\]\.id\.delaybuf1
+*900 ringosc\.dstage\[4\]\.id\.delayen0
+*901 ringosc\.dstage\[4\]\.id\.delayen1
+*902 ringosc\.dstage\[4\]\.id\.delayenb0
+*903 ringosc\.dstage\[4\]\.id\.delayenb1
+*904 ringosc\.dstage\[4\]\.id\.delayint0
+*905 ringosc\.dstage\[5\]\.id\.delaybuf0
+*906 ringosc\.dstage\[5\]\.id\.delaybuf1
+*907 ringosc\.dstage\[5\]\.id\.delayen0
+*908 ringosc\.dstage\[5\]\.id\.delayen1
+*909 ringosc\.dstage\[5\]\.id\.delayenb0
+*910 ringosc\.dstage\[5\]\.id\.delayenb1
+*911 ringosc\.dstage\[5\]\.id\.delayint0
+*912 ringosc\.dstage\[6\]\.id\.delaybuf0
+*913 ringosc\.dstage\[6\]\.id\.delaybuf1
+*914 ringosc\.dstage\[6\]\.id\.delayen0
+*915 ringosc\.dstage\[6\]\.id\.delayen1
+*916 ringosc\.dstage\[6\]\.id\.delayenb0
+*917 ringosc\.dstage\[6\]\.id\.delayenb1
+*918 ringosc\.dstage\[6\]\.id\.delayint0
+*919 ringosc\.dstage\[7\]\.id\.delaybuf0
+*920 ringosc\.dstage\[7\]\.id\.delaybuf1
+*921 ringosc\.dstage\[7\]\.id\.delayen0
+*922 ringosc\.dstage\[7\]\.id\.delayen1
+*923 ringosc\.dstage\[7\]\.id\.delayenb0
+*924 ringosc\.dstage\[7\]\.id\.delayenb1
+*925 ringosc\.dstage\[7\]\.id\.delayint0
+*926 ringosc\.dstage\[8\]\.id\.delaybuf0
+*927 ringosc\.dstage\[8\]\.id\.delaybuf1
+*928 ringosc\.dstage\[8\]\.id\.delayen0
+*929 ringosc\.dstage\[8\]\.id\.delayen1
+*930 ringosc\.dstage\[8\]\.id\.delayenb0
+*931 ringosc\.dstage\[8\]\.id\.delayenb1
+*932 ringosc\.dstage\[8\]\.id\.delayint0
+*933 ringosc\.dstage\[9\]\.id\.delaybuf0
+*934 ringosc\.dstage\[9\]\.id\.delaybuf1
+*935 ringosc\.dstage\[9\]\.id\.delayen0
+*936 ringosc\.dstage\[9\]\.id\.delayen1
+*937 ringosc\.dstage\[9\]\.id\.delayenb0
+*938 ringosc\.dstage\[9\]\.id\.delayenb1
+*939 ringosc\.dstage\[9\]\.id\.delayint0
+*940 ringosc\.ibufp00
+*941 ringosc\.ibufp01
+*942 ringosc\.ibufp10
+*943 ringosc\.ibufp11
+*944 ringosc\.iss\.const1
+*945 ringosc\.iss\.ctrlen0
+*946 ringosc\.iss\.delaybuf0
+*947 ringosc\.iss\.delayen0
+*948 ringosc\.iss\.delayen1
+*949 ringosc\.iss\.delayenb0
+*950 ringosc\.iss\.delayenb1
+*951 ringosc\.iss\.delayint0
+*952 ringosc\.iss\.reseten0
+
+*PORTS
+clockp[0] O
+clockp[1] O
+dco I
+div[0] I
+div[1] I
+div[2] I
+div[3] I
+div[4] I
+enable I
+ext_trim[0] I
+ext_trim[10] I
+ext_trim[11] I
+ext_trim[12] I
+ext_trim[13] I
+ext_trim[14] I
+ext_trim[15] I
+ext_trim[16] I
+ext_trim[17] I
+ext_trim[18] I
+ext_trim[19] I
+ext_trim[1] I
+ext_trim[20] I
+ext_trim[21] I
+ext_trim[22] I
+ext_trim[23] I
+ext_trim[24] I
+ext_trim[25] I
+ext_trim[2] I
+ext_trim[3] I
+ext_trim[4] I
+ext_trim[5] I
+ext_trim[6] I
+ext_trim[7] I
+ext_trim[8] I
+ext_trim[9] I
+osc I
+resetb I
+
+*D_NET *3 0.00090088
+*CONN
+*P clockp[0] O
+*I *854:X O *D sky130_fd_sc_hd__clkbuf_16
+*CAP
+1 clockp[0] 0.000197482
+2 *854:X 0.000252958
+3 *3:6 0.00045044
+4 clockp[0] clockp[1] 0
+*RES
+1 *854:X *3:6 42.8989
+2 *3:6 clockp[0] 8.75787
+*END
+
+*D_NET *4 0.00690646
+*CONN
+*P clockp[1] O
+*I *855:X O *D sky130_fd_sc_hd__clkbuf_16
+*CAP
+1 clockp[1] 0.00210838
+2 *855:X 0
+3 *4:5 0.00210838
+4 clockp[1] *349:DIODE 0.000116729
+5 clockp[1] *667:A1 0
+6 clockp[1] *5:10 4.73652e-05
+7 clockp[1] *5:611 0
+8 clockp[1] *6:7 0
+9 clockp[1] *6:40 4.84045e-05
+10 clockp[1] *7:29 0
+11 clockp[1] *9:38 2.24973e-05
+12 clockp[1] *9:60 6.68107e-05
+13 clockp[1] *32:27 0
+14 clockp[1] *62:8 0.000368738
+15 clockp[1] *78:8 5.561e-05
+16 clockp[1] *81:8 0.000349505
+17 clockp[1] *88:97 0
+18 clockp[1] *92:26 0
+19 clockp[1] *93:6 8.41012e-05
+20 clockp[1] *101:8 0.000166522
+21 clockp[1] *103:6 0
+22 clockp[1] *104:27 0
+23 clockp[1] *105:31 5.01096e-05
+24 clockp[1] *107:8 0
+25 clockp[1] *109:14 0
+26 clockp[1] *111:8 9.36174e-05
+27 clockp[1] *113:12 0
+28 clockp[1] *117:8 0.000580924
+29 clockp[1] *120:18 0
+30 clockp[1] *122:6 0.000183198
+31 clockp[1] *129:10 0.000211329
+32 clockp[1] *137:6 0
+33 clockp[1] *221:63 0.000110922
+34 clockp[1] *222:8 0.000133316
+35 clockp[1] *333:255 0
+36 clockp[0] clockp[1] 0
+*RES
+1 *855:X *4:5 38
+2 *4:5 clockp[1] 46.8094
+*END
+
+*D_NET *5 0.0959305
+*CONN
+*P dco I
+*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *747:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *752:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *362:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *374:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *765:B I *D sky130_fd_sc_hd__nand2b_2
+*I *768:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *758:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *741:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *766:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *795:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *764:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *762:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *778:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *392:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *745:A_N I *D sky130_fd_sc_hd__and2b_2
+*I *771:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *769:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *740:A I *D sky130_fd_sc_hd__nor2_2
+*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *413:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *815:A I *D sky130_fd_sc_hd__nor2_2
+*I *420:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *817:A I *D sky130_fd_sc_hd__nor2_2
+*I *814:A I *D sky130_fd_sc_hd__nor2_2
+*I *412:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *828:A I *D sky130_fd_sc_hd__nor2_2
+*I *426:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *826:A I *D sky130_fd_sc_hd__nor2_2
+*I *425:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *827:A I *D sky130_fd_sc_hd__nor2_2
+*I *809:A I *D sky130_fd_sc_hd__nor2_2
+*I *813:A I *D sky130_fd_sc_hd__nor2_2
+*I *411:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *400:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *805:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *816:A I *D sky130_fd_sc_hd__nor2_2
+*I *821:A I *D sky130_fd_sc_hd__nor2_2
+*I *419:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *414:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *416:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *818:A I *D sky130_fd_sc_hd__nor2_2
+*I *418:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *417:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *820:A I *D sky130_fd_sc_hd__nor2_2
+*I *819:A I *D sky130_fd_sc_hd__nor2_2
+*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *383:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *387:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *789:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *389:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *793:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *386:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *396:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *393:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *802:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:B I *D sky130_fd_sc_hd__nor2_2
+*I *786:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *382:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *806:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *402:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *397:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *804:A_N I *D sky130_fd_sc_hd__and3b_2
+*I *399:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *422:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *408:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *824:A I *D sky130_fd_sc_hd__nor2_2
+*I *810:A I *D sky130_fd_sc_hd__nor2_2
+*I *825:A I *D sky130_fd_sc_hd__nor2_2
+*I *423:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *823:A I *D sky130_fd_sc_hd__nor2_2
+*I *421:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *808:A I *D sky130_fd_sc_hd__nor2_2
+*I *407:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *424:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *406:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *410:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *812:A I *D sky130_fd_sc_hd__nor2_2
+*I *409:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *811:A I *D sky130_fd_sc_hd__nor2_2
+*I *415:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *822:A I *D sky130_fd_sc_hd__nor2_2
+*I *427:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *829:A I *D sky130_fd_sc_hd__nor2_2
+*I *428:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *830:A I *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 dco 0.000687937
+2 *349:DIODE 0.000232828
+3 *358:DIODE 0
+4 *747:A1 0
+5 *752:A1 0
+6 *356:DIODE 0
+7 *754:A1 0.000130407
+8 *362:DIODE 0
+9 *374:DIODE 0
+10 *375:DIODE 3.65899e-05
+11 *765:B 0.000190985
+12 *768:B2 0.000181668
+13 *758:A1 0
+14 *364:DIODE 0
+15 *371:DIODE 0
+16 *360:DIODE 0
+17 *757:A1 2.80332e-05
+18 *751:A1 3.15879e-05
+19 *354:DIODE 0
+20 *351:DIODE 7.34001e-05
+21 *741:A2 6.33264e-05
+22 *347:DIODE 7.80445e-05
+23 *379:DIODE 5.44013e-05
+24 *766:A1 0
+25 *350:DIODE 0
+26 *798:B2 2.51243e-05
+27 *795:A1 0
+28 *764:A1 0
+29 *366:DIODE 0.000154933
+30 *761:A1 0
+31 *762:A1 3.29959e-05
+32 *368:DIODE 0
+33 *372:DIODE 4.30532e-05
+34 *778:A1 0
+35 *392:DIODE 0
+36 *745:A_N 4.31765e-05
+37 *771:A1 0.000111072
+38 *769:A1 0.000130055
+39 *740:A 4.4423e-05
+40 *377:DIODE 0
+41 *413:DIODE 1.11305e-05
+42 *815:A 0
+43 *420:DIODE 0
+44 *817:A 9.25997e-05
+45 *814:A 4.1499e-05
+46 *412:DIODE 0
+47 *828:A 3.88811e-05
+48 *426:DIODE 0
+49 *826:A 0.000572864
+50 *425:DIODE 8.85919e-05
+51 *827:A 0
+52 *809:A 0.00023479
+53 *813:A 0
+54 *411:DIODE 0
+55 *400:DIODE 4.25695e-05
+56 *805:A1 1.71051e-05
+57 *816:A 0
+58 *821:A 1.33174e-05
+59 *419:DIODE 0
+60 *414:DIODE 0
+61 *416:DIODE 7.54981e-05
+62 *818:A 3.93866e-05
+63 *418:DIODE 0.000355294
+64 *417:DIODE 0
+65 *820:A 1.15278e-05
+66 *819:A 2.0995e-05
+67 *353:DIODE 0
+68 *800:A1 6.91266e-06
+69 *383:DIODE 0
+70 *387:DIODE 0
+71 *789:A1 0
+72 *389:DIODE 9.12897e-05
+73 *793:B2 2.13845e-05
+74 *386:DIODE 0
+75 *396:DIODE 0
+76 *393:DIODE 0
+77 *794:A1 0
+78 *802:B2 5.71186e-05
+79 *748:B 0
+80 *786:B2 0.000147958
+81 *382:DIODE 0.000308759
+82 *803:A1 0.000217272
+83 *806:A1 7.10958e-05
+84 *402:DIODE 4.66415e-05
+85 *397:DIODE 4.99221e-05
+86 *804:A_N 2.34823e-05
+87 *399:DIODE 0
+88 *422:DIODE 5.54173e-05
+89 *408:DIODE 0
+90 *824:A 1.05206e-05
+91 *810:A 0
+92 *825:A 3.40974e-05
+93 *423:DIODE 0
+94 *823:A 0
+95 *421:DIODE 0.000824784
+96 *808:A 2.0995e-05
+97 *407:DIODE 0
+98 *424:DIODE 0.000161446
+99 *406:DIODE 0
+100 *410:DIODE 0
+101 *812:A 0.000127035
+102 *409:DIODE 0
+103 *811:A 0.000139528
+104 *415:DIODE 3.09722e-05
+105 *822:A 0
+106 *427:DIODE 0
+107 *829:A 0
+108 *428:DIODE 0
+109 *830:A 0
+110 *5:1008 0.000394518
+111 *5:1003 0.000526408
+112 *5:1001 0.000495265
+113 *5:971 0.000372653
+114 *5:969 0.000361778
+115 *5:965 0.000424033
+116 *5:955 0.000176172
+117 *5:949 0.000540576
+118 *5:943 0.000535359
+119 *5:935 0.000237518
+120 *5:930 0.000165407
+121 *5:928 0.000455248
+122 *5:911 0.000222668
+123 *5:904 0.000537909
+124 *5:902 0.000333106
+125 *5:894 0.000171934
+126 *5:891 0.000443806
+127 *5:888 0.000756706
+128 *5:876 0.000901365
+129 *5:844 0.000443279
+130 *5:838 0.000814182
+131 *5:817 0.000251469
+132 *5:806 0.000323173
+133 *5:803 0.000211491
+134 *5:801 0.000577319
+135 *5:796 0.000257703
+136 *5:785 0.000164731
+137 *5:780 0.000243795
+138 *5:772 0.00010501
+139 *5:761 0.000544096
+140 *5:758 0.000673788
+141 *5:749 0.000228292
+142 *5:739 0.000227613
+143 *5:731 0.000182539
+144 *5:727 9.90639e-05
+145 *5:726 0.000267549
+146 *5:716 0.000210991
+147 *5:714 0.000545928
+148 *5:700 0.000847343
+149 *5:688 2.19935e-05
+150 *5:685 0.000563531
+151 *5:621 0.000248019
+152 *5:613 0.000464568
+153 *5:611 0.00108724
+154 *5:606 0.000418175
+155 *5:604 0.000495258
+156 *5:592 0.000447721
+157 *5:590 0.000203125
+158 *5:567 0.00030546
+159 *5:561 0.000647382
+160 *5:544 0.000281102
+161 *5:534 0.000347177
+162 *5:504 0.000321197
+163 *5:487 0.000432267
+164 *5:458 0.000777847
+165 *5:446 0.000836356
+166 *5:418 0.00026255
+167 *5:409 0.000735923
+168 *5:398 0.000601252
+169 *5:397 0.000257952
+170 *5:393 0.000503119
+171 *5:384 0.000601491
+172 *5:377 0.000172077
+173 *5:371 0.000244367
+174 *5:356 0.000301486
+175 *5:351 0.000460347
+176 *5:346 0.000665981
+177 *5:331 0.000347012
+178 *5:311 0.000733202
+179 *5:307 0.00063623
+180 *5:290 0.000555244
+181 *5:281 0.000313518
+182 *5:279 0.000449219
+183 *5:266 0.000788907
+184 *5:261 0.000704047
+185 *5:259 0.000158452
+186 *5:257 0.000290488
+187 *5:252 0.000540985
+188 *5:241 0.000204231
+189 *5:235 0.000401821
+190 *5:229 0.000432872
+191 *5:227 0.000245809
+192 *5:223 0.000260872
+193 *5:222 0.000303374
+194 *5:208 0.000209951
+195 *5:196 3.15755e-05
+196 *5:194 0.000263127
+197 *5:191 0.000438883
+198 *5:180 0.000339377
+199 *5:175 0.000360349
+200 *5:164 0.000296716
+201 *5:154 0.000935446
+202 *5:146 0.00049014
+203 *5:142 0.000713082
+204 *5:140 0.000510783
+205 *5:129 0.0005024
+206 *5:109 0.000214012
+207 *5:108 0.000193268
+208 *5:105 0.00108378
+209 *5:88 0.00132115
+210 *5:77 0.000501799
+211 *5:72 0.000482005
+212 *5:70 0.000673549
+213 *5:66 0.000661744
+214 *5:57 0.000148284
+215 *5:51 0.00063048
+216 *5:43 0.00090294
+217 *5:37 0.00051313
+218 *5:32 7.23003e-05
+219 *5:27 0.00041415
+220 *5:23 0.00052454
+221 *5:21 3.83272e-05
+222 *5:15 0.000177657
+223 *5:10 0.00017274
+224 *5:7 0.000954175
+225 *347:DIODE *700:B 8.34394e-05
+226 *349:DIODE *32:27 3.4276e-05
+227 *366:DIODE *761:A2 0.00011716
+228 *366:DIODE *913:A 0.000226306
+229 *366:DIODE *36:22 8.54813e-05
+230 *366:DIODE *180:49 4.89741e-05
+231 *366:DIODE *301:7 0.000272377
+232 *366:DIODE *307:30 0.000141954
+233 *366:DIODE *312:13 0.000141052
+234 *372:DIODE *178:36 5.5792e-05
+235 *375:DIODE *908:TE 2.93845e-05
+236 *379:DIODE *766:A3 0.00014196
+237 *379:DIODE *334:8 2.02872e-05
+238 *382:DIODE *692:B 2.02872e-05
+239 *382:DIODE *779:B 5.3304e-05
+240 *382:DIODE *86:13 9.41704e-05
+241 *382:DIODE *130:21 3.18198e-05
+242 *382:DIODE *130:39 0.000107672
+243 *382:DIODE *142:11 2.05503e-05
+244 *382:DIODE *236:43 1.23339e-05
+245 *382:DIODE *236:67 1.1644e-05
+246 *389:DIODE *788:C 0
+247 *389:DIODE *19:18 1.16455e-05
+248 *389:DIODE *207:12 5.92086e-05
+249 *389:DIODE *311:21 3.62323e-05
+250 *397:DIODE *131:20 5.3304e-05
+251 *400:DIODE *805:A2 5.67058e-05
+252 *402:DIODE *235:52 5.3304e-05
+253 *413:DIODE *221:43 8.6949e-05
+254 *413:DIODE *333:235 0.00010018
+255 *415:DIODE *7:29 2.93747e-05
+256 *418:DIODE *872:Z 1.39317e-05
+257 *418:DIODE *263:33 5.25962e-05
+258 *421:DIODE *848:D 0.000391631
+259 *421:DIODE *333:95 5.72091e-05
+260 *424:DIODE *89:34 9.61443e-05
+261 *425:DIODE *216:145 2.93845e-05
+262 *740:A *132:8 5.94125e-05
+263 *740:A *175:22 1.12487e-05
+264 *740:A *192:14 8.62783e-05
+265 *741:A2 *741:A1 3.22879e-05
+266 *745:A_N *745:B 5.3304e-05
+267 *745:A_N *778:B1 5.12254e-05
+268 *745:A_N *132:30 5.3304e-05
+269 *751:A1 *751:B1 3.91386e-05
+270 *754:A1 *32:11 0.000143885
+271 *754:A1 *32:22 4.33627e-05
+272 *757:A1 *186:13 3.45967e-05
+273 *762:A1 *762:B2 4.55825e-05
+274 *762:A1 *36:22 1.46586e-05
+275 *762:A1 *37:22 9.66585e-05
+276 *765:B *908:TE 2.94661e-05
+277 *765:B *917:TE_B 3.50862e-06
+278 *765:B *294:8 5.21141e-05
+279 *765:B *297:30 7.6774e-06
+280 *768:B2 *768:B1 0.00021477
+281 *768:B2 *908:TE 7.56417e-05
+282 *768:B2 *14:14 0.000182832
+283 *768:B2 *179:32 0.000221406
+284 *768:B2 *294:8 0.000161121
+285 *769:A1 *376:DIODE 7.8676e-05
+286 *769:A1 *766:A3 6.17437e-06
+287 *769:A1 *769:B1 5.50049e-05
+288 *769:A1 *15:10 6.23764e-05
+289 *769:A1 *334:8 0.000174793
+290 *771:A1 *16:23 7.23733e-05
+291 *771:A1 *130:94 5.94921e-06
+292 *771:A1 *132:14 6.83591e-06
+293 *771:A1 *150:8 3.93703e-05
+294 *771:A1 *175:22 6.97747e-05
+295 *786:B2 *130:39 9.54705e-05
+296 *786:B2 *131:62 3.78347e-05
+297 *786:B2 *236:67 9.62939e-05
+298 *793:B2 *212:23 2.95423e-05
+299 *793:B2 *325:7 2.95423e-05
+300 *798:B2 *204:12 5.56356e-05
+301 *800:A1 *792:C 1.05658e-05
+302 *800:A1 *207:12 1.38978e-05
+303 *802:B2 *800:A2 4.34876e-05
+304 *802:B2 *26:17 0.000181919
+305 *802:B2 *326:5 9.67842e-05
+306 *803:A1 *803:B1 0.00018611
+307 *803:A1 *948:TE 1.46286e-05
+308 *803:A1 *335:5 2.75991e-05
+309 *806:A1 *806:A2 5.5525e-05
+310 *808:A *823:B 0
+311 *808:A *333:84 1.14154e-05
+312 *809:A *833:CLK 1.09995e-05
+313 *809:A *89:5 6.22259e-05
+314 *809:A *230:11 9.41704e-05
+315 *809:A *231:11 2.32101e-05
+316 *811:A *811:B 0.000187129
+317 *811:A *43:8 0.000143655
+318 *817:A *840:CLK 4.05745e-05
+319 *817:A *69:8 7.01168e-05
+320 *817:A *216:56 2.95423e-05
+321 *819:A *819:B 1.14154e-05
+322 *821:A *821:B 8.841e-06
+323 *824:A *824:B 0.000102389
+324 *825:A *825:B 1.37232e-05
+325 *826:A *651:A 3.53115e-05
+326 *826:A *651:B 2.93747e-05
+327 *826:A *101:8 0.00010018
+328 *826:A *121:12 9.84851e-05
+329 *826:A *222:17 0.000119477
+330 *826:A *222:21 1.40958e-05
+331 *828:A *139:11 0
+332 *828:A *333:255 1.12796e-05
+333 *5:7 *11:8 0
+334 *5:7 *12:10 0
+335 *5:10 *32:27 1.03654e-05
+336 *5:15 *830:B 0.000355029
+337 *5:15 *91:8 5.67058e-05
+338 *5:15 *221:55 9.62764e-05
+339 *5:15 *221:63 4.6595e-05
+340 *5:27 *830:B 8.51925e-05
+341 *5:27 *11:8 2.26406e-05
+342 *5:27 *61:10 0.000134701
+343 *5:27 *216:9 9.58714e-05
+344 *5:27 *216:13 1.32314e-05
+345 *5:27 *333:246 6.91116e-05
+346 *5:32 *61:10 8.81402e-05
+347 *5:37 *216:9 2.31687e-05
+348 *5:43 *216:9 7.40718e-05
+349 *5:43 *216:43 0.000286539
+350 *5:51 *845:D 0.000152186
+351 *5:51 *216:43 1.24376e-05
+352 *5:57 *54:6 0.000116314
+353 *5:57 *221:10 0
+354 *5:57 *221:43 0
+355 *5:57 *333:235 3.59943e-05
+356 *5:66 *845:D 0.00012103
+357 *5:66 *129:63 1.19692e-05
+358 *5:66 *146:10 0
+359 *5:66 *333:235 9.3813e-05
+360 *5:70 *685:C_N 0
+361 *5:70 *129:37 0
+362 *5:70 *129:48 0
+363 *5:70 *129:58 0
+364 *5:70 *146:10 0
+365 *5:70 *171:10 8.03078e-05
+366 *5:70 *333:219 0.000303414
+367 *5:70 *333:235 3.3123e-05
+368 *5:72 *171:10 6.54175e-05
+369 *5:72 *333:201 5.1687e-05
+370 *5:72 *333:212 0.000185923
+371 *5:72 *333:219 3.673e-05
+372 *5:77 *343:DIODE 4.79641e-05
+373 *5:77 *7:29 6.17437e-06
+374 *5:77 *171:10 4.48699e-05
+375 *5:77 *333:50 6.25829e-05
+376 *5:77 *333:55 2.64616e-05
+377 *5:77 *333:201 0.000107212
+378 *5:88 *731:A1 5.46706e-05
+379 *5:88 *43:8 0.000110922
+380 *5:88 *168:8 7.80746e-05
+381 *5:88 *217:64 0.000112803
+382 *5:88 *218:8 0.00031842
+383 *5:88 *333:50 6.88715e-05
+384 *5:105 *811:B 6.68919e-05
+385 *5:105 *835:CLK 1.83327e-05
+386 *5:105 *835:D 5.56356e-05
+387 *5:105 *835:RESET_B 9.18637e-05
+388 *5:105 *218:58 1.32289e-05
+389 *5:108 *63:6 4.58924e-05
+390 *5:108 *216:83 8.89816e-05
+391 *5:108 *216:88 0.000137594
+392 *5:108 *230:18 0
+393 *5:109 *216:88 2.93845e-05
+394 *5:129 *216:83 7.2918e-05
+395 *5:140 *89:34 0.000119313
+396 *5:140 *230:11 4.17736e-05
+397 *5:140 *230:18 0.00014196
+398 *5:146 *739:B 0.000167443
+399 *5:146 *831:RESET_B 2.09871e-05
+400 *5:146 *230:8 7.33097e-05
+401 *5:146 *230:18 0
+402 *5:146 *333:76 0.000274078
+403 *5:146 *333:84 2.4754e-05
+404 *5:154 *333:84 0.00010655
+405 *5:154 *333:95 1.56665e-05
+406 *5:164 *823:B 1.14154e-05
+407 *5:175 *833:CLK 6.4393e-05
+408 *5:175 *29:8 0.00048113
+409 *5:175 *42:8 0
+410 *5:175 *333:95 5.3304e-05
+411 *5:180 *88:5 3.71369e-05
+412 *5:191 *825:B 9.75779e-05
+413 *5:191 *42:8 0.000111852
+414 *5:191 *48:8 1.94522e-05
+415 *5:191 *88:5 4.75937e-05
+416 *5:191 *333:106 0.000138727
+417 *5:191 *333:123 2.55642e-05
+418 *5:194 *824:B 4.65192e-05
+419 *5:194 *42:8 6.17437e-06
+420 *5:196 *824:B 5.90675e-06
+421 *5:208 *824:B 4.93812e-05
+422 *5:222 *29:8 0
+423 *5:222 *48:8 5.51118e-05
+424 *5:222 *333:123 0.000218852
+425 *5:222 *333:144 2.53488e-05
+426 *5:223 *48:8 4.6595e-05
+427 *5:227 *821:B 1.57571e-05
+428 *5:227 *48:8 2.93845e-05
+429 *5:235 *804:B 1.14876e-05
+430 *5:235 *844:RESET_B 0.0002246
+431 *5:235 *29:8 0.000400609
+432 *5:235 *140:22 0
+433 *5:235 *236:10 0
+434 *5:241 *804:B 1.32939e-05
+435 *5:241 *804:C 1.32314e-05
+436 *5:252 *804:B 2.94414e-05
+437 *5:252 *804:C 0.000136738
+438 *5:252 *333:144 0.000145633
+439 *5:257 *333:144 1.23172e-05
+440 *5:257 *333:155 0.000169683
+441 *5:261 *819:B 3.23389e-05
+442 *5:261 *52:8 2.93747e-05
+443 *5:266 *695:A3 0
+444 *5:266 *806:A2 7.35277e-05
+445 *5:266 *819:B 2.93747e-05
+446 *5:266 *947:TE 0.000192087
+447 *5:266 *29:8 0.000854751
+448 *5:266 *140:34 0
+449 *5:266 *145:5 6.17437e-06
+450 *5:266 *145:95 0.000125768
+451 *5:266 *334:14 0.000299024
+452 *5:279 *806:A2 0.000144235
+453 *5:279 *234:97 2.98508e-05
+454 *5:279 *334:14 0.000182251
+455 *5:290 *806:A2 6.62892e-07
+456 *5:290 *806:B2 0
+457 *5:290 *235:52 0.000166079
+458 *5:307 *796:B1 7.38255e-05
+459 *5:307 *797:A 6.48049e-05
+460 *5:307 *234:97 5.28231e-05
+461 *5:307 *234:108 0.000130433
+462 *5:307 *334:14 7.10275e-05
+463 *5:311 *779:B 1.32939e-05
+464 *5:311 *796:B1 4.56338e-05
+465 *5:311 *142:11 1.59094e-05
+466 *5:311 *236:46 2.64616e-05
+467 *5:331 *806:B2 0.00013139
+468 *5:331 *199:6 0.00013139
+469 *5:346 *792:C 0.000102898
+470 *5:346 *806:B2 0.000129449
+471 *5:346 *83:20 0.000100978
+472 *5:346 *150:54 0.000110913
+473 *5:346 *199:6 2.55642e-05
+474 *5:346 *207:12 2.5343e-05
+475 *5:346 *207:25 0.00019845
+476 *5:351 *869:A 0.00011023
+477 *5:351 *237:89 0
+478 *5:351 *256:21 6.51679e-05
+479 *5:351 *263:18 0.000476195
+480 *5:371 *800:A2 4.65317e-05
+481 *5:371 *866:TE 5.40337e-05
+482 *5:371 *21:15 0
+483 *5:371 *26:17 0.000152887
+484 *5:371 *131:94 2.98834e-05
+485 *5:371 *251:18 5.61255e-06
+486 *5:371 *253:11 0.000191505
+487 *5:371 *326:5 5.50106e-05
+488 *5:377 *21:15 3.33763e-05
+489 *5:377 *234:145 1.02387e-05
+490 *5:377 *326:11 8.4824e-05
+491 *5:384 *234:145 4.62315e-05
+492 *5:384 *326:11 0.000143845
+493 *5:393 *789:B1 2.16959e-05
+494 *5:393 *930:TE_B 0.000141347
+495 *5:393 *931:A 0.000214403
+496 *5:393 *19:8 2.50118e-05
+497 *5:393 *311:12 5.55743e-06
+498 *5:393 *316:6 9.89453e-05
+499 *5:393 *319:11 0.000435993
+500 *5:393 *320:26 6.51997e-06
+501 *5:397 *19:8 4.46854e-05
+502 *5:397 *19:18 0.000137533
+503 *5:397 *311:12 4.98398e-05
+504 *5:397 *319:11 2.73653e-05
+505 *5:398 *19:18 0.000109435
+506 *5:398 *207:12 0.000239608
+507 *5:409 *777:A 5.3304e-05
+508 *5:409 *790:B1 1.58785e-05
+509 *5:409 *790:B2 4.54806e-05
+510 *5:409 *19:18 9.34177e-05
+511 *5:409 *26:28 0.000120973
+512 *5:409 *130:45 7.32038e-05
+513 *5:409 *176:64 0.000201414
+514 *5:409 *207:12 0.000215122
+515 *5:409 *263:18 0.000140483
+516 *5:418 *777:A 9.41704e-05
+517 *5:418 *780:A 0
+518 *5:418 *142:62 2.48259e-05
+519 *5:418 *143:63 7.65863e-06
+520 *5:418 *183:8 7.89973e-05
+521 *5:418 *187:33 1.57676e-05
+522 *5:418 *187:47 3.34818e-06
+523 *5:446 *326:11 3.7122e-06
+524 *5:458 *934:A 2.70366e-05
+525 *5:458 *936:A 9.66585e-05
+526 *5:458 *936:Z 6.70197e-05
+527 *5:458 *939:A 0.000513294
+528 *5:458 *21:7 7.75329e-06
+529 *5:458 *22:8 5.12328e-05
+530 *5:458 *254:8 0.000113398
+531 *5:458 *324:6 0.000203665
+532 *5:458 *326:11 1.46286e-05
+533 *5:487 *263:33 1.92253e-05
+534 *5:504 *818:B 2.46906e-05
+535 *5:504 *50:8 5.56356e-05
+536 *5:504 *333:155 0
+537 *5:534 *821:B 1.77547e-05
+538 *5:544 *821:B 6.26436e-06
+539 *5:561 *686:B 2.3529e-05
+540 *5:561 *686:C 0.000344635
+541 *5:561 *739:B 8.4047e-05
+542 *5:561 *64:6 0
+543 *5:561 *216:191 0.000140259
+544 *5:561 *333:64 0.000141954
+545 *5:567 *686:B 0
+546 *5:567 *136:6 0
+547 *5:567 *139:19 0.000313872
+548 *5:567 *141:8 7.08854e-05
+549 *5:567 *216:191 2.31209e-05
+550 *5:590 *814:B 0.000151601
+551 *5:590 *837:RESET_B 1.22397e-05
+552 *5:590 *139:19 0.000471308
+553 *5:590 *216:64 9.29579e-06
+554 *5:592 *96:10 3.7122e-06
+555 *5:592 *139:19 4.2573e-05
+556 *5:604 *637:S 3.61511e-05
+557 *5:604 *646:A 8.35132e-05
+558 *5:604 *648:B 0
+559 *5:604 *88:39 4.44633e-05
+560 *5:604 *96:10 6.51763e-05
+561 *5:604 *104:8 0
+562 *5:604 *139:19 0.000745595
+563 *5:604 *219:37 6.68822e-05
+564 *5:604 *224:20 2.23467e-05
+565 *5:604 *333:255 1.94693e-05
+566 *5:606 *139:19 8.43466e-05
+567 *5:606 *333:255 3.52383e-05
+568 *5:611 *338:DIODE 0.000160182
+569 *5:611 *9:60 9.27917e-05
+570 *5:611 *88:29 0.000194356
+571 *5:611 *103:6 0.000165583
+572 *5:611 *122:6 8.92944e-05
+573 *5:611 *139:19 0.000180218
+574 *5:611 *333:255 2.30292e-05
+575 *5:613 *88:29 1.83564e-05
+576 *5:613 *122:6 4.85126e-05
+577 *5:613 *216:144 0
+578 *5:613 *217:35 7.48331e-05
+579 *5:613 *222:29 2.96369e-05
+580 *5:621 *405:DIODE 9.77405e-05
+581 *5:621 *39:10 4.02912e-05
+582 *5:621 *79:14 2.72789e-05
+583 *5:621 *216:151 0.000223683
+584 *5:621 *217:35 0.000252131
+585 *5:621 *222:29 7.29969e-05
+586 *5:621 *333:10 0.000306344
+587 *5:621 *333:15 8.53029e-05
+588 *5:621 *333:20 2.72789e-05
+589 *5:685 *47:8 0.000111852
+590 *5:685 *240:6 0
+591 *5:685 *333:245 9.28078e-06
+592 *5:688 *221:43 5.67058e-05
+593 *5:688 *333:235 5.50106e-05
+594 *5:700 *858:Z 0
+595 *5:700 *859:TE 0
+596 *5:700 *859:Z 6.39794e-05
+597 *5:700 *862:A 8.52094e-06
+598 *5:700 *156:8 0
+599 *5:700 *234:52 3.88725e-05
+600 *5:700 *246:29 4.39932e-05
+601 *5:700 *333:245 4.80423e-06
+602 *5:714 *683:A 2.4754e-05
+603 *5:714 *683:B 0.000182826
+604 *5:714 *700:B 0.000219737
+605 *5:714 *741:B1 4.22202e-05
+606 *5:714 *882:TE_B 8.18724e-05
+607 *5:714 *150:8 5.50049e-05
+608 *5:714 *234:52 5.53025e-05
+609 *5:714 *249:8 0
+610 *5:726 *16:5 0
+611 *5:726 *132:8 0.000205098
+612 *5:726 *132:29 0.000132927
+613 *5:726 *192:14 0.000136486
+614 *5:726 *194:6 0
+615 *5:726 *334:8 0.000123628
+616 *5:727 *766:A3 9.41704e-05
+617 *5:727 *334:8 4.05745e-05
+618 *5:731 *766:A3 4.5051e-05
+619 *5:731 *334:8 8.43466e-05
+620 *5:749 *766:B1 0.000240256
+621 *5:749 *132:43 0.000210856
+622 *5:749 *150:8 7.65883e-06
+623 *5:749 *175:22 2.26927e-05
+624 *5:758 *766:B1 0.000127263
+625 *5:758 *175:22 6.4393e-05
+626 *5:761 *756:B1 2.78016e-05
+627 *5:761 *756:C1 0.000139352
+628 *5:761 *768:A3 7.43025e-06
+629 *5:761 *782:A1 3.46404e-05
+630 *5:761 *132:56 8.19642e-06
+631 *5:761 *150:32 0.000139352
+632 *5:761 *185:13 1.03836e-05
+633 *5:772 *17:31 0.000110913
+634 *5:772 *86:40 0.000110913
+635 *5:772 *150:32 5.3304e-05
+636 *5:780 *766:B1 0.000222496
+637 *5:780 *175:22 0.000100527
+638 *5:780 *176:28 4.08664e-05
+639 *5:780 *179:95 2.64616e-05
+640 *5:785 *753:A2 3.673e-05
+641 *5:785 *766:B1 0.000111106
+642 *5:785 *15:10 3.44543e-05
+643 *5:785 *176:28 5.50049e-05
+644 *5:785 *179:95 2.64616e-05
+645 *5:796 *766:B1 8.36198e-05
+646 *5:796 *15:10 3.53698e-05
+647 *5:801 *764:B1 2.06822e-05
+648 *5:801 *764:B2 2.64616e-05
+649 *5:801 *784:A 9.98069e-06
+650 *5:801 *190:7 5.3304e-05
+651 *5:803 *784:A 5.12055e-06
+652 *5:806 *762:B2 6.69637e-06
+653 *5:806 *36:22 3.46013e-05
+654 *5:806 *37:22 0.000261054
+655 *5:806 *175:22 8.20563e-05
+656 *5:806 *179:95 4.35711e-05
+657 *5:817 *36:22 0.00015002
+658 *5:817 *37:22 3.46993e-05
+659 *5:817 *180:55 3.70187e-05
+660 *5:817 *188:6 2.41047e-05
+661 *5:838 *784:A 0.000155552
+662 *5:838 *784:C 5.5792e-05
+663 *5:838 *37:22 8.97163e-06
+664 *5:838 *204:12 0.000100477
+665 *5:838 *325:7 7.11919e-05
+666 *5:844 *795:A2 4.06285e-05
+667 *5:844 *922:TE 0
+668 *5:844 *16:5 0
+669 *5:844 *180:55 2.64616e-05
+670 *5:844 *180:62 1.00084e-05
+671 *5:844 *183:33 0
+672 *5:844 *308:7 0.000143163
+673 *5:844 *309:12 3.56537e-05
+674 *5:844 *312:13 5.99563e-06
+675 *5:844 *313:8 0
+676 *5:876 *830:B 1.12487e-05
+677 *5:876 *858:TE 0.000196035
+678 *5:876 *32:27 0
+679 *5:876 *248:6 4.33506e-05
+680 *5:888 *879:TE 4.6595e-05
+681 *5:888 *879:Z 0
+682 *5:888 *890:A 0.000287465
+683 *5:888 *32:27 0
+684 *5:888 *245:8 0
+685 *5:888 *248:6 2.76611e-06
+686 *5:888 *269:8 0
+687 *5:888 *270:10 4.23714e-05
+688 *5:888 *297:15 0
+689 *5:891 *361:DIODE 2.29192e-05
+690 *5:891 *891:A 0
+691 *5:891 *32:22 0.000209385
+692 *5:891 *273:8 1.83667e-05
+693 *5:891 *274:8 7.75329e-06
+694 *5:894 *186:13 1.24376e-05
+695 *5:902 *186:13 5.90675e-06
+696 *5:904 *751:B1 0.000402801
+697 *5:904 *757:A2 8.06804e-06
+698 *5:904 *891:A 2.02872e-05
+699 *5:911 *355:DIODE 1.22803e-05
+700 *5:911 *751:A2 1.5393e-05
+701 *5:911 *277:6 8.85189e-05
+702 *5:928 *361:DIODE 4.41619e-05
+703 *5:928 *32:22 0.000429611
+704 *5:928 *33:8 0
+705 *5:928 *283:6 3.81391e-05
+706 *5:935 *370:DIODE 5.14746e-06
+707 *5:943 *370:DIODE 2.34132e-05
+708 *5:949 *899:A 1.07299e-05
+709 *5:949 *902:A 9.79925e-05
+710 *5:949 *34:21 3.05214e-05
+711 *5:949 *37:10 0.000265028
+712 *5:949 *288:6 7.65679e-05
+713 *5:949 *290:20 0.00033908
+714 *5:949 *293:16 2.46399e-05
+715 *5:949 *305:7 3.9669e-05
+716 *5:955 *34:21 4.80826e-05
+717 *5:955 *36:10 2.31641e-05
+718 *5:965 *370:DIODE 4.52904e-05
+719 *5:969 *908:A 4.38995e-05
+720 *5:969 *908:TE 6.17437e-06
+721 *5:969 *295:17 0.000143373
+722 *5:1001 *752:B1 5.3304e-05
+723 *5:1001 *898:A 2.22503e-05
+724 *5:1001 *32:22 0.000117538
+725 *5:1001 *283:6 7.04198e-06
+726 *5:1001 *284:5 5.94211e-05
+727 *5:1003 *893:A 5.76359e-05
+728 *5:1003 *893:Z 4.70479e-05
+729 *5:1003 *897:A 0.000147656
+730 *5:1003 *284:5 4.98398e-05
+731 *5:1003 *284:13 5.14826e-05
+732 *5:1008 *896:TE_B 6.67868e-05
+733 *5:1008 *32:11 2.26497e-05
+734 clockp[1] *349:DIODE 0.000116729
+735 clockp[1] *5:10 4.73652e-05
+736 clockp[1] *5:611 0
+*RES
+1 dco *5:7 10.3035
+2 *5:7 *5:10 15.6732
+3 *5:10 *830:A 23
+4 *5:10 *5:15 4.43286
+5 *5:15 *428:DIODE 23
+6 *5:15 *5:21 0.621429
+7 *5:21 *5:23 15
+8 *5:23 *5:27 20.8207
+9 *5:27 *5:32 31.2014
+10 *5:32 *829:A 23
+11 *5:27 *5:37 0.621429
+12 *5:37 *427:DIODE 23
+13 *5:37 *5:43 7.29143
+14 *5:43 *5:51 5.57214
+15 *5:51 *5:57 33.3454
+16 *5:57 *822:A 23
+17 *5:51 *5:66 20.1371
+18 *5:66 *5:70 9.57
+19 *5:70 *5:72 3.66643
+20 *5:72 *5:77 19.3086
+21 *5:77 *415:DIODE 23.6214
+22 *5:77 *5:88 37.8921
+23 *5:88 *811:A 26.9979
+24 *5:88 *409:DIODE 23
+25 *5:88 *5:105 26.5793
+26 *5:105 *5:108 17.9621
+27 *5:108 *5:109 1.09786
+28 *5:109 *812:A 25.2993
+29 *5:109 *410:DIODE 23
+30 *5:108 *406:DIODE 23
+31 *5:105 *5:129 16.2014
+32 *5:129 *424:DIODE 25.0507
+33 *5:129 *407:DIODE 23
+34 *5:129 *5:140 4.43286
+35 *5:140 *5:142 15
+36 *5:142 *5:146 24.0729
+37 *5:146 *808:A 23.4971
+38 *5:146 *5:154 2.52714
+39 *5:154 *421:DIODE 35.4286
+40 *5:154 *5:164 0.497143
+41 *5:164 *823:A 23
+42 *5:164 *5:175 37.105
+43 *5:175 *423:DIODE 23
+44 *5:175 *5:180 1.09786
+45 *5:180 *825:A 23.8493
+46 *5:180 *5:191 19.785
+47 *5:191 *5:194 16.5743
+48 *5:194 *5:196 0.497143
+49 *5:196 *810:A 23
+50 *5:196 *824:A 24.0979
+51 *5:194 *5:208 3.48
+52 *5:208 *408:DIODE 23
+53 *5:208 *422:DIODE 24.0979
+54 *5:191 *5:222 18.3143
+55 *5:222 *5:223 1.09786
+56 *5:223 *5:227 3.50071
+57 *5:227 *5:229 0.621429
+58 *5:229 *5:235 38.4307
+59 *5:235 *399:DIODE 23
+60 *5:235 *5:241 0.621429
+61 *5:241 *804:A_N 23.4971
+62 *5:241 *5:252 18.6561
+63 *5:252 *5:257 18.5318
+64 *5:257 *5:259 0.849286
+65 *5:259 *5:261 2.52714
+66 *5:261 *5:266 30.95
+67 *5:266 *397:DIODE 38.6214
+68 *5:266 *5:279 3.72857
+69 *5:279 *5:281 15
+70 *5:281 *402:DIODE 23.6214
+71 *5:281 *5:290 4.08071
+72 *5:290 *806:A1 24.0979
+73 *5:290 *803:A1 26.9564
+74 *5:279 *5:307 20.4893
+75 *5:307 *5:311 18.48
+76 *5:311 *382:DIODE 42.4329
+77 *5:311 *786:B2 40.6514
+78 *5:307 *5:331 16.9057
+79 *5:331 *748:B 38
+80 *5:331 *5:346 7.13607
+81 *5:346 *5:351 17.344
+82 *5:351 *5:356 15
+83 *5:356 *802:B2 25.0507
+84 *5:356 *5:371 36.0279
+85 *5:371 *794:A1 23
+86 *5:351 *5:377 1.55357
+87 *5:377 *393:DIODE 38
+88 *5:377 *5:384 2.96214
+89 *5:384 *5:393 26.7036
+90 *5:393 *5:397 17.0507
+91 *5:397 *5:398 3.31429
+92 *5:398 *5:409 36.3475
+93 *5:409 *5:418 33.8736
+94 *5:418 *396:DIODE 23
+95 *5:409 *386:DIODE 23
+96 *5:398 *793:B2 38.6214
+97 *5:397 *389:DIODE 39.9057
+98 *5:393 *789:A1 23
+99 *5:384 *5:446 15.6214
+100 *5:446 *387:DIODE 23
+101 *5:446 *5:458 44.9764
+102 *5:458 *383:DIODE 23
+103 *5:346 *800:A1 38.3211
+104 *5:307 *353:DIODE 23
+105 *5:261 *819:A 23.4971
+106 *5:259 *820:A 23.4971
+107 *5:257 *5:487 1.09786
+108 *5:487 *417:DIODE 23
+109 *5:487 *418:DIODE 27.9093
+110 *5:252 *5:504 18.3454
+111 *5:504 *818:A 23.8493
+112 *5:504 *416:DIODE 24.0979
+113 *5:229 *414:DIODE 23
+114 *5:227 *419:DIODE 23
+115 *5:223 *821:A 23.4971
+116 *5:222 *5:534 2.07143
+117 *5:534 *816:A 23
+118 *5:534 *5:544 4.12214
+119 *5:544 *805:A1 23.4971
+120 *5:544 *400:DIODE 24.0979
+121 *5:142 *5:561 23.0579
+122 *5:561 *5:567 36.09
+123 *5:567 *411:DIODE 23
+124 *5:561 *813:A 23
+125 *5:140 *809:A 27.1429
+126 *5:72 *5:590 20.3857
+127 *5:590 *5:592 0.621429
+128 *5:592 *5:604 41.5379
+129 *5:604 *5:606 1.09786
+130 *5:606 *5:611 24.5907
+131 *5:611 *5:613 2.61
+132 *5:613 *5:621 38.5964
+133 *5:621 *827:A 38
+134 *5:613 *425:DIODE 39.0979
+135 *5:611 *826:A 48.8129
+136 *5:606 *426:DIODE 23
+137 *5:604 *828:A 23.8493
+138 *5:592 *412:DIODE 23
+139 *5:590 *814:A 23.8493
+140 *5:70 *817:A 40.0714
+141 *5:66 *420:DIODE 38
+142 *5:43 *5:685 17.8793
+143 *5:685 *5:688 15.6214
+144 *5:688 *815:A 23
+145 *5:688 *413:DIODE 24.0979
+146 *5:685 *5:700 7.54
+147 *5:700 *377:DIODE 38
+148 *5:700 *5:714 24.4871
+149 *5:714 *5:716 15
+150 *5:716 *740:A 39.2014
+151 *5:716 *5:726 19.7229
+152 *5:726 *5:727 1.09786
+153 *5:727 *5:731 1.595
+154 *5:731 *769:A1 26.48
+155 *5:731 *5:739 15
+156 *5:739 *771:A1 40.4184
+157 *5:739 *5:749 3.66643
+158 *5:749 *745:A_N 39.0979
+159 *5:749 *5:758 1.90571
+160 *5:758 *5:761 20.8621
+161 *5:761 *392:DIODE 23
+162 *5:761 *5:772 32.175
+163 *5:772 *778:A1 23
+164 *5:758 *5:780 18.9357
+165 *5:780 *5:785 17.175
+166 *5:785 *372:DIODE 38.6214
+167 *5:785 *5:796 16.2014
+168 *5:796 *368:DIODE 23
+169 *5:796 *5:801 2.52714
+170 *5:801 *5:803 0.497143
+171 *5:803 *5:806 19.0186
+172 *5:806 *762:A1 39.0979
+173 *5:806 *5:817 17.2579
+174 *5:817 *761:A1 23
+175 *5:817 *366:DIODE 29.8357
+176 *5:803 *764:A1 23
+177 *5:801 *5:838 6.50429
+178 *5:838 *5:844 37.3536
+179 *5:844 *795:A1 23
+180 *5:838 *798:B2 23.6214
+181 *5:780 *350:DIODE 23
+182 *5:727 *766:A1 23
+183 *5:726 *379:DIODE 24.5743
+184 *5:714 *347:DIODE 24.0979
+185 *5:23 *5:876 5.42714
+186 *5:876 *741:A2 39.0979
+187 *5:876 *5:888 24.86
+188 *5:888 *5:891 17.9621
+189 *5:891 *5:894 16.0979
+190 *5:894 *351:DIODE 24.0979
+191 *5:894 *5:902 0.497143
+192 *5:902 *5:904 6.33857
+193 *5:904 *5:911 33.6043
+194 *5:911 *354:DIODE 23
+195 *5:904 *751:A1 23.8493
+196 *5:902 *757:A1 23.8493
+197 *5:891 *5:928 5.77929
+198 *5:928 *5:930 15
+199 *5:930 *360:DIODE 23
+200 *5:930 *5:935 2.05071
+201 *5:935 *371:DIODE 23
+202 *5:935 *5:943 1.595
+203 *5:943 *5:949 39.8393
+204 *5:949 *5:955 32.6514
+205 *5:955 *364:DIODE 23
+206 *5:949 *758:A1 23
+207 *5:943 *5:965 2.05071
+208 *5:965 *5:969 4.45357
+209 *5:969 *5:971 15
+210 *5:971 *768:B2 44.6907
+211 *5:971 *765:B 41.6664
+212 *5:969 *375:DIODE 23.6214
+213 *5:965 *374:DIODE 23
+214 *5:928 *5:1001 19.5571
+215 *5:1001 *5:1003 5.86214
+216 *5:1003 *5:1008 19.9921
+217 *5:1008 *362:DIODE 38
+218 *5:1008 *754:A1 40.7757
+219 *5:1003 *356:DIODE 23
+220 *5:1001 *752:A1 23
+221 *5:888 *747:A1 23
+222 *5:21 *358:DIODE 23
+223 *5:7 *349:DIODE 41.7804
+*END
+
+*D_NET *6 0.00501639
+*CONN
+*P div[0] I
+*I *687:A I *D sky130_fd_sc_hd__and2_2
+*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[0] 0.000274717
+2 *687:A 0
+3 *344:DIODE 0
+4 *674:B2 0
+5 *346:DIODE 5.39671e-05
+6 *6:40 0.000593256
+7 *6:34 0.000705627
+8 *6:24 0.000487899
+9 *6:7 0.000704211
+10 *346:DIODE *333:10 7.20089e-05
+11 *6:7 *7:7 0
+12 *6:24 *671:A 0
+13 *6:24 *674:B1 3.61695e-05
+14 *6:24 *121:12 0.000139981
+15 *6:24 *124:8 1.59094e-05
+16 *6:24 *333:10 7.76035e-05
+17 *6:34 *674:A2 0.000100056
+18 *6:34 *674:B1 0.000258789
+19 *6:34 *687:B 4.00259e-06
+20 *6:34 *120:7 0.000185434
+21 *6:34 *123:5 4.6595e-05
+22 *6:40 *338:DIODE 7.73389e-05
+23 *6:40 *654:B1 9.76358e-05
+24 *6:40 *671:A 0
+25 *6:40 *7:8 0
+26 *6:40 *7:29 9.70819e-05
+27 *6:40 *9:60 0.000736198
+28 *6:40 *101:8 1.83667e-05
+29 *6:40 *104:8 3.22051e-05
+30 *6:40 *110:7 0.000123549
+31 *6:40 *111:8 2.93845e-05
+32 *6:40 *137:6 0
+33 clockp[1] *6:7 0
+34 clockp[1] *6:40 4.84045e-05
+*RES
+1 div[0] *6:7 8.9296
+2 *6:7 *346:DIODE 39.0254
+3 *6:7 *6:24 22.1568
+4 *6:24 *674:B2 23
+5 *6:24 *6:34 5.075
+6 *6:34 *6:40 44.6657
+7 *6:40 *344:DIODE 23
+8 *6:34 *687:A 23
+*END
+
+*D_NET *7 0.00652509
+*CONN
+*P div[1] I
+*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *671:A I *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 div[1] 0.00049823
+2 *343:DIODE 6.66615e-05
+3 *342:DIODE 0
+4 *674:A1 0
+5 *671:A 0.000142056
+6 *7:29 0.00162082
+7 *7:8 0.000233504
+8 *7:7 0.00214384
+9 *671:A *101:8 0
+10 *671:A *120:18 9.63918e-05
+11 *671:A *121:12 0
+12 *671:A *333:10 0
+13 *7:7 *8:7 0
+14 *7:8 *121:12 0
+15 *7:8 *137:6 9.85586e-06
+16 *7:29 *688:A 2.93747e-05
+17 *7:29 *688:B 5.50106e-05
+18 *7:29 *688:C_N 3.70187e-05
+19 *7:29 *110:7 0.00122419
+20 *7:29 *111:8 9.84794e-05
+21 *7:29 *121:12 0
+22 *7:29 *137:6 6.18641e-05
+23 *7:29 *138:8 2.72055e-05
+24 clockp[1] *7:29 0
+25 *415:DIODE *7:29 2.93747e-05
+26 *5:77 *343:DIODE 4.79641e-05
+27 *5:77 *7:29 6.17437e-06
+28 *6:7 *7:7 0
+29 *6:24 *671:A 0
+30 *6:40 *671:A 0
+31 *6:40 *7:8 0
+32 *6:40 *7:29 9.70819e-05
+*RES
+1 div[1] *7:7 9.4448
+2 *7:7 *7:8 1.3775
+3 *7:8 *671:A 40.9621
+4 *7:8 *674:A1 38
+5 *7:7 *7:29 40.6132
+6 *7:29 *342:DIODE 23
+7 *7:29 *343:DIODE 24.0979
+*END
+
+*D_NET *8 0.00379331
+*CONN
+*P div[2] I
+*I *665:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *668:A I *D sky130_fd_sc_hd__nor2_2
+*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[2] 0.00108564
+2 *665:B1 0.000171642
+3 *341:DIODE 0
+4 *668:A 0
+5 *338:DIODE 0.000154839
+6 *8:26 0.000258611
+7 *8:8 0.000169431
+8 *8:7 0.0011872
+9 *338:DIODE *9:60 1.44663e-05
+10 *338:DIODE *11:8 0
+11 *338:DIODE *88:29 0.000170928
+12 *338:DIODE *110:7 0.000139352
+13 *665:B1 *9:55 1.44318e-05
+14 *665:B1 *113:9 0.000102971
+15 *8:7 *9:10 0
+16 *8:8 *9:60 2.82419e-06
+17 *8:26 *9:55 3.50862e-06
+18 *8:26 *9:60 2.65182e-05
+19 *8:26 *333:255 5.34292e-05
+20 *5:611 *338:DIODE 0.000160182
+21 *6:40 *338:DIODE 7.73389e-05
+22 *7:7 *8:7 0
+*RES
+1 div[2] *8:7 10.7328
+2 *8:7 *8:8 0.321071
+3 *8:8 *338:DIODE 42.5364
+4 *8:8 *668:A 38
+5 *8:7 *8:26 16.7296
+6 *8:26 *341:DIODE 23
+7 *8:26 *665:B1 26.2936
+*END
+
+*D_NET *9 0.00722176
+*CONN
+*P div[3] I
+*I *665:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *667:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *666:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[3] 0.000313374
+2 *665:A1 0
+3 *337:DIODE 0
+4 *667:B1 5.79418e-05
+5 *340:DIODE 3.36194e-05
+6 *666:B1 0.000180908
+7 *339:DIODE 0
+8 *9:60 0.000809375
+9 *9:55 0.00108773
+10 *9:38 0.000260898
+11 *9:18 0.000497116
+12 *9:15 0.00102468
+13 *9:10 0.000912826
+14 *340:DIODE *105:7 3.45438e-06
+15 *340:DIODE *105:12 2.30292e-05
+16 *666:B1 *666:A1 6.17437e-06
+17 *666:B1 *116:7 1.94812e-05
+18 *667:B1 *105:7 2.98648e-05
+19 *667:B1 *117:8 5.3304e-05
+20 *9:10 *10:7 0
+21 *9:10 *109:16 0
+22 *9:10 *119:8 0
+23 *9:15 *665:B2 2.45549e-06
+24 *9:15 *115:7 6.15488e-05
+25 *9:15 *125:11 1.59094e-05
+26 *9:18 *669:C 0
+27 *9:18 *117:8 0.000216712
+28 *9:18 *127:8 0
+29 *9:38 *644:A 0
+30 *9:38 *105:7 1.69977e-05
+31 *9:38 *109:14 0
+32 *9:38 *117:8 0.000111852
+33 *9:38 *127:8 0
+34 *9:55 *665:B2 8.06804e-06
+35 *9:55 *113:12 9.21802e-05
+36 *9:55 *129:10 0.000129664
+37 *9:60 *103:6 6.16531e-05
+38 *9:60 *129:10 0.00021089
+39 clockp[1] *9:38 2.24973e-05
+40 clockp[1] *9:60 6.68107e-05
+41 *338:DIODE *9:60 1.44663e-05
+42 *665:B1 *9:55 1.44318e-05
+43 *5:611 *9:60 9.27917e-05
+44 *6:40 *9:60 0.000736198
+45 *8:7 *9:10 0
+46 *8:8 *9:60 2.82419e-06
+47 *8:26 *9:55 3.50862e-06
+48 *8:26 *9:60 2.65182e-05
+*RES
+1 div[3] *9:10 25.4875
+2 *9:10 *339:DIODE 23
+3 *9:10 *9:15 7.29143
+4 *9:15 *9:18 17.9621
+5 *9:18 *666:B1 41.19
+6 *9:18 *9:38 18.1382
+7 *9:38 *340:DIODE 23.8596
+8 *9:38 *667:B1 24.3361
+9 *9:15 *9:55 19.4329
+10 *9:55 *9:60 29.0236
+11 *9:60 *337:DIODE 23
+12 *9:55 *665:A1 38
+*END
+
+*D_NET *10 0.00228929
+*CONN
+*P div[4] I
+*I *659:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *677:B1 I *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 div[4] 0.00021656
+2 *659:A1 0.000295759
+3 *336:DIODE 0
+4 *345:DIODE 0
+5 *677:B1 0.00026256
+6 *10:24 0.0003984
+7 *10:19 0.000125135
+8 *10:7 0.000501614
+9 *659:A1 *659:B1 6.09861e-06
+10 *659:A1 *106:13 9.23306e-07
+11 *677:B1 *677:A1 5.3304e-05
+12 *677:B1 *92:8 0.000124813
+13 *677:B1 *105:12 0.000144231
+14 *677:B1 *106:5 0.000139346
+15 *10:7 *11:8 0
+16 *10:19 *92:8 2.05503e-05
+17 *9:10 *10:7 0
+*RES
+1 div[4] *10:7 8.75787
+2 *10:7 *677:B1 43.6861
+3 *10:7 *10:19 15.3211
+4 *10:19 *345:DIODE 23
+5 *10:19 *10:24 1.09786
+6 *10:24 *336:DIODE 23
+7 *10:24 *659:A1 27.3034
+*END
+
+*D_NET *11 0.0095164
+*CONN
+*P enable I
+*I *807:A I *D sky130_fd_sc_hd__nand2_2
+*I *404:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 enable 0.00244696
+2 *807:A 0
+3 *404:DIODE 0
+4 *11:16 0.00116633
+5 *11:8 0.00361329
+6 *11:8 *645:B 0
+7 *11:8 *59:6 0
+8 *11:8 *61:10 1.90074e-05
+9 *11:8 *79:14 0.000110106
+10 *11:8 *88:29 0.000164146
+11 *11:8 *88:82 8.51329e-05
+12 *11:8 *88:97 0.000135535
+13 *11:8 *102:8 0
+14 *11:8 *102:16 0
+15 *11:8 *104:8 0.000298165
+16 *11:8 *104:21 0.000153927
+17 *11:8 *113:6 0.000169203
+18 *11:8 *216:37 0.00019185
+19 *11:8 *216:144 0.000244517
+20 *11:8 *216:151 0
+21 *11:8 *222:29 0.000100522
+22 *11:8 *333:245 2.25536e-05
+23 *11:8 *333:246 0.00010001
+24 *11:8 *333:255 0.000392901
+25 *11:16 *39:10 4.08537e-05
+26 *11:16 *79:14 3.87473e-05
+27 *338:DIODE *11:8 0
+28 *5:7 *11:8 0
+29 *5:27 *11:8 2.26406e-05
+30 *10:7 *11:8 0
+*RES
+1 enable *11:8 40.6252
+2 *11:8 *11:16 46.1157
+3 *11:16 *404:DIODE 38
+4 *11:8 *807:A 38
+*END
+
+*D_NET *12 0.0026324
+*CONN
+*P ext_trim[0] I
+*I *741:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[0] 0.00046179
+2 *741:A1 0.000485552
+3 *348:DIODE 0
+4 *12:10 0.000947343
+5 *741:A1 *741:B1 2.02517e-05
+6 *741:A1 *885:A 0.000139352
+7 *741:A1 *889:TE_B 8.26478e-05
+8 *741:A1 *274:8 5.50106e-05
+9 *741:A1 *297:15 0.000408161
+10 *12:10 *23:8 0
+11 *741:A2 *741:A1 3.22879e-05
+12 *5:7 *12:10 0
+*RES
+1 ext_trim[0] *12:10 24.7659
+2 *12:10 *348:DIODE 23
+3 *12:10 *741:A1 34.0407
+*END
+
+*D_NET *13 0.0027426
+*CONN
+*P ext_trim[10] I
+*I *765:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[10] 0.00055625
+2 *765:A_N 6.41822e-05
+3 *370:DIODE 0.000374444
+4 *13:9 0.000994876
+5 *370:DIODE *290:20 3.09013e-05
+6 *765:A_N *184:13 7.87243e-05
+7 *13:9 *899:A 0.000185551
+8 *13:9 *34:21 0.000261583
+9 *13:9 *37:10 0
+10 *13:9 *290:20 1.7602e-05
+11 *13:9 *293:16 0.000104639
+12 *5:935 *370:DIODE 5.14746e-06
+13 *5:943 *370:DIODE 2.34132e-05
+14 *5:965 *370:DIODE 4.52904e-05
+*RES
+1 ext_trim[10] *13:9 11.9314
+2 *13:9 *370:DIODE 43.3857
+3 *13:9 *765:A_N 39.5743
+*END
+
+*D_NET *14 0.0051501
+*CONN
+*P ext_trim[11] I
+*I *768:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *373:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[11] 0.000773885
+2 *768:B1 0.00013698
+3 *373:DIODE 0
+4 *14:14 0.00050745
+5 *14:7 0.00141832
+6 *768:B1 *179:32 5.00907e-05
+7 *768:B1 *263:10 0.000131601
+8 *14:7 *753:A1 0
+9 *14:7 *908:TE 1.12487e-05
+10 *14:7 *917:TE_B 0.000444216
+11 *14:7 *184:8 2.99613e-05
+12 *14:7 *184:30 9.12695e-06
+13 *14:7 *297:30 0.000194767
+14 *14:7 *302:6 0
+15 *14:7 *306:12 0.000138708
+16 *14:7 *307:30 0
+17 *14:14 *909:A 9.41704e-05
+18 *14:14 *911:A 0.00019063
+19 *14:14 *179:32 1.03955e-05
+20 *14:14 *186:13 9.24795e-06
+21 *14:14 *295:17 0.000261185
+22 *14:14 *296:8 5.67058e-05
+23 *14:14 *297:8 0.000261956
+24 *14:14 *300:6 0
+25 *14:14 *300:11 2.18506e-05
+26 *768:B2 *768:B1 0.00021477
+27 *768:B2 *14:14 0.000182832
+*RES
+1 ext_trim[11] *14:7 31.4471
+2 *14:7 *14:14 40.1707
+3 *14:14 *373:DIODE 23
+4 *14:7 *768:B1 26.8529
+*END
+
+*D_NET *15 0.00522878
+*CONN
+*P ext_trim[12] I
+*I *769:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[12] 0.00105254
+2 *769:A2 0
+3 *376:DIODE 0.000306741
+4 *15:10 0.00135928
+5 *376:DIODE *769:B1 2.4754e-05
+6 *376:DIODE *769:B2 5.50049e-05
+7 *376:DIODE *178:59 0.000269769
+8 *376:DIODE *180:31 0.000263534
+9 *15:10 *746:A 0.000310163
+10 *15:10 *753:A2 5.30346e-05
+11 *15:10 *764:B1 0.000440829
+12 *15:10 *766:B1 5.50905e-06
+13 *15:10 *769:B1 7.63302e-05
+14 *15:10 *35:23 6.67567e-05
+15 *15:10 *36:22 0
+16 *15:10 *150:8 0.000117592
+17 *15:10 *175:29 1.41891e-05
+18 *15:10 *179:19 1.03934e-05
+19 *15:10 *180:49 0.000174952
+20 *15:10 *186:6 0.000110922
+21 *15:10 *186:13 0.000108283
+22 *15:10 *305:22 0.000189563
+23 *15:10 *307:24 7.75329e-06
+24 *769:A1 *376:DIODE 7.8676e-05
+25 *769:A1 *15:10 6.23764e-05
+26 *5:785 *15:10 3.44543e-05
+27 *5:796 *15:10 3.53698e-05
+*RES
+1 ext_trim[12] *15:10 38.8836
+2 *15:10 *376:DIODE 31.2029
+3 *15:10 *769:A2 23
+*END
+
+*D_NET *16 0.00513629
+*CONN
+*P ext_trim[13] I
+*I *771:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[13] 0.00112819
+2 *771:A2 0
+3 *378:DIODE 0.000120854
+4 *16:23 0.000262227
+5 *16:5 0.00151127
+6 *378:DIODE *771:B2 3.7122e-06
+7 *378:DIODE *130:94 1.46756e-05
+8 *16:5 *773:A2 1.02029e-05
+9 *16:5 *783:A1 3.59079e-05
+10 *16:5 *922:TE 1.69668e-05
+11 *16:5 *35:23 0
+12 *16:5 *130:52 4.57888e-05
+13 *16:5 *130:59 0.000406406
+14 *16:5 *132:29 0
+15 *16:5 *142:47 8.12131e-05
+16 *16:5 *142:62 9.85663e-05
+17 *16:5 *150:32 2.26406e-05
+18 *16:5 *176:14 8.27285e-05
+19 *16:5 *176:23 0.000315056
+20 *16:5 *180:55 0
+21 *16:5 *185:13 3.21123e-05
+22 *16:5 *188:6 8.35574e-05
+23 *16:5 *194:6 0.00013832
+24 *16:5 *200:32 1.20381e-05
+25 *16:5 *201:8 2.51913e-05
+26 *16:5 *202:8 1.06693e-05
+27 *16:5 *256:11 1.65913e-05
+28 *16:5 *306:6 0
+29 *16:5 *313:8 2.41538e-05
+30 *16:5 *314:8 7.97061e-05
+31 *16:5 *334:8 1.8722e-05
+32 *16:23 *130:94 3.7122e-06
+33 *16:23 *132:14 7.27694e-06
+34 *16:23 *150:8 7.71222e-05
+35 *16:23 *180:21 6.52226e-05
+36 *16:23 *278:12 0.000143735
+37 *16:23 *334:14 0.000169384
+38 *771:A1 *16:23 7.23733e-05
+39 *5:726 *16:5 0
+40 *5:844 *16:5 0
+*RES
+1 ext_trim[13] *16:5 24.1943
+2 *16:5 *378:DIODE 39.5743
+3 *16:5 *16:23 33.0251
+4 *16:23 *771:A2 23
+*END
+
+*D_NET *17 0.00604449
+*CONN
+*P ext_trim[14] I
+*I *778:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[14] 0.0010043
+2 *778:A2 0
+3 *380:DIODE 0.000340753
+4 *17:31 0.000474576
+5 *17:9 0.00181963
+6 *380:DIODE *384:DIODE 9.49005e-05
+7 *380:DIODE *83:25 5.93734e-05
+8 *380:DIODE *143:28 0.000143809
+9 *380:DIODE *183:8 0.000114836
+10 *380:DIODE *299:17 9.5877e-05
+11 *17:9 *781:A1 1.88129e-05
+12 *17:9 *788:B 7.37503e-05
+13 *17:9 *798:B1 9.2018e-06
+14 *17:9 *26:28 0.000131971
+15 *17:9 *143:28 3.38086e-05
+16 *17:9 *176:56 2.64291e-05
+17 *17:9 *178:12 8.30566e-06
+18 *17:9 *183:8 3.32935e-05
+19 *17:9 *183:33 0.000222782
+20 *17:9 *187:63 2.11066e-05
+21 *17:9 *200:8 0.000326682
+22 *17:9 *203:6 7.89883e-05
+23 *17:9 *204:12 4.55618e-05
+24 *17:9 *205:8 8.50366e-05
+25 *17:9 *306:6 0
+26 *17:31 *750:C 1.55941e-05
+27 *17:31 *778:B1 7.27694e-06
+28 *17:31 *86:40 2.5625e-05
+29 *17:31 *142:47 4.6318e-06
+30 *17:31 *142:62 7.77274e-05
+31 *17:31 *234:126 4.33453e-05
+32 *17:31 *263:18 0.000453326
+33 *17:31 *299:26 4.22668e-05
+34 *5:772 *17:31 0.000110913
+*RES
+1 ext_trim[14] *17:9 18.2182
+2 *17:9 *380:DIODE 44.2039
+3 *17:9 *17:31 34.5195
+4 *17:31 *778:A2 23
+*END
+
+*D_NET *18 0.00451484
+*CONN
+*P ext_trim[15] I
+*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *786:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[15] 0.00078087
+2 *381:DIODE 0.000155633
+3 *786:B1 0.00044927
+4 *18:5 0.00138577
+5 *381:DIODE *130:39 2.18506e-05
+6 *381:DIODE *150:54 4.38068e-06
+7 *381:DIODE *206:8 9.58714e-05
+8 *786:B1 *790:B1 8.7327e-05
+9 *786:B1 *86:57 5.05259e-05
+10 *786:B1 *87:41 4.42466e-05
+11 *786:B1 *130:39 3.47763e-05
+12 *786:B1 *131:81 4.16243e-05
+13 *786:B1 *177:47 0.000114095
+14 *786:B1 *197:8 0.000154561
+15 *786:B1 *234:126 4.82477e-05
+16 *786:B1 *236:67 0.000215942
+17 *786:B1 *236:84 3.06908e-05
+18 *786:B1 *278:8 2.26497e-05
+19 *18:5 *788:C 3.92568e-05
+20 *18:5 *790:B1 0.000146237
+21 *18:5 *86:57 6.51993e-05
+22 *18:5 *176:64 2.26406e-05
+23 *18:5 *184:30 5.46319e-05
+24 *18:5 *187:63 0
+25 *18:5 *200:42 2.75037e-05
+26 *18:5 *207:6 8.62876e-05
+27 *18:5 *309:22 0.000110843
+28 *18:5 *310:6 3.18672e-05
+29 *18:5 *311:12 0.000192032
+30 *18:5 *311:21 0
+31 *18:5 *312:16 0
+*RES
+1 ext_trim[15] *18:5 15.7429
+2 *18:5 *786:B1 46.9071
+3 *18:5 *381:DIODE 40.0507
+*END
+
+*D_NET *19 0.00598313
+*CONN
+*P ext_trim[16] I
+*I *789:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *384:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[16] 0.000443638
+2 *789:A2 0
+3 *384:DIODE 0.000304628
+4 *19:18 0.00122917
+5 *19:8 0.00136818
+6 *384:DIODE *143:28 0.000161213
+7 *384:DIODE *150:41 2.65956e-05
+8 *384:DIODE *177:6 0.000170242
+9 *384:DIODE *177:14 0.000161873
+10 *384:DIODE *183:8 6.92122e-05
+11 *19:8 *789:B1 0.000100477
+12 *19:8 *285:8 0
+13 *19:8 *311:21 4.94267e-05
+14 *19:18 *788:C 0.000217735
+15 *19:18 *789:B1 8.42292e-05
+16 *19:18 *86:57 8.50742e-06
+17 *19:18 *130:39 3.52071e-05
+18 *19:18 *206:8 6.02572e-05
+19 *19:18 *207:9 0.000138859
+20 *19:18 *207:12 5.37103e-05
+21 *19:18 *213:8 7.46108e-05
+22 *19:18 *234:126 0.000265977
+23 *19:18 *256:21 6.65687e-05
+24 *19:18 *263:18 0.000347838
+25 *19:18 *311:12 2.83509e-05
+26 *380:DIODE *384:DIODE 9.49005e-05
+27 *389:DIODE *19:18 1.16455e-05
+28 *5:393 *19:8 2.50118e-05
+29 *5:397 *19:8 4.46854e-05
+30 *5:397 *19:18 0.000137533
+31 *5:398 *19:18 0.000109435
+32 *5:409 *19:18 9.34177e-05
+*RES
+1 ext_trim[16] *19:8 23.7414
+2 *19:8 *19:18 45.0522
+3 *19:18 *384:DIODE 44.6596
+4 *19:8 *789:A2 23
+*END
+
+*D_NET *20 0.00559563
+*CONN
+*P ext_trim[17] I
+*I *793:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *385:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[17] 0.000938527
+2 *793:B1 2.10309e-05
+3 *385:DIODE 0.00067236
+4 *20:15 0.00163192
+5 *385:DIODE *777:A 0.000128558
+6 *385:DIODE *790:B2 2.97185e-05
+7 *385:DIODE *792:C 5.3304e-05
+8 *385:DIODE *184:30 0.000353331
+9 *385:DIODE *198:8 0.000106352
+10 *385:DIODE *207:12 0.000162524
+11 *385:DIODE *210:22 1.90632e-05
+12 *793:B1 *212:23 3.33013e-05
+13 *793:B1 *325:7 6.40491e-05
+14 *20:15 *931:A 0.000187612
+15 *20:15 *207:12 2.46399e-05
+16 *20:15 *285:8 0
+17 *20:15 *320:26 0.000350399
+18 *20:15 *321:16 0.000193681
+19 *20:15 *325:7 0.00062526
+*RES
+1 ext_trim[17] *20:15 48.5393
+2 *20:15 *385:DIODE 49.31
+3 *20:15 *793:B1 38.7095
+*END
+
+*D_NET *21 0.00450291
+*CONN
+*P ext_trim[18] I
+*I *388:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[18] 0.000633352
+2 *388:DIODE 0
+3 *794:A2 5.80057e-06
+4 *21:15 0.000730416
+5 *21:7 0.00135797
+6 *794:A2 *131:94 8.87917e-06
+7 *794:A2 *253:11 7.27694e-06
+8 *21:7 *22:8 0
+9 *21:7 *324:6 0.000527903
+10 *21:15 *794:B2 2.11157e-05
+11 *21:15 *800:A2 0.000786802
+12 *21:15 *863:A 9.78061e-05
+13 *21:15 *234:145 0.000231452
+14 *21:15 *254:8 1.39826e-05
+15 *21:15 *324:6 3.90213e-05
+16 *5:371 *21:15 0
+17 *5:377 *21:15 3.33763e-05
+18 *5:458 *21:7 7.75329e-06
+*RES
+1 ext_trim[18] *21:7 14.5
+2 *21:7 *21:15 45.3493
+3 *21:15 *794:A2 38.1709
+4 *21:7 *388:DIODE 38
+*END
+
+*D_NET *22 0.00602002
+*CONN
+*P ext_trim[19] I
+*I *390:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *795:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[19] 0.00163221
+2 *390:DIODE 0
+3 *795:A2 0.00041499
+4 *22:8 0.0020472
+5 *795:A2 *788:A 0.000113242
+6 *795:A2 *788:B 0.000122226
+7 *795:A2 *788:C 0.000148688
+8 *795:A2 *308:7 1.02535e-05
+9 *795:A2 *312:13 0.000295402
+10 *795:A2 *314:19 0.000180224
+11 *22:8 *934:A 1.94812e-05
+12 *22:8 *939:A 2.03764e-06
+13 *22:8 *317:9 0.000942209
+14 *5:458 *22:8 5.12328e-05
+15 *5:844 *795:A2 4.06285e-05
+16 *21:7 *22:8 0
+*RES
+1 ext_trim[19] *22:8 41.0586
+2 *22:8 *795:A2 34.6673
+3 *22:8 *390:DIODE 23
+*END
+
+*D_NET *23 0.00265023
+*CONN
+*P ext_trim[1] I
+*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *747:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[1] 0.000539249
+2 *352:DIODE 0.00021357
+3 *747:A2 0.000365446
+4 *23:8 0.00111826
+5 *352:DIODE *889:TE_B 1.97329e-05
+6 *352:DIODE *31:11 0
+7 *352:DIODE *178:59 0
+8 *352:DIODE *278:12 1.36079e-05
+9 *747:A2 *886:Z 0.000269775
+10 *747:A2 *890:A 6.31661e-05
+11 *747:A2 *275:13 3.03356e-05
+12 *23:8 *889:TE_B 1.70831e-05
+13 *23:8 *30:8 0
+14 *23:8 *31:11 0
+15 *12:10 *23:8 0
+*RES
+1 ext_trim[1] *23:8 10.4702
+2 *23:8 *747:A2 43.5721
+3 *23:8 *352:DIODE 40.9621
+*END
+
+*D_NET *24 0.00646582
+*CONN
+*P ext_trim[20] I
+*I *391:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[20] 0.00252841
+2 *391:DIODE 0
+3 *798:B1 0.000232785
+4 *24:12 0.00276119
+5 *798:B1 *921:TE 2.20776e-05
+6 *798:B1 *183:8 0.000153802
+7 *798:B1 *200:8 6.05273e-05
+8 *798:B1 *204:12 0.000101722
+9 *798:B1 *212:23 5.58003e-05
+10 *798:B1 *314:19 1.05441e-05
+11 *798:B1 *325:7 0.000191096
+12 *24:12 *788:B 1.79046e-05
+13 *24:12 *921:TE 4.77164e-06
+14 *24:12 *187:63 4.95307e-05
+15 *24:12 *312:16 9.27244e-05
+16 *24:12 *314:19 0.000173733
+17 *17:9 *798:B1 9.2018e-06
+*RES
+1 ext_trim[20] *24:12 16.5553
+2 *24:12 *798:B1 43.1009
+3 *24:12 *391:DIODE 38
+*END
+
+*D_NET *25 0.0031662
+*CONN
+*P ext_trim[21] I
+*I *394:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[21] 0.000210357
+2 *394:DIODE 0
+3 *800:A2 0.000582388
+4 *25:10 0.000792745
+5 *800:A2 *802:B1 7.66683e-05
+6 *800:A2 *26:17 5.44226e-05
+7 *800:A2 *26:28 0.000165167
+8 *800:A2 *130:39 0
+9 *800:A2 *150:54 6.64163e-06
+10 *800:A2 *210:11 0.00012864
+11 *800:A2 *258:8 3.17634e-05
+12 *800:A2 *320:8 1.79293e-05
+13 *800:A2 *323:8 7.88828e-05
+14 *800:A2 *326:5 0.000137651
+15 *25:10 *863:A 6.12496e-06
+16 *802:B2 *800:A2 4.34876e-05
+17 *5:371 *800:A2 4.65317e-05
+18 *21:15 *800:A2 0.000786802
+*RES
+1 ext_trim[21] *25:10 23.9931
+2 *25:10 *800:A2 39.2245
+3 *25:10 *394:DIODE 23
+*END
+
+*D_NET *26 0.00867485
+*CONN
+*P ext_trim[22] I
+*I *802:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *395:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[22] 0.00154144
+2 *802:B1 4.81691e-05
+3 *395:DIODE 4.88336e-05
+4 *26:28 0.00114107
+5 *26:17 0.00268184
+6 *395:DIODE *143:63 2.93747e-05
+7 *26:17 *794:B2 0
+8 *26:17 *864:A 0.000310842
+9 *26:17 *866:TE 0
+10 *26:17 *866:Z 2.33476e-05
+11 *26:17 *251:8 0
+12 *26:17 *251:18 2.40212e-05
+13 *26:17 *257:6 0
+14 *26:17 *258:8 0.000247766
+15 *26:17 *258:10 0.000185508
+16 *26:17 *335:10 3.48396e-05
+17 *26:28 *792:C 7.68679e-05
+18 *26:28 *802:A1 5.50049e-05
+19 *26:28 *176:64 0.000498846
+20 *26:28 *183:8 0.000220134
+21 *26:28 *184:30 0.000173996
+22 *26:28 *196:12 5.4138e-05
+23 *26:28 *204:12 4.76373e-05
+24 *26:28 *210:22 0.000148667
+25 *26:28 *214:8 0.000134088
+26 *26:28 *263:18 4.66787e-05
+27 *26:28 *320:8 1.77397e-05
+28 *800:A2 *802:B1 7.66683e-05
+29 *800:A2 *26:17 5.44226e-05
+30 *800:A2 *26:28 0.000165167
+31 *802:B2 *26:17 0.000181919
+32 *5:371 *26:17 0.000152887
+33 *5:409 *26:28 0.000120973
+34 *17:9 *26:28 0.000131971
+*RES
+1 ext_trim[22] *26:17 42.7696
+2 *26:17 *26:28 43.7195
+3 *26:28 *395:DIODE 38.6214
+4 *26:17 *802:B1 24.3568
+*END
+
+*D_NET *27 0.00328429
+*CONN
+*P ext_trim[23] I
+*I *398:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[23] 0.000570865
+2 *398:DIODE 0
+3 *803:A2 0
+4 *27:19 0.000585206
+5 *27:11 0.00115607
+6 *27:11 *265:6 0.000524703
+7 *27:11 *265:12 0.000241394
+8 *27:19 *864:A 6.00448e-05
+9 *27:19 *947:A 0
+10 *27:19 *948:TE 1.83327e-05
+11 *27:19 *949:Z 6.53011e-05
+12 *27:19 *262:22 0
+13 *27:19 *330:8 6.23724e-05
+*RES
+1 ext_trim[23] *27:11 34.1224
+2 *27:11 *27:19 39.425
+3 *27:19 *803:A2 23
+4 *27:11 *398:DIODE 23
+*END
+
+*D_NET *28 0.000964714
+*CONN
+*P ext_trim[24] I
+*I *805:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *401:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[24] 0.000291159
+2 *805:A2 0.000162845
+3 *401:DIODE 0
+4 *28:8 0.000454004
+5 *400:DIODE *805:A2 5.67058e-05
+*RES
+1 ext_trim[24] *28:8 9.86909
+2 *28:8 *401:DIODE 38
+3 *28:8 *805:A2 41.2521
+*END
+
+*D_NET *29 0.00917363
+*CONN
+*P ext_trim[25] I
+*I *806:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *403:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[25] 0.00267293
+2 *806:A2 0.000234089
+3 *403:DIODE 3.80122e-05
+4 *29:8 0.00294504
+5 *403:DIODE *681:A 5.3304e-05
+6 *806:A2 *207:25 5.50049e-05
+7 *806:A2 *234:8 5.90146e-05
+8 *806:A2 *234:19 2.7273e-05
+9 *806:A2 *234:97 2.48429e-05
+10 *806:A2 *235:52 6.99492e-05
+11 *29:8 *429:DIODE 0.000107106
+12 *29:8 *833:CLK 3.95159e-06
+13 *29:8 *38:7 7.55416e-05
+14 *29:8 *42:8 0
+15 *29:8 *48:8 0
+16 *29:8 *50:8 0
+17 *29:8 *53:6 0
+18 *29:8 *55:6 6.32688e-05
+19 *29:8 *65:8 0
+20 *29:8 *71:8 0
+21 *29:8 *74:10 0
+22 *29:8 *140:34 0
+23 *29:8 *216:97 5.68527e-05
+24 *29:8 *232:8 0.000108672
+25 *29:8 *232:19 0
+26 *29:8 *234:8 0.000568337
+27 *29:8 *236:10 0
+28 *29:8 *237:117 0
+29 *29:8 *238:8 0
+30 *806:A1 *806:A2 5.5525e-05
+31 *5:175 *29:8 0.00048113
+32 *5:222 *29:8 0
+33 *5:235 *29:8 0.000400609
+34 *5:266 *806:A2 7.35277e-05
+35 *5:266 *29:8 0.000854751
+36 *5:279 *806:A2 0.000144235
+37 *5:290 *806:A2 6.62892e-07
+*RES
+1 ext_trim[25] *29:8 47.7626
+2 *29:8 *403:DIODE 38.6214
+3 *29:8 *806:A2 43.1838
+*END
+
+*D_NET *30 0.00196306
+*CONN
+*P ext_trim[2] I
+*I *751:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[2] 0.000290217
+2 *751:A2 2.2711e-05
+3 *355:DIODE 0.000375305
+4 *30:8 0.000688233
+5 *355:DIODE *888:TE_B 0.000215538
+6 *355:DIODE *31:11 0.000193271
+7 *355:DIODE *277:6 0.000141888
+8 *30:8 *31:5 0
+9 *30:8 *31:11 8.22421e-06
+10 *5:911 *355:DIODE 1.22803e-05
+11 *5:911 *751:A2 1.5393e-05
+12 *23:8 *30:8 0
+*RES
+1 ext_trim[2] *30:8 9.25067
+2 *30:8 *355:DIODE 46.5136
+3 *30:8 *751:A2 38.3521
+*END
+
+*D_NET *31 0.00314726
+*CONN
+*P ext_trim[3] I
+*I *752:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[3] 0.000316589
+2 *752:A2 0.000354449
+3 *357:DIODE 0
+4 *31:11 0.000741887
+5 *31:5 0.00141293
+6 *752:A2 *752:B1 1.99047e-05
+7 *752:A2 *286:15 4.67055e-05
+8 *31:5 *32:11 0
+9 *31:11 *751:B2 0
+10 *31:11 *888:TE_B 5.3304e-05
+11 *31:11 *889:TE_B 0
+12 *31:11 *178:59 0
+13 *31:11 *284:13 0
+14 *31:11 *286:6 0
+15 *352:DIODE *31:11 0
+16 *355:DIODE *31:11 0.000193271
+17 *23:8 *31:11 0
+18 *30:8 *31:5 0
+19 *30:8 *31:11 8.22421e-06
+*RES
+1 ext_trim[3] *31:5 1.10133
+2 *31:5 *31:11 35.5839
+3 *31:11 *357:DIODE 23
+4 *31:5 *752:A2 47.4161
+*END
+
+*D_NET *32 0.0072353
+*CONN
+*P ext_trim[4] I
+*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[4] 0.000338241
+2 *359:DIODE 0
+3 *754:A2 0
+4 *32:27 0.000765887
+5 *32:22 0.00202445
+6 *32:11 0.0015968
+7 *32:11 *896:TE_B 0.000127196
+8 *32:11 *33:8 0
+9 *32:22 *33:8 0
+10 *32:22 *37:10 5.5792e-05
+11 *32:22 *180:31 8.21123e-05
+12 *32:22 *273:8 1.75192e-05
+13 *32:22 *288:6 0.000128566
+14 *32:22 *290:10 6.43401e-05
+15 *32:22 *291:5 9.41704e-05
+16 *32:22 *297:8 1.94522e-05
+17 *32:22 *297:15 8.43762e-05
+18 *32:22 *298:6 0.000166513
+19 *32:22 *300:6 0
+20 *32:27 *635:A1 2.24608e-05
+21 *32:27 *641:B 4.18566e-05
+22 *32:27 *830:B 0
+23 *32:27 *81:8 6.60471e-05
+24 *32:27 *216:13 2.93845e-05
+25 *32:27 *221:63 2.96369e-05
+26 *32:27 *226:8 6.18676e-05
+27 *32:27 *226:19 3.71611e-05
+28 *32:27 *273:8 0
+29 *32:27 *279:16 0.000241346
+30 *32:27 *297:15 0.000129053
+31 *32:27 *333:246 0
+32 clockp[1] *32:27 0
+33 *349:DIODE *32:27 3.4276e-05
+34 *754:A1 *32:11 0.000143885
+35 *754:A1 *32:22 4.33627e-05
+36 *5:10 *32:27 1.03654e-05
+37 *5:876 *32:27 0
+38 *5:888 *32:27 0
+39 *5:891 *32:22 0.000209385
+40 *5:928 *32:22 0.000429611
+41 *5:1001 *32:22 0.000117538
+42 *5:1008 *32:11 2.26497e-05
+43 *31:5 *32:11 0
+*RES
+1 ext_trim[4] *32:11 26.1948
+2 *32:11 *754:A2 23
+3 *32:11 *32:22 40.8618
+4 *32:22 *32:27 31.0846
+5 *32:27 *359:DIODE 23
+*END
+
+*D_NET *33 0.0063321
+*CONN
+*P ext_trim[5] I
+*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[5] 0.0014369
+2 *361:DIODE 0.000632382
+3 *757:A2 8.72275e-05
+4 *33:8 0.00215651
+5 *361:DIODE *751:B2 1.22906e-05
+6 *361:DIODE *886:TE 2.93747e-05
+7 *361:DIODE *891:A 0.000161121
+8 *361:DIODE *178:59 9.5877e-05
+9 *361:DIODE *275:13 0.000243526
+10 *757:A2 *891:A 6.17437e-06
+11 *33:8 *751:B2 8.62783e-05
+12 *33:8 *752:B1 0.000299769
+13 *33:8 *898:A 0.000201189
+14 *33:8 *901:TE 0.000392442
+15 *33:8 *903:TE_B 5.03902e-05
+16 *33:8 *34:10 0
+17 *33:8 *35:18 0
+18 *33:8 *37:10 0
+19 *33:8 *283:6 0.00014179
+20 *33:8 *290:10 0.000223718
+21 *5:891 *361:DIODE 2.29192e-05
+22 *5:904 *757:A2 8.06804e-06
+23 *5:928 *361:DIODE 4.41619e-05
+24 *5:928 *33:8 0
+25 *32:11 *33:8 0
+26 *32:22 *33:8 0
+*RES
+1 ext_trim[5] *33:8 24.4527
+2 *33:8 *757:A2 39.1186
+3 *33:8 *361:DIODE 47.9221
+*END
+
+*D_NET *34 0.00471318
+*CONN
+*P ext_trim[6] I
+*I *758:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[6] 0.000457721
+2 *758:A2 0
+3 *363:DIODE 0
+4 *34:21 0.00105579
+5 *34:10 0.00151351
+6 *34:10 *35:10 0
+7 *34:10 *36:10 9.51597e-05
+8 *34:10 *285:18 3.58626e-05
+9 *34:21 *35:10 6.9805e-05
+10 *34:21 *36:10 0.00112976
+11 *34:21 *305:7 1.53875e-05
+12 *5:949 *34:21 3.05214e-05
+13 *5:955 *34:21 4.80826e-05
+14 *13:9 *34:21 0.000261583
+15 *33:8 *34:10 0
+*RES
+1 ext_trim[6] *34:10 29.0089
+2 *34:10 *363:DIODE 23
+3 *34:10 *34:21 47.98
+4 *34:21 *758:A2 23
+*END
+
+*D_NET *35 0.00591314
+*CONN
+*P ext_trim[7] I
+*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[7] 0.000652749
+2 *365:DIODE 0
+3 *761:A2 1.9385e-05
+4 *35:23 0.000923337
+5 *35:18 0.00118612
+6 *35:10 0.000934912
+7 *761:A2 *180:49 0.000118855
+8 *35:10 *36:10 0.000315852
+9 *35:10 *285:18 0
+10 *35:10 *291:11 5.50106e-05
+11 *35:18 *36:10 0
+12 *35:18 *37:10 0
+13 *35:18 *285:18 0.000207171
+14 *35:23 *36:22 2.64506e-05
+15 *35:23 *285:13 0.000832341
+16 *35:23 *285:18 0.000387237
+17 *366:DIODE *761:A2 0.00011716
+18 *15:10 *35:23 6.67567e-05
+19 *16:5 *35:23 0
+20 *33:8 *35:18 0
+21 *34:10 *35:10 0
+22 *34:21 *35:10 6.9805e-05
+*RES
+1 ext_trim[7] *35:10 29.5207
+2 *35:10 *35:18 35.3961
+3 *35:18 *35:23 38.7075
+4 *35:23 *761:A2 39.305
+5 *35:10 *365:DIODE 23
+*END
+
+*D_NET *36 0.00643606
+*CONN
+*P ext_trim[8] I
+*I *762:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[8] 0.000641137
+2 *762:A2 0
+3 *367:DIODE 0
+4 *36:22 0.000966761
+5 *36:10 0.0016079
+6 *36:10 *901:TE 6.68919e-05
+7 *36:10 *285:18 0.000106737
+8 *36:10 *289:5 0.000571212
+9 *36:10 *291:11 2.4754e-05
+10 *36:22 *762:B2 1.60285e-05
+11 *36:22 *918:A 6.9961e-05
+12 *36:22 *175:29 6.5117e-06
+13 *36:22 *179:95 5.75756e-06
+14 *36:22 *180:55 1.22803e-05
+15 *36:22 *301:7 0.000359326
+16 *36:22 *303:8 7.1851e-06
+17 *36:22 *312:13 9.84794e-05
+18 *366:DIODE *36:22 8.54813e-05
+19 *762:A1 *36:22 1.46586e-05
+20 *5:806 *36:22 3.46013e-05
+21 *5:817 *36:22 0.00015002
+22 *5:955 *36:10 2.31641e-05
+23 *15:10 *36:22 0
+24 *34:10 *36:10 9.51597e-05
+25 *34:21 *36:10 0.00112976
+26 *35:10 *36:10 0.000315852
+27 *35:18 *36:10 0
+28 *35:23 *36:22 2.64506e-05
+*RES
+1 ext_trim[8] *36:10 36.1907
+2 *36:10 *367:DIODE 23
+3 *36:10 *36:22 45.4166
+4 *36:22 *762:A2 23
+*END
+
+*D_NET *37 0.00623371
+*CONN
+*P ext_trim[9] I
+*I *764:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[9] 0.00160785
+2 *764:A2 0
+3 *369:DIODE 0
+4 *37:22 0.000450339
+5 *37:10 0.00205819
+6 *37:10 *899:A 0
+7 *37:10 *902:A 2.33679e-05
+8 *37:10 *175:29 0.000962971
+9 *37:10 *288:6 3.56537e-05
+10 *37:10 *290:10 6.71876e-05
+11 *37:10 *290:20 1.09834e-05
+12 *37:10 *305:7 7.53303e-06
+13 *37:22 *762:B2 0.000124415
+14 *37:22 *784:A 7.27694e-06
+15 *37:22 *130:59 7.6774e-06
+16 *37:22 *175:29 0.00013024
+17 *37:22 *188:6 1.78126e-05
+18 *762:A1 *37:22 9.66585e-05
+19 *5:806 *37:22 0.000261054
+20 *5:817 *37:22 3.46993e-05
+21 *5:838 *37:22 8.97163e-06
+22 *5:949 *37:10 0.000265028
+23 *13:9 *37:10 0
+24 *32:22 *37:10 5.5792e-05
+25 *33:8 *37:10 0
+26 *35:18 *37:10 0
+*RES
+1 ext_trim[9] *37:10 40.6857
+2 *37:10 *369:DIODE 23
+3 *37:10 *37:22 38.1459
+4 *37:22 *764:A2 23
+*END
+
+*D_NET *38 0.00352711
+*CONN
+*P osc I
+*I *429:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *846:D I *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 osc 0.000173949
+2 *429:DIODE 0.000678522
+3 *846:D 0.000475109
+4 *38:7 0.00132758
+5 *429:DIODE *847:D 4.99329e-05
+6 *429:DIODE *216:94 0.000181125
+7 *846:D *216:94 0.000368788
+8 *38:7 *55:6 8.9458e-05
+9 *29:8 *429:DIODE 0.000107106
+10 *29:8 *38:7 7.55416e-05
+*RES
+1 osc *38:7 18.77
+2 *38:7 *846:D 30.8559
+3 *38:7 *429:DIODE 36.34
+*END
+
+*D_NET *39 0.0029072
+*CONN
+*P resetb I
+*I *405:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *807:B I *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 resetb 0.000310782
+2 *405:DIODE 0.000517373
+3 *807:B 0
+4 *39:10 0.000828155
+5 *405:DIODE *638:A0 0.000185428
+6 *405:DIODE *638:A1 0.00010018
+7 *405:DIODE *736:B 6.22712e-05
+8 *405:DIODE *736:C 0.000218963
+9 *405:DIODE *79:14 7.12514e-05
+10 *405:DIODE *88:39 7.42621e-05
+11 *405:DIODE *217:55 5.67058e-05
+12 *405:DIODE *222:29 0
+13 *405:DIODE *333:15 4.49877e-05
+14 *405:DIODE *333:27 0.00025424
+15 *39:10 *222:29 3.7122e-06
+16 *5:621 *405:DIODE 9.77405e-05
+17 *5:621 *39:10 4.02912e-05
+18 *11:16 *39:10 4.08537e-05
+*RES
+1 resetb *39:10 20.2614
+2 *39:10 *807:B 23
+3 *39:10 *405:DIODE 39.4264
+*END
+
+*D_NET *40 0.000471665
+*CONN
+*I *831:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *808:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *831:RESET_B 6.54038e-05
+2 *808:Y 6.54038e-05
+3 *831:RESET_B *230:8 0.000134437
+4 *831:RESET_B *333:76 0.000185434
+5 *5:146 *831:RESET_B 2.09871e-05
+*RES
+1 *808:Y *831:RESET_B 25.4546
+*END
+
+*D_NET *41 0.000660339
+*CONN
+*I *832:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *809:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *832:RESET_B 3.69763e-05
+2 *809:Y 7.40167e-05
+3 *41:6 0.000110993
+4 *832:RESET_B *231:11 2.33744e-05
+5 *41:6 *686:B 2.95125e-05
+6 *41:6 *231:11 0.000166513
+7 *41:6 *333:64 0.000218952
+*RES
+1 *809:Y *41:6 40.9621
+2 *41:6 *832:RESET_B 15.5489
+*END
+
+*D_NET *42 0.00101907
+*CONN
+*I *833:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *810:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *833:RESET_B 2.86451e-05
+2 *810:Y 0.00033223
+3 *42:8 0.000360875
+4 *833:RESET_B *89:5 2.56078e-05
+5 *42:8 *48:8 4.6318e-06
+6 *42:8 *333:95 0.000142439
+7 *42:8 *333:106 6.61686e-06
+8 *5:175 *42:8 0
+9 *5:191 *42:8 0.000111852
+10 *5:194 *42:8 6.17437e-06
+11 *29:8 *42:8 0
+*RES
+1 *810:Y *42:8 44.4007
+2 *42:8 *833:RESET_B 15.5489
+*END
+
+*D_NET *43 0.000609987
+*CONN
+*I *834:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *811:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *834:RESET_B 0
+2 *811:Y 0.000159196
+3 *43:8 0.000159196
+4 *43:8 *66:6 0
+5 *43:8 *217:64 3.70187e-05
+6 *811:A *43:8 0.000143655
+7 *5:88 *43:8 0.000110922
+*RES
+1 *811:Y *43:8 41.1279
+2 *43:8 *834:RESET_B 15
+*END
+
+*D_NET *44 0.000516566
+*CONN
+*I *835:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *812:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *835:RESET_B 3.92036e-05
+2 *812:Y 0.000170832
+3 *44:6 0.000210035
+4 *44:6 *67:8 4.6318e-06
+5 *44:6 *174:8 0
+6 *44:6 *216:83 0
+7 *44:6 *216:88 0
+8 *5:105 *835:RESET_B 9.18637e-05
+*RES
+1 *812:Y *44:6 41.3143
+2 *44:6 *835:RESET_B 16.0254
+*END
+
+*D_NET *45 0.00103954
+*CONN
+*I *836:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *813:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *836:RESET_B 0.000398356
+2 *813:Y 0.000398356
+3 *836:RESET_B *836:D 0.000145154
+4 *836:RESET_B *216:175 4.65125e-05
+5 *836:RESET_B *216:191 1.76557e-05
+6 *836:RESET_B *333:55 2.02729e-05
+7 *836:RESET_B *333:64 1.32314e-05
+*RES
+1 *813:Y *836:RESET_B 28.9554
+*END
+
+*D_NET *46 0.000590234
+*CONN
+*I *837:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *814:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *837:RESET_B 7.43539e-05
+2 *814:Y 7.43539e-05
+3 *837:RESET_B *814:B 0.000234542
+4 *837:RESET_B *216:64 4.95732e-05
+5 *837:RESET_B *220:8 0.000145171
+6 *5:590 *837:RESET_B 1.22397e-05
+*RES
+1 *814:Y *837:RESET_B 25.9311
+*END
+
+*D_NET *47 0.000978375
+*CONN
+*I *838:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *815:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *838:RESET_B 0
+2 *815:Y 0.000293977
+3 *47:8 0.000293977
+4 *47:8 *216:46 0.000225265
+5 *47:8 *221:10 0
+6 *47:8 *221:43 5.3304e-05
+7 *47:8 *240:6 0
+8 *5:685 *47:8 0.000111852
+*RES
+1 *815:Y *47:8 43.6964
+2 *47:8 *838:RESET_B 15
+*END
+
+*D_NET *48 0.000832419
+*CONN
+*I *839:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *816:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *839:RESET_B 5.29781e-05
+2 *816:Y 0.000202913
+3 *48:8 0.000255891
+4 *839:RESET_B *238:8 2.52027e-05
+5 *48:8 *821:B 0.000140259
+6 *5:191 *48:8 1.94522e-05
+7 *5:222 *48:8 5.51118e-05
+8 *5:223 *48:8 4.6595e-05
+9 *5:227 *48:8 2.93845e-05
+10 *29:8 *48:8 0
+11 *42:8 *48:8 4.6318e-06
+*RES
+1 *816:Y *48:8 42.8886
+2 *48:8 *839:RESET_B 16.0254
+*END
+
+*D_NET *49 0.000975035
+*CONN
+*I *840:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *817:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *840:RESET_B 0.000318451
+2 *817:Y 0.000318451
+3 *840:RESET_B *840:D 0.000113721
+4 *840:RESET_B *69:8 0.000224411
+*RES
+1 *817:Y *840:RESET_B 28.4789
+*END
+
+*D_NET *50 0.00163107
+*CONN
+*I *841:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *818:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *841:RESET_B 5.44861e-05
+2 *818:Y 0.000297968
+3 *50:8 0.000352454
+4 *841:RESET_B *233:7 2.17418e-05
+5 *50:8 *818:B 0.000137368
+6 *50:8 *53:6 8.36831e-05
+7 *50:8 *234:7 9.41704e-05
+8 *50:8 *237:117 0.000110922
+9 *50:8 *333:144 0.000422644
+10 *5:504 *50:8 5.56356e-05
+11 *29:8 *50:8 0
+*RES
+1 *818:Y *50:8 45.83
+2 *50:8 *841:RESET_B 16.0254
+*END
+
+*D_NET *51 0.000373251
+*CONN
+*I *842:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *819:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *842:RESET_B 0
+2 *819:Y 6.95043e-05
+3 *51:6 6.95043e-05
+4 *51:6 *234:8 0.000117121
+5 *51:6 *237:117 0.000117121
+*RES
+1 *819:Y *51:6 40.9621
+2 *51:6 *842:RESET_B 15
+*END
+
+*D_NET *52 0.0008108
+*CONN
+*I *843:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *820:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *843:RESET_B 0.00010119
+2 *820:Y 9.07718e-05
+3 *52:8 0.000191961
+4 *843:RESET_B *235:5 1.72202e-05
+5 *843:RESET_B *235:9 2.8521e-05
+6 *843:RESET_B *263:21 9.58714e-05
+7 *52:8 *819:B 5.50106e-05
+8 *52:8 *237:117 0.000136486
+9 *52:8 *333:162 1.58805e-05
+10 *52:8 *333:177 4.85126e-05
+11 *5:261 *52:8 2.93747e-05
+*RES
+1 *820:Y *52:8 40.5271
+2 *52:8 *843:RESET_B 16.9782
+*END
+
+*D_NET *53 0.00121923
+*CONN
+*I *844:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *821:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *844:RESET_B 0.000136916
+2 *821:Y 0.000251967
+3 *53:6 0.000388883
+4 *844:RESET_B *804:B 6.88885e-05
+5 *844:RESET_B *236:10 6.42913e-05
+6 *5:235 *844:RESET_B 0.0002246
+7 *29:8 *53:6 0
+8 *50:8 *53:6 8.36831e-05
+*RES
+1 *821:Y *53:6 43.075
+2 *53:6 *844:RESET_B 18.8839
+*END
+
+*D_NET *54 0.000258354
+*CONN
+*I *845:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *822:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *845:RESET_B 0
+2 *822:Y 4.19718e-05
+3 *54:6 4.19718e-05
+4 *54:6 *333:235 5.80963e-05
+5 *5:57 *54:6 0.000116314
+*RES
+1 *822:Y *54:6 39.5536
+2 *54:6 *845:RESET_B 15
+*END
+
+*D_NET *55 0.000505402
+*CONN
+*I *846:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *823:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *846:RESET_B 2.86451e-05
+2 *823:Y 0.000134889
+3 *55:6 0.000163534
+4 *846:RESET_B *216:94 2.56078e-05
+5 *29:8 *55:6 6.32688e-05
+6 *38:7 *55:6 8.9458e-05
+*RES
+1 *823:Y *55:6 40.9621
+2 *55:6 *846:RESET_B 15.5489
+*END
+
+*D_NET *56 0.00139044
+*CONN
+*I *847:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *824:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *847:RESET_B 0
+2 *824:Y 0.000626153
+3 *56:6 0.000626153
+4 *56:6 *633:B 0
+5 *56:6 *57:12 0.000138138
+6 *56:6 *228:6 0
+7 *56:6 *228:15 0
+8 *56:6 *229:8 0
+9 *56:6 *333:95 0
+10 *56:6 *333:106 0
+*RES
+1 *824:Y *56:6 49.4136
+2 *56:6 *847:RESET_B 15
+*END
+
+*D_NET *57 0.00136297
+*CONN
+*I *848:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *825:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *848:RESET_B 0
+2 *825:Y 0.000542738
+3 *57:12 0.000542738
+4 *57:12 *88:5 0.000139352
+5 *57:12 *333:95 0
+6 *56:6 *57:12 0.000138138
+*RES
+1 *825:Y *57:12 47.9221
+2 *57:12 *848:RESET_B 15
+*END
+
+*D_NET *58 0.000407711
+*CONN
+*I *849:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *826:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *849:RESET_B 3.43741e-05
+2 *826:Y 8.71202e-05
+3 *58:6 0.000121494
+4 *849:RESET_B *222:8 9.18694e-05
+5 *58:6 *333:10 7.28526e-05
+*RES
+1 *826:Y *58:6 39.9057
+2 *58:6 *849:RESET_B 16.0254
+*END
+
+*D_NET *59 0.000452191
+*CONN
+*I *850:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *827:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *850:RESET_B 0
+2 *827:Y 0.000164752
+3 *59:6 0.000164752
+4 *59:6 *638:A1 0
+5 *59:6 *88:39 8.33365e-05
+6 *59:6 *333:20 3.93505e-05
+7 *11:8 *59:6 0
+*RES
+1 *827:Y *59:6 41.6664
+2 *59:6 *850:RESET_B 15
+*END
+
+*D_NET *60 0.000339525
+*CONN
+*I *851:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *828:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *851:RESET_B 0
+2 *828:Y 0.000107471
+3 *60:6 0.000107471
+4 *60:6 *104:8 8.77628e-05
+5 *60:6 *224:8 3.68205e-05
+*RES
+1 *828:Y *60:6 40.61
+2 *60:6 *851:RESET_B 15
+*END
+
+*D_NET *61 0.000828035
+*CONN
+*I *852:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *829:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *852:RESET_B 0
+2 *829:Y 0.000245677
+3 *61:10 0.000245677
+4 *61:10 *216:37 9.48318e-05
+5 *5:27 *61:10 0.000134701
+6 *5:32 *61:10 8.81402e-05
+7 *11:8 *61:10 1.90074e-05
+*RES
+1 *829:Y *61:10 42.785
+2 *61:10 *852:RESET_B 15
+*END
+
+*D_NET *62 0.0010886
+*CONN
+*I *853:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *830:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *853:RESET_B 0
+2 *830:Y 0.000234418
+3 *62:8 0.000234418
+4 *62:8 *88:97 9.20311e-06
+5 *62:8 *91:8 5.50049e-05
+6 *62:8 *216:24 0.000186813
+7 clockp[1] *62:8 0.000368738
+*RES
+1 *830:Y *62:8 43.6964
+2 *62:8 *853:RESET_B 15
+*END
+
+*D_NET *63 0.000372481
+*CONN
+*I *831:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *739:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *831:D 4.24511e-05
+2 *739:X 7.82766e-05
+3 *63:6 0.000120728
+4 *63:6 *230:18 8.51329e-05
+5 *5:108 *63:6 4.58924e-05
+*RES
+1 *739:X *63:6 40.2579
+2 *63:6 *831:D 38.6214
+*END
+
+*D_NET *64 0.000523961
+*CONN
+*I *832:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *738:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *832:D 8.68336e-05
+2 *738:X 0.000121693
+3 *64:6 0.000208527
+4 *832:D *832:CLK 6.17437e-06
+5 *832:D *88:19 0.000100733
+6 *5:561 *64:6 0
+*RES
+1 *738:X *64:6 39.9057
+2 *64:6 *832:D 40.0507
+*END
+
+*D_NET *65 0.000630972
+*CONN
+*I *833:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *737:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *833:D 0
+2 *737:X 0.000244509
+3 *65:8 0.000244509
+4 *65:8 *88:19 0.000141954
+5 *65:8 *232:8 0
+6 *65:8 *333:64 0
+7 *65:8 *333:76 0
+8 *29:8 *65:8 0
+*RES
+1 *737:X *65:8 42.1843
+2 *65:8 *833:D 38
+*END
+
+*D_NET *66 0.000661699
+*CONN
+*I *834:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *736:Y O *D sky130_fd_sc_hd__nand3_2
+*CAP
+1 *834:D 0
+2 *736:Y 0.000273467
+3 *66:6 0.000273467
+4 *66:6 *638:A0 0
+5 *66:6 *812:B 0
+6 *66:6 *217:55 0.000114764
+7 *66:6 *217:64 0
+8 *66:6 *218:8 0
+9 *66:6 *333:41 0
+10 *43:8 *66:6 0
+*RES
+1 *736:Y *66:6 43.075
+2 *66:6 *834:D 38
+*END
+
+*D_NET *67 0.000439189
+*CONN
+*I *835:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *735:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *835:D 2.24437e-05
+2 *735:Y 0.00015233
+3 *67:8 0.000174773
+4 *67:8 *89:44 2.93747e-05
+5 *67:8 *174:8 0
+6 *5:105 *835:D 5.56356e-05
+7 *44:6 *67:8 4.6318e-06
+*RES
+1 *735:Y *67:8 40.175
+2 *67:8 *835:D 38.6214
+*END
+
+*D_NET *68 0.00139806
+*CONN
+*I *836:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *733:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *836:D 3.83547e-05
+2 *733:Y 0.00037534
+3 *68:10 0.000413694
+4 *836:D *216:175 6.17437e-06
+5 *836:D *333:55 3.15313e-05
+6 *68:10 *88:19 0.00011543
+7 *68:10 *173:7 0.000272377
+8 *836:RESET_B *836:D 0.000145154
+*RES
+1 *733:Y *68:10 43.655
+2 *68:10 *836:D 39.5743
+*END
+
+*D_NET *69 0.00172555
+*CONN
+*I *837:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *729:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *837:D 7.71977e-05
+2 *729:X 0.000350626
+3 *69:8 0.000427824
+4 *837:D *139:19 0.000205566
+5 *837:D *216:64 0.000143071
+6 *69:8 *840:CLK 9.98069e-06
+7 *69:8 *840:D 6.17437e-06
+8 *69:8 *171:10 0.000188618
+9 *69:8 *216:56 2.19667e-05
+10 *817:A *69:8 7.01168e-05
+11 *840:RESET_B *69:8 0.000224411
+*RES
+1 *729:X *69:8 45.0429
+2 *69:8 *837:D 41.9564
+*END
+
+*D_NET *70 0.000740909
+*CONN
+*I *838:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *727:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *838:D 0.000174619
+2 *727:X 8.78041e-05
+3 *70:6 0.000262423
+4 *838:D *220:36 0.000139511
+5 *838:D *225:13 6.17437e-06
+6 *70:6 *645:B 0
+7 *70:6 *220:36 7.03766e-05
+8 *70:6 *225:8 0
+*RES
+1 *727:X *70:6 39.9057
+2 *70:6 *838:D 41.9564
+*END
+
+*D_NET *71 0.000342867
+*CONN
+*I *839:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *724:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *839:D 0
+2 *724:X 0.000153311
+3 *71:8 0.000153311
+4 *71:8 *724:B1 2.93845e-05
+5 *71:8 *231:25 6.86023e-06
+6 *71:8 *238:8 0
+7 *29:8 *71:8 0
+*RES
+1 *724:X *71:8 40.5271
+2 *71:8 *839:D 38
+*END
+
+*D_NET *72 0.000995072
+*CONN
+*I *840:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *722:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *840:D 0.000103469
+2 *722:X 0.000224715
+3 *72:8 0.000328184
+4 *72:8 *685:C_N 0.000139323
+5 *72:8 *722:A1 3.22879e-05
+6 *72:8 *129:23 2.64616e-05
+7 *72:8 *165:7 2.07355e-05
+8 *72:8 *171:10 0
+9 *840:RESET_B *840:D 0.000113721
+10 *69:8 *840:D 6.17437e-06
+*RES
+1 *722:X *72:8 41.9564
+2 *72:8 *840:D 40.5271
+*END
+
+*D_NET *73 0.00151324
+*CONN
+*I *841:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *719:X O *D sky130_fd_sc_hd__o2bb2a_2
+*CAP
+1 *841:D 0.000266375
+2 *719:X 0.000211961
+3 *73:8 0.000478336
+4 *841:D *841:CLK 0.000100486
+5 *841:D *233:7 0.000104182
+6 *73:8 *684:B 9.32613e-05
+7 *73:8 *723:B 5.27797e-05
+8 *73:8 *76:6 0.000166513
+9 *73:8 *145:15 6.17437e-06
+10 *73:8 *145:24 2.24151e-05
+11 *73:8 *238:28 1.07617e-05
+*RES
+1 *719:X *73:8 42.9921
+2 *73:8 *841:D 43.075
+*END
+
+*D_NET *74 0.00262385
+*CONN
+*I *842:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *717:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *842:D 0
+2 *717:Y 7.49693e-05
+3 *74:10 0.00043548
+4 *74:7 0.000510449
+5 *74:7 *681:A 0.000141352
+6 *74:10 *695:A3 0.000250774
+7 *74:10 *129:111 0.000432791
+8 *74:10 *140:34 0.000163361
+9 *74:10 *144:10 0.000182253
+10 *74:10 *162:8 0.000138727
+11 *74:10 *236:10 8.92841e-05
+12 *74:10 *236:19 0.000204405
+13 *29:8 *74:10 0
+*RES
+1 *717:Y *74:7 39.5743
+2 *74:7 *74:10 28.8786
+3 *74:10 *842:D 23
+*END
+
+*D_NET *75 0.000443168
+*CONN
+*I *843:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *714:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *843:D 0.000191768
+2 *714:X 0.000191768
+3 *843:D *235:9 5.96327e-05
+*RES
+1 *714:X *843:D 48.5479
+*END
+
+*D_NET *76 0.000899927
+*CONN
+*I *844:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *712:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *844:D 0
+2 *712:X 0.000145701
+3 *76:6 0.000145701
+4 *76:6 *684:B 0
+5 *76:6 *843:CLK 4.41619e-05
+6 *76:6 *133:22 0
+7 *76:6 *145:15 0.000169683
+8 *76:6 *145:24 0.000218952
+9 *76:6 *216:222 9.21347e-06
+10 *73:8 *76:6 0.000166513
+*RES
+1 *712:X *76:6 43.075
+2 *76:6 *844:D 38
+*END
+
+*D_NET *77 0.00206378
+*CONN
+*I *845:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *709:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *845:D 0.000546646
+2 *709:X 0.000122779
+3 *77:6 0.000669425
+4 *845:D *129:63 3.17634e-05
+5 *845:D *237:12 7.53384e-06
+6 *77:6 *705:B1 3.72639e-05
+7 *77:6 *706:B 7.03869e-05
+8 *77:6 *129:63 8.26569e-05
+9 *77:6 *146:10 0.000222113
+10 *5:51 *845:D 0.000152186
+11 *5:66 *845:D 0.00012103
+*RES
+1 *709:X *77:6 42.0186
+2 *77:6 *845:D 45.8352
+*END
+
+*D_NET *78 0.000739539
+*CONN
+*I *849:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *639:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *849:D 4.90979e-05
+2 *639:X 0.000168116
+3 *78:8 0.000217213
+4 *78:8 *651:A 0.000137651
+5 *78:8 *122:6 0.000111852
+6 clockp[1] *78:8 5.561e-05
+*RES
+1 *639:X *78:8 41.1279
+2 *78:8 *849:D 38.6214
+*END
+
+*D_NET *79 0.00139956
+*CONN
+*I *850:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *638:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *850:D 0
+2 *638:X 0.000576086
+3 *79:14 0.000576086
+4 *405:DIODE *79:14 7.12514e-05
+5 *5:621 *79:14 2.72789e-05
+6 *11:8 *79:14 0.000110106
+7 *11:16 *79:14 3.87473e-05
+*RES
+1 *638:X *79:14 46.6379
+2 *79:14 *850:D 38
+*END
+
+*D_NET *80 0.000492547
+*CONN
+*I *851:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *637:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *851:D 0.000132719
+2 *637:X 4.50307e-05
+3 *80:6 0.000177749
+4 *80:6 *647:A 8.57485e-05
+5 *80:6 *88:65 5.13002e-05
+*RES
+1 *637:X *80:6 39.2014
+2 *80:6 *851:D 39.595
+*END
+
+*D_NET *81 0.00118337
+*CONN
+*I *852:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *636:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *852:D 0
+2 *636:X 0.000350109
+3 *81:8 0.000350109
+4 *81:8 *643:A 3.22879e-05
+5 *81:8 *643:B 3.53115e-05
+6 *81:8 *333:255 0
+7 clockp[1] *81:8 0.000349505
+8 *32:27 *81:8 6.60471e-05
+*RES
+1 *636:X *81:8 45.25
+2 *81:8 *852:D 38
+*END
+
+*D_NET *82 0.000415201
+*CONN
+*I *853:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *635:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *853:D 8.28559e-05
+2 *635:X 7.83108e-05
+3 *82:6 0.000161167
+4 *853:D *853:CLK 5.70052e-05
+5 *82:6 *659:C1 3.58626e-05
+6 *82:6 *88:97 0
+*RES
+1 *635:X *82:6 39.2014
+2 *82:6 *853:D 39.1652
+*END
+
+*D_NET *83 0.00695905
+*CONN
+*I *776:A I *D sky130_fd_sc_hd__or2_2
+*I *744:A I *D sky130_fd_sc_hd__nand2_2
+*I *694:A I *D sky130_fd_sc_hd__or4_2
+*I *772:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *748:A I *D sky130_fd_sc_hd__nor2_2
+*I *628:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *776:A 4.1508e-05
+2 *744:A 0
+3 *694:A 6.55386e-05
+4 *772:C1 0
+5 *748:A 0
+6 *628:Y 0.000429681
+7 *83:36 0.000141547
+8 *83:30 0.000525406
+9 *83:25 0.000683209
+10 *83:20 0.000688909
+11 *83:8 0.000712195
+12 *694:A *84:18 9.91798e-05
+13 *694:A *143:5 0.000139352
+14 *694:A *143:9 8.6949e-05
+15 *694:A *177:14 1.41077e-05
+16 *776:A *197:26 5.12055e-06
+17 *83:8 *786:A2 0.000150806
+18 *83:8 *181:18 2.80394e-05
+19 *83:8 *196:12 9.58714e-05
+20 *83:8 *199:6 6.01895e-05
+21 *83:8 *207:25 6.23461e-05
+22 *83:8 *237:100 2.18506e-05
+23 *83:8 *237:106 4.34973e-05
+24 *83:20 *750:B 8.43466e-05
+25 *83:20 *799:B 5.3304e-05
+26 *83:20 *197:8 1.41077e-05
+27 *83:20 *199:6 3.70094e-05
+28 *83:20 *207:25 0.000154147
+29 *83:20 *234:115 0.00021477
+30 *83:20 *234:126 0.000141052
+31 *83:25 *743:A 2.64616e-05
+32 *83:25 *750:B 0.000551975
+33 *83:25 *142:29 2.33661e-05
+34 *83:25 *143:28 0.000178906
+35 *83:25 *176:8 1.80087e-05
+36 *83:25 *178:12 0.000104561
+37 *83:25 *183:8 9.8971e-06
+38 *83:25 *197:8 1.94693e-05
+39 *83:25 *299:17 4.5873e-05
+40 *83:30 *84:26 0
+41 *83:30 *142:29 2.26497e-05
+42 *83:30 *143:9 0.000100803
+43 *83:30 *143:28 0.000383929
+44 *83:30 *176:8 1.41384e-05
+45 *83:30 *177:14 5.99242e-05
+46 *83:30 *236:37 9.47501e-05
+47 *83:36 *694:C 1.02428e-05
+48 *83:36 *772:B1 1.46586e-05
+49 *83:36 *84:18 0.000107348
+50 *83:36 *86:13 7.27694e-06
+51 *83:36 *143:28 0.000182276
+52 *83:36 *177:14 2.81411e-05
+53 *83:36 *187:17 0
+54 *380:DIODE *83:25 5.93734e-05
+55 *5:346 *83:20 0.000100978
+*RES
+1 *628:Y *83:8 44.235
+2 *83:8 *748:A 38
+3 *83:8 *83:20 22.1879
+4 *83:20 *83:25 23.9486
+5 *83:25 *83:30 21.8771
+6 *83:30 *83:36 33.4852
+7 *83:36 *772:C1 23
+8 *83:30 *694:A 25.5271
+9 *83:25 *744:A 38
+10 *83:20 *776:A 23.8493
+*END
+
+*D_NET *84 0.0103573
+*CONN
+*I *742:B I *D sky130_fd_sc_hd__or3_2
+*I *759:B I *D sky130_fd_sc_hd__or3_2
+*I *774:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *696:A I *D sky130_fd_sc_hd__xnor2_2
+*I *695:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *629:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *742:B 0.000140905
+2 *759:B 0
+3 *774:A2 0.000312268
+4 *696:A 0
+5 *695:A1 0.00100526
+6 *629:Y 4.24857e-05
+7 *84:26 0.00153641
+8 *84:23 0.00120614
+9 *84:18 0.000623324
+10 *84:10 0.000496426
+11 *84:7 0.000419208
+12 *695:A1 *711:A 0.0001586
+13 *695:A1 *712:A1 0.000265466
+14 *695:A1 *712:S 2.32101e-05
+15 *695:A1 *129:111 0.000180029
+16 *695:A1 *145:5 1.76557e-05
+17 *695:A1 *145:7 2.5259e-05
+18 *742:B *742:C 7.75736e-06
+19 *742:B *177:47 2.93747e-05
+20 *742:B *187:33 3.81467e-05
+21 *742:B *236:84 8.13127e-05
+22 *774:A2 *773:A2 6.00922e-06
+23 *774:A2 *774:A1 1.51491e-05
+24 *774:A2 *86:8 3.47781e-05
+25 *774:A2 *86:40 0.000252189
+26 *774:A2 *142:29 2.31163e-05
+27 *774:A2 *142:47 1.74874e-06
+28 *774:A2 *193:8 2.95125e-05
+29 *774:A2 *237:40 5.01986e-05
+30 *774:A2 *237:54 0.000163756
+31 *84:7 *742:C 5.50106e-05
+32 *84:10 *742:C 1.25898e-05
+33 *84:10 *759:A 6.24239e-05
+34 *84:10 *131:62 0.000113599
+35 *84:10 *187:17 8.15379e-06
+36 *84:10 *237:75 9.86551e-06
+37 *84:18 *85:5 0.000139352
+38 *84:18 *131:28 5.50106e-05
+39 *84:18 *131:62 1.82852e-05
+40 *84:18 *143:5 8.48502e-06
+41 *84:18 *150:41 4.45778e-05
+42 *84:18 *177:14 0.000135492
+43 *84:18 *187:17 4.1023e-05
+44 *84:23 *86:13 0.000390938
+45 *84:23 *130:94 2.65505e-05
+46 *84:26 *631:A 5.11935e-06
+47 *84:26 *708:B1 0.000165557
+48 *84:26 *86:8 8.62783e-05
+49 *84:26 *129:58 8.41115e-05
+50 *84:26 *129:90 0.000163361
+51 *84:26 *129:121 0.000287751
+52 *84:26 *129:132 0.000183433
+53 *84:26 *134:17 9.176e-05
+54 *84:26 *145:58 0.000456514
+55 *84:26 *146:10 0
+56 *84:26 *234:47 6.82999e-05
+57 *84:26 *237:12 1.00251e-05
+58 *84:26 *237:33 7.59137e-05
+59 *84:26 *237:40 0.000165593
+60 *694:A *84:18 9.91798e-05
+61 *83:30 *84:26 0
+62 *83:36 *84:18 0.000107348
+*RES
+1 *629:Y *84:7 38.6214
+2 *84:7 *84:10 3.58357
+3 *84:10 *84:18 36.1107
+4 *84:18 *84:23 34.9093
+5 *84:23 *84:26 30.9914
+6 *84:26 *695:A1 40.5657
+7 *84:26 *696:A 23
+8 *84:23 *774:A2 43.4012
+9 *84:10 *759:B 38
+10 *84:7 *742:B 40.5271
+*END
+
+*D_NET *85 0.00308404
+*CONN
+*I *759:C I *D sky130_fd_sc_hd__or3_2
+*I *694:B I *D sky130_fd_sc_hd__or4_2
+*I *743:B I *D sky130_fd_sc_hd__nor2_2
+*I *630:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *759:C 0
+2 *694:B 0
+3 *743:B 0
+4 *630:Y 0
+5 *85:29 0.000164155
+6 *85:10 0.000318881
+7 *85:5 0.000548418
+8 *85:4 0.000393692
+9 *85:5 *131:28 4.21281e-05
+10 *85:5 *143:5 1.14876e-05
+11 *85:10 *150:41 0.000537728
+12 *85:10 *177:14 5.62433e-06
+13 *85:10 *187:17 0.000196941
+14 *85:10 *187:33 0.000284771
+15 *85:10 *299:26 4.82567e-05
+16 *85:29 *692:A 3.05917e-06
+17 *85:29 *772:B1 4.2898e-05
+18 *85:29 *86:13 9.25979e-05
+19 *85:29 *131:62 0.000128486
+20 *85:29 *235:61 0.00012556
+21 *84:18 *85:5 0.000139352
+*RES
+1 *630:Y *85:4 23
+2 *85:4 *85:5 3.00357
+3 *85:5 *85:10 37.8921
+4 *85:10 *743:B 23
+5 *85:5 *694:B 23
+6 *85:4 *85:29 33.7907
+7 *85:29 *759:C 23
+*END
+
+*D_NET *86 0.00973367
+*CONN
+*I *790:A1 I *D sky130_fd_sc_hd__o221a_2
+*I *782:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *692:A I *D sky130_fd_sc_hd__nor2_2
+*I *717:A I *D sky130_fd_sc_hd__xnor2_2
+*I *631:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *790:A1 0
+2 *782:A1 0.000117716
+3 *692:A 0.000105813
+4 *717:A 0.00031538
+5 *631:Y 0.000152882
+6 *86:57 0.000531882
+7 *86:40 0.00111122
+8 *86:13 0.00090095
+9 *86:8 0.00109426
+10 *692:A *692:B 2.93104e-06
+11 *692:A *772:B1 1.61339e-05
+12 *692:A *87:28 3.39192e-05
+13 *692:A *87:41 4.89731e-05
+14 *692:A *235:61 7.05435e-05
+15 *717:A *702:A 5.3304e-05
+16 *717:A *704:B1 9.66585e-05
+17 *717:A *716:A1 3.46013e-05
+18 *717:A *87:8 0.000225401
+19 *717:A *87:28 9.38069e-05
+20 *717:A *131:20 7.74316e-05
+21 *717:A *131:62 7.76364e-06
+22 *717:A *235:61 0.000130826
+23 *782:A1 *783:A1 0.000221997
+24 *782:A1 *150:32 0.000221997
+25 *86:8 *700:B 0.000101764
+26 *86:13 *759:A 5.67058e-05
+27 *86:13 *772:A2 6.72677e-05
+28 *86:13 *772:B1 0.000134498
+29 *86:13 *773:B1 7.89265e-07
+30 *86:13 *130:94 5.56356e-05
+31 *86:13 *236:37 6.39489e-06
+32 *86:40 *130:76 0.00057792
+33 *86:40 *142:47 0
+34 *86:40 *177:14 0
+35 *86:57 *777:A 5.3304e-05
+36 *86:57 *783:A1 5.3304e-05
+37 *86:57 *783:B1 0.00026428
+38 *86:57 *783:B2 1.09903e-05
+39 *86:57 *787:A2 1.14876e-05
+40 *86:57 *788:C 4.64843e-05
+41 *86:57 *130:39 0.000131732
+42 *86:57 *143:29 1.71761e-05
+43 *86:57 *143:36 3.82137e-05
+44 *86:57 *150:32 0.000409598
+45 *86:57 *150:54 0.000438468
+46 *86:57 *176:64 1.05441e-05
+47 *86:57 *178:15 1.71761e-05
+48 *86:57 *178:20 2.31687e-05
+49 *86:57 *184:30 5.3304e-05
+50 *86:57 *187:44 9.68347e-05
+51 *86:57 *206:8 0.000133543
+52 *382:DIODE *86:13 9.41704e-05
+53 *774:A2 *86:8 3.47781e-05
+54 *774:A2 *86:40 0.000252189
+55 *786:B1 *86:57 5.05259e-05
+56 *5:761 *782:A1 3.46404e-05
+57 *5:772 *86:40 0.000110913
+58 *17:31 *86:40 2.5625e-05
+59 *18:5 *86:57 6.51993e-05
+60 *19:18 *86:57 8.50742e-06
+61 *83:36 *86:13 7.27694e-06
+62 *84:23 *86:13 0.000390938
+63 *84:26 *86:8 8.62783e-05
+64 *85:29 *692:A 3.05917e-06
+65 *85:29 *86:13 9.25979e-05
+*RES
+1 *631:Y *86:8 40.6514
+2 *86:8 *86:13 39.6736
+3 *86:13 *717:A 44.6493
+4 *86:13 *692:A 40.6618
+5 *86:8 *86:40 23.9486
+6 *86:40 *782:A1 26.3039
+7 *86:40 *86:57 45.08
+8 *86:57 *790:A1 23
+*END
+
+*D_NET *87 0.00845715
+*CONN
+*I *799:B I *D sky130_fd_sc_hd__or3_2
+*I *750:B I *D sky130_fd_sc_hd__or3_2
+*I *692:B I *D sky130_fd_sc_hd__nor2_2
+*I *702:A I *D sky130_fd_sc_hd__xnor2_2
+*I *716:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *632:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *799:B 4.09885e-05
+2 *750:B 0.000584262
+3 *692:B 5.98071e-05
+4 *702:A 0.000229946
+5 *716:A1 0.000205448
+6 *632:Y 0.000326123
+7 *87:41 0.000863276
+8 *87:28 0.000381503
+9 *87:8 0.000573787
+10 *87:7 0.000548186
+11 *692:B *779:B 2.24869e-05
+12 *702:A *704:B1 0.000218386
+13 *702:A *704:B2 0.000444457
+14 *702:A *131:20 0.000186029
+15 *702:A *131:31 0.000537194
+16 *702:A *152:5 5.56276e-05
+17 *716:A1 *704:B1 5.91105e-05
+18 *716:A1 *716:A2 0.000251112
+19 *716:A1 *152:10 0
+20 *716:A1 *153:17 4.36657e-05
+21 *716:A1 *233:28 0.000101749
+22 *716:A1 *246:22 0.000252807
+23 *87:7 *235:52 0.000436767
+24 *87:8 *704:B1 0.000183054
+25 *87:8 *130:21 5.01986e-05
+26 *87:28 *130:21 0.00010512
+27 *87:28 *130:39 2.33661e-05
+28 *87:41 *742:C 4.19875e-05
+29 *87:41 *130:39 0.00035265
+30 *87:41 *235:61 8.30566e-06
+31 *87:41 *236:84 0
+32 *87:41 *278:8 2.26497e-05
+33 *382:DIODE *692:B 2.02872e-05
+34 *692:A *692:B 2.93104e-06
+35 *692:A *87:28 3.39192e-05
+36 *692:A *87:41 4.89731e-05
+37 *717:A *702:A 5.3304e-05
+38 *717:A *716:A1 3.46013e-05
+39 *717:A *87:8 0.000225401
+40 *717:A *87:28 9.38069e-05
+41 *786:B1 *87:41 4.42466e-05
+42 *83:20 *750:B 8.43466e-05
+43 *83:20 *799:B 5.3304e-05
+44 *83:25 *750:B 0.000551975
+*RES
+1 *632:Y *87:7 42.9093
+2 *87:7 *87:8 3.31429
+3 *87:8 *716:A1 44.4939
+4 *87:8 *702:A 47.6943
+5 *87:7 *87:28 1.90571
+6 *87:28 *692:B 39.1186
+7 *87:28 *87:41 20.075
+8 *87:41 *750:B 30.7679
+9 *87:41 *799:B 23.6214
+*END
+
+*D_NET *88 0.0185962
+*CONN
+*I *737:S I *D sky130_fd_sc_hd__mux2_1
+*I *727:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *635:S I *D sky130_fd_sc_hd__mux2_1
+*I *636:S I *D sky130_fd_sc_hd__mux2_1
+*I *729:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *637:S I *D sky130_fd_sc_hd__mux2_1
+*I *736:B I *D sky130_fd_sc_hd__nand3_2
+*I *638:S I *D sky130_fd_sc_hd__mux2_1
+*I *639:S I *D sky130_fd_sc_hd__mux2_1
+*I *633:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *737:S 0
+2 *727:B1 2.34823e-05
+3 *635:S 0
+4 *636:S 0
+5 *729:C1 0
+6 *637:S 6.57138e-06
+7 *736:B 0.000102333
+8 *638:S 0
+9 *639:S 0
+10 *633:Y 0.000270198
+11 *88:97 0.000796483
+12 *88:82 0.00130778
+13 *88:77 0.000863517
+14 *88:65 0.000489636
+15 *88:39 0.000877802
+16 *88:35 0.00078204
+17 *88:29 0.00114882
+18 *88:21 0.00156266
+19 *88:19 0.00312618
+20 *88:5 0.00282163
+21 *736:B *638:A1 4.17736e-05
+22 *736:B *217:55 5.50049e-05
+23 *736:B *333:27 0.000117923
+24 *88:5 *825:B 7.44257e-05
+25 *88:5 *232:11 1.94693e-05
+26 *88:19 *737:A0 0.000308324
+27 *88:19 *832:CLK 3.15313e-05
+28 *88:19 *89:44 1.58805e-05
+29 *88:19 *171:10 0
+30 *88:19 *171:12 0
+31 *88:19 *173:7 2.45549e-06
+32 *88:19 *216:73 0
+33 *88:19 *216:79 0
+34 *88:19 *216:81 0
+35 *88:19 *231:11 0.000136743
+36 *88:19 *232:11 2.25419e-05
+37 *88:19 *232:19 1.32939e-05
+38 *88:29 *216:144 1.83667e-05
+39 *88:29 *222:21 3.22879e-05
+40 *88:39 *638:A1 5.67058e-05
+41 *88:39 *648:B 0
+42 *88:39 *649:B 0
+43 *88:39 *99:18 6.33614e-05
+44 *88:39 *100:8 8.26569e-05
+45 *88:39 *102:8 1.34354e-05
+46 *88:39 *104:8 0
+47 *88:39 *219:23 0
+48 *88:39 *219:34 0
+49 *88:39 *223:17 0
+50 *88:39 *333:27 0.000103647
+51 *88:65 *647:A 3.85833e-05
+52 *88:65 *96:10 7.5047e-05
+53 *88:65 *170:8 5.75756e-06
+54 *88:65 *219:34 2.08572e-05
+55 *88:77 *647:A 5.01232e-06
+56 *88:77 *727:A2 6.17437e-06
+57 *88:77 *851:CLK 6.45402e-05
+58 *88:77 *169:14 9.20311e-06
+59 *88:77 *170:8 8.20101e-05
+60 *88:77 *216:46 0.00042963
+61 *88:77 *220:19 0
+62 *88:77 *220:20 1.36806e-05
+63 *88:77 *221:10 3.82956e-05
+64 *88:77 *221:14 8.16459e-05
+65 *88:82 *645:A 0.000125404
+66 *88:82 *93:22 0.000277792
+67 *88:82 *220:20 3.7122e-06
+68 *88:97 *642:A 0
+69 *88:97 *659:C1 0
+70 *88:97 *92:26 0.000127972
+71 *88:97 *216:24 9.02657e-05
+72 *88:97 *225:8 0
+73 *88:97 *333:245 0
+74 clockp[1] *88:97 0
+75 *338:DIODE *88:29 0.000170928
+76 *405:DIODE *736:B 6.22712e-05
+77 *405:DIODE *88:39 7.42621e-05
+78 *832:D *88:19 0.000100733
+79 *5:180 *88:5 3.71369e-05
+80 *5:191 *88:5 4.75937e-05
+81 *5:604 *637:S 3.61511e-05
+82 *5:604 *88:39 4.44633e-05
+83 *5:611 *88:29 0.000194356
+84 *5:613 *88:29 1.83564e-05
+85 *11:8 *88:29 0.000164146
+86 *11:8 *88:82 8.51329e-05
+87 *11:8 *88:97 0.000135535
+88 *57:12 *88:5 0.000139352
+89 *59:6 *88:39 8.33365e-05
+90 *62:8 *88:97 9.20311e-06
+91 *65:8 *88:19 0.000141954
+92 *68:10 *88:19 0.00011543
+93 *80:6 *88:65 5.13002e-05
+94 *82:6 *88:97 0
+*RES
+1 *633:Y *88:5 28.8621
+2 *88:5 *88:19 48.126
+3 *88:19 *88:21 0.742933
+4 *88:21 *88:29 32.9478
+5 *88:29 *639:S 23
+6 *88:21 *88:35 8
+7 *88:35 *88:39 30.7532
+8 *88:39 *638:S 23
+9 *88:39 *736:B 26.0243
+10 *88:35 *637:S 38.3211
+11 *88:19 *88:65 11.9046
+12 *88:65 *729:C1 38
+13 *88:65 *88:77 23.4307
+14 *88:77 *88:82 25.0257
+15 *88:82 *636:S 38
+16 *88:82 *88:97 38.3542
+17 *88:97 *635:S 23
+18 *88:77 *727:B1 23.4971
+19 *88:5 *737:S 23
+*END
+
+*D_NET *89 0.00655998
+*CONN
+*I *738:S I *D sky130_fd_sc_hd__mux2_1
+*I *735:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *733:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *686:C I *D sky130_fd_sc_hd__and3_2
+*I *739:B I *D sky130_fd_sc_hd__or2_2
+*I *634:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *738:S 0
+2 *735:B1 0
+3 *733:B1 0
+4 *686:C 0.000207462
+5 *739:B 0.000378994
+6 *634:X 0.000612035
+7 *89:44 0.000261162
+8 *89:34 0.00058444
+9 *89:7 0.000586456
+10 *89:5 0.000935313
+11 *686:C *686:B 0.000349377
+12 *686:C *333:64 9.5877e-05
+13 *739:B *686:B 4.92689e-05
+14 *739:B *216:88 5.41288e-05
+15 *739:B *230:8 0.000110913
+16 *739:B *230:18 0
+17 *739:B *333:76 0
+18 *89:5 *833:CLK 0.000220433
+19 *89:5 *231:11 8.17328e-05
+20 *89:5 *232:8 0.000263534
+21 *89:34 *216:131 0.000233359
+22 *89:34 *230:18 7.22308e-05
+23 *89:34 *231:11 0.000139346
+24 *89:44 *732:A 0.000158394
+25 *89:44 *171:12 0
+26 *89:44 *172:9 4.6011e-05
+27 *89:44 *173:7 2.80394e-05
+28 *89:44 *174:8 4.21594e-06
+29 *89:44 *216:81 0
+30 *89:44 *216:131 0.000142585
+31 *424:DIODE *89:34 9.61443e-05
+32 *809:A *89:5 6.22259e-05
+33 *833:RESET_B *89:5 2.56078e-05
+34 *5:140 *89:34 0.000119313
+35 *5:146 *739:B 0.000167443
+36 *5:561 *686:C 0.000344635
+37 *5:561 *739:B 8.4047e-05
+38 *67:8 *89:44 2.93747e-05
+39 *88:19 *89:44 1.58805e-05
+*RES
+1 *634:X *89:5 36.9614
+2 *89:5 *89:7 15
+3 *89:7 *739:B 46.3479
+4 *89:7 *686:C 43.8207
+5 *89:5 *89:34 8.41
+6 *89:34 *89:44 36.2557
+7 *89:44 *733:B1 23
+8 *89:34 *735:B1 23
+9 *89:5 *738:S 23
+*END
+
+*D_NET *90 0.00364117
+*CONN
+*I *642:A I *D sky130_fd_sc_hd__and2_2
+*I *659:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *640:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *642:A 4.19182e-05
+2 *659:C1 0.000237502
+3 *640:Y 0.00113363
+4 *90:15 0.000279421
+5 *90:13 0.00113363
+6 *642:A *91:8 1.14452e-05
+7 *659:C1 *92:6 6.7093e-05
+8 *659:C1 *92:8 4.5882e-05
+9 *659:C1 *92:26 0
+10 *659:C1 *106:13 2.04774e-05
+11 *659:C1 *108:8 6.07611e-06
+12 *90:13 *635:A1 1.76095e-05
+13 *90:13 *640:B 0.000452224
+14 *90:13 *221:55 1.67818e-05
+15 *90:13 *221:63 8.6097e-05
+16 *90:13 *225:8 5.55172e-05
+17 *82:6 *659:C1 3.58626e-05
+18 *88:97 *642:A 0
+19 *88:97 *659:C1 0
+*RES
+1 *640:Y *90:13 40.1514
+2 *90:13 *90:15 15
+3 *90:15 *659:C1 43.075
+4 *90:15 *642:A 38.8493
+*END
+
+*D_NET *91 0.00132136
+*CONN
+*I *642:B I *D sky130_fd_sc_hd__and2_2
+*I *641:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *642:B 0
+2 *641:X 0.00054907
+3 *91:8 0.00054907
+4 *91:8 *830:B 4.5051e-05
+5 *91:8 *221:63 5.50106e-05
+6 *642:A *91:8 1.14452e-05
+7 *5:15 *91:8 5.67058e-05
+8 *62:8 *91:8 5.50049e-05
+*RES
+1 *641:X *91:8 48.0257
+2 *91:8 *642:B 38
+*END
+
+*D_NET *92 0.00270748
+*CONN
+*I *657:A I *D sky130_fd_sc_hd__and2_2
+*I *656:A I *D sky130_fd_sc_hd__nor2_2
+*I *658:A I *D sky130_fd_sc_hd__nand2_2
+*I *642:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *657:A 0
+2 *656:A 0
+3 *658:A 0.000100814
+4 *642:X 0.00014846
+5 *92:26 0.000444668
+6 *92:8 0.00032679
+7 *92:6 0.000819105
+8 *658:A *658:B 0.000196549
+9 *92:8 *658:B 1.14452e-05
+10 *92:8 *105:12 8.02348e-05
+11 *92:8 *108:8 0
+12 *92:26 *853:CLK 0.000187032
+13 *92:26 *105:31 6.07611e-06
+14 *92:26 *106:13 0
+15 clockp[1] *92:26 0
+16 *659:C1 *92:6 6.7093e-05
+17 *659:C1 *92:8 4.5882e-05
+18 *659:C1 *92:26 0
+19 *677:B1 *92:8 0.000124813
+20 *10:19 *92:8 2.05503e-05
+21 *88:97 *92:26 0.000127972
+*RES
+1 *642:X *92:6 40.9621
+2 *92:6 *92:8 5.075
+3 *92:8 *658:A 40.61
+4 *92:8 *656:A 38
+5 *92:6 *92:26 37.2914
+6 *92:26 *657:A 38
+*END
+
+*D_NET *93 0.00206374
+*CONN
+*I *662:A I *D sky130_fd_sc_hd__or2_2
+*I *644:A I *D sky130_fd_sc_hd__inv_2
+*I *643:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *662:A 0
+2 *644:A 2.34823e-05
+3 *643:Y 0.000103559
+4 *93:22 0.000328674
+5 *93:9 0.000383927
+6 *93:6 0.00013533
+7 *93:6 *333:255 0
+8 *93:9 *667:A1 1.76557e-05
+9 *93:9 *667:A2 5.70419e-05
+10 *93:9 *105:7 7.88926e-05
+11 *93:22 *645:B 3.70094e-05
+12 *93:22 *647:A 0
+13 *93:22 *655:B1 2.93335e-05
+14 *93:22 *94:7 0.000313931
+15 *93:22 *95:5 2.93431e-05
+16 *93:22 *95:16 6.15779e-05
+17 *93:22 *105:7 0.000102093
+18 *93:22 *220:36 0
+19 clockp[1] *93:6 8.41012e-05
+20 *9:38 *644:A 0
+21 *88:82 *93:22 0.000277792
+*RES
+1 *643:Y *93:6 40.2579
+2 *93:6 *93:9 16.5743
+3 *93:9 *644:A 23.4971
+4 *93:9 *93:22 38.9486
+5 *93:22 *662:A 23
+*END
+
+*D_NET *94 0.000803823
+*CONN
+*I *655:A1 I *D sky130_fd_sc_hd__a31o_2
+*I *644:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *655:A1 0
+2 *644:Y 0.000155687
+3 *94:7 0.000155687
+4 *94:7 *104:27 0.000178517
+5 *93:22 *94:7 0.000313931
+*RES
+1 *644:Y *94:7 27.3293
+2 *94:7 *655:A1 23
+*END
+
+*D_NET *95 0.000461316
+*CONN
+*I *662:B I *D sky130_fd_sc_hd__or2_2
+*I *655:B1 I *D sky130_fd_sc_hd__a31o_2
+*I *645:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *662:B 0
+2 *655:B1 3.66488e-05
+3 *645:X 2.97788e-05
+4 *95:16 0.000104103
+5 *95:5 0.000170531
+6 *95:16 *104:21 0
+7 *93:22 *655:B1 2.93335e-05
+8 *93:22 *95:5 2.93431e-05
+9 *93:22 *95:16 6.15779e-05
+*RES
+1 *645:X *95:5 23.6214
+2 *95:5 *655:B1 23.6214
+3 *95:5 *95:16 31.9057
+4 *95:16 *662:B 23
+*END
+
+*D_NET *96 0.00186908
+*CONN
+*I *660:A I *D sky130_fd_sc_hd__nor2_2
+*I *646:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *660:A 0
+2 *646:Y 0.000496094
+3 *96:10 0.000496094
+4 *96:10 *646:A 0.000226907
+5 *96:10 *168:8 0
+6 *96:10 *219:34 5.09164e-05
+7 *96:10 *219:37 0.000141344
+8 *96:10 *224:20 0.000182222
+9 *96:10 *224:28 0.000131563
+10 *5:592 *96:10 3.7122e-06
+11 *5:604 *96:10 6.51763e-05
+12 *88:65 *96:10 7.5047e-05
+*RES
+1 *646:Y *96:10 48.0257
+2 *96:10 *660:A 38
+*END
+
+*D_NET *97 0.00172274
+*CONN
+*I *655:A2 I *D sky130_fd_sc_hd__a31o_2
+*I *663:A I *D sky130_fd_sc_hd__nand3_2
+*I *664:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *647:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *655:A2 0
+2 *663:A 0
+3 *664:A1 6.05126e-05
+4 *647:X 0.000350672
+5 *97:36 7.08404e-05
+6 *97:17 0.000205767
+7 *97:7 0.000566767
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 0.000109363
+10 *97:7 *664:B1 4.64428e-05
+11 *97:7 *728:A_N 0
+12 *97:7 *112:5 8.90183e-05
+13 *97:7 *129:17 2.65879e-05
+14 *97:17 *663:C 0
+15 *97:17 *664:B1 0.00010825
+16 *97:36 *104:21 8.85189e-05
+*RES
+1 *647:X *97:7 28.4064
+2 *97:7 *97:17 3.335
+3 *97:17 *664:A1 24.8436
+4 *97:17 *663:A 23
+5 *97:7 *97:36 31.2014
+6 *97:36 *655:A2 23
+*END
+
+*D_NET *98 0.00129159
+*CONN
+*I *660:B I *D sky130_fd_sc_hd__nor2_2
+*I *654:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *648:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *660:B 8.93205e-05
+2 *654:B1 0.000155872
+3 *648:X 0
+4 *98:4 0.000245192
+5 *654:B1 *648:A 7.88828e-05
+6 *654:B1 *110:7 0.000440163
+7 *660:B *110:7 0.000184527
+8 *6:40 *654:B1 9.76358e-05
+*RES
+1 *648:X *98:4 23
+2 *98:4 *654:B1 28.4064
+3 *98:4 *660:B 25.5479
+*END
+
+*D_NET *99 0.00209606
+*CONN
+*I *654:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *653:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *649:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *654:C1 0
+2 *653:B1 0.000471755
+3 *649:X 0.000203634
+4 *99:18 0.000101214
+5 *99:5 0.000776602
+6 *653:B1 *653:A1 0.000356989
+7 *653:B1 *100:11 6.97417e-05
+8 *653:B1 *120:7 2.13733e-05
+9 *99:18 *100:16 3.13912e-05
+10 *88:39 *99:18 6.33614e-05
+*RES
+1 *649:X *99:5 25.5271
+2 *99:5 *653:B1 30.1879
+3 *99:5 *99:18 31.9057
+4 *99:18 *654:C1 23
+*END
+
+*D_NET *100 0.00269716
+*CONN
+*I *670:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *650:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *670:A 0
+2 *653:A1 0.000100476
+3 *654:A1 0
+4 *650:X 0.000266504
+5 *100:16 0.000151562
+6 *100:11 0.000304136
+7 *100:10 5.20986e-05
+8 *100:8 0.000266504
+9 *653:A1 *653:A2 0.000283883
+10 *653:A1 *102:11 1.56542e-05
+11 *653:A1 *120:7 1.76557e-05
+12 *100:8 *102:8 0.000139647
+13 *100:8 *216:144 0.000275932
+14 *100:11 *102:11 0.000100061
+15 *100:16 *102:16 0.00018227
+16 *653:B1 *653:A1 0.000356989
+17 *653:B1 *100:11 6.97417e-05
+18 *88:39 *100:8 8.26569e-05
+19 *99:18 *100:16 3.13912e-05
+*RES
+1 *650:X *100:8 43.2614
+2 *100:8 *100:10 15
+3 *100:10 *100:11 1.57429
+4 *100:11 *100:16 32.61
+5 *100:16 *654:A1 23
+6 *100:11 *653:A1 27.4536
+7 *100:10 *670:A 23
+*END
+
+*D_NET *101 0.000599147
+*CONN
+*I *673:A I *D sky130_fd_sc_hd__nand2_2
+*I *651:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *673:A 0
+2 *651:Y 0.000157039
+3 *101:8 0.000157039
+4 *101:8 *120:18 0
+5 clockp[1] *101:8 0.000166522
+6 *671:A *101:8 0
+7 *826:A *101:8 0.00010018
+8 *6:40 *101:8 1.83667e-05
+*RES
+1 *651:Y *101:8 41.3557
+2 *101:8 *673:A 38
+*END
+
+*D_NET *102 0.00193828
+*CONN
+*I *670:B I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *652:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *670:B 0
+2 *653:A2 0.000136716
+3 *654:A2 0
+4 *652:X 0.000140737
+5 *102:16 0.000185893
+6 *102:11 0.000407478
+7 *102:10 8.48688e-05
+8 *102:8 0.000140737
+9 *653:A2 *120:7 2.93747e-05
+10 *102:8 *216:144 5.56279e-05
+11 *102:8 *217:11 2.18926e-05
+12 *653:A1 *653:A2 0.000283883
+13 *653:A1 *102:11 1.56542e-05
+14 *11:8 *102:8 0
+15 *11:8 *102:16 0
+16 *88:39 *102:8 1.34354e-05
+17 *100:8 *102:8 0.000139647
+18 *100:11 *102:11 0.000100061
+19 *100:16 *102:16 0.00018227
+*RES
+1 *652:X *102:8 41.2314
+2 *102:8 *102:10 15
+3 *102:10 *102:11 1.57429
+4 *102:11 *102:16 32.9621
+5 *102:16 *654:A2 23
+6 *102:11 *653:A2 26.5007
+7 *102:10 *670:B 23
+*END
+
+*D_NET *103 0.000397528
+*CONN
+*I *661:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *661:A 0
+2 *653:X 8.51459e-05
+3 *103:6 8.51459e-05
+4 clockp[1] *103:6 0
+5 *5:611 *103:6 0.000165583
+6 *9:60 *103:6 6.16531e-05
+*RES
+1 *653:X *103:6 40.2579
+2 *103:6 *661:A 38
+*END
+
+*D_NET *104 0.00264468
+*CONN
+*I *655:A3 I *D sky130_fd_sc_hd__a31o_2
+*I *664:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *663:B I *D sky130_fd_sc_hd__nand3_2
+*I *654:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *655:A3 0
+2 *664:A2 0
+3 *663:B 0
+4 *654:X 0.000462173
+5 *104:27 0.000189032
+6 *104:21 0.000312813
+7 *104:8 0.000585954
+8 *104:8 *110:7 5.56356e-05
+9 *104:27 *667:A2 5.80963e-05
+10 *104:27 *333:255 0.000141879
+11 clockp[1] *104:27 0
+12 *5:604 *104:8 0
+13 *6:40 *104:8 3.22051e-05
+14 *11:8 *104:8 0.000298165
+15 *11:8 *104:21 0.000153927
+16 *60:6 *104:8 8.77628e-05
+17 *88:39 *104:8 0
+18 *94:7 *104:27 0.000178517
+19 *95:16 *104:21 0
+20 *97:36 *104:21 8.85189e-05
+*RES
+1 *654:X *104:8 47.2179
+2 *104:8 *663:B 38
+3 *104:8 *104:21 18.0243
+4 *104:21 *104:27 33.9564
+5 *104:27 *664:A2 23
+6 *104:21 *655:A3 23
+*END
+
+*D_NET *105 0.00314219
+*CONN
+*I *657:B I *D sky130_fd_sc_hd__and2_2
+*I *656:B I *D sky130_fd_sc_hd__nor2_2
+*I *658:B I *D sky130_fd_sc_hd__nand2_2
+*I *655:X O *D sky130_fd_sc_hd__a31o_2
+*CAP
+1 *657:B 0
+2 *656:B 0
+3 *658:B 0.000128436
+4 *655:X 0.000434338
+5 *105:31 0.00011641
+6 *105:12 0.000598544
+7 *105:7 0.00102086
+8 *658:B *108:8 5.50106e-05
+9 *105:12 *677:A1 4.56219e-05
+10 *105:12 *108:8 0
+11 *105:31 *106:13 0
+12 *105:31 *109:14 0
+13 clockp[1] *105:31 5.01096e-05
+14 *340:DIODE *105:7 3.45438e-06
+15 *340:DIODE *105:12 2.30292e-05
+16 *658:A *658:B 0.000196549
+17 *667:B1 *105:7 2.98648e-05
+18 *677:B1 *105:12 0.000144231
+19 *9:38 *105:7 1.69977e-05
+20 *92:8 *658:B 1.14452e-05
+21 *92:8 *105:12 8.02348e-05
+22 *92:26 *105:31 6.07611e-06
+23 *93:9 *105:7 7.88926e-05
+24 *93:22 *105:7 0.000102093
+*RES
+1 *655:X *105:7 29.3593
+2 *105:7 *105:12 22.0636
+3 *105:12 *658:B 41.2314
+4 *105:12 *656:B 38
+5 *105:7 *105:31 32.2579
+6 *105:31 *657:B 23
+*END
+
+*D_NET *106 0.00148243
+*CONN
+*I *677:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *659:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *656:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *677:A1 8.95705e-05
+2 *659:A2 0
+3 *656:Y 5.89588e-05
+4 *106:13 0.000261729
+5 *106:5 0.000410259
+6 *677:A1 *127:8 4.21281e-05
+7 *106:5 *127:8 2.93845e-05
+8 *106:13 *108:8 0.000241355
+9 *106:13 *109:14 8.93766e-05
+10 *659:A1 *106:13 9.23306e-07
+11 *659:C1 *106:13 2.04774e-05
+12 *677:B1 *677:A1 5.3304e-05
+13 *677:B1 *106:5 0.000139346
+14 *92:26 *106:13 0
+15 *105:12 *677:A1 4.56219e-05
+16 *105:31 *106:13 0
+*RES
+1 *656:Y *106:5 24.5743
+2 *106:5 *106:13 34.9041
+3 *106:13 *659:A2 23
+4 *106:5 *677:A1 25.0507
+*END
+
+*D_NET *107 0.000785009
+*CONN
+*I *677:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *657:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *677:A2 0
+2 *657:X 0.000308423
+3 *107:8 0.000308423
+4 *107:8 *109:14 0.000168164
+5 clockp[1] *107:8 0
+*RES
+1 *657:X *107:8 42.5571
+2 *107:8 *677:A2 38
+*END
+
+*D_NET *108 0.00132534
+*CONN
+*I *659:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *658:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *659:B1 1.71731e-05
+2 *658:Y 0.000427921
+3 *108:8 0.000445095
+4 *108:8 *109:14 4.91053e-05
+5 *108:8 *109:16 5.02657e-05
+6 *108:8 *119:8 2.7237e-05
+7 *658:B *108:8 5.50106e-05
+8 *659:A1 *659:B1 6.09861e-06
+9 *659:C1 *108:8 6.07611e-06
+10 *92:8 *108:8 0
+11 *105:12 *108:8 0
+12 *106:13 *108:8 0.000241355
+*RES
+1 *658:Y *108:8 46.4307
+2 *108:8 *659:B1 38.2382
+*END
+
+*D_NET *109 0.00262593
+*CONN
+*I *679:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *688:C_N I *D sky130_fd_sc_hd__or3b_2
+*I *659:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *679:B1 0
+2 *688:C_N 0.000206154
+3 *659:X 0.00030971
+4 *109:16 0.000424168
+5 *109:14 0.000527724
+6 *688:C_N *688:B 5.12254e-05
+7 *688:C_N *689:B 3.86278e-05
+8 *688:C_N *111:8 0
+9 *688:C_N *138:8 0.000139034
+10 *109:14 *127:8 7.23805e-05
+11 *109:16 *689:B 4.77164e-06
+12 *109:16 *115:12 0.000251711
+13 *109:16 *119:8 9.32274e-05
+14 *109:16 *127:8 0.000113268
+15 clockp[1] *109:14 0
+16 *7:29 *688:C_N 3.70187e-05
+17 *9:10 *109:16 0
+18 *9:38 *109:14 0
+19 *105:31 *109:14 0
+20 *106:13 *109:14 8.93766e-05
+21 *107:8 *109:14 0.000168164
+22 *108:8 *109:14 4.91053e-05
+23 *108:8 *109:16 5.02657e-05
+*RES
+1 *659:X *109:14 44.6804
+2 *109:14 *109:16 6.65964
+3 *109:16 *688:C_N 42.06
+4 *109:16 *679:B1 38
+*END
+
+*D_NET *110 0.00282513
+*CONN
+*I *661:B I *D sky130_fd_sc_hd__xnor2_2
+*I *660:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *661:B 0
+2 *660:Y 0.000328855
+3 *110:7 0.000328855
+4 *338:DIODE *110:7 0.000139352
+5 *654:B1 *110:7 0.000440163
+6 *660:B *110:7 0.000184527
+7 *6:40 *110:7 0.000123549
+8 *7:29 *110:7 0.00122419
+9 *104:8 *110:7 5.56356e-05
+*RES
+1 *660:Y *110:7 36.9821
+2 *110:7 *661:B 23
+*END
+
+*D_NET *111 0.00186391
+*CONN
+*I *665:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *668:B I *D sky130_fd_sc_hd__nor2_2
+*I *661:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *665:B2 0.000111375
+2 *668:B 0.000126392
+3 *661:Y 0.000211245
+4 *111:8 0.000449012
+5 *665:B2 *115:24 0.000125853
+6 *665:B2 *117:8 0.00013424
+7 *668:B *118:8 0.000263954
+8 *668:B *139:11 0.000139774
+9 *111:8 *689:B 0
+10 *111:8 *115:24 3.61511e-05
+11 *111:8 *117:8 3.39102e-05
+12 clockp[1] *111:8 9.36174e-05
+13 *688:C_N *111:8 0
+14 *6:40 *111:8 2.93845e-05
+15 *7:29 *111:8 9.84794e-05
+16 *9:15 *665:B2 2.45549e-06
+17 *9:55 *665:B2 8.06804e-06
+*RES
+1 *661:Y *111:8 42.4121
+2 *111:8 *668:B 41.5007
+3 *111:8 *665:B2 40.5271
+*END
+
+*D_NET *112 0.00155224
+*CONN
+*I *663:C I *D sky130_fd_sc_hd__nand3_2
+*I *664:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *662:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *663:C 2.38738e-05
+2 *664:B1 0.000180563
+3 *662:X 4.14413e-05
+4 *112:5 0.000245878
+5 *664:B1 *666:A2 5.90675e-06
+6 *664:B1 *129:17 0.000481882
+7 *112:5 *129:17 0.00021962
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 0.000109363
+10 *97:7 *664:B1 4.64428e-05
+11 *97:7 *112:5 8.90183e-05
+12 *97:17 *663:C 0
+13 *97:17 *664:B1 0.00010825
+*RES
+1 *662:X *112:5 25.5271
+2 *112:5 *664:B1 28.8829
+3 *112:5 *663:C 23.4971
+*END
+
+*D_NET *113 0.00244315
+*CONN
+*I *665:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *667:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *666:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *663:Y O *D sky130_fd_sc_hd__nand3_2
+*CAP
+1 *665:A2 0
+2 *667:A1 0.000145001
+3 *666:A1 0.000181257
+4 *663:Y 5.12163e-05
+5 *113:12 0.000464768
+6 *113:9 0.000272318
+7 *113:6 0.000185025
+8 *666:A1 *666:A2 9.84851e-05
+9 *666:A1 *114:15 1.03955e-05
+10 *666:A1 *129:10 7.65863e-06
+11 *666:A1 *129:17 7.53384e-06
+12 *667:A1 *667:A2 0.000196874
+13 *113:6 *333:255 0.000169203
+14 *113:12 *665:A3 0.000141605
+15 *113:12 *667:A2 7.6144e-05
+16 *113:12 *129:10 4.74809e-05
+17 clockp[1] *667:A1 0
+18 clockp[1] *113:12 0
+19 *665:B1 *113:9 0.000102971
+20 *666:B1 *666:A1 6.17437e-06
+21 *9:55 *113:12 9.21802e-05
+22 *11:8 *113:6 0.000169203
+23 *93:9 *667:A1 1.76557e-05
+*RES
+1 *663:Y *113:6 40.2579
+2 *113:6 *113:9 17.5271
+3 *113:9 *113:12 18.3143
+4 *113:12 *666:A1 41.1486
+5 *113:12 *667:A1 41.0036
+6 *113:9 *665:A2 23
+*END
+
+*D_NET *114 0.00170795
+*CONN
+*I *667:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *665:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *666:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *664:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *667:A2 0.000177054
+2 *665:A3 5.9874e-05
+3 *666:A2 9.28532e-05
+4 *664:X 0
+5 *114:15 0.000353717
+6 *114:4 0.000209641
+7 *665:A3 *333:255 4.29568e-05
+8 *667:A2 *333:255 3.14377e-05
+9 *114:15 *129:17 9.58714e-05
+10 *664:B1 *666:A2 5.90675e-06
+11 *666:A1 *666:A2 9.84851e-05
+12 *666:A1 *114:15 1.03955e-05
+13 *667:A1 *667:A2 0.000196874
+14 *93:9 *667:A2 5.70419e-05
+15 *104:27 *667:A2 5.80963e-05
+16 *113:12 *665:A3 0.000141605
+17 *113:12 *667:A2 7.6144e-05
+*RES
+1 *664:X *114:4 23
+2 *114:4 *666:A2 24.9471
+3 *114:4 *114:15 16.5743
+4 *114:15 *665:A3 39.9057
+5 *114:15 *667:A2 42.5571
+*END
+
+*D_NET *115 0.00152872
+*CONN
+*I *678:A I *D sky130_fd_sc_hd__and2_2
+*I *676:B1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *669:A I *D sky130_fd_sc_hd__or3_2
+*I *665:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *678:A 0
+2 *676:B1 0
+3 *669:A 0
+4 *665:X 0
+5 *115:24 9.33811e-05
+6 *115:12 7.46791e-05
+7 *115:7 0.00026686
+8 *115:4 0.000285562
+9 *115:7 *126:8 5.3304e-05
+10 *115:12 *127:8 0.000251711
+11 *115:24 *118:8 2.79603e-05
+12 *115:24 *126:8 0
+13 *665:B2 *115:24 0.000125853
+14 *9:15 *115:7 6.15488e-05
+15 *109:16 *115:12 0.000251711
+16 *111:8 *115:24 3.61511e-05
+*RES
+1 *665:X *115:4 23
+2 *115:4 *115:7 3.50071
+3 *115:7 *115:12 33.3143
+4 *115:12 *669:A 23
+5 *115:7 *676:B1 23
+6 *115:4 *115:24 32.2579
+7 *115:24 *678:A 23
+*END
+
+*D_NET *116 0.000613917
+*CONN
+*I *669:B I *D sky130_fd_sc_hd__or3_2
+*I *676:C1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *666:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *669:B 0
+2 *676:C1 0
+3 *666:Y 9.74909e-05
+4 *116:12 5.90428e-05
+5 *116:7 0.000156534
+6 *116:12 *669:C 0.000140684
+7 *116:12 *127:8 0.000140684
+8 *666:B1 *116:7 1.94812e-05
+*RES
+1 *666:Y *116:7 24.595
+2 *116:7 *116:12 31.9057
+3 *116:12 *676:C1 23
+4 *116:7 *669:B 23
+*END
+
+*D_NET *117 0.00170692
+*CONN
+*I *678:B I *D sky130_fd_sc_hd__and2_2
+*I *667:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *678:B 0
+2 *667:X 0.000287991
+3 *117:8 0.000287991
+4 *117:8 *669:C 0
+5 clockp[1] *117:8 0.000580924
+6 *665:B2 *117:8 0.00013424
+7 *667:B1 *117:8 5.3304e-05
+8 *9:18 *117:8 0.000216712
+9 *9:38 *117:8 0.000111852
+10 *111:8 *117:8 3.39102e-05
+*RES
+1 *667:X *117:8 46.5136
+2 *117:8 *678:B 38
+*END
+
+*D_NET *118 0.00187979
+*CONN
+*I *669:C I *D sky130_fd_sc_hd__or3_2
+*I *676:D1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *668:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *669:C 0.000173025
+2 *676:D1 0
+3 *668:Y 0.000435224
+4 *118:8 0.000608249
+5 *669:C *127:8 5.24939e-05
+6 *118:8 *126:8 8.57485e-05
+7 *118:8 *127:8 2.37243e-05
+8 *118:8 *139:11 6.87243e-05
+9 *668:B *118:8 0.000263954
+10 *9:18 *669:C 0
+11 *115:24 *118:8 2.79603e-05
+12 *116:12 *669:C 0.000140684
+13 *117:8 *669:C 0
+*RES
+1 *668:Y *118:8 44.815
+2 *118:8 *676:D1 38
+3 *118:8 *669:C 41.9357
+*END
+
+*D_NET *119 0.000928486
+*CONN
+*I *689:A I *D sky130_fd_sc_hd__or3_2
+*I *669:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *689:A 0
+2 *669:X 0.00027524
+3 *119:8 0.00027524
+4 *119:8 *125:11 0.000219846
+5 *119:8 *138:8 3.76959e-05
+6 *9:10 *119:8 0
+7 *108:8 *119:8 2.7237e-05
+8 *109:16 *119:8 9.32274e-05
+*RES
+1 *669:X *119:8 44.1729
+2 *119:8 *689:A 38
+*END
+
+*D_NET *120 0.00191097
+*CONN
+*I *671:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *670:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *671:B 0
+2 *674:A2 8.7569e-05
+3 *670:Y 0.000501042
+4 *120:18 0.000118217
+5 *120:7 0.000706829
+6 *120:7 *673:B 2.93747e-05
+7 *120:7 *123:5 1.76557e-05
+8 *120:18 *222:8 0
+9 clockp[1] *120:18 0
+10 *653:A1 *120:7 1.76557e-05
+11 *653:A2 *120:7 2.93747e-05
+12 *653:B1 *120:7 2.13733e-05
+13 *671:A *120:18 9.63918e-05
+14 *6:34 *674:A2 0.000100056
+15 *6:34 *120:7 0.000185434
+16 *101:8 *120:18 0
+*RES
+1 *670:Y *120:7 31.7414
+2 *120:7 *674:A2 24.5743
+3 *120:7 *120:18 32.61
+4 *120:18 *671:B 23
+*END
+
+*D_NET *121 0.00196188
+*CONN
+*I *676:A1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:A I *D sky130_fd_sc_hd__nand2_2
+*I *671:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *676:A1 0.000228229
+2 *675:A 0
+3 *671:Y 0.000362423
+4 *121:12 0.000590652
+5 *676:A1 *125:11 0.000349418
+6 *676:A1 *138:8 2.96805e-05
+7 *121:12 *124:8 0.00013832
+8 *121:12 *138:8 2.46933e-05
+9 *671:A *121:12 0
+10 *826:A *121:12 9.84851e-05
+11 *6:24 *121:12 0.000139981
+12 *7:8 *121:12 0
+13 *7:29 *121:12 0
+*RES
+1 *671:Y *121:12 45.7679
+2 *121:12 *675:A 38
+3 *121:12 *676:A1 43.7793
+*END
+
+*D_NET *122 0.00159017
+*CONN
+*I *673:B I *D sky130_fd_sc_hd__nand2_2
+*I *672:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *673:B 3.2572e-05
+2 *672:X 0.000329373
+3 *122:6 0.000361945
+4 *122:6 *217:35 0.000404047
+5 clockp[1] *122:6 0.000183198
+6 *5:611 *122:6 8.92944e-05
+7 *5:613 *122:6 4.85126e-05
+8 *78:8 *122:6 0.000111852
+9 *120:7 *673:B 2.93747e-05
+*RES
+1 *672:X *122:6 47.3007
+2 *122:6 *673:B 38.6214
+*END
+
+*D_NET *123 0.000951605
+*CONN
+*I *687:B I *D sky130_fd_sc_hd__and2_2
+*I *674:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *673:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *687:B 2.1727e-05
+2 *674:B1 0.000194703
+3 *673:Y 7.7767e-05
+4 *123:5 0.000294197
+5 *6:24 *674:B1 3.61695e-05
+6 *6:34 *674:B1 0.000258789
+7 *6:34 *687:B 4.00259e-06
+8 *6:34 *123:5 4.6595e-05
+9 *120:7 *123:5 1.76557e-05
+*RES
+1 *673:Y *123:5 24.5743
+2 *123:5 *674:B1 27.4536
+3 *123:5 *687:B 23.4971
+*END
+
+*D_NET *124 0.000442686
+*CONN
+*I *675:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *675:B 0
+2 *674:X 0.000144228
+3 *124:8 0.000144228
+4 *6:24 *124:8 1.59094e-05
+5 *121:12 *124:8 0.00013832
+*RES
+1 *674:X *124:8 40.5271
+2 *124:8 *675:B 38
+*END
+
+*D_NET *125 0.00175484
+*CONN
+*I *688:B I *D sky130_fd_sc_hd__or3b_2
+*I *676:A2 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *688:B 0.00022356
+2 *676:A2 0
+3 *675:Y 0.000102652
+4 *125:11 0.000175101
+5 *125:5 0.000501313
+6 *125:11 *138:8 6.08032e-05
+7 *676:A1 *125:11 0.000349418
+8 *688:C_N *688:B 5.12254e-05
+9 *7:29 *688:B 5.50106e-05
+10 *9:15 *125:11 1.59094e-05
+11 *119:8 *125:11 0.000219846
+*RES
+1 *675:Y *125:5 24.0979
+2 *125:5 *125:11 35.3443
+3 *125:11 *676:A2 23
+4 *125:5 *688:B 26.0036
+*END
+
+*D_NET *126 0.000527378
+*CONN
+*I *679:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *676:Y O *D sky130_fd_sc_hd__a2111oi_2
+*CAP
+1 *679:A1 0
+2 *676:Y 0.000120107
+3 *126:8 0.000120107
+4 *126:8 *689:B 1.05441e-05
+5 *126:8 *127:8 0.000137567
+6 *115:7 *126:8 5.3304e-05
+7 *115:24 *126:8 0
+8 *118:8 *126:8 8.57485e-05
+*RES
+1 *676:Y *126:8 40.5271
+2 *126:8 *679:A1 38
+*END
+
+*D_NET *127 0.00224448
+*CONN
+*I *679:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *689:B I *D sky130_fd_sc_hd__or3_2
+*I *677:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *679:A2 0
+2 *689:B 0.000210757
+3 *677:X 0.000368996
+4 *127:8 0.000579753
+5 *689:B *128:5 5.50049e-05
+6 *689:B *139:11 0.000112684
+7 *669:C *127:8 5.24939e-05
+8 *677:A1 *127:8 4.21281e-05
+9 *688:C_N *689:B 3.86278e-05
+10 *9:18 *127:8 0
+11 *9:38 *127:8 0
+12 *106:5 *127:8 2.93845e-05
+13 *109:14 *127:8 7.23805e-05
+14 *109:16 *689:B 4.77164e-06
+15 *109:16 *127:8 0.000113268
+16 *111:8 *689:B 0
+17 *115:12 *127:8 0.000251711
+18 *116:12 *127:8 0.000140684
+19 *118:8 *127:8 2.37243e-05
+20 *126:8 *689:B 1.05441e-05
+21 *126:8 *127:8 0.000137567
+*RES
+1 *677:X *127:8 47.1143
+2 *127:8 *689:B 41.2521
+3 *127:8 *679:A2 38
+*END
+
+*D_NET *128 0.00101558
+*CONN
+*I *679:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *678:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *679:A3 0
+2 *678:X 0.000125765
+3 *128:5 0.000125765
+4 *128:5 *129:10 0.000268873
+5 *128:5 *139:11 0.000440169
+6 *689:B *128:5 5.50049e-05
+*RES
+1 *678:X *128:5 27.9093
+2 *128:5 *679:A3 23
+*END
+
+*D_NET *129 0.0180719
+*CONN
+*I *721:A I *D sky130_fd_sc_hd__xnor2_2
+*I *704:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *702:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:A1 I *D sky130_fd_sc_hd__a21bo_2
+*I *701:B I *D sky130_fd_sc_hd__nor2_2
+*I *695:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *715:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *698:B I *D sky130_fd_sc_hd__and2_2
+*I *706:B I *D sky130_fd_sc_hd__xnor2_2
+*I *705:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *696:B I *D sky130_fd_sc_hd__xnor2_2
+*I *697:B I *D sky130_fd_sc_hd__nor2_2
+*I *685:C_N I *D sky130_fd_sc_hd__or4bb_2
+*I *679:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *721:A 0
+2 *704:B2 0.000135534
+3 *702:B 0
+4 *703:A1 5.8914e-05
+5 *701:B 0
+6 *695:A2 0
+7 *715:A1 0
+8 *698:B 0
+9 *706:B 0.000146141
+10 *705:B2 4.24511e-05
+11 *696:B 0
+12 *697:B 0.000302657
+13 *685:C_N 0.00050844
+14 *679:X 0.000522859
+15 *129:132 0.00024524
+16 *129:121 0.000295612
+17 *129:111 0.000385344
+18 *129:101 0.00049517
+19 *129:95 0.000716213
+20 *129:90 0.000833871
+21 *129:81 0.00014434
+22 *129:63 0.000465087
+23 *129:58 0.000443489
+24 *129:48 0.000258042
+25 *129:37 0.000624534
+26 *129:23 0.000883165
+27 *129:17 0.00127186
+28 *129:10 0.00160697
+29 *685:C_N *685:A 0.000190602
+30 *685:C_N *691:A 0
+31 *685:C_N *171:10 0
+32 *685:C_N *239:7 1.21972e-05
+33 *685:C_N *239:19 0
+34 *697:B *684:A 2.78327e-05
+35 *697:B *684:B 0.00014538
+36 *697:B *699:A 0.00010018
+37 *697:B *134:7 2.93747e-05
+38 *697:B *149:8 0.000141675
+39 *703:A1 *246:22 4.96303e-05
+40 *704:B2 *131:31 1.10658e-05
+41 *704:B2 *152:5 0.000141344
+42 *704:B2 *187:17 0.000285885
+43 *704:B2 *234:47 2.93431e-05
+44 *706:B *705:B1 0
+45 *706:B *706:A 9.67842e-05
+46 *706:B *709:B1 9.67842e-05
+47 *706:B *156:20 0.000101554
+48 *129:10 *139:11 2.18409e-05
+49 *129:17 *647:A 4.93223e-05
+50 *129:17 *728:A_N 4.93341e-05
+51 *129:17 *730:A 5.90091e-05
+52 *129:17 *730:B 9.76261e-05
+53 *129:17 *170:8 5.50106e-05
+54 *129:17 *171:9 0.000129241
+55 *129:23 *165:7 5.41288e-05
+56 *129:23 *171:9 1.24376e-05
+57 *129:37 *720:A 0
+58 *129:37 *239:19 0
+59 *129:48 *720:A 0
+60 *129:48 *134:17 0
+61 *129:58 *698:A 0
+62 *129:58 *134:17 0
+63 *129:58 *146:10 4.59027e-05
+64 *129:63 *146:10 2.72055e-05
+65 *129:63 *148:5 1.32314e-05
+66 *129:63 *156:20 1.83667e-05
+67 *129:63 *237:12 0.000141052
+68 *129:63 *333:235 0
+69 *129:81 *148:5 9.84794e-05
+70 *129:90 *134:17 0.000161121
+71 *129:90 *148:5 5.3304e-05
+72 *129:95 *705:A2 1.24376e-05
+73 *129:95 *713:B 8.0479e-05
+74 *129:95 *715:A2 1.69172e-05
+75 *129:95 *149:19 5.91005e-05
+76 *129:95 *154:21 9.65021e-05
+77 *129:101 *715:B1 5.67058e-05
+78 *129:101 *162:8 8.1796e-05
+79 *129:111 *145:7 9.36367e-05
+80 *129:111 *162:8 8.60108e-05
+81 *129:111 *236:10 8.94394e-05
+82 *129:111 *236:19 0.000352547
+83 *129:121 *703:A2 0.000129513
+84 *129:121 *134:17 0.000138727
+85 *129:121 *154:6 0
+86 *129:132 *154:6 0
+87 clockp[1] *129:10 0.000211329
+88 *664:B1 *129:17 0.000481882
+89 *666:A1 *129:10 7.65863e-06
+90 *666:A1 *129:17 7.53384e-06
+91 *695:A1 *129:111 0.000180029
+92 *702:A *704:B2 0.000444457
+93 *845:D *129:63 3.17634e-05
+94 *5:66 *129:63 1.19692e-05
+95 *5:70 *685:C_N 0
+96 *5:70 *129:37 0
+97 *5:70 *129:48 0
+98 *5:70 *129:58 0
+99 *9:55 *129:10 0.000129664
+100 *9:60 *129:10 0.00021089
+101 *72:8 *685:C_N 0.000139323
+102 *72:8 *129:23 2.64616e-05
+103 *74:10 *129:111 0.000432791
+104 *77:6 *706:B 7.03869e-05
+105 *77:6 *129:63 8.26569e-05
+106 *84:26 *129:58 8.41115e-05
+107 *84:26 *129:90 0.000163361
+108 *84:26 *129:121 0.000287751
+109 *84:26 *129:132 0.000183433
+110 *97:7 *129:17 2.65879e-05
+111 *112:5 *129:17 0.00021962
+112 *113:12 *129:10 4.74809e-05
+113 *114:15 *129:17 9.58714e-05
+114 *128:5 *129:10 0.000268873
+*RES
+1 *679:X *129:10 48.2329
+2 *129:10 *129:17 36.1493
+3 *129:17 *129:23 18.9771
+4 *129:23 *685:C_N 48.0671
+5 *129:23 *129:37 3.31429
+6 *129:37 *697:B 45.3121
+7 *129:37 *129:48 2.61
+8 *129:48 *696:B 38
+9 *129:48 *129:58 18.1071
+10 *129:58 *129:63 21.3179
+11 *129:63 *705:B2 38.6214
+12 *129:63 *706:B 42.06
+13 *129:58 *129:81 1.09786
+14 *129:81 *698:B 23
+15 *129:81 *129:90 17.8793
+16 *129:90 *129:95 23.4929
+17 *129:95 *715:A1 23
+18 *129:95 *129:101 3.00357
+19 *129:101 *129:111 41.31
+20 *129:111 *695:A2 23
+21 *129:101 *701:B 23
+22 *129:90 *129:121 4.01857
+23 *129:121 *703:A1 39.0979
+24 *129:121 *129:132 17.61
+25 *129:132 *702:B 23
+26 *129:132 *704:B2 29.5043
+27 *129:17 *721:A 23
+*END
+
+*D_NET *130 0.0140736
+*CONN
+*I *787:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *772:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *700:A I *D sky130_fd_sc_hd__nand2_2
+*I *683:A I *D sky130_fd_sc_hd__nor2_2
+*I *755:A I *D sky130_fd_sc_hd__or2_2
+*I *763:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *760:A I *D sky130_fd_sc_hd__or2_2
+*I *779:B I *D sky130_fd_sc_hd__or2_2
+*I *704:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *680:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *787:B2 0
+2 *772:A2 4.4831e-05
+3 *700:A 0
+4 *683:A 1.83414e-05
+5 *755:A 0
+6 *763:A1 0
+7 *760:A 0
+8 *779:B 0.000103521
+9 *704:B1 0.000275794
+10 *680:X 4.14055e-05
+11 *130:94 0.00078934
+12 *130:76 0.00133566
+13 *130:59 0.000250587
+14 *130:53 0.000305667
+15 *130:52 0.000283709
+16 *130:45 0.00126953
+17 *130:39 0.00116459
+18 *130:21 0.000872733
+19 *130:7 0.00044289
+20 *683:A *683:B 0.00010018
+21 *683:A *741:B1 0.000143655
+22 *704:B1 *704:A2 5.58003e-05
+23 *704:B1 *152:5 6.55063e-05
+24 *704:B1 *153:17 1.1901e-05
+25 *704:B1 *233:28 0.000207891
+26 *704:B1 *236:28 0
+27 *772:A2 *773:B1 0.000123848
+28 *779:B *796:B1 1.89039e-05
+29 *779:B *142:11 5.50106e-05
+30 *130:7 *693:A 2.02872e-05
+31 *130:7 *233:33 9.5877e-05
+32 *130:7 *235:52 0.00014196
+33 *130:21 *236:28 0
+34 *130:39 *131:81 4.42273e-05
+35 *130:39 *150:54 1.40958e-05
+36 *130:39 *187:44 0
+37 *130:39 *206:8 9.75779e-05
+38 *130:39 *207:25 0
+39 *130:39 *213:8 1.48425e-05
+40 *130:39 *234:126 1.91026e-05
+41 *130:45 *790:B1 0.000136445
+42 *130:45 *790:B2 5.23008e-05
+43 *130:45 *142:62 0.000518132
+44 *130:45 *176:64 0.000253078
+45 *130:45 *183:8 0
+46 *130:45 *184:30 3.8233e-06
+47 *130:45 *197:8 1.14452e-05
+48 *130:45 *263:18 9.59978e-05
+49 *130:52 *142:62 0.000248922
+50 *130:52 *178:20 1.16455e-05
+51 *130:52 *184:30 3.46093e-05
+52 *130:52 *202:8 7.11427e-05
+53 *130:53 *763:B2 7.48505e-05
+54 *130:53 *178:32 4.53082e-05
+55 *130:53 *185:7 5.3304e-05
+56 *130:59 *762:B2 0.00035441
+57 *130:59 *183:20 2.64616e-05
+58 *130:59 *185:13 3.673e-05
+59 *130:76 *143:36 4.40195e-05
+60 *130:76 *176:8 2.55518e-05
+61 *130:76 *177:14 1.11087e-05
+62 *130:76 *178:12 0
+63 *130:76 *178:20 1.90303e-05
+64 *130:76 *195:6 0.000182173
+65 *130:76 *234:126 1.56145e-05
+66 *130:94 *771:B2 4.67488e-05
+67 *130:94 *773:A1 5.50049e-05
+68 *130:94 *773:A2 2.51896e-05
+69 *130:94 *773:B1 4.21158e-05
+70 *130:94 *132:14 3.32685e-05
+71 *130:94 *150:8 8.62876e-05
+72 *130:94 *175:22 4.55577e-05
+73 *130:94 *180:21 3.2702e-05
+74 *130:94 *193:8 5.61255e-06
+75 *130:94 *249:8 0
+76 *378:DIODE *130:94 1.46756e-05
+77 *381:DIODE *130:39 2.18506e-05
+78 *382:DIODE *779:B 5.3304e-05
+79 *382:DIODE *130:21 3.18198e-05
+80 *382:DIODE *130:39 0.000107672
+81 *692:B *779:B 2.24869e-05
+82 *702:A *704:B1 0.000218386
+83 *716:A1 *704:B1 5.91105e-05
+84 *717:A *704:B1 9.66585e-05
+85 *771:A1 *130:94 5.94921e-06
+86 *786:B1 *130:39 3.47763e-05
+87 *786:B2 *130:39 9.54705e-05
+88 *800:A2 *130:39 0
+89 *5:311 *779:B 1.32939e-05
+90 *5:409 *130:45 7.32038e-05
+91 *5:714 *683:A 2.4754e-05
+92 *16:5 *130:52 4.57888e-05
+93 *16:5 *130:59 0.000406406
+94 *16:23 *130:94 3.7122e-06
+95 *19:18 *130:39 3.52071e-05
+96 *37:22 *130:59 7.6774e-06
+97 *84:23 *130:94 2.65505e-05
+98 *86:13 *772:A2 6.72677e-05
+99 *86:13 *130:94 5.56356e-05
+100 *86:40 *130:76 0.00057792
+101 *86:57 *130:39 0.000131732
+102 *87:8 *704:B1 0.000183054
+103 *87:8 *130:21 5.01986e-05
+104 *87:28 *130:21 0.00010512
+105 *87:28 *130:39 2.33661e-05
+106 *87:41 *130:39 0.00035265
+*RES
+1 *680:X *130:7 39.5743
+2 *130:7 *704:B1 45.54
+3 *130:7 *130:21 2.25786
+4 *130:21 *779:B 40.4236
+5 *130:21 *130:39 27.47
+6 *130:39 *130:45 26.5117
+7 *130:45 *130:52 24.8628
+8 *130:52 *130:53 1.57429
+9 *130:53 *130:59 36.4007
+10 *130:59 *760:A 23
+11 *130:53 *763:A1 23
+12 *130:52 *755:A 23
+13 *130:45 *130:76 33.1811
+14 *130:76 *130:94 42.4286
+15 *130:94 *683:A 24.5743
+16 *130:94 *700:A 23
+17 *130:76 *772:A2 24.8798
+18 *130:39 *787:B2 23
+*END
+
+*D_NET *131 0.0131692
+*CONN
+*I *794:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *776:B I *D sky130_fd_sc_hd__or2_2
+*I *786:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *682:B I *D sky130_fd_sc_hd__or2_2
+*I *705:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *681:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *794:B1 0
+2 *776:B 0
+3 *786:A1 1.77461e-05
+4 *682:B 0.000102521
+5 *705:B1 0.00038068
+6 *681:X 0.000109484
+7 *131:94 0.0005476
+8 *131:81 0.000420704
+9 *131:62 0.00082647
+10 *131:31 0.00109555
+11 *131:28 0.000766266
+12 *131:20 0.00147485
+13 *131:7 0.00159
+14 *682:B *132:8 2.93845e-05
+15 *682:B *133:22 5.58003e-05
+16 *682:B *192:14 0
+17 *682:B *237:33 4.77159e-05
+18 *705:B1 *705:A2 7.53384e-06
+19 *705:B1 *705:A3 3.91386e-05
+20 *705:B1 *146:10 3.92407e-05
+21 *705:B1 *156:20 0
+22 *705:B1 *158:8 0.000164087
+23 *705:B1 *237:12 7.69935e-05
+24 *705:B1 *237:33 5.68297e-05
+25 *786:A1 *236:67 2.30984e-05
+26 *131:7 *864:A 5.55743e-06
+27 *131:20 *681:A 0.000382868
+28 *131:20 *681:B 5.3304e-05
+29 *131:20 *150:41 0.000158442
+30 *131:28 *143:5 7.15126e-05
+31 *131:28 *150:41 7.08063e-05
+32 *131:28 *187:17 0.000158601
+33 *131:31 *234:47 0.000269102
+34 *131:62 *742:C 1.12695e-05
+35 *131:62 *150:41 6.22297e-05
+36 *131:62 *235:61 7.20592e-05
+37 *131:62 *236:67 9.26547e-05
+38 *131:62 *237:89 0.0003384
+39 *131:62 *334:14 0.000336699
+40 *131:81 *207:25 5.2271e-05
+41 *131:81 *236:67 6.16531e-05
+42 *131:81 *236:84 4.19127e-05
+43 *131:81 *237:89 5.05028e-05
+44 *131:81 *256:21 0.000339061
+45 *131:81 *278:12 6.25159e-05
+46 *131:94 *794:B2 6.22475e-05
+47 *131:94 *796:A1 0.000161121
+48 *131:94 *803:B1 2.3529e-05
+49 *131:94 *235:49 0.00018835
+50 *131:94 *237:117 0.000532985
+51 *131:94 *251:18 1.24376e-05
+52 *131:94 *253:11 2.13292e-05
+53 *131:94 *263:18 0.000174039
+54 *397:DIODE *131:20 5.3304e-05
+55 *702:A *131:20 0.000186029
+56 *702:A *131:31 0.000537194
+57 *704:B2 *131:31 1.10658e-05
+58 *706:B *705:B1 0
+59 *717:A *131:20 7.74316e-05
+60 *717:A *131:62 7.76364e-06
+61 *786:B1 *131:81 4.16243e-05
+62 *786:B2 *131:62 3.78347e-05
+63 *794:A2 *131:94 8.87917e-06
+64 *5:371 *131:94 2.98834e-05
+65 *77:6 *705:B1 3.72639e-05
+66 *84:10 *131:62 0.000113599
+67 *84:18 *131:28 5.50106e-05
+68 *84:18 *131:62 1.82852e-05
+69 *85:5 *131:28 4.21281e-05
+70 *85:29 *131:62 0.000128486
+71 *130:39 *131:81 4.42273e-05
+*RES
+1 *681:X *131:7 24.595
+2 *131:7 *131:20 29.645
+3 *131:20 *131:28 48.8321
+4 *131:28 *131:31 24.1971
+5 *131:31 *705:B1 45.83
+6 *131:31 *682:B 40.175
+7 *131:20 *131:62 22.6435
+8 *131:62 *786:A1 38.2693
+9 *131:62 *131:81 36.0899
+10 *131:81 *776:B 23
+11 *131:7 *131:94 42.5736
+12 *131:94 *794:B1 23
+*END
+
+*D_NET *132 0.00741731
+*CONN
+*I *750:C I *D sky130_fd_sc_hd__or3_2
+*I *782:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *766:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *745:B I *D sky130_fd_sc_hd__and2b_2
+*I *683:B I *D sky130_fd_sc_hd__nor2_2
+*I *682:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *750:C 0.000201361
+2 *782:A2 0
+3 *766:A2 0
+4 *745:B 7.14094e-05
+5 *683:B 6.7176e-05
+6 *682:X 0.000273831
+7 *132:56 0.00014646
+8 *132:48 0.0003762
+9 *132:43 9.97254e-05
+10 *132:30 0.000236306
+11 *132:29 0.000338478
+12 *132:14 0.000501086
+13 *132:8 0.000952668
+14 *683:B *700:B 0
+15 *683:B *741:B1 4.5051e-05
+16 *745:B *778:B1 1.21972e-05
+17 *745:B *271:11 8.44298e-05
+18 *750:C *750:A 1.06888e-05
+19 *750:C *767:A 0.000178517
+20 *750:C *778:B1 5.88433e-05
+21 *750:C *176:14 0.000432804
+22 *750:C *271:11 1.72202e-05
+23 *132:8 *700:B 0.000479329
+24 *132:8 *740:B 3.22879e-05
+25 *132:8 *133:22 0.000127189
+26 *132:8 *175:22 0.000267663
+27 *132:14 *771:B2 3.7122e-06
+28 *132:14 *882:TE_B 0
+29 *132:14 *150:8 0.000217734
+30 *132:14 *180:21 1.19763e-05
+31 *132:14 *249:8 4.07774e-05
+32 *132:14 *271:12 0
+33 *132:29 *175:22 0.000318967
+34 *132:29 *256:11 3.52294e-05
+35 *132:30 *778:B1 2.79662e-05
+36 *132:30 *271:11 6.37845e-05
+37 *132:43 *175:22 0.000210856
+38 *132:48 *767:A 5.50049e-05
+39 *132:48 *778:B1 1.76557e-05
+40 *132:48 *271:11 4.5051e-05
+41 *132:56 *756:C1 2.90458e-05
+42 *132:56 *175:22 0
+43 *132:56 *176:14 6.01895e-05
+44 *132:56 *176:23 0.000122632
+45 *132:56 *185:13 1.02388e-05
+46 *132:56 *191:6 3.71063e-05
+47 *682:B *132:8 2.93845e-05
+48 *683:A *683:B 0.00010018
+49 *740:A *132:8 5.94125e-05
+50 *745:A_N *745:B 5.3304e-05
+51 *745:A_N *132:30 5.3304e-05
+52 *771:A1 *132:14 6.83591e-06
+53 *5:714 *683:B 0.000182826
+54 *5:726 *132:8 0.000205098
+55 *5:726 *132:29 0.000132927
+56 *5:749 *132:43 0.000210856
+57 *5:761 *132:56 8.19642e-06
+58 *16:5 *132:29 0
+59 *16:23 *132:14 7.27694e-06
+60 *17:31 *750:C 1.55941e-05
+61 *130:94 *132:14 3.32685e-05
+*RES
+1 *682:X *132:8 47.0521
+2 *132:8 *132:14 36.67
+3 *132:14 *683:B 40.5479
+4 *132:8 *132:29 20.3443
+5 *132:29 *132:30 1.57429
+6 *132:30 *745:B 24.595
+7 *132:30 *132:43 32.9621
+8 *132:43 *766:A2 23
+9 *132:29 *132:48 1.09786
+10 *132:48 *132:56 33.1434
+11 *132:56 *782:A2 23
+12 *132:48 *750:C 29.0279
+*END
+
+*D_NET *133 0.00701293
+*CONN
+*I *685:D_N I *D sky130_fd_sc_hd__or4bb_2
+*I *740:B I *D sky130_fd_sc_hd__nor2_2
+*I *683:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *685:D_N 0
+2 *740:B 6.99604e-05
+3 *683:Y 0.00029132
+4 *133:22 0.0016004
+5 *133:5 0.00196168
+6 *740:B *700:B 2.56365e-05
+7 *133:5 *700:B 1.12268e-05
+8 *133:5 *741:B1 0.000323913
+9 *133:22 *631:A 2.86398e-05
+10 *133:22 *684:B 9.5763e-05
+11 *133:22 *694:C 0.000363129
+12 *133:22 *700:B 3.30679e-05
+13 *133:22 *141:8 0.000240905
+14 *133:22 *141:17 0.00103105
+15 *133:22 *143:5 8.30586e-05
+16 *133:22 *143:9 0.000236146
+17 *133:22 *145:53 0
+18 *133:22 *152:10 0
+19 *133:22 *187:17 0.000181657
+20 *133:22 *235:30 0.000192671
+21 *133:22 *238:28 2.74363e-05
+22 *682:B *133:22 5.58003e-05
+23 *76:6 *133:22 0
+24 *132:8 *740:B 3.22879e-05
+25 *132:8 *133:22 0.000127189
+*RES
+1 *683:Y *133:5 27.9093
+2 *133:5 *740:B 25.2371
+3 *133:5 *133:22 47.6043
+4 *133:22 *685:D_N 38
+*END
+
+*D_NET *134 0.00438535
+*CONN
+*I *703:A2 I *D sky130_fd_sc_hd__a21bo_2
+*I *715:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *720:A I *D sky130_fd_sc_hd__nand2_2
+*I *684:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *703:A2 8.51353e-05
+2 *715:A2 0.000448076
+3 *720:A 0.000348596
+4 *684:X 4.55529e-05
+5 *134:17 0.000961573
+6 *134:7 0.000822511
+7 *715:A2 *705:A3 0.000188934
+8 *715:A2 *713:B 0.000120772
+9 *720:A *722:A1 0.000191255
+10 *720:A *722:S 1.21972e-05
+11 *720:A *165:7 0.000360561
+12 *720:A *216:202 0.00014196
+13 *134:17 *149:19 6.22448e-06
+14 *134:17 *154:21 8.45849e-05
+15 *697:B *134:7 2.93747e-05
+16 *84:26 *134:17 9.176e-05
+17 *129:37 *720:A 0
+18 *129:48 *720:A 0
+19 *129:48 *134:17 0
+20 *129:58 *134:17 0
+21 *129:90 *134:17 0.000161121
+22 *129:95 *715:A2 1.69172e-05
+23 *129:121 *703:A2 0.000129513
+24 *129:121 *134:17 0.000138727
+*RES
+1 *684:X *134:7 38.6214
+2 *134:7 *720:A 46.0993
+3 *134:7 *134:17 8.59643
+4 *134:17 *715:A2 44.7114
+5 *134:17 *703:A2 39.9057
+*END
+
+*D_NET *135 0.000159825
+*CONN
+*I *690:B I *D sky130_fd_sc_hd__and4_2
+*I *685:X O *D sky130_fd_sc_hd__or4bb_2
+*CAP
+1 *690:B 0
+2 *685:X 4.7645e-05
+3 *135:6 4.7645e-05
+4 *135:6 *723:B 4.11274e-05
+5 *135:6 *238:28 2.34077e-05
+*RES
+1 *685:X *135:6 39.2014
+2 *135:6 *690:B 38
+*END
+
+*D_NET *136 0.00031977
+*CONN
+*I *690:C I *D sky130_fd_sc_hd__and4_2
+*I *686:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *690:C 0
+2 *686:X 0.000154162
+3 *136:6 0.000154162
+4 *136:6 *686:B 1.14452e-05
+5 *136:6 *141:8 0
+6 *136:6 *231:25 0
+7 *5:567 *136:6 0
+*RES
+1 *686:X *136:6 40.2579
+2 *136:6 *690:C 38
+*END
+
+*D_NET *137 0.000350255
+*CONN
+*I *688:A I *D sky130_fd_sc_hd__or3b_2
+*I *687:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *688:A 3.2572e-05
+2 *687:X 9.20082e-05
+3 *137:6 0.00012458
+4 clockp[1] *137:6 0
+5 *6:40 *137:6 0
+6 *7:8 *137:6 9.85586e-06
+7 *7:29 *688:A 2.93747e-05
+8 *7:29 *137:6 6.18641e-05
+*RES
+1 *687:X *137:6 39.9057
+2 *137:6 *688:A 38.6214
+*END
+
+*D_NET *138 0.000737885
+*CONN
+*I *689:C I *D sky130_fd_sc_hd__or3_2
+*I *688:X O *D sky130_fd_sc_hd__or3b_2
+*CAP
+1 *689:C 0
+2 *688:X 0.000209387
+3 *138:8 0.000209387
+4 *676:A1 *138:8 2.96805e-05
+5 *688:C_N *138:8 0.000139034
+6 *7:29 *138:8 2.72055e-05
+7 *119:8 *138:8 3.76959e-05
+8 *121:12 *138:8 2.46933e-05
+9 *125:11 *138:8 6.08032e-05
+*RES
+1 *688:X *138:8 43.1164
+2 *138:8 *689:C 38
+*END
+
+*D_NET *139 0.00822023
+*CONN
+*I *690:D I *D sky130_fd_sc_hd__and4_2
+*I *689:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *690:D 0
+2 *689:X 0.000481233
+3 *139:19 0.00195847
+4 *139:11 0.0024397
+5 *139:19 *646:A 2.44913e-05
+6 *139:19 *691:A 0.000100803
+7 *139:19 *691:B 0.000103647
+8 *139:19 *140:11 0.000104183
+9 *139:19 *216:64 2.60465e-05
+10 *139:19 *216:72 0.000130231
+11 *139:19 *238:17 2.4754e-05
+12 *668:B *139:11 0.000139774
+13 *689:B *139:11 0.000112684
+14 *828:A *139:11 0
+15 *837:D *139:19 0.000205566
+16 *5:567 *139:19 0.000313872
+17 *5:590 *139:19 0.000471308
+18 *5:592 *139:19 4.2573e-05
+19 *5:604 *139:19 0.000745595
+20 *5:606 *139:19 8.43466e-05
+21 *5:611 *139:19 0.000180218
+22 *118:8 *139:11 6.87243e-05
+23 *128:5 *139:11 0.000440169
+24 *129:10 *139:11 2.18409e-05
+*RES
+1 *689:X *139:11 34.165
+2 *139:11 *139:19 33.5571
+3 *139:19 *690:D 23
+*END
+
+*D_NET *140 0.0035488
+*CONN
+*I *695:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *719:B2 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *724:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *690:X O *D sky130_fd_sc_hd__and4_2
+*CAP
+1 *695:B1 0
+2 *719:B2 9.60605e-05
+3 *724:A2 0
+4 *690:X 0.000203222
+5 *140:34 0.000282015
+6 *140:22 0.000805411
+7 *140:11 0.000630557
+8 *719:B2 *842:CLK 4.67488e-05
+9 *140:11 *724:A1 1.12796e-05
+10 *140:11 *231:25 5.50049e-05
+11 *140:11 *238:15 1.72202e-05
+12 *140:11 *238:17 9.9189e-05
+13 *140:22 *724:B1 5.67058e-05
+14 *140:22 *841:CLK 1.27653e-05
+15 *140:22 *233:8 0.00035793
+16 *140:22 *233:15 8.94487e-05
+17 *140:22 *236:10 0.000361091
+18 *140:22 *238:15 0.000145163
+19 *140:34 *695:A3 1.14452e-05
+20 *5:235 *140:22 0
+21 *5:266 *140:34 0
+22 *29:8 *140:34 0
+23 *74:10 *140:34 0.000163361
+24 *139:19 *140:11 0.000104183
+*RES
+1 *690:X *140:11 28.0957
+2 *140:11 *724:A2 23
+3 *140:11 *140:22 40.875
+4 *140:22 *719:B2 24.0979
+5 *140:22 *140:34 34.3086
+6 *140:34 *695:B1 23
+*END
+
+*D_NET *141 0.00530624
+*CONN
+*I *694:C I *D sky130_fd_sc_hd__or4_2
+*I *703:B1_N I *D sky130_fd_sc_hd__a21bo_2
+*I *720:B I *D sky130_fd_sc_hd__nand2_2
+*I *691:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:C 0.000268104
+2 *703:B1_N 6.7738e-05
+3 *720:B 3.80122e-05
+4 *691:Y 0.000407129
+5 *141:17 0.000843295
+6 *141:8 0.000952595
+7 *694:C *154:6 0.000156072
+8 *694:C *187:17 3.02142e-05
+9 *703:B1_N *153:5 0.000139346
+10 *703:B1_N *246:22 0.000227401
+11 *720:B *216:202 5.3304e-05
+12 *141:8 *216:202 0
+13 *141:17 *149:8 0.000110238
+14 *141:17 *154:6 0.000188926
+15 *141:17 *161:6 0.000107651
+16 *5:567 *141:8 7.08854e-05
+17 *83:36 *694:C 1.02428e-05
+18 *133:22 *694:C 0.000363129
+19 *133:22 *141:8 0.000240905
+20 *133:22 *141:17 0.00103105
+21 *136:6 *141:8 0
+*RES
+1 *691:Y *141:8 45.4571
+2 *141:8 *720:B 38.6214
+3 *141:8 *141:17 13.8786
+4 *141:17 *703:B1_N 40.5323
+5 *141:17 *694:C 44.1314
+*END
+
+*D_NET *142 0.0125155
+*CONN
+*I *780:A I *D sky130_fd_sc_hd__or2_2
+*I *777:A I *D sky130_fd_sc_hd__or2_2
+*I *767:A I *D sky130_fd_sc_hd__or2_2
+*I *773:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *766:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *692:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *780:A 0.000158599
+2 *777:A 0.000455251
+3 *767:A 9.09173e-05
+4 *773:A2 0.000128521
+5 *766:A3 0.000265835
+6 *692:Y 0.000329253
+7 *142:62 0.00117902
+8 *142:47 0.000868729
+9 *142:37 0.000341159
+10 *142:29 0.00103706
+11 *142:11 0.00110048
+12 *766:A3 *256:11 3.22879e-05
+13 *767:A *778:B1 0.000115
+14 *773:A2 *193:8 0.00014411
+15 *773:A2 *194:6 0.000193742
+16 *773:A2 *237:54 2.17761e-05
+17 *777:A *790:B2 0.000179725
+18 *777:A *792:B 7.1556e-05
+19 *777:A *792:C 1.73995e-05
+20 *777:A *184:30 0.000139559
+21 *777:A *198:8 9.66585e-05
+22 *777:A *208:7 0.000394195
+23 *780:A *143:63 0
+24 *780:A *183:8 7.90177e-05
+25 *780:A *187:47 0.0001227
+26 *780:A *292:8 1.05441e-05
+27 *142:11 *236:37 0.000748711
+28 *142:11 *236:43 7.57281e-06
+29 *142:11 *334:14 0.000750566
+30 *142:29 *176:8 4.82534e-05
+31 *142:29 *178:12 6.17437e-06
+32 *142:29 *237:54 8.50652e-06
+33 *142:29 *237:62 0.000126998
+34 *142:29 *278:12 0.000127629
+35 *142:29 *334:14 2.38469e-05
+36 *142:62 *783:A1 4.30894e-05
+37 *142:62 *150:32 2.05503e-05
+38 *142:62 *183:8 7.99472e-06
+39 *142:62 *187:47 8.95736e-05
+40 *142:62 *202:8 4.60157e-05
+41 *142:62 *263:18 0.000778965
+42 *379:DIODE *766:A3 0.00014196
+43 *382:DIODE *142:11 2.05503e-05
+44 *385:DIODE *777:A 0.000128558
+45 *750:C *767:A 0.000178517
+46 *769:A1 *766:A3 6.17437e-06
+47 *774:A2 *773:A2 6.00922e-06
+48 *774:A2 *142:29 2.31163e-05
+49 *774:A2 *142:47 1.74874e-06
+50 *779:B *142:11 5.50106e-05
+51 *5:311 *142:11 1.59094e-05
+52 *5:409 *777:A 5.3304e-05
+53 *5:418 *777:A 9.41704e-05
+54 *5:418 *780:A 0
+55 *5:418 *142:62 2.48259e-05
+56 *5:727 *766:A3 9.41704e-05
+57 *5:731 *766:A3 4.5051e-05
+58 *16:5 *773:A2 1.02029e-05
+59 *16:5 *142:47 8.12131e-05
+60 *16:5 *142:62 9.85663e-05
+61 *17:31 *142:47 4.6318e-06
+62 *17:31 *142:62 7.77274e-05
+63 *83:25 *142:29 2.33661e-05
+64 *83:30 *142:29 2.26497e-05
+65 *86:40 *142:47 0
+66 *86:57 *777:A 5.3304e-05
+67 *130:45 *142:62 0.000518132
+68 *130:52 *142:62 0.000248922
+69 *130:94 *773:A2 2.51896e-05
+70 *132:48 *767:A 5.50049e-05
+*RES
+1 *692:Y *142:11 48.4153
+2 *142:11 *142:29 46.0798
+3 *142:29 *766:A3 27.9093
+4 *142:29 *142:37 15
+5 *142:37 *773:A2 41.5836
+6 *142:37 *142:47 2.96214
+7 *142:47 *767:A 40.5271
+8 *142:47 *142:62 22.7357
+9 *142:62 *777:A 48.9579
+10 *142:62 *780:A 41.7286
+*END
+
+*D_NET *143 0.00989949
+*CONN
+*I *694:D I *D sky130_fd_sc_hd__or4_2
+*I *790:B2 I *D sky130_fd_sc_hd__o221a_2
+*I *787:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *783:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *700:B I *D sky130_fd_sc_hd__nand2_2
+*I *693:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:D 0
+2 *790:B2 0.000229992
+3 *787:A1 0
+4 *783:B2 2.29409e-05
+5 *781:B1 4.56411e-05
+6 *763:B2 0.000203849
+7 *700:B 0.000395917
+8 *693:Y 0.000195153
+9 *143:63 0.00039428
+10 *143:36 0.000473891
+11 *143:29 0.000298277
+12 *143:28 0.000730892
+13 *143:9 0.000999679
+14 *143:5 0.000283246
+15 *700:B *631:A 2.64616e-05
+16 *700:B *741:B1 5.70419e-05
+17 *700:B *177:14 5.79559e-05
+18 *763:B2 *763:B1 1.12796e-05
+19 *763:B2 *785:A 0.000227975
+20 *763:B2 *176:24 5.3304e-05
+21 *763:B2 *176:28 9.84794e-05
+22 *763:B2 *176:56 0.000393963
+23 *763:B2 *178:32 2.65703e-05
+24 *763:B2 *185:7 1.358e-05
+25 *781:B1 *176:56 2.3007e-05
+26 *790:B2 *790:B1 1.61115e-05
+27 *790:B2 *184:30 4.34346e-05
+28 *790:B2 *187:47 0.000131074
+29 *143:5 *144:10 0.000226899
+30 *143:5 *235:52 1.94693e-05
+31 *143:28 *177:14 0.00010572
+32 *143:28 *178:12 0.000194765
+33 *143:29 *783:B1 9.64943e-05
+34 *143:29 *178:15 0.000100061
+35 *143:36 *176:56 3.52734e-05
+36 *143:36 *178:12 5.99567e-06
+37 *143:36 *178:15 5.56356e-05
+38 *143:36 *178:20 0
+39 *143:63 *783:B1 0.000166079
+40 *143:63 *187:33 7.86491e-05
+41 *143:63 *187:44 5.50106e-05
+42 *347:DIODE *700:B 8.34394e-05
+43 *380:DIODE *143:28 0.000143809
+44 *384:DIODE *143:28 0.000161213
+45 *385:DIODE *790:B2 2.97185e-05
+46 *395:DIODE *143:63 2.93747e-05
+47 *683:B *700:B 0
+48 *694:A *143:5 0.000139352
+49 *694:A *143:9 8.6949e-05
+50 *740:B *700:B 2.56365e-05
+51 *777:A *790:B2 0.000179725
+52 *780:A *143:63 0
+53 *5:409 *790:B2 4.54806e-05
+54 *5:418 *143:63 7.65863e-06
+55 *5:714 *700:B 0.000219737
+56 *17:9 *143:28 3.38086e-05
+57 *83:25 *143:28 0.000178906
+58 *83:30 *143:9 0.000100803
+59 *83:30 *143:28 0.000383929
+60 *83:36 *143:28 0.000182276
+61 *84:18 *143:5 8.48502e-06
+62 *85:5 *143:5 1.14876e-05
+63 *86:8 *700:B 0.000101764
+64 *86:57 *783:B2 1.09903e-05
+65 *86:57 *143:29 1.71761e-05
+66 *86:57 *143:36 3.82137e-05
+67 *130:45 *790:B2 5.23008e-05
+68 *130:53 *763:B2 7.48505e-05
+69 *130:76 *143:36 4.40195e-05
+70 *131:28 *143:5 7.15126e-05
+71 *132:8 *700:B 0.000479329
+72 *133:5 *700:B 1.12268e-05
+73 *133:22 *700:B 3.30679e-05
+74 *133:22 *143:5 8.30586e-05
+75 *133:22 *143:9 0.000236146
+*RES
+1 *693:Y *143:5 27.9093
+2 *143:5 *143:9 3.72857
+3 *143:9 *700:B 33.8129
+4 *143:9 *143:28 42.1593
+5 *143:28 *143:29 1.57429
+6 *143:29 *143:36 18.5007
+7 *143:36 *763:B2 44.7114
+8 *143:36 *781:B1 38.8493
+9 *143:29 *783:B2 23.4971
+10 *143:28 *143:63 18.625
+11 *143:63 *787:A1 38
+12 *143:63 *790:B2 43.2821
+13 *143:5 *694:D 23
+*END
+
+*D_NET *144 0.00373179
+*CONN
+*I *695:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *694:X O *D sky130_fd_sc_hd__or4_2
+*CAP
+1 *695:A3 0.000236687
+2 *694:X 0.000731302
+3 *144:10 0.000967988
+4 *695:A3 *145:95 0.000569909
+5 *695:A3 *162:8 0.000138727
+6 *695:A3 *334:14 1.53581e-05
+7 *144:10 *693:A 0.000137533
+8 *144:10 *234:19 8.68775e-05
+9 *144:10 *234:21 0.00013024
+10 *144:10 *235:52 5.61255e-06
+11 *144:10 *236:19 6.5117e-06
+12 *144:10 *236:28 3.36703e-05
+13 *144:10 *334:14 0
+14 *5:266 *695:A3 0
+15 *74:10 *695:A3 0.000250774
+16 *74:10 *144:10 0.000182253
+17 *140:34 *695:A3 1.14452e-05
+18 *143:5 *144:10 0.000226899
+*RES
+1 *694:X *144:10 49.9832
+2 *144:10 *695:A3 46.7725
+*END
+
+*D_NET *145 0.0113589
+*CONN
+*I *716:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *712:S I *D sky130_fd_sc_hd__mux2_1
+*I *714:S I *D sky130_fd_sc_hd__mux2_1
+*I *708:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *709:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *722:S I *D sky130_fd_sc_hd__mux2_1
+*I *723:B I *D sky130_fd_sc_hd__nand2_2
+*I *719:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *695:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *716:C1 0
+2 *712:S 5.51332e-05
+3 *714:S 2.1727e-05
+4 *708:B1 0.000134485
+5 *709:A2 0
+6 *722:S 0.000537655
+7 *723:B 0.000560983
+8 *719:A1_N 0
+9 *695:X 8.55937e-05
+10 *145:95 0.000224751
+11 *145:58 0.00072721
+12 *145:53 0.000925939
+13 *145:24 0.00126561
+14 *145:15 0.000354588
+15 *145:11 0.000654172
+16 *145:7 0.000384426
+17 *145:5 0.000484564
+18 *708:B1 *187:17 0.00012015
+19 *708:B1 *234:47 0.000226899
+20 *708:B1 *237:33 0.000154111
+21 *712:S *712:A1 1.24376e-05
+22 *714:S *235:9 4.00259e-06
+23 *722:S *216:202 0.000214036
+24 *722:S *216:212 4.4553e-05
+25 *723:B *841:CLK 6.57646e-05
+26 *723:B *238:15 0.00033644
+27 *723:B *238:17 5.82853e-05
+28 *145:7 *712:A0 9.67842e-05
+29 *145:11 *712:A0 5.3304e-05
+30 *145:11 *712:A1 0.000135134
+31 *145:15 *843:CLK 0
+32 *145:15 *235:30 0
+33 *145:24 *719:A2_N 2.64616e-05
+34 *145:24 *841:CLK 6.01596e-05
+35 *145:24 *216:222 7.45278e-05
+36 *145:53 *714:A1 4.95081e-05
+37 *145:53 *843:CLK 0
+38 *145:53 *164:6 0
+39 *145:53 *235:9 5.50049e-05
+40 *145:53 *235:13 9.24795e-06
+41 *145:53 *235:30 0
+42 *145:58 *698:A 7.44161e-06
+43 *145:58 *710:B1 9.84851e-05
+44 *145:58 *714:A1 0.000119477
+45 *145:58 *148:5 0.00034821
+46 *145:58 *237:12 0.000404066
+47 *145:58 *237:33 6.16531e-05
+48 *145:95 *947:TE 9.88678e-05
+49 *695:A1 *712:S 2.32101e-05
+50 *695:A1 *145:5 1.76557e-05
+51 *695:A1 *145:7 2.5259e-05
+52 *695:A3 *145:95 0.000569909
+53 *720:A *722:S 1.21972e-05
+54 *5:266 *145:5 6.17437e-06
+55 *5:266 *145:95 0.000125768
+56 *73:8 *723:B 5.27797e-05
+57 *73:8 *145:15 6.17437e-06
+58 *73:8 *145:24 2.24151e-05
+59 *76:6 *145:15 0.000169683
+60 *76:6 *145:24 0.000218952
+61 *84:26 *708:B1 0.000165557
+62 *84:26 *145:58 0.000456514
+63 *129:111 *145:7 9.36367e-05
+64 *133:22 *145:53 0
+65 *135:6 *723:B 4.11274e-05
+*RES
+1 *695:X *145:5 24.5743
+2 *145:5 *145:7 3.48
+3 *145:7 *145:11 18.0036
+4 *145:11 *145:15 18.9668
+5 *145:15 *719:A1_N 23
+6 *145:15 *145:24 19.6089
+7 *145:24 *723:B 48.5436
+8 *145:24 *722:S 45.6643
+9 *145:11 *145:53 20.0129
+10 *145:53 *145:58 28.8993
+11 *145:58 *709:A2 38
+12 *145:58 *708:B1 42.785
+13 *145:53 *714:S 23.4971
+14 *145:7 *712:S 24.6157
+15 *145:5 *145:95 38.0371
+16 *145:95 *716:C1 23
+*END
+
+*D_NET *146 0.00223774
+*CONN
+*I *711:A I *D sky130_fd_sc_hd__xnor2_2
+*I *705:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *696:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *711:A 0.000457486
+2 *705:A1 0
+3 *696:Y 0.000123262
+4 *146:10 0.00024996
+5 *146:5 0.000830709
+6 *146:10 *237:12 8.32593e-05
+7 *695:A1 *711:A 0.0001586
+8 *705:B1 *146:10 3.92407e-05
+9 *5:66 *146:10 0
+10 *5:70 *146:10 0
+11 *77:6 *146:10 0.000222113
+12 *84:26 *146:10 0
+13 *129:58 *146:10 4.59027e-05
+14 *129:63 *146:10 2.72055e-05
+*RES
+1 *696:Y *146:5 25.0507
+2 *146:5 *146:10 36.1314
+3 *146:10 *705:A1 23
+4 *146:5 *711:A 30.7886
+*END
+
+*D_NET *147 0.000224196
+*CONN
+*I *699:A I *D sky130_fd_sc_hd__nor2_2
+*I *697:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *699:A 1.19175e-05
+2 *697:Y 1.19175e-05
+3 *699:A *684:B 0.00010018
+4 *697:B *699:A 0.00010018
+*RES
+1 *697:Y *699:A 47.0979
+*END
+
+*D_NET *148 0.00213621
+*CONN
+*I *710:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *699:B I *D sky130_fd_sc_hd__nor2_2
+*I *698:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *710:B1 1.74373e-05
+2 *699:B 0
+3 *698:X 0.000140327
+4 *148:10 0.00033104
+5 *148:5 0.000488804
+6 *710:B1 *149:13 8.60475e-05
+7 *148:5 *698:A 3.90046e-05
+8 *148:5 *149:13 9.77405e-05
+9 *148:5 *154:21 5.56279e-05
+10 *148:10 *149:8 8.30772e-05
+11 *148:10 *149:19 1.46784e-05
+12 *148:10 *159:6 0.000163025
+13 *148:10 *161:6 7.69256e-06
+14 *129:63 *148:5 1.32314e-05
+15 *129:81 *148:5 9.84794e-05
+16 *129:90 *148:5 5.3304e-05
+17 *145:58 *710:B1 9.84851e-05
+18 *145:58 *148:5 0.00034821
+*RES
+1 *698:X *148:5 27.4329
+2 *148:5 *148:10 36.1314
+3 *148:10 *699:B 23
+4 *148:5 *710:B1 24.0979
+*END
+
+*D_NET *149 0.0028886
+*CONN
+*I *710:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:A I *D sky130_fd_sc_hd__xor2_2
+*I *705:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *699:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *710:A1 0
+2 *713:A 0
+3 *705:A2 0.000200847
+4 *699:Y 0.000274093
+5 *149:19 0.000441441
+6 *149:13 0.000323129
+7 *149:8 0.000356629
+8 *705:A2 *705:A3 5.23488e-05
+9 *705:A2 *154:21 0
+10 *149:8 *159:6 0.000165593
+11 *149:13 *698:A 0.000123215
+12 *149:19 *705:A3 9.61399e-05
+13 *149:19 *154:21 0.000236406
+14 *697:B *149:8 0.000141675
+15 *705:B1 *705:A2 7.53384e-06
+16 *710:B1 *149:13 8.60475e-05
+17 *129:95 *705:A2 1.24376e-05
+18 *129:95 *149:19 5.91005e-05
+19 *134:17 *149:19 6.22448e-06
+20 *141:17 *149:8 0.000110238
+21 *148:5 *149:13 9.77405e-05
+22 *148:10 *149:8 8.30772e-05
+23 *148:10 *149:19 1.46784e-05
+*RES
+1 *699:Y *149:8 44.2971
+2 *149:8 *149:13 17.5479
+3 *149:13 *149:19 35.0129
+4 *149:19 *705:A2 26.5214
+5 *149:19 *713:A 23
+6 *149:13 *710:A1 23
+*END
+
+*D_NET *150 0.0115743
+*CONN
+*I *783:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *791:A I *D sky130_fd_sc_hd__or2_2
+*I *704:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *756:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *700:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A1 0.000124588
+2 *791:A 0
+3 *704:A1 0
+4 *756:A1 1.45911e-05
+5 *700:Y 0.00050886
+6 *150:54 0.000734294
+7 *150:41 0.000637812
+8 *150:32 0.00189352
+9 *150:24 0.000908008
+10 *150:8 0.000785455
+11 *756:A1 *756:A2 1.93716e-05
+12 *756:A1 *756:B1 1.88142e-05
+13 *783:A1 *178:20 0.000141954
+14 *783:A1 *202:8 5.50106e-05
+15 *150:8 *746:A 0.000163352
+16 *150:8 *766:B1 0.000413222
+17 *150:8 *175:22 0
+18 *150:8 *180:21 6.10551e-06
+19 *150:8 *271:12 0
+20 *150:24 *263:18 0.000513974
+21 *150:24 *299:26 0.000129812
+22 *150:41 *177:6 0.000179523
+23 *150:41 *177:14 0.000340866
+24 *150:41 *183:8 8.04817e-05
+25 *150:41 *187:17 4.98718e-06
+26 *150:41 *187:33 2.19572e-05
+27 *150:41 *234:37 0.000131258
+28 *150:41 *236:37 0.000251418
+29 *150:41 *299:26 9.23705e-06
+30 *150:54 *792:C 0.000108681
+31 *150:54 *234:115 2.64616e-05
+32 *150:54 *299:17 5.3304e-05
+33 *381:DIODE *150:54 4.38068e-06
+34 *384:DIODE *150:41 2.65956e-05
+35 *771:A1 *150:8 3.93703e-05
+36 *782:A1 *783:A1 0.000221997
+37 *782:A1 *150:32 0.000221997
+38 *800:A2 *150:54 6.64163e-06
+39 *5:346 *150:54 0.000110913
+40 *5:714 *150:8 5.50049e-05
+41 *5:749 *150:8 7.65883e-06
+42 *5:761 *150:32 0.000139352
+43 *5:772 *150:32 5.3304e-05
+44 *15:10 *150:8 0.000117592
+45 *16:5 *783:A1 3.59079e-05
+46 *16:5 *150:32 2.26406e-05
+47 *16:23 *150:8 7.71222e-05
+48 *84:18 *150:41 4.45778e-05
+49 *85:10 *150:41 0.000537728
+50 *86:57 *783:A1 5.3304e-05
+51 *86:57 *150:32 0.000409598
+52 *86:57 *150:54 0.000438468
+53 *130:39 *150:54 1.40958e-05
+54 *130:94 *150:8 8.62876e-05
+55 *131:20 *150:41 0.000158442
+56 *131:28 *150:41 7.08063e-05
+57 *131:62 *150:41 6.22297e-05
+58 *132:14 *150:8 0.000217734
+59 *142:62 *783:A1 4.30894e-05
+60 *142:62 *150:32 2.05503e-05
+*RES
+1 *700:Y *150:8 48.9786
+2 *150:8 *756:A1 38.4091
+3 *150:8 *150:24 17.0005
+4 *150:24 *150:32 23.7311
+5 *150:32 *150:41 44.1003
+6 *150:41 *704:A1 38
+7 *150:32 *150:54 40.8957
+8 *150:54 *791:A 23
+9 *150:24 *783:A1 41.6768
+*END
+
+*D_NET *151 9.87992e-05
+*CONN
+*I *715:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *701:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *715:B1 2.10467e-05
+2 *701:Y 2.10467e-05
+3 *129:101 *715:B1 5.67058e-05
+*RES
+1 *701:Y *715:B1 46.6214
+*END
+
+*D_NET *152 0.00118338
+*CONN
+*I *704:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *718:A I *D sky130_fd_sc_hd__xnor2_2
+*I *702:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *704:A2 2.34214e-05
+2 *718:A 0
+3 *702:Y 0.000121683
+4 *152:10 0.000157665
+5 *152:5 0.000302769
+6 *152:5 *187:17 0.00018221
+7 *152:10 *153:17 6.92905e-05
+8 *152:10 *187:17 8.0612e-06
+9 *702:A *152:5 5.56276e-05
+10 *704:B1 *704:A2 5.58003e-05
+11 *704:B1 *152:5 6.55063e-05
+12 *704:B2 *152:5 0.000141344
+13 *716:A1 *152:10 0
+14 *133:22 *152:10 0
+*RES
+1 *702:Y *152:5 26.48
+2 *152:5 *152:10 32.9621
+3 *152:10 *718:A 23
+4 *152:5 *704:A2 23.6214
+*END
+
+*D_NET *153 0.00194833
+*CONN
+*I *716:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *704:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *718:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:X O *D sky130_fd_sc_hd__a21bo_2
+*CAP
+1 *716:A2 0.000245016
+2 *704:A3 0
+3 *718:B 0
+4 *703:X 0.000206204
+5 *153:17 0.00010693
+6 *153:11 0.000377809
+7 *153:5 0.000232068
+8 *716:A2 *246:22 9.77174e-05
+9 *153:5 *246:22 0.000142513
+10 *153:11 *246:22 2.4754e-05
+11 *703:B1_N *153:5 0.000139346
+12 *704:B1 *153:17 1.1901e-05
+13 *716:A1 *716:A2 0.000251112
+14 *716:A1 *153:17 4.36657e-05
+15 *152:10 *153:17 6.92905e-05
+*RES
+1 *703:X *153:5 27.4329
+2 *153:5 *718:B 23
+3 *153:5 *153:11 0.621429
+4 *153:11 *153:17 32.755
+5 *153:17 *704:A3 23
+6 *153:11 *716:A2 28.4064
+*END
+
+*D_NET *154 0.00289198
+*CONN
+*I *705:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *710:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:B I *D sky130_fd_sc_hd__xor2_2
+*I *704:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *705:A3 0.000251754
+2 *710:A2 0
+3 *713:B 0.000496658
+4 *704:X 0.000222391
+5 *154:21 0.000184471
+6 *154:6 0.000282824
+7 *154:21 *698:A 5.79559e-05
+8 *694:C *154:6 0.000156072
+9 *705:A2 *705:A3 5.23488e-05
+10 *705:A2 *154:21 0
+11 *705:B1 *705:A3 3.91386e-05
+12 *715:A2 *705:A3 0.000188934
+13 *715:A2 *713:B 0.000120772
+14 *129:95 *713:B 8.0479e-05
+15 *129:95 *154:21 9.65021e-05
+16 *129:121 *154:6 0
+17 *129:132 *154:6 0
+18 *134:17 *154:21 8.45849e-05
+19 *141:17 *154:6 0.000188926
+20 *148:5 *154:21 5.56279e-05
+21 *149:19 *705:A3 9.61399e-05
+22 *149:19 *154:21 0.000236406
+*RES
+1 *704:X *154:6 42.7229
+2 *154:6 *713:B 17.4546
+3 *713:B *154:21 35.1061
+4 *154:21 *710:A2 23
+5 *713:B *705:A3 28.3546
+*END
+
+*D_NET *155 0.00108349
+*CONN
+*I *708:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *707:A I *D sky130_fd_sc_hd__and2_2
+*I *705:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *708:A1 0
+2 *707:A 4.75115e-05
+3 *705:X 0
+4 *155:16 0.000211777
+5 *155:4 0.000259289
+6 *707:A *156:20 3.81946e-05
+7 *707:A *157:8 1.32314e-05
+8 *155:16 *859:TE 0
+9 *155:16 *156:8 0
+10 *155:16 *156:20 0.000372368
+11 *155:16 *157:8 0.000136486
+12 *155:16 *333:235 4.6318e-06
+*RES
+1 *705:X *155:4 23
+2 *155:4 *707:A 24.1186
+3 *155:4 *155:16 35.075
+4 *155:16 *708:A1 23
+*END
+
+*D_NET *156 0.00209033
+*CONN
+*I *707:B I *D sky130_fd_sc_hd__and2_2
+*I *708:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *706:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *707:B 0
+2 *708:A2 0
+3 *706:Y 0.000193886
+4 *156:20 0.000355843
+5 *156:11 0.000419477
+6 *156:8 0.000257521
+7 *156:8 *157:8 0
+8 *156:8 *246:22 9.84794e-05
+9 *156:11 *333:235 0.000185434
+10 *156:20 *157:8 1.03955e-05
+11 *156:20 *333:235 3.88077e-05
+12 *705:B1 *156:20 0
+13 *706:B *156:20 0.000101554
+14 *707:A *156:20 3.81946e-05
+15 *5:700 *156:8 0
+16 *129:63 *156:20 1.83667e-05
+17 *155:16 *156:8 0
+18 *155:16 *156:20 0.000372368
+*RES
+1 *706:Y *156:8 41.0036
+2 *156:8 *156:11 17.0507
+3 *156:11 *156:20 38.6793
+4 *156:20 *708:A2 23
+5 *156:11 *707:B 23
+*END
+
+*D_NET *157 0.00156412
+*CONN
+*I *709:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *707:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *709:B1 0.000111414
+2 *707:X 0.000228745
+3 *157:8 0.000340159
+4 *709:B1 *706:A 0.000135134
+5 *709:B1 *246:22 0.000349813
+6 *157:8 *333:235 0.000141954
+7 *706:B *709:B1 9.67842e-05
+8 *707:A *157:8 1.32314e-05
+9 *155:16 *157:8 0.000136486
+10 *156:8 *157:8 0
+11 *156:20 *157:8 1.03955e-05
+*RES
+1 *707:X *157:8 41.9564
+2 *157:8 *709:B1 41.9564
+*END
+
+*D_NET *158 0.00091339
+*CONN
+*I *709:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *708:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *709:B2 0
+2 *708:Y 0.000198483
+3 *158:8 0.000198483
+4 *158:8 *187:17 0.000186029
+5 *158:8 *237:12 3.92407e-05
+6 *158:8 *237:33 0.000127068
+7 *705:B1 *158:8 0.000164087
+*RES
+1 *708:Y *158:8 42.3086
+2 *158:8 *709:B2 38
+*END
+
+*D_NET *159 0.000460296
+*CONN
+*I *711:B I *D sky130_fd_sc_hd__xnor2_2
+*I *710:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *711:B 0
+2 *710:Y 6.5839e-05
+3 *159:6 6.5839e-05
+4 *148:10 *159:6 0.000163025
+5 *149:8 *159:6 0.000165593
+*RES
+1 *710:Y *159:6 40.2579
+2 *159:6 *711:B 38
+*END
+
+*D_NET *160 0.000589896
+*CONN
+*I *712:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *711:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *712:A1 8.84296e-05
+2 *711:Y 8.84296e-05
+3 *695:A1 *712:A1 0.000265466
+4 *712:S *712:A1 1.24376e-05
+5 *145:11 *712:A1 0.000135134
+*RES
+1 *711:Y *712:A1 49.0036
+*END
+
+*D_NET *161 0.000981766
+*CONN
+*I *714:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *713:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *714:A1 0.000107765
+2 *713:X 0.000154151
+3 *161:6 0.000261916
+4 *714:A1 *698:A 0.000119467
+5 *714:A1 *235:13 5.41385e-05
+6 *141:17 *161:6 0.000107651
+7 *145:53 *714:A1 4.95081e-05
+8 *145:58 *714:A1 0.000119477
+9 *148:10 *161:6 7.69256e-06
+*RES
+1 *713:X *161:6 40.9621
+2 *161:6 *714:A1 41.48
+*END
+
+*D_NET *162 0.00137013
+*CONN
+*I *716:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *715:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *716:B1 0
+2 *715:Y 0.000462434
+3 *162:8 0.000462434
+4 *695:A3 *162:8 0.000138727
+5 *74:10 *162:8 0.000138727
+6 *129:101 *162:8 8.1796e-05
+7 *129:111 *162:8 8.60108e-05
+*RES
+1 *715:Y *162:8 45.2914
+2 *162:8 *716:B1 38
+*END
+
+*D_NET *163 0.000361996
+*CONN
+*I *717:B I *D sky130_fd_sc_hd__xnor2_2
+*I *716:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *717:B 0
+2 *716:X 5.28716e-05
+3 *163:6 5.28716e-05
+4 *163:6 *233:28 0.000171288
+5 *163:6 *236:19 8.49652e-05
+*RES
+1 *716:X *163:6 40.2579
+2 *163:6 *717:B 38
+*END
+
+*D_NET *164 0.0025036
+*CONN
+*I *719:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *718:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *719:A2_N 0.000176799
+2 *718:Y 0.000387247
+3 *164:6 0.000564046
+4 *719:A2_N *842:CLK 1.59094e-05
+5 *164:6 *843:CLK 0.000400905
+6 *164:6 *216:222 9.03784e-05
+7 *164:6 *233:8 6.48142e-05
+8 *164:6 *233:15 0.000647537
+9 *164:6 *233:28 0.000129504
+10 *145:24 *719:A2_N 2.64616e-05
+11 *145:53 *164:6 0
+*RES
+1 *718:Y *164:6 49.4136
+2 *164:6 *719:A2_N 40.0507
+*END
+
+*D_NET *165 0.00121247
+*CONN
+*I *721:B I *D sky130_fd_sc_hd__xnor2_2
+*I *720:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *721:B 0
+2 *720:Y 0.000313749
+3 *165:7 0.000313749
+4 *165:7 *722:A0 0.000100061
+5 *165:7 *722:A1 4.9486e-05
+6 *720:A *165:7 0.000360561
+7 *72:8 *165:7 2.07355e-05
+8 *129:23 *165:7 5.41288e-05
+*RES
+1 *720:Y *165:7 30.3121
+2 *165:7 *721:B 23
+*END
+
+*D_NET *166 0.000460829
+*CONN
+*I *722:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *721:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *722:A1 9.39004e-05
+2 *721:Y 9.39004e-05
+3 *720:A *722:A1 0.000191255
+4 *72:8 *722:A1 3.22879e-05
+5 *165:7 *722:A1 4.9486e-05
+*RES
+1 *721:Y *722:A1 49.0036
+*END
+
+*D_NET *167 0.000334491
+*CONN
+*I *724:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *723:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *724:B1 6.55003e-05
+2 *723:Y 6.55003e-05
+3 *724:B1 *238:15 0.000117401
+4 *71:8 *724:B1 2.93845e-05
+5 *140:22 *724:B1 5.67058e-05
+*RES
+1 *723:Y *724:B1 48.0507
+*END
+
+*D_NET *168 0.00475285
+*CONN
+*I *732:A I *D sky130_fd_sc_hd__or2_2
+*I *726:B I *D sky130_fd_sc_hd__and2_2
+*I *729:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *725:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *732:A 0.000675581
+2 *726:B 0.000250331
+3 *729:A2 8.76102e-05
+4 *725:X 3.92298e-05
+5 *168:8 0.000907502
+6 *168:5 0.00128437
+7 *726:B *169:14 1.37349e-05
+8 *726:B *216:64 0
+9 *726:B *220:19 0.000332902
+10 *726:B *333:219 0
+11 *729:A2 *729:A1 1.36718e-05
+12 *729:A2 *216:56 9.66585e-05
+13 *732:A *832:CLK 0
+14 *732:A *172:9 0.000275595
+15 *732:A *173:7 3.22879e-05
+16 *732:A *218:11 0.000178517
+17 *732:A *219:18 1.08955e-05
+18 *168:5 *218:11 5.50049e-05
+19 *168:8 *217:64 6.16531e-05
+20 *168:8 *218:26 0
+21 *168:8 *219:23 0
+22 *168:8 *219:34 0
+23 *168:8 *220:8 0.000138747
+24 *168:8 *220:19 6.2088e-05
+25 *168:8 *333:50 0
+26 *168:8 *333:201 0
+27 *5:88 *168:8 7.80746e-05
+28 *89:44 *732:A 0.000158394
+29 *96:10 *168:8 0
+*RES
+1 *725:X *168:5 23.6214
+2 *168:5 *168:8 25.005
+3 *168:8 *729:A2 39.9471
+4 *168:8 *726:B 43.075
+5 *168:5 *732:A 34.165
+*END
+
+*D_NET *169 0.0012585
+*CONN
+*I *730:B I *D sky130_fd_sc_hd__nand2_2
+*I *728:B I *D sky130_fd_sc_hd__nand2b_2
+*I *727:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *726:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *730:B 6.14087e-05
+2 *728:B 0
+3 *727:A2 8.69309e-05
+4 *726:X 0
+5 *169:14 0.000158588
+6 *169:4 0.00018411
+7 *727:A2 *727:A1 5.50049e-05
+8 *727:A2 *220:20 4.21378e-05
+9 *730:B *730:A 0.000180218
+10 *169:14 *220:19 0.000164291
+11 *169:14 *221:10 3.47781e-05
+12 *169:14 *221:14 0.000164291
+13 *169:14 *333:219 0
+14 *726:B *169:14 1.37349e-05
+15 *88:77 *727:A2 6.17437e-06
+16 *88:77 *169:14 9.20311e-06
+17 *129:17 *730:B 9.76261e-05
+*RES
+1 *726:X *169:4 23
+2 *169:4 *727:A2 24.5743
+3 *169:4 *169:14 32.9621
+4 *169:14 *728:B 23
+5 *169:14 *730:B 25.0507
+*END
+
+*D_NET *170 0.000463813
+*CONN
+*I *729:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *728:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *729:B1 0
+2 *728:Y 0.000120755
+3 *170:8 0.000120755
+4 *170:8 *220:8 5.75756e-06
+5 *170:8 *220:19 7.37663e-05
+6 *88:65 *170:8 5.75756e-06
+7 *88:77 *170:8 8.20101e-05
+8 *129:17 *170:8 5.50106e-05
+*RES
+1 *728:Y *170:8 40.8793
+2 *170:8 *729:B1 38
+*END
+
+*D_NET *171 0.0048464
+*CONN
+*I *733:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *735:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *736:C I *D sky130_fd_sc_hd__nand3_2
+*I *730:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *733:A1 1.80182e-05
+2 *735:A1 0.000197987
+3 *736:C 0.000515403
+4 *730:Y 0.000134173
+5 *171:12 0.000921754
+6 *171:10 0.000753834
+7 *171:9 0.000661625
+8 *733:A1 *172:9 2.93845e-05
+9 *733:A1 *173:7 5.50106e-05
+10 *735:A1 *174:8 0
+11 *735:A1 *216:131 0.000148698
+12 *735:A1 *217:5 5.50106e-05
+13 *735:A1 *217:7 5.50049e-05
+14 *736:C *812:B 0.0001099
+15 *736:C *174:8 0
+16 *736:C *217:82 0.000175438
+17 *736:C *218:58 0.000166513
+18 *736:C *333:27 2.25419e-05
+19 *736:C *333:41 0
+20 *736:C *333:50 0
+21 *171:10 *731:B1 2.70366e-05
+22 *171:10 *219:8 3.83778e-05
+23 *171:10 *333:50 0
+24 *171:12 *731:B1 2.08324e-05
+25 *171:12 *174:8 0
+26 *171:12 *333:50 0
+27 *405:DIODE *736:C 0.000218963
+28 *685:C_N *171:10 0
+29 *5:70 *171:10 8.03078e-05
+30 *5:72 *171:10 6.54175e-05
+31 *5:77 *171:10 4.48699e-05
+32 *69:8 *171:10 0.000188618
+33 *72:8 *171:10 0
+34 *88:19 *171:10 0
+35 *88:19 *171:12 0
+36 *89:44 *171:12 0
+37 *129:17 *171:9 0.000129241
+38 *129:23 *171:9 1.24376e-05
+*RES
+1 *730:Y *171:9 40.5479
+2 *171:9 *171:10 10.7093
+3 *171:10 *171:12 3.49036
+4 *171:12 *736:C 49.3618
+5 *171:12 *735:A1 42.4432
+6 *171:10 *733:A1 38.6214
+*END
+
+*D_NET *172 0.000826117
+*CONN
+*I *732:B I *D sky130_fd_sc_hd__or2_2
+*I *731:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *732:B 0
+2 *731:Y 0.000119308
+3 *172:9 0.000119308
+4 *172:9 *173:7 0.000219171
+5 *172:9 *219:18 1.73399e-05
+6 *732:A *172:9 0.000275595
+7 *733:A1 *172:9 2.93845e-05
+8 *89:44 *172:9 4.6011e-05
+*RES
+1 *731:Y *172:9 28.4271
+2 *172:9 *732:B 23
+*END
+
+*D_NET *173 0.00098588
+*CONN
+*I *733:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *732:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *733:A2 0
+2 *732:X 0.000188269
+3 *173:7 0.000188269
+4 *732:A *173:7 3.22879e-05
+5 *733:A1 *173:7 5.50106e-05
+6 *68:10 *173:7 0.000272377
+7 *88:19 *173:7 2.45549e-06
+8 *89:44 *173:7 2.80394e-05
+9 *172:9 *173:7 0.000219171
+*RES
+1 *732:X *173:7 28.8829
+2 *173:7 *733:A2 23
+*END
+
+*D_NET *174 0.000822222
+*CONN
+*I *735:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *734:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *735:A2 0
+2 *734:Y 0.000300294
+3 *174:8 0.000300294
+4 *174:8 *812:B 0.00010018
+5 *174:8 *217:82 0.000117239
+6 *735:A1 *174:8 0
+7 *736:C *174:8 0
+8 *44:6 *174:8 0
+9 *67:8 *174:8 0
+10 *89:44 *174:8 4.21594e-06
+11 *171:12 *174:8 0
+*RES
+1 *734:Y *174:8 44.525
+2 *174:8 *735:A2 38
+*END
+
+*D_NET *175 0.0100259
+*CONN
+*I *784:A I *D sky130_fd_sc_hd__and3_2
+*I *758:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *741:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *740:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *784:A 0.000218611
+2 *758:B1 0
+3 *741:B1 0.000666733
+4 *740:Y 0
+5 *175:29 0.000508143
+6 *175:22 0.00179906
+7 *175:4 0.00173904
+8 *741:B1 *862:A 7.68866e-05
+9 *741:B1 *882:A 7.27085e-05
+10 *741:B1 *882:TE_B 0.000141052
+11 *741:B1 *245:8 5.56279e-05
+12 *741:B1 *267:8 0.000137651
+13 *784:A *764:B1 1.00493e-05
+14 *784:A *325:7 2.81066e-05
+15 *175:22 *762:B2 0
+16 *175:22 *766:B1 1.18379e-05
+17 *175:22 *179:95 3.89963e-05
+18 *175:22 *180:21 2.11157e-05
+19 *175:22 *185:13 0
+20 *175:22 *189:6 0.000134408
+21 *175:22 *191:6 0.000158716
+22 *175:22 *192:14 1.45573e-05
+23 *175:22 *249:8 0
+24 *175:29 *758:B2 5.56356e-05
+25 *175:29 *762:B2 2.95423e-05
+26 *175:29 *764:B1 0.000141347
+27 *175:29 *179:95 0.000188446
+28 *175:29 *183:20 6.63793e-06
+29 *175:29 *305:7 2.57706e-05
+30 *175:29 *305:22 0.000627484
+31 *683:A *741:B1 0.000143655
+32 *683:B *741:B1 4.5051e-05
+33 *700:B *741:B1 5.70419e-05
+34 *740:A *175:22 1.12487e-05
+35 *741:A1 *741:B1 2.02517e-05
+36 *771:A1 *175:22 6.97747e-05
+37 *5:714 *741:B1 4.22202e-05
+38 *5:749 *175:22 2.26927e-05
+39 *5:758 *175:22 6.4393e-05
+40 *5:780 *175:22 0.000100527
+41 *5:801 *784:A 9.98069e-06
+42 *5:803 *784:A 5.12055e-06
+43 *5:806 *175:22 8.20563e-05
+44 *5:838 *784:A 0.000155552
+45 *15:10 *175:29 1.41891e-05
+46 *36:22 *175:29 6.5117e-06
+47 *37:10 *175:29 0.000962971
+48 *37:22 *784:A 7.27694e-06
+49 *37:22 *175:29 0.00013024
+50 *130:94 *175:22 4.55577e-05
+51 *132:8 *175:22 0.000267663
+52 *132:29 *175:22 0.000318967
+53 *132:43 *175:22 0.000210856
+54 *132:56 *175:22 0
+55 *133:5 *741:B1 0.000323913
+56 *150:8 *175:22 0
+*RES
+1 *740:Y *175:4 23
+2 *175:4 *741:B1 38.9293
+3 *175:4 *175:22 48.7257
+4 *175:22 *175:29 46.5921
+5 *175:29 *758:B1 23
+6 *175:22 *784:A 27.35
+*END
+
+*D_NET *176 0.00996091
+*CONN
+*I *781:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *790:A2 I *D sky130_fd_sc_hd__o221a_2
+*I *763:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *753:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *746:A I *D sky130_fd_sc_hd__and3_2
+*I *767:B I *D sky130_fd_sc_hd__or2_2
+*I *742:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *781:A1 4.08032e-05
+2 *790:A2 0
+3 *763:A2 0
+4 *753:A2 0.000214442
+5 *746:A 0.000239993
+6 *767:B 0
+7 *742:X 0.000409822
+8 *176:64 0.00042515
+9 *176:56 0.000906217
+10 *176:28 0.000772914
+11 *176:24 0.000359468
+12 *176:23 0.000643348
+13 *176:14 0.000465958
+14 *176:8 0.000713685
+15 *746:A *766:B1 6.61642e-05
+16 *746:A *778:B1 9.41704e-05
+17 *746:A *271:11 6.99589e-05
+18 *753:A2 *753:A1 3.83687e-05
+19 *753:A2 *766:B1 1.20631e-05
+20 *753:A2 *178:36 5.65878e-05
+21 *753:A2 *179:95 0.000135037
+22 *176:8 *178:12 0.000107498
+23 *176:8 *195:6 5.78327e-05
+24 *176:8 *237:62 0.000305139
+25 *176:14 *271:11 0.000139352
+26 *176:23 *185:13 0.000135911
+27 *176:28 *178:32 3.58084e-06
+28 *176:28 *178:36 3.28609e-05
+29 *176:28 *179:95 1.03955e-05
+30 *176:56 *785:A 4.21062e-05
+31 *176:56 *203:6 1.74874e-06
+32 *176:64 *788:C 5.08422e-06
+33 *176:64 *184:30 4.09479e-05
+34 *750:C *176:14 0.000432804
+35 *763:B2 *176:24 5.3304e-05
+36 *763:B2 *176:28 9.84794e-05
+37 *763:B2 *176:56 0.000393963
+38 *781:B1 *176:56 2.3007e-05
+39 *5:409 *176:64 0.000201414
+40 *5:780 *176:28 4.08664e-05
+41 *5:785 *753:A2 3.673e-05
+42 *5:785 *176:28 5.50049e-05
+43 *15:10 *746:A 0.000310163
+44 *15:10 *753:A2 5.30346e-05
+45 *16:5 *176:14 8.27285e-05
+46 *16:5 *176:23 0.000315056
+47 *17:9 *781:A1 1.88129e-05
+48 *17:9 *176:56 2.64291e-05
+49 *18:5 *176:64 2.26406e-05
+50 *26:28 *176:64 0.000498846
+51 *83:25 *176:8 1.80087e-05
+52 *83:30 *176:8 1.41384e-05
+53 *86:57 *176:64 1.05441e-05
+54 *130:45 *176:64 0.000253078
+55 *130:76 *176:8 2.55518e-05
+56 *132:56 *176:14 6.01895e-05
+57 *132:56 *176:23 0.000122632
+58 *142:29 *176:8 4.82534e-05
+59 *143:36 *176:56 3.52734e-05
+60 *150:8 *746:A 0.000163352
+*RES
+1 *742:X *176:8 44.7943
+2 *176:8 *176:14 36.5871
+3 *176:14 *767:B 38
+4 *176:14 *176:23 19.3707
+5 *176:23 *176:24 0.621429
+6 *176:24 *176:28 19.4329
+7 *176:28 *746:A 43.945
+8 *176:28 *753:A2 42.35
+9 *176:24 *763:A2 23
+10 *176:23 *176:56 21.7632
+11 *176:56 *176:64 32.7509
+12 *176:64 *790:A2 23
+13 *176:56 *781:A1 38.6732
+*END
+
+*D_NET *177 0.00608144
+*CONN
+*I *775:B I *D sky130_fd_sc_hd__nand2_2
+*I *744:B I *D sky130_fd_sc_hd__nand2_2
+*I *773:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *743:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *775:B 0
+2 *744:B 9.08644e-05
+3 *773:B1 0.000141628
+4 *743:Y 9.83085e-05
+5 *177:47 0.000878705
+6 *177:27 0.000969569
+7 *177:14 0.000786588
+8 *177:6 0.000743268
+9 *744:B *237:62 4.96207e-05
+10 *773:B1 *193:8 0.000137368
+11 *177:14 *236:37 9.68654e-05
+12 *177:47 *629:A 5.3304e-05
+13 *177:47 *742:C 2.32004e-05
+14 *177:47 *786:A2 4.57547e-05
+15 *177:47 *786:A3 4.67488e-05
+16 *177:47 *806:B2 8.94487e-05
+17 *177:47 *181:18 6.17437e-06
+18 *177:47 *196:12 1.27508e-05
+19 *177:47 *236:67 9.71435e-05
+20 *177:47 *237:62 6.08617e-05
+21 *177:47 *237:75 2.93845e-05
+22 *177:47 *237:100 6.07611e-06
+23 *177:47 *256:21 3.70094e-05
+24 *384:DIODE *177:6 0.000170242
+25 *384:DIODE *177:14 0.000161873
+26 *694:A *177:14 1.41077e-05
+27 *700:B *177:14 5.79559e-05
+28 *742:B *177:47 2.93747e-05
+29 *772:A2 *773:B1 0.000123848
+30 *786:B1 *177:47 0.000114095
+31 *83:30 *177:14 5.99242e-05
+32 *83:36 *177:14 2.81411e-05
+33 *84:18 *177:14 0.000135492
+34 *85:10 *177:14 5.62433e-06
+35 *86:13 *773:B1 7.89265e-07
+36 *86:40 *177:14 0
+37 *130:76 *177:14 1.11087e-05
+38 *130:94 *773:B1 4.21158e-05
+39 *143:28 *177:14 0.00010572
+40 *150:41 *177:6 0.000179523
+41 *150:41 *177:14 0.000340866
+*RES
+1 *743:Y *177:6 40.61
+2 *177:6 *177:14 41.0407
+3 *177:14 *773:B1 41.1693
+4 *177:6 *177:27 15
+5 *177:27 *744:B 24.595
+6 *177:27 *177:47 43.4436
+7 *177:47 *775:B 23
+*END
+
+*D_NET *178 0.0126918
+*CONN
+*I *783:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *753:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *746:B I *D sky130_fd_sc_hd__and3_2
+*I *749:B I *D sky130_fd_sc_hd__or2_2
+*I *751:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *755:B I *D sky130_fd_sc_hd__or2_2
+*I *744:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A2 0
+2 *781:A2 0.000257512
+3 *763:B1 1.96722e-05
+4 *753:B1 0
+5 *756:A2 0.000325975
+6 *768:A1 0
+7 *746:B 0
+8 *749:B 0
+9 *751:B1 9.45566e-05
+10 *755:B 0
+11 *744:Y 0.000420883
+12 *178:76 0.000325975
+13 *178:59 0.000723928
+14 *178:49 0.000968857
+15 *178:43 0.000455998
+16 *178:39 0.000241168
+17 *178:36 0.000440326
+18 *178:32 0.000691119
+19 *178:29 0.000656691
+20 *178:20 0.000290579
+21 *178:15 0.000350811
+22 *178:12 0.000524517
+23 *751:B1 *751:B2 0.000129677
+24 *751:B1 *891:A 9.84794e-05
+25 *756:A2 *756:B1 9.61208e-05
+26 *756:A2 *756:C1 7.09215e-06
+27 *756:A2 *179:24 5.50106e-05
+28 *781:A2 *785:A 0.000133737
+29 *781:A2 *201:8 8.80481e-05
+30 *178:12 *195:6 1.1279e-05
+31 *178:12 *234:126 4.89546e-05
+32 *178:12 *237:62 1.71017e-05
+33 *178:20 *202:8 0.000150818
+34 *178:29 *201:8 3.46013e-05
+35 *178:32 *185:7 9.41704e-05
+36 *178:32 *201:8 5.3304e-05
+37 *178:36 *179:95 0.000261458
+38 *178:39 *179:19 1.58805e-05
+39 *178:39 *179:57 2.55642e-05
+40 *178:39 *179:85 0.000119642
+41 *178:39 *180:49 0.000213123
+42 *178:39 *263:10 2.31116e-05
+43 *178:43 *769:B1 4.6318e-06
+44 *178:43 *179:19 9.11478e-05
+45 *178:43 *179:57 8.62876e-05
+46 *178:43 *180:6 3.72703e-05
+47 *178:43 *271:12 5.58473e-05
+48 *178:49 *753:A1 6.48838e-05
+49 *178:49 *883:A 0.000159018
+50 *178:49 *186:13 0.000306338
+51 *178:49 *234:52 4.6318e-06
+52 *178:59 *879:TE 4.94667e-05
+53 *178:59 *891:A 0.000159657
+54 *178:59 *180:31 0.000676479
+55 *178:59 *275:13 0.000565376
+56 *178:59 *278:12 0.000110155
+57 *352:DIODE *178:59 0
+58 *361:DIODE *178:59 9.5877e-05
+59 *372:DIODE *178:36 5.5792e-05
+60 *376:DIODE *178:59 0.000269769
+61 *751:A1 *751:B1 3.91386e-05
+62 *753:A2 *178:36 5.65878e-05
+63 *756:A1 *756:A2 1.93716e-05
+64 *763:B2 *763:B1 1.12796e-05
+65 *763:B2 *178:32 2.65703e-05
+66 *783:A1 *178:20 0.000141954
+67 *5:904 *751:B1 0.000402801
+68 *17:9 *178:12 8.30566e-06
+69 *31:11 *178:59 0
+70 *83:25 *178:12 0.000104561
+71 *86:57 *178:15 1.71761e-05
+72 *86:57 *178:20 2.31687e-05
+73 *130:52 *178:20 1.16455e-05
+74 *130:53 *178:32 4.53082e-05
+75 *130:76 *178:12 0
+76 *130:76 *178:20 1.90303e-05
+77 *142:29 *178:12 6.17437e-06
+78 *143:28 *178:12 0.000194765
+79 *143:29 *178:15 0.000100061
+80 *143:36 *178:12 5.99567e-06
+81 *143:36 *178:15 5.56356e-05
+82 *143:36 *178:20 0
+83 *176:8 *178:12 0.000107498
+84 *176:28 *178:32 3.58084e-06
+85 *176:28 *178:36 3.28609e-05
+*RES
+1 *744:Y *178:12 45.2914
+2 *178:12 *178:15 17.0507
+3 *178:15 *178:20 19.6607
+4 *178:20 *755:B 38
+5 *178:20 *178:29 15.8493
+6 *178:29 *178:32 4.93
+7 *178:32 *178:36 5.88286
+8 *178:36 *178:39 19.0186
+9 *178:39 *178:43 17.9621
+10 *178:43 *178:49 35.7379
+11 *178:49 *178:59 47.2136
+12 *178:59 *751:B1 27.93
+13 *178:49 *749:B 23
+14 *178:43 *746:B 23
+15 *178:39 *178:76 15
+16 *178:76 *768:A1 23
+17 *178:76 *756:A2 27.2102
+18 *178:36 *753:B1 23
+19 *178:32 *763:B1 23.4971
+20 *178:29 *781:A2 27.6193
+21 *178:15 *783:A2 23
+*END
+
+*D_NET *179 0.0105114
+*CONN
+*I *762:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *753:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *746:C I *D sky130_fd_sc_hd__and3_2
+*I *752:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *769:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *745:X O *D sky130_fd_sc_hd__and2b_2
+*CAP
+1 *762:B1 0
+2 *753:C1 0
+3 *756:B1 0.000232729
+4 *768:A2 0
+5 *746:C 0
+6 *752:B1 0.000140183
+7 *751:B2 0.000227453
+8 *769:B1 0.000227626
+9 *745:X 0.000112304
+10 *179:95 0.000365069
+11 *179:85 0.000511377
+12 *179:57 0.000108489
+13 *179:32 0.00161347
+14 *179:24 0.00140319
+15 *179:23 0.000337726
+16 *179:19 0.000337067
+17 *179:7 0.000474563
+18 *751:B2 *891:A 0.00010018
+19 *751:B2 *286:6 2.79004e-05
+20 *752:B1 *284:5 5.3304e-05
+21 *752:B1 *286:6 2.04774e-05
+22 *752:B1 *286:15 6.50004e-05
+23 *756:B1 *756:C1 1.09903e-05
+24 *756:B1 *768:A3 0.000273903
+25 *756:B1 *263:10 7.53384e-06
+26 *769:B1 *271:12 6.07852e-05
+27 *179:7 *186:13 5.50106e-05
+28 *179:7 *271:11 4.5051e-05
+29 *179:19 *186:6 0.000101708
+30 *179:19 *186:13 0.000110524
+31 *179:23 *180:49 0
+32 *179:24 *263:10 2.63049e-05
+33 *179:32 *907:TE 6.99492e-05
+34 *179:32 *909:A 0.000215253
+35 *179:32 *909:TE_B 0.000113302
+36 *179:32 *263:10 2.65157e-06
+37 *179:32 *297:8 7.43025e-06
+38 *179:57 *180:6 1.14452e-05
+39 *179:57 *180:49 0.000110913
+40 *179:57 *271:11 1.59094e-05
+41 *179:85 *764:B1 0.000255143
+42 *179:85 *186:6 1.14452e-05
+43 *179:85 *263:10 2.11066e-05
+44 *179:95 *766:B1 0.000158744
+45 *179:95 *189:6 0.000134408
+46 *179:95 *305:22 6.69637e-06
+47 *361:DIODE *751:B2 1.22906e-05
+48 *376:DIODE *769:B1 2.4754e-05
+49 *751:B1 *751:B2 0.000129677
+50 *752:A2 *752:B1 1.99047e-05
+51 *753:A2 *179:95 0.000135037
+52 *756:A1 *756:B1 1.88142e-05
+53 *756:A2 *756:B1 9.61208e-05
+54 *756:A2 *179:24 5.50106e-05
+55 *768:B1 *179:32 5.00907e-05
+56 *768:B2 *179:32 0.000221406
+57 *769:A1 *769:B1 5.50049e-05
+58 *5:761 *756:B1 2.78016e-05
+59 *5:780 *179:95 2.64616e-05
+60 *5:785 *179:95 2.64616e-05
+61 *5:806 *179:95 4.35711e-05
+62 *5:1001 *752:B1 5.3304e-05
+63 *14:14 *179:32 1.03955e-05
+64 *15:10 *769:B1 7.63302e-05
+65 *15:10 *179:19 1.03934e-05
+66 *31:11 *751:B2 0
+67 *33:8 *751:B2 8.62783e-05
+68 *33:8 *752:B1 0.000299769
+69 *36:22 *179:95 5.75756e-06
+70 *175:22 *179:95 3.89963e-05
+71 *175:29 *179:95 0.000188446
+72 *176:28 *179:95 1.03955e-05
+73 *178:36 *179:95 0.000261458
+74 *178:39 *179:19 1.58805e-05
+75 *178:39 *179:57 2.55642e-05
+76 *178:39 *179:85 0.000119642
+77 *178:43 *769:B1 4.6318e-06
+78 *178:43 *179:19 9.11478e-05
+79 *178:43 *179:57 8.62876e-05
+*RES
+1 *745:X *179:7 39.5743
+2 *179:7 *769:B1 42.5571
+3 *179:7 *179:19 3.31429
+4 *179:19 *179:23 15.6214
+5 *179:23 *179:24 1.09786
+6 *179:24 *179:32 32.4621
+7 *179:32 *751:B2 43.5307
+8 *179:32 *752:B1 42.64
+9 *179:24 *179:57 32.175
+10 *179:57 *746:C 23
+11 *179:23 *768:A2 23
+12 *179:23 *756:B1 29.1211
+13 *179:19 *179:85 18.6664
+14 *179:85 *753:C1 23
+15 *179:85 *179:95 38.7829
+16 *179:95 *762:B1 23
+*END
+
+*D_NET *180 0.0112219
+*CONN
+*I *761:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:A I *D sky130_fd_sc_hd__and3_2
+*I *795:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *747:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *771:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *746:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *761:B1 0
+2 *788:A 0.000178318
+3 *795:B1 0
+4 *747:B1 0
+5 *771:B1 0
+6 *746:X 0
+7 *180:62 0.000415259
+8 *180:55 0.000437486
+9 *180:49 0.000972631
+10 *180:31 0.000896631
+11 *180:21 0.00056303
+12 *180:6 0.00157383
+13 *180:5 0.000886255
+14 *788:A *308:7 0.000220472
+15 *788:A *314:19 0.000180224
+16 *180:6 *753:A1 5.83555e-05
+17 *180:6 *271:12 0.00013185
+18 *180:21 *753:A1 2.29105e-05
+19 *180:21 *771:B2 2.37184e-05
+20 *180:21 *882:TE_B 2.26497e-05
+21 *180:21 *234:52 7.71816e-05
+22 *180:21 *271:12 0.000282761
+23 *180:21 *278:12 7.35791e-05
+24 *180:31 *297:15 3.49867e-05
+25 *180:49 *753:A1 6.43253e-05
+26 *180:49 *764:B1 0.000136565
+27 *180:49 *913:A 0.000182832
+28 *180:49 *307:12 0.000108018
+29 *180:49 *307:24 0.00039032
+30 *180:49 *312:13 8.48502e-06
+31 *180:49 *314:8 0.000438468
+32 *180:55 *922:TE 0.000182826
+33 *180:55 *188:6 1.83667e-05
+34 *180:55 *308:7 3.34129e-05
+35 *180:55 *312:13 0.00049021
+36 *180:55 *314:8 4.21471e-05
+37 *180:62 *922:TE 0
+38 *366:DIODE *180:49 4.89741e-05
+39 *376:DIODE *180:31 0.000263534
+40 *761:A2 *180:49 0.000118855
+41 *795:A2 *788:A 0.000113242
+42 *5:817 *180:55 3.70187e-05
+43 *5:844 *180:55 2.64616e-05
+44 *5:844 *180:62 1.00084e-05
+45 *15:10 *180:49 0.000174952
+46 *16:5 *180:55 0
+47 *16:23 *180:21 6.52226e-05
+48 *32:22 *180:31 8.21123e-05
+49 *36:22 *180:55 1.22803e-05
+50 *130:94 *180:21 3.2702e-05
+51 *132:14 *180:21 1.19763e-05
+52 *150:8 *180:21 6.10551e-06
+53 *175:22 *180:21 2.11157e-05
+54 *178:39 *180:49 0.000213123
+55 *178:43 *180:6 3.72703e-05
+56 *178:59 *180:31 0.000676479
+57 *179:23 *180:49 0
+58 *179:57 *180:6 1.14452e-05
+59 *179:57 *180:49 0.000110913
+*RES
+1 *746:X *180:5 38
+2 *180:5 *180:6 2.96214
+3 *180:6 *180:21 37.8937
+4 *180:21 *771:B1 23
+5 *180:6 *180:31 44.21
+6 *180:31 *747:B1 38
+7 *180:5 *180:49 35.2171
+8 *180:49 *180:55 36.7114
+9 *180:55 *180:62 32.4236
+10 *180:62 *795:B1 23
+11 *180:62 *788:A 27.4329
+12 *180:49 *761:B1 23
+*END
+
+*D_NET *181 0.00443077
+*CONN
+*I *794:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *803:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *786:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *794:B2 0.000339082
+2 *803:B1 0.000279374
+3 *786:A2 0.00020762
+4 *748:Y 0
+5 *181:18 0.000800947
+6 *181:4 0.000390111
+7 *786:A2 *786:A3 4.67488e-05
+8 *786:A2 *236:67 1.24376e-05
+9 *794:B2 *866:TE 2.60152e-05
+10 *794:B2 *234:145 1.66821e-05
+11 *794:B2 *251:8 0.000140958
+12 *794:B2 *263:18 0
+13 *803:B1 *237:117 0.00040944
+14 *803:B1 *251:8 0.000462809
+15 *803:B1 *335:5 9.9075e-05
+16 *181:18 *237:100 9.41704e-05
+17 *181:18 *237:106 0.000178517
+18 *181:18 *237:117 5.50106e-05
+19 *181:18 *256:21 0.000347998
+20 *803:A1 *803:B1 0.00018611
+21 *21:15 *794:B2 2.11157e-05
+22 *26:17 *794:B2 0
+23 *83:8 *786:A2 0.000150806
+24 *83:8 *181:18 2.80394e-05
+25 *131:94 *794:B2 6.22475e-05
+26 *131:94 *803:B1 2.3529e-05
+27 *177:47 *786:A2 4.57547e-05
+28 *177:47 *181:18 6.17437e-06
+*RES
+1 *748:Y *181:4 23
+2 *181:4 *786:A2 26.9979
+3 *181:4 *181:18 20.0543
+4 *181:18 *803:B1 46.1821
+5 *181:18 *794:B2 43.0336
+*END
+
+*D_NET *182 0.000114064
+*CONN
+*I *769:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *749:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *769:B2 2.95294e-05
+2 *749:X 2.95294e-05
+3 *376:DIODE *769:B2 5.50049e-05
+*RES
+1 *749:X *769:B2 46.6214
+*END
+
+*D_NET *183 0.00832142
+*CONN
+*I *798:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *758:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *750:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *798:A1 0
+2 *758:B2 1.87628e-05
+3 *750:X 0.000649154
+4 *183:33 0.000249922
+5 *183:20 0.00130798
+6 *183:11 0.00161859
+7 *183:8 0.000728604
+8 *758:B2 *305:7 2.93431e-05
+9 *183:8 *921:TE 0.000155892
+10 *183:8 *187:33 2.24608e-05
+11 *183:8 *204:12 4.85917e-05
+12 *183:8 *292:8 8.15379e-06
+13 *183:11 *923:A 8.30586e-05
+14 *183:11 *314:11 0.000137533
+15 *183:11 *319:11 0.000208154
+16 *183:20 *762:B2 0.000252834
+17 *183:20 *917:TE_B 0
+18 *183:20 *304:7 5.5792e-05
+19 *183:20 *305:7 9.99448e-05
+20 *183:20 *305:22 0.00039705
+21 *183:20 *314:11 0.000404886
+22 *183:20 *319:11 0.00065906
+23 *183:33 *204:12 2.64616e-05
+24 *380:DIODE *183:8 0.000114836
+25 *384:DIODE *183:8 6.92122e-05
+26 *780:A *183:8 7.90177e-05
+27 *798:B1 *183:8 0.000153802
+28 *5:418 *183:8 7.89973e-05
+29 *5:844 *183:33 0
+30 *17:9 *183:8 3.32935e-05
+31 *17:9 *183:33 0.000222782
+32 *26:28 *183:8 0.000220134
+33 *83:25 *183:8 9.8971e-06
+34 *130:45 *183:8 0
+35 *130:59 *183:20 2.64616e-05
+36 *142:62 *183:8 7.99472e-06
+37 *150:41 *183:8 8.04817e-05
+38 *175:29 *758:B2 5.56356e-05
+39 *175:29 *183:20 6.63793e-06
+*RES
+1 *750:X *183:8 49.5793
+2 *183:8 *183:11 17.5271
+3 *183:11 *183:20 37.9514
+4 *183:20 *758:B2 38.6214
+5 *183:11 *183:33 33.9357
+6 *183:33 *798:A1 23
+*END
+
+*D_NET *184 0.0107267
+*CONN
+*I *792:A I *D sky130_fd_sc_hd__and3_2
+*I *754:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *753:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *792:A 0
+2 *754:B1 0.000123848
+3 *753:X 0.000114431
+4 *184:30 0.00167367
+5 *184:13 0.00143085
+6 *184:8 0.0030951
+7 *754:B1 *894:Z 0.000119266
+8 *754:B1 *896:TE_B 7.05232e-05
+9 *754:B1 *897:A 3.13952e-05
+10 *184:8 *307:12 3.26241e-05
+11 *184:13 *892:A 4.839e-05
+12 *184:13 *896:A 5.5792e-05
+13 *184:13 *898:A 0.000491204
+14 *184:13 *190:7 0.000450162
+15 *184:13 *280:8 7.91414e-06
+16 *184:13 *293:5 3.95707e-06
+17 *184:30 *790:B1 1.28818e-05
+18 *184:30 *187:63 2.91648e-06
+19 *184:30 *200:42 0.000139867
+20 *184:30 *263:18 0
+21 *184:30 *292:13 0.00180642
+22 *184:30 *307:12 0
+23 *385:DIODE *184:30 0.000353331
+24 *765:A_N *184:13 7.87243e-05
+25 *777:A *184:30 0.000139559
+26 *790:B2 *184:30 4.34346e-05
+27 *14:7 *184:8 2.99613e-05
+28 *14:7 *184:30 9.12695e-06
+29 *18:5 *184:30 5.46319e-05
+30 *26:28 *184:30 0.000173996
+31 *86:57 *184:30 5.3304e-05
+32 *130:45 *184:30 3.8233e-06
+33 *130:52 *184:30 3.46093e-05
+34 *176:64 *184:30 4.09479e-05
+*RES
+1 *753:X *184:8 40.175
+2 *184:8 *184:13 48.7257
+3 *184:13 *754:B1 42.0186
+4 *184:8 *184:30 41.6314
+5 *184:30 *792:A 23
+*END
+
+*D_NET *185 0.00304316
+*CONN
+*I *762:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *782:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *755:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *762:B2 0.000428699
+2 *782:B1 0
+3 *756:C1 7.26282e-05
+4 *755:X 4.3154e-05
+5 *185:13 0.000293513
+6 *185:7 0.000692738
+7 *756:C1 *768:A3 8.33187e-05
+8 *185:13 *768:A3 2.66907e-05
+9 *756:A2 *756:C1 7.09215e-06
+10 *756:B1 *756:C1 1.09903e-05
+11 *762:A1 *762:B2 4.55825e-05
+12 *763:B2 *185:7 1.358e-05
+13 *5:761 *756:C1 0.000139352
+14 *5:761 *185:13 1.03836e-05
+15 *5:806 *762:B2 6.69637e-06
+16 *16:5 *185:13 3.21123e-05
+17 *36:22 *762:B2 1.60285e-05
+18 *37:22 *762:B2 0.000124415
+19 *130:53 *185:7 5.3304e-05
+20 *130:59 *762:B2 0.00035441
+21 *130:59 *185:13 3.673e-05
+22 *132:56 *756:C1 2.90458e-05
+23 *132:56 *185:13 1.02388e-05
+24 *175:22 *762:B2 0
+25 *175:22 *185:13 0
+26 *175:29 *762:B2 2.95423e-05
+27 *176:23 *185:13 0.000135911
+28 *178:32 *185:7 9.41704e-05
+29 *183:20 *762:B2 0.000252834
+*RES
+1 *755:X *185:7 39.0979
+2 *185:7 *185:13 19.4329
+3 *185:13 *756:C1 25.5686
+4 *185:13 *782:B1 23
+5 *185:7 *762:B2 47.5286
+*END
+
+*D_NET *186 0.00762023
+*CONN
+*I *764:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *778:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *757:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *756:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *764:B1 0.000357942
+2 *778:B1 0.000751657
+3 *757:B1 0
+4 *756:X 0
+5 *186:13 0.000771834
+6 *186:6 0.00157249
+7 *186:5 0.000406945
+8 *764:B1 *764:B2 5.3304e-05
+9 *764:B1 *190:7 0.000183544
+10 *778:B1 *750:A 0
+11 *778:B1 *271:11 1.61335e-05
+12 *186:13 *906:A 0.000709935
+13 *186:13 *268:8 0.000272383
+14 *186:13 *271:11 0.000178517
+15 *186:13 *296:8 4.06849e-05
+16 *186:13 *300:11 4.9486e-05
+17 *745:A_N *778:B1 5.12254e-05
+18 *745:B *778:B1 1.21972e-05
+19 *746:A *778:B1 9.41704e-05
+20 *750:C *778:B1 5.88433e-05
+21 *757:A1 *186:13 3.45967e-05
+22 *767:A *778:B1 0.000115
+23 *784:A *764:B1 1.00493e-05
+24 *5:801 *764:B1 2.06822e-05
+25 *5:894 *186:13 1.24376e-05
+26 *5:902 *186:13 5.90675e-06
+27 *14:14 *186:13 9.24795e-06
+28 *15:10 *764:B1 0.000440829
+29 *15:10 *186:6 0.000110922
+30 *15:10 *186:13 0.000108283
+31 *17:31 *778:B1 7.27694e-06
+32 *132:30 *778:B1 2.79662e-05
+33 *132:48 *778:B1 1.76557e-05
+34 *175:29 *764:B1 0.000141347
+35 *178:49 *186:13 0.000306338
+36 *179:7 *186:13 5.50106e-05
+37 *179:19 *186:6 0.000101708
+38 *179:19 *186:13 0.000110524
+39 *179:85 *764:B1 0.000255143
+40 *179:85 *186:6 1.14452e-05
+41 *180:49 *764:B1 0.000136565
+*RES
+1 *756:X *186:5 38
+2 *186:5 *186:6 1.55357
+3 *186:6 *186:13 33.7464
+4 *186:13 *757:B1 23
+5 *186:6 *778:B1 47.6943
+6 *186:5 *764:B1 48.7507
+*END
+
+*D_NET *187 0.0100088
+*CONN
+*I *787:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *760:B I *D sky130_fd_sc_hd__or2_2
+*I *780:B I *D sky130_fd_sc_hd__or2_2
+*I *783:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *770:B I *D sky130_fd_sc_hd__or2_2
+*I *759:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *787:A2 4.71031e-05
+2 *760:B 0
+3 *780:B 0
+4 *783:B1 8.79641e-05
+5 *770:B 0
+6 *759:X 6.0664e-05
+7 *187:63 0.000685674
+8 *187:47 0.000909998
+9 *187:44 0.00041092
+10 *187:33 0.000771982
+11 *187:17 0.00103433
+12 *187:11 0.00163952
+13 *187:11 *772:B1 4.78883e-05
+14 *187:17 *759:A 8.04828e-05
+15 *187:17 *192:14 2.10502e-05
+16 *187:17 *234:47 9.73773e-05
+17 *187:33 *759:A 7.23898e-05
+18 *187:33 *197:8 0
+19 *187:33 *236:84 0.00010932
+20 *187:33 *237:75 1.38978e-05
+21 *187:33 *299:26 7.16228e-05
+22 *187:47 *790:B1 0
+23 *187:47 *200:42 7.42973e-05
+24 *187:47 *292:8 3.39192e-05
+25 *187:63 *921:TE 4.51595e-05
+26 *187:63 *924:TE_B 5.58003e-05
+27 *187:63 *200:32 2.5717e-05
+28 *187:63 *200:42 3.72232e-05
+29 *187:63 *292:8 3.46356e-05
+30 *187:63 *292:13 4.93883e-05
+31 *187:63 *309:12 0.000319331
+32 *187:63 *312:16 5.58152e-06
+33 *187:63 *314:11 0.000189481
+34 *694:C *187:17 3.02142e-05
+35 *704:B2 *187:17 0.000285885
+36 *708:B1 *187:17 0.00012015
+37 *742:B *187:33 3.81467e-05
+38 *780:A *187:47 0.0001227
+39 *790:B2 *187:47 0.000131074
+40 *5:418 *187:33 1.57676e-05
+41 *5:418 *187:47 3.34818e-06
+42 *17:9 *187:63 2.11066e-05
+43 *18:5 *187:63 0
+44 *24:12 *187:63 4.95307e-05
+45 *83:36 *187:17 0
+46 *84:10 *187:17 8.15379e-06
+47 *84:18 *187:17 4.1023e-05
+48 *85:10 *187:17 0.000196941
+49 *85:10 *187:33 0.000284771
+50 *86:57 *783:B1 0.00026428
+51 *86:57 *787:A2 1.14876e-05
+52 *86:57 *187:44 9.68347e-05
+53 *130:39 *187:44 0
+54 *131:28 *187:17 0.000158601
+55 *133:22 *187:17 0.000181657
+56 *142:62 *187:47 8.95736e-05
+57 *143:29 *783:B1 9.64943e-05
+58 *143:63 *783:B1 0.000166079
+59 *143:63 *187:33 7.86491e-05
+60 *143:63 *187:44 5.50106e-05
+61 *150:41 *187:17 4.98718e-06
+62 *150:41 *187:33 2.19572e-05
+63 *152:5 *187:17 0.00018221
+64 *152:10 *187:17 8.0612e-06
+65 *158:8 *187:17 0.000186029
+66 *183:8 *187:33 2.24608e-05
+67 *184:30 *187:63 2.91648e-06
+*RES
+1 *759:X *187:11 39.2221
+2 *187:11 *187:17 36.0457
+3 *187:17 *770:B 23
+4 *187:11 *187:33 25.0257
+5 *187:33 *783:B1 26.0036
+6 *187:33 *187:44 2.92071
+7 *187:44 *187:47 19.7229
+8 *187:47 *780:B 38
+9 *187:47 *187:63 40.0731
+10 *187:63 *760:B 23
+11 *187:44 *787:A2 23.6214
+*END
+
+*D_NET *188 0.000318182
+*CONN
+*I *761:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *760:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *761:B2 0
+2 *760:X 8.71705e-05
+3 *188:6 8.71705e-05
+4 *5:817 *188:6 2.41047e-05
+5 *16:5 *188:6 8.35574e-05
+6 *37:22 *188:6 1.78126e-05
+7 *180:55 *188:6 1.83667e-05
+*RES
+1 *760:X *188:6 40.2579
+2 *188:6 *761:B2 38
+*END
+
+*D_NET *189 0.000536025
+*CONN
+*I *764:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *763:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *764:B2 2.77218e-05
+2 *763:X 6.59997e-05
+3 *189:6 9.37215e-05
+4 *764:B1 *764:B2 5.3304e-05
+5 *5:801 *764:B2 2.64616e-05
+6 *175:22 *189:6 0.000134408
+7 *179:95 *189:6 0.000134408
+*RES
+1 *763:X *189:6 39.9057
+2 *189:6 *764:B2 38.6214
+*END
+
+*D_NET *190 0.0042347
+*CONN
+*I *766:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *765:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *766:B1 0.000408113
+2 *765:Y 0.000491333
+3 *190:7 0.000899445
+4 *190:7 *914:A 4.83798e-05
+5 *190:7 *917:TE_B 0.000102971
+6 *190:7 *307:24 0.000145171
+7 *746:A *766:B1 6.61642e-05
+8 *753:A2 *766:B1 1.20631e-05
+9 *764:B1 *190:7 0.000183544
+10 *5:749 *766:B1 0.000240256
+11 *5:758 *766:B1 0.000127263
+12 *5:780 *766:B1 0.000222496
+13 *5:785 *766:B1 0.000111106
+14 *5:796 *766:B1 8.36198e-05
+15 *5:801 *190:7 5.3304e-05
+16 *15:10 *766:B1 5.50905e-06
+17 *150:8 *766:B1 0.000413222
+18 *175:22 *766:B1 1.18379e-05
+19 *179:95 *766:B1 0.000158744
+20 *184:13 *190:7 0.000450162
+*RES
+1 *765:Y *190:7 49.1029
+2 *190:7 *766:B1 49.0614
+*END
+
+*D_NET *191 0.00152813
+*CONN
+*I *768:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *782:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *767:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *768:A3 0.000363474
+2 *782:C1 0
+3 *767:X 0.000107008
+4 *191:8 0.000363474
+5 *191:6 0.000107008
+6 *756:B1 *768:A3 0.000273903
+7 *756:C1 *768:A3 8.33187e-05
+8 *5:761 *768:A3 7.43025e-06
+9 *132:56 *191:6 3.71063e-05
+10 *175:22 *191:6 0.000158716
+11 *185:13 *768:A3 2.66907e-05
+*RES
+1 *767:X *191:6 40.2579
+2 *191:6 *191:8 15
+3 *191:8 *782:C1 23
+4 *191:8 *768:A3 29.8771
+*END
+
+*D_NET *192 0.00179943
+*CONN
+*I *771:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *770:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *771:B2 8.63988e-05
+2 *770:X 0.00052858
+3 *192:14 0.000614979
+4 *192:14 *194:6 0
+5 *192:14 *234:47 0.000132734
+6 *192:14 *234:52 0.000100477
+7 *192:14 *237:33 0
+8 *192:14 *237:40 0
+9 *378:DIODE *771:B2 3.7122e-06
+10 *682:B *192:14 0
+11 *740:A *192:14 8.62783e-05
+12 *5:726 *192:14 0.000136486
+13 *130:94 *771:B2 4.67488e-05
+14 *132:14 *771:B2 3.7122e-06
+15 *175:22 *192:14 1.45573e-05
+16 *180:21 *771:B2 2.37184e-05
+17 *187:17 *192:14 2.10502e-05
+*RES
+1 *770:X *192:14 47.9429
+2 *192:14 *771:B2 39.595
+*END
+
+*D_NET *193 0.000915408
+*CONN
+*I *774:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *772:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *774:B1 0
+2 *772:X 0.000246524
+3 *193:8 0.000246524
+4 *193:8 *773:A1 1.94693e-05
+5 *193:8 *237:54 8.62876e-05
+6 *773:A2 *193:8 0.00014411
+7 *773:B1 *193:8 0.000137368
+8 *774:A2 *193:8 2.95125e-05
+9 *130:94 *193:8 5.61255e-06
+*RES
+1 *772:X *193:8 42.4329
+2 *193:8 *774:B1 38
+*END
+
+*D_NET *194 0.00058226
+*CONN
+*I *774:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *774:C1 0
+2 *773:Y 0.000115741
+3 *194:6 0.000115741
+4 *194:6 *237:40 1.44546e-05
+5 *194:6 *237:54 4.26048e-06
+6 *773:A2 *194:6 0.000193742
+7 *5:726 *194:6 0
+8 *16:5 *194:6 0.00013832
+9 *192:14 *194:6 0
+*RES
+1 *773:Y *194:6 41.3143
+2 *194:6 *774:C1 38
+*END
+
+*D_NET *195 0.000513125
+*CONN
+*I *778:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *774:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *778:B2 0
+2 *774:X 0.00013092
+3 *195:6 0.00013092
+4 *130:76 *195:6 0.000182173
+5 *176:8 *195:6 5.78327e-05
+6 *178:12 *195:6 1.1279e-05
+*RES
+1 *774:X *195:6 40.61
+2 *195:6 *778:B2 38
+*END
+
+*D_NET *196 0.00237904
+*CONN
+*I *801:B I *D sky130_fd_sc_hd__or2_2
+*I *777:B I *D sky130_fd_sc_hd__or2_2
+*I *775:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *801:B 9.32216e-05
+2 *777:B 0
+3 *775:Y 0.000485563
+4 *196:15 0.000131234
+5 *196:12 0.000523575
+6 *801:B *208:7 4.08664e-05
+7 *801:B *214:8 2.79662e-05
+8 *196:12 *792:C 0
+9 *196:12 *802:A1 5.01903e-05
+10 *196:12 *869:A 1.34542e-05
+11 *196:12 *212:23 3.63031e-05
+12 *196:12 *214:8 0.000131847
+13 *196:12 *234:108 0.000204054
+14 *196:12 *234:115 3.34993e-05
+15 *196:12 *237:100 9.03134e-05
+16 *196:12 *237:106 4.08664e-05
+17 *196:12 *256:21 9.9347e-05
+18 *196:12 *320:8 0.00016067
+19 *196:15 *208:7 5.3304e-05
+20 *26:28 *196:12 5.4138e-05
+21 *83:8 *196:12 9.58714e-05
+22 *177:47 *196:12 1.27508e-05
+*RES
+1 *775:Y *196:12 49.165
+2 *196:12 *196:15 15.6214
+3 *196:15 *777:B 23
+4 *196:15 *801:B 24.5743
+*END
+
+*D_NET *197 0.00223597
+*CONN
+*I *791:B I *D sky130_fd_sc_hd__or2_2
+*I *799:C I *D sky130_fd_sc_hd__or3_2
+*I *790:B1 I *D sky130_fd_sc_hd__o221a_2
+*I *787:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *776:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *791:B 6.32551e-05
+2 *799:C 0
+3 *790:B1 0.000134247
+4 *787:B1 0
+5 *776:X 0
+6 *197:26 9.97089e-05
+7 *197:8 0.000320054
+8 *197:4 0.00022226
+9 *790:B1 *200:42 4.77164e-06
+10 *791:B *234:115 0.000226295
+11 *791:B *234:126 4.2573e-05
+12 *791:B *299:17 0.000247495
+13 *197:8 *234:126 2.18506e-05
+14 *197:8 *299:17 0.000139352
+15 *197:26 *234:126 5.50049e-05
+16 *197:26 *299:17 3.95196e-05
+17 *776:A *197:26 5.12055e-06
+18 *786:B1 *790:B1 8.7327e-05
+19 *786:B1 *197:8 0.000154561
+20 *790:B2 *790:B1 1.61115e-05
+21 *5:409 *790:B1 1.58785e-05
+22 *18:5 *790:B1 0.000146237
+23 *83:20 *197:8 1.41077e-05
+24 *83:25 *197:8 1.94693e-05
+25 *130:45 *790:B1 0.000136445
+26 *130:45 *197:8 1.14452e-05
+27 *184:30 *790:B1 1.28818e-05
+28 *187:33 *197:8 0
+29 *187:47 *790:B1 0
+*RES
+1 *776:X *197:4 23
+2 *197:4 *197:8 18.8321
+3 *197:8 *787:B1 38
+4 *197:8 *790:B1 41.4645
+5 *197:4 *197:26 1.11857
+6 *197:26 *799:C 23
+7 *197:26 *791:B 26.0036
+*END
+
+*D_NET *198 0.000561723
+*CONN
+*I *793:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *777:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *793:A1 0
+2 *777:X 0.000120426
+3 *198:8 0.000120426
+4 *198:8 *792:C 8.65242e-05
+5 *198:8 *210:22 3.13359e-05
+6 *385:DIODE *198:8 0.000106352
+7 *777:A *198:8 9.66585e-05
+*RES
+1 *777:X *198:8 40.6514
+2 *198:8 *793:A1 38
+*END
+
+*D_NET *199 0.00073006
+*CONN
+*I *786:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *779:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *786:A3 5.68399e-05
+2 *779:X 0.000107422
+3 *199:6 0.000164262
+4 *199:6 *806:B2 1.14977e-05
+5 *199:6 *207:25 4.23864e-05
+6 *786:A2 *786:A3 4.67488e-05
+7 *5:331 *199:6 0.00013139
+8 *5:346 *199:6 2.55642e-05
+9 *83:8 *199:6 6.01895e-05
+10 *83:20 *199:6 3.70094e-05
+11 *177:47 *786:A3 4.67488e-05
+*RES
+1 *779:X *199:6 40.61
+2 *199:6 *786:A3 39.0979
+*END
+
+*D_NET *200 0.00512156
+*CONN
+*I *790:C1 I *D sky130_fd_sc_hd__o221a_2
+*I *784:B I *D sky130_fd_sc_hd__and3_2
+*I *788:B I *D sky130_fd_sc_hd__and3_2
+*I *795:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *780:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *790:C1 0
+2 *784:B 0
+3 *788:B 0.000322379
+4 *795:B2 0
+5 *780:X 0
+6 *200:42 0.000353705
+7 *200:32 0.000428621
+8 *200:8 0.000515952
+9 *200:7 0.000772338
+10 *200:4 0.00050385
+11 *788:B *312:13 0.000183733
+12 *788:B *314:19 1.14452e-05
+13 *200:7 *325:7 0.00018221
+14 *200:8 *314:19 0.000186518
+15 *200:32 *292:13 0.000503687
+16 *200:32 *313:8 2.26406e-05
+17 *200:42 *325:7 0.000211976
+18 *790:B1 *200:42 4.77164e-06
+19 *795:A2 *788:B 0.000122226
+20 *798:B1 *200:8 6.05273e-05
+21 *16:5 *200:32 1.20381e-05
+22 *17:9 *788:B 7.37503e-05
+23 *17:9 *200:8 0.000326682
+24 *18:5 *200:42 2.75037e-05
+25 *24:12 *788:B 1.79046e-05
+26 *184:30 *200:42 0.000139867
+27 *187:47 *200:42 7.42973e-05
+28 *187:63 *200:32 2.5717e-05
+29 *187:63 *200:42 3.72232e-05
+*RES
+1 *780:X *200:4 23
+2 *200:4 *200:7 17.0507
+3 *200:7 *200:8 4.72286
+4 *200:8 *795:B2 38
+5 *200:8 *788:B 43.6343
+6 *200:7 *200:32 32.3216
+7 *200:32 *784:B 23
+8 *200:4 *200:42 36.1936
+9 *200:42 *790:C1 23
+*END
+
+*D_NET *201 0.000693636
+*CONN
+*I *784:C I *D sky130_fd_sc_hd__and3_2
+*I *781:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *784:C 4.30532e-05
+2 *781:X 0.00013787
+3 *201:8 0.000180924
+4 *201:8 *785:A 7.48516e-05
+5 *781:A2 *201:8 8.80481e-05
+6 *5:838 *784:C 5.5792e-05
+7 *16:5 *201:8 2.51913e-05
+8 *178:29 *201:8 3.46013e-05
+9 *178:32 *201:8 5.3304e-05
+*RES
+1 *781:X *201:8 41.1279
+2 *201:8 *784:C 38.6214
+*END
+
+*D_NET *202 0.00147747
+*CONN
+*I *785:A I *D sky130_fd_sc_hd__and3_2
+*I *782:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *785:A 0.000196885
+2 *782:X 0.000135688
+3 *202:8 0.000332573
+4 *763:B2 *785:A 0.000227975
+5 *781:A2 *785:A 0.000133737
+6 *783:A1 *202:8 5.50106e-05
+7 *16:5 *202:8 1.06693e-05
+8 *130:52 *202:8 7.11427e-05
+9 *142:62 *202:8 4.60157e-05
+10 *176:56 *785:A 4.21062e-05
+11 *178:20 *202:8 0.000150818
+12 *201:8 *785:A 7.48516e-05
+*RES
+1 *782:X *202:8 40.8793
+2 *202:8 *785:A 43.2821
+*END
+
+*D_NET *203 0.00035978
+*CONN
+*I *785:B I *D sky130_fd_sc_hd__and3_2
+*I *783:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *785:B 6.09728e-05
+2 *783:X 7.66384e-05
+3 *203:6 0.000137611
+4 *785:B *205:8 3.82058e-06
+5 *17:9 *203:6 7.89883e-05
+6 *176:56 *203:6 1.74874e-06
+*RES
+1 *783:X *203:6 39.2014
+2 *203:6 *785:B 38.6214
+*END
+
+*D_NET *204 0.00121857
+*CONN
+*I *785:C I *D sky130_fd_sc_hd__and3_2
+*I *784:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *785:C 0
+2 *784:X 0.000283862
+3 *204:12 0.000283862
+4 *204:12 *205:8 8.57577e-05
+5 *204:12 *325:7 0.000139
+6 *798:B1 *204:12 0.000101722
+7 *798:B2 *204:12 5.56356e-05
+8 *5:838 *204:12 0.000100477
+9 *17:9 *204:12 4.55618e-05
+10 *26:28 *204:12 4.76373e-05
+11 *183:8 *204:12 4.85917e-05
+12 *183:33 *204:12 2.64616e-05
+*RES
+1 *784:X *204:12 44.9807
+2 *204:12 *785:C 38
+*END
+
+*D_NET *205 0.000570589
+*CONN
+*I *798:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *785:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *798:A2 0
+2 *785:X 0.000197987
+3 *205:8 0.000197987
+4 *785:B *205:8 3.82058e-06
+5 *17:9 *205:8 8.50366e-05
+6 *204:12 *205:8 8.57577e-05
+*RES
+1 *785:X *205:8 40.7757
+2 *205:8 *798:A2 38
+*END
+
+*D_NET *206 0.00304165
+*CONN
+*I *788:C I *D sky130_fd_sc_hd__and3_2
+*I *792:B I *D sky130_fd_sc_hd__and3_2
+*I *787:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *788:C 0.000549269
+2 *792:B 0.000153882
+3 *787:X 0.00010996
+4 *206:8 0.00081311
+5 *788:C *310:6 0.000342713
+6 *788:C *311:12 1.39826e-05
+7 *788:C *311:21 0
+8 *792:B *208:7 0.000142678
+9 *381:DIODE *206:8 9.58714e-05
+10 *389:DIODE *788:C 0
+11 *777:A *792:B 7.1556e-05
+12 *795:A2 *788:C 0.000148688
+13 *18:5 *788:C 3.92568e-05
+14 *19:18 *788:C 0.000217735
+15 *19:18 *206:8 6.02572e-05
+16 *86:57 *788:C 4.64843e-05
+17 *86:57 *206:8 0.000133543
+18 *130:39 *206:8 9.75779e-05
+19 *176:64 *788:C 5.08422e-06
+*RES
+1 *787:X *206:8 41.0036
+2 *206:8 *792:B 41.0243
+3 *206:8 *788:C 49.6
+*END
+
+*D_NET *207 0.00671178
+*CONN
+*I *789:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *800:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *806:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *789:B1 0.000207943
+2 *800:B1 0
+3 *806:B1 0
+4 *788:X 5.58952e-05
+5 *207:25 0.000832296
+6 *207:12 0.00128748
+7 *207:9 0.00100355
+8 *207:6 0.000396313
+9 *207:6 *312:16 0
+10 *207:9 *923:A 1.35714e-05
+11 *207:9 *309:22 9.66585e-05
+12 *207:9 *311:12 2.46803e-05
+13 *207:12 *792:C 3.34981e-05
+14 *207:12 *210:11 5.58694e-05
+15 *207:12 *213:8 1.47563e-05
+16 *207:12 *311:21 8.58465e-05
+17 *207:25 *632:A 5.50106e-05
+18 *207:25 *806:B2 0.000149665
+19 *207:25 *213:8 5.21127e-05
+20 *207:25 *234:19 0.000223077
+21 *207:25 *235:52 1.03955e-05
+22 *207:25 *236:28 4.41264e-05
+23 *207:25 *236:43 6.51274e-05
+24 *207:25 *236:67 0.000203438
+25 *207:25 *334:14 1.02561e-05
+26 *385:DIODE *207:12 0.000162524
+27 *389:DIODE *207:12 5.92086e-05
+28 *800:A1 *207:12 1.38978e-05
+29 *806:A2 *207:25 5.50049e-05
+30 *5:346 *207:12 2.5343e-05
+31 *5:346 *207:25 0.00019845
+32 *5:393 *789:B1 2.16959e-05
+33 *5:398 *207:12 0.000239608
+34 *5:409 *207:12 0.000215122
+35 *18:5 *207:6 8.62876e-05
+36 *19:8 *789:B1 0.000100477
+37 *19:18 *789:B1 8.42292e-05
+38 *19:18 *207:9 0.000138859
+39 *19:18 *207:12 5.37103e-05
+40 *20:15 *207:12 2.46399e-05
+41 *83:8 *207:25 6.23461e-05
+42 *83:20 *207:25 0.000154147
+43 *130:39 *207:25 0
+44 *131:81 *207:25 5.2271e-05
+45 *199:6 *207:25 4.23864e-05
+*RES
+1 *788:X *207:6 39.2014
+2 *207:6 *207:9 19.4329
+3 *207:9 *207:12 25.005
+4 *207:12 *207:25 31.9029
+5 *207:25 *806:B1 23
+6 *207:12 *800:B1 38
+7 *207:9 *789:B1 26.3764
+*END
+
+*D_NET *208 0.00343094
+*CONN
+*I *793:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *790:X O *D sky130_fd_sc_hd__o221a_2
+*CAP
+1 *793:A2 0.000212711
+2 *802:A1 0.000192671
+3 *790:X 0.000237231
+4 *208:7 0.000642613
+5 *793:A2 *931:TE_B 0.000145163
+6 *793:A2 *212:23 0.000208564
+7 *793:A2 *316:6 8.0612e-06
+8 *793:A2 *320:8 0.00020516
+9 *793:A2 *320:26 5.3304e-05
+10 *793:A2 *325:7 0.000279219
+11 *802:A1 *212:23 0.000260057
+12 *802:A1 *320:8 0.000136161
+13 *208:7 *210:22 4.67488e-05
+14 *208:7 *214:8 6.70361e-05
+15 *777:A *208:7 0.000394195
+16 *792:B *208:7 0.000142678
+17 *801:B *208:7 4.08664e-05
+18 *26:28 *802:A1 5.50049e-05
+19 *196:12 *802:A1 5.01903e-05
+20 *196:15 *208:7 5.3304e-05
+*RES
+1 *790:X *208:7 43.8621
+2 *208:7 *802:A1 42.2879
+3 *208:7 *793:A2 44.3179
+*END
+
+*D_NET *209 0.00355815
+*CONN
+*I *806:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *792:C I *D sky130_fd_sc_hd__and3_2
+*I *791:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *806:B2 0.00044694
+2 *792:C 0.000495775
+3 *791:X 0
+4 *209:5 0.000942715
+5 *792:C *210:11 0.000110379
+6 *792:C *210:22 0.000134404
+7 *792:C *256:21 1.05624e-05
+8 *806:B2 *632:A 5.50106e-05
+9 *806:B2 *797:A 2.38952e-05
+10 *806:B2 *235:52 0.000180224
+11 *806:B2 *237:100 1.31296e-05
+12 *806:B2 *256:21 3.62323e-05
+13 *806:B2 *334:14 0.000107691
+14 *385:DIODE *792:C 5.3304e-05
+15 *777:A *792:C 1.73995e-05
+16 *800:A1 *792:C 1.05658e-05
+17 *5:290 *806:B2 0
+18 *5:331 *806:B2 0.00013139
+19 *5:346 *792:C 0.000102898
+20 *5:346 *806:B2 0.000129449
+21 *26:28 *792:C 7.68679e-05
+22 *150:54 *792:C 0.000108681
+23 *177:47 *806:B2 8.94487e-05
+24 *196:12 *792:C 0
+25 *198:8 *792:C 8.65242e-05
+26 *199:6 *806:B2 1.14977e-05
+27 *207:12 *792:C 3.34981e-05
+28 *207:25 *806:B2 0.000149665
+*RES
+1 *791:X *209:5 38
+2 *209:5 *792:C 47.7357
+3 *209:5 *806:B2 48.0879
+*END
+
+*D_NET *210 0.00168584
+*CONN
+*I *793:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *792:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *793:A3 0
+2 *802:A2 0
+3 *792:X 0
+4 *210:22 0.000249563
+5 *210:11 0.000187432
+6 *210:4 0.000436995
+7 *210:11 *320:8 0.000136738
+8 *210:22 *320:8 0
+9 *385:DIODE *210:22 1.90632e-05
+10 *792:C *210:11 0.000110379
+11 *792:C *210:22 0.000134404
+12 *800:A2 *210:11 0.00012864
+13 *26:28 *210:22 0.000148667
+14 *198:8 *210:22 3.13359e-05
+15 *207:12 *210:11 5.58694e-05
+16 *208:7 *210:22 4.67488e-05
+*RES
+1 *792:X *210:4 23
+2 *210:4 *210:11 34.5779
+3 *210:11 *802:A2 23
+4 *210:4 *210:22 34.7643
+5 *210:22 *793:A3 23
+*END
+
+*D_NET *211 0.000333108
+*CONN
+*I *797:B I *D sky130_fd_sc_hd__nand2_2
+*I *796:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *797:B 0.000134333
+2 *796:Y 0.000134333
+3 *797:B *796:A1 6.17437e-06
+4 *797:B *796:A2 5.61255e-06
+5 *797:B *796:B1 5.26556e-05
+*RES
+1 *796:Y *797:B 48.0714
+*END
+
+*D_NET *212 0.00589626
+*CONN
+*I *798:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *797:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *798:A3 0
+2 *797:Y 5.24755e-05
+3 *212:23 0.00155731
+4 *212:7 0.00160978
+5 *212:7 *796:A2 5.3304e-05
+6 *212:7 *796:B1 9.41704e-05
+7 *212:23 *869:A 2.26406e-05
+8 *212:23 *921:TE 2.83509e-05
+9 *212:23 *931:TE_B 0.000105227
+10 *212:23 *234:108 0.000430466
+11 *212:23 *234:115 5.62433e-06
+12 *212:23 *234:145 5.67777e-05
+13 *212:23 *263:18 0.000673419
+14 *212:23 *292:8 0.000441371
+15 *212:23 *320:26 7.07005e-05
+16 *212:23 *325:7 7.10757e-05
+17 *793:A2 *212:23 0.000208564
+18 *793:B1 *212:23 3.33013e-05
+19 *793:B2 *212:23 2.95423e-05
+20 *798:B1 *212:23 5.58003e-05
+21 *802:A1 *212:23 0.000260057
+22 *196:12 *212:23 3.63031e-05
+*RES
+1 *797:Y *212:7 39.0979
+2 *212:7 *212:23 45.1186
+3 *212:23 *798:A3 23
+*END
+
+*D_NET *213 0.00044731
+*CONN
+*I *800:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *799:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *800:B2 0
+2 *799:X 0.000117141
+3 *213:8 0.000117141
+4 *213:8 *299:17 5.67058e-05
+5 *19:18 *213:8 7.46108e-05
+6 *130:39 *213:8 1.48425e-05
+7 *207:12 *213:8 1.47563e-05
+8 *207:25 *213:8 5.21127e-05
+*RES
+1 *799:X *213:8 40.5271
+2 *213:8 *800:B2 38
+*END
+
+*D_NET *214 0.00117289
+*CONN
+*I *802:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *801:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *802:A3 0
+2 *801:X 0.000405974
+3 *214:8 0.000405974
+4 *801:B *214:8 2.79662e-05
+5 *26:28 *214:8 0.000134088
+6 *196:12 *214:8 0.000131847
+7 *208:7 *214:8 6.70361e-05
+*RES
+1 *801:X *214:8 43.8621
+2 *214:8 *802:A3 38
+*END
+
+*D_NET *215 0.00102917
+*CONN
+*I *805:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *804:X O *D sky130_fd_sc_hd__and3b_2
+*CAP
+1 *805:B1 0
+2 *804:X 0.000403299
+3 *215:8 0.000403299
+4 *215:8 *873:Z 0
+5 *215:8 *875:TE_B 0.00014424
+6 *215:8 *264:6 7.83309e-05
+*RES
+1 *804:X *215:8 44.7736
+2 *215:8 *805:B1 38
+*END
+
+*D_NET *216 0.0277219
+*CONN
+*I *845:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *840:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *843:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *842:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *841:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *844:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *839:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *836:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *832:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *850:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *849:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *854:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *834:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *831:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *835:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *847:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *833:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *848:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *846:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *837:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *851:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *838:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *852:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *853:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *941:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *845:CLK 0
+2 *840:CLK 0.000167146
+3 *843:CLK 0.000208158
+4 *842:CLK 0.000103031
+5 *841:CLK 0.000148938
+6 *844:CLK 0
+7 *839:CLK 0
+8 *836:CLK 0
+9 *832:CLK 0.000108828
+10 *850:CLK 0
+11 *849:CLK 0
+12 *854:A 0
+13 *834:CLK 0
+14 *831:CLK 0
+15 *835:CLK 3.49207e-05
+16 *847:CLK 0
+17 *833:CLK 0.000579027
+18 *848:CLK 0
+19 *846:CLK 0
+20 *837:CLK 0
+21 *851:CLK 1.54178e-05
+22 *838:CLK 0
+23 *852:CLK 0
+24 *853:CLK 0.000309604
+25 *941:Y 0
+26 *216:222 0.000398863
+27 *216:212 0.00046999
+28 *216:202 0.000769483
+29 *216:191 0.000369244
+30 *216:175 0.000416182
+31 *216:151 0.000210914
+32 *216:145 0.00031128
+33 *216:144 0.000658419
+34 *216:131 0.00127715
+35 *216:119 3.49207e-05
+36 *216:97 0.000734815
+37 *216:94 0.000541353
+38 *216:88 0.000783634
+39 *216:83 0.000572391
+40 *216:81 0.00108738
+41 *216:79 0.000503658
+42 *216:73 0.000430188
+43 *216:72 0.000779416
+44 *216:64 0.000843942
+45 *216:56 0.00136706
+46 *216:46 0.000807305
+47 *216:43 0.00060097
+48 *216:37 0.000197648
+49 *216:24 0.000122398
+50 *216:13 0.000840411
+51 *216:9 0.000730553
+52 *216:4 0.000350481
+53 *833:CLK *333:95 0
+54 *841:CLK *233:8 9.98891e-05
+55 *851:CLK *647:A 3.42712e-05
+56 *216:13 *829:B 2.64616e-05
+57 *216:13 *226:8 0.000141052
+58 *216:37 *643:A 3.7681e-05
+59 *216:37 *643:B 1.32314e-05
+60 *216:37 *220:36 0.000101925
+61 *216:37 *225:8 0
+62 *216:37 *225:13 5.8207e-05
+63 *216:46 *647:A 9.03157e-05
+64 *216:46 *220:36 0.000354769
+65 *216:46 *221:10 0
+66 *216:46 *240:6 0
+67 *216:64 *691:A 0
+68 *216:64 *333:212 0.000137754
+69 *216:64 *333:219 7.75148e-05
+70 *216:94 *847:D 5.83407e-05
+71 *216:131 *217:7 0.00014574
+72 *216:131 *217:9 0.000116409
+73 *216:131 *217:11 3.7122e-06
+74 *216:144 *650:A 9.91101e-05
+75 *216:144 *650:B 1.21972e-05
+76 *216:144 *217:11 0.000175563
+77 *216:144 *222:29 0.000164282
+78 *216:151 *217:35 8.20575e-05
+79 *216:151 *222:29 0.00010763
+80 *216:151 *333:10 4.2062e-05
+81 *216:175 *333:55 7.88293e-05
+82 *216:191 *686:B 2.93845e-05
+83 *216:191 *232:19 2.64616e-05
+84 *216:191 *333:55 2.93747e-05
+85 *216:191 *333:64 0.000117769
+86 *216:202 *691:A 0.000218677
+87 *216:202 *239:19 0.000136761
+88 *216:222 *233:8 4.85126e-05
+89 *425:DIODE *216:145 2.93845e-05
+90 *429:DIODE *216:94 0.000181125
+91 *719:A2_N *842:CLK 1.59094e-05
+92 *719:B2 *842:CLK 4.67488e-05
+93 *720:A *216:202 0.00014196
+94 *720:B *216:202 5.3304e-05
+95 *722:S *216:202 0.000214036
+96 *722:S *216:212 4.4553e-05
+97 *723:B *841:CLK 6.57646e-05
+98 *726:B *216:64 0
+99 *729:A2 *216:56 9.66585e-05
+100 *732:A *832:CLK 0
+101 *735:A1 *216:131 0.000148698
+102 *739:B *216:88 5.41288e-05
+103 *809:A *833:CLK 1.09995e-05
+104 *817:A *840:CLK 4.05745e-05
+105 *817:A *216:56 2.95423e-05
+106 *832:D *832:CLK 6.17437e-06
+107 *836:D *216:175 6.17437e-06
+108 *836:RESET_B *216:175 4.65125e-05
+109 *836:RESET_B *216:191 1.76557e-05
+110 *837:D *216:64 0.000143071
+111 *837:RESET_B *216:64 4.95732e-05
+112 *841:D *841:CLK 0.000100486
+113 *846:D *216:94 0.000368788
+114 *846:RESET_B *216:94 2.56078e-05
+115 *853:D *853:CLK 5.70052e-05
+116 *5:27 *216:9 9.58714e-05
+117 *5:27 *216:13 1.32314e-05
+118 *5:37 *216:9 2.31687e-05
+119 *5:43 *216:9 7.40718e-05
+120 *5:43 *216:43 0.000286539
+121 *5:51 *216:43 1.24376e-05
+122 *5:105 *835:CLK 1.83327e-05
+123 *5:108 *216:83 8.89816e-05
+124 *5:108 *216:88 0.000137594
+125 *5:109 *216:88 2.93845e-05
+126 *5:129 *216:83 7.2918e-05
+127 *5:175 *833:CLK 6.4393e-05
+128 *5:561 *216:191 0.000140259
+129 *5:567 *216:191 2.31209e-05
+130 *5:590 *216:64 9.29579e-06
+131 *5:613 *216:144 0
+132 *5:621 *216:151 0.000223683
+133 *11:8 *216:37 0.00019185
+134 *11:8 *216:144 0.000244517
+135 *11:8 *216:151 0
+136 *29:8 *833:CLK 3.95159e-06
+137 *29:8 *216:97 5.68527e-05
+138 *32:27 *216:13 2.93845e-05
+139 *44:6 *216:83 0
+140 *44:6 *216:88 0
+141 *47:8 *216:46 0.000225265
+142 *61:10 *216:37 9.48318e-05
+143 *62:8 *216:24 0.000186813
+144 *69:8 *840:CLK 9.98069e-06
+145 *69:8 *216:56 2.19667e-05
+146 *76:6 *843:CLK 4.41619e-05
+147 *76:6 *216:222 9.21347e-06
+148 *88:19 *832:CLK 3.15313e-05
+149 *88:19 *216:73 0
+150 *88:19 *216:79 0
+151 *88:19 *216:81 0
+152 *88:29 *216:144 1.83667e-05
+153 *88:77 *851:CLK 6.45402e-05
+154 *88:77 *216:46 0.00042963
+155 *88:97 *216:24 9.02657e-05
+156 *89:5 *833:CLK 0.000220433
+157 *89:34 *216:131 0.000233359
+158 *89:44 *216:81 0
+159 *89:44 *216:131 0.000142585
+160 *92:26 *853:CLK 0.000187032
+161 *100:8 *216:144 0.000275932
+162 *102:8 *216:144 5.56279e-05
+163 *139:19 *216:64 2.60465e-05
+164 *139:19 *216:72 0.000130231
+165 *140:22 *841:CLK 1.27653e-05
+166 *141:8 *216:202 0
+167 *145:15 *843:CLK 0
+168 *145:24 *841:CLK 6.01596e-05
+169 *145:24 *216:222 7.45278e-05
+170 *145:53 *843:CLK 0
+171 *164:6 *843:CLK 0.000400905
+172 *164:6 *216:222 9.03784e-05
+*RES
+1 *941:Y *216:4 23
+2 *216:4 *216:9 3.64571
+3 *216:9 *216:13 6.83571
+4 *216:13 *853:CLK 29.815
+5 *216:13 *216:24 32.61
+6 *216:24 *852:CLK 23
+7 *216:9 *216:37 35.655
+8 *216:37 *838:CLK 23
+9 *216:4 *216:43 5.86214
+10 *216:43 *216:46 25.7093
+11 *216:46 *851:CLK 38.8493
+12 *216:46 *216:56 20.3857
+13 *216:56 *216:64 42.0557
+14 *216:64 *837:CLK 23
+15 *216:64 *216:72 16.5743
+16 *216:72 *216:73 2.96214
+17 *216:73 *216:79 3.37643
+18 *216:79 *216:81 3.31429
+19 *216:81 *216:83 3.31429
+20 *216:83 *216:88 22.5193
+21 *216:88 *846:CLK 23
+22 *216:88 *216:94 10.6264
+23 *216:94 *216:97 17.61
+24 *216:97 *848:CLK 38
+25 *216:97 *833:CLK 47.4457
+26 *216:94 *847:CLK 23
+27 *216:83 *216:119 15
+28 *216:119 *835:CLK 23.6214
+29 *216:119 *831:CLK 23
+30 *216:81 *216:131 30.3907
+31 *216:131 *834:CLK 23
+32 *216:131 *216:144 43.485
+33 *216:144 *216:145 1.57429
+34 *216:145 *216:151 35.365
+35 *216:151 *854:A 23
+36 *216:145 *849:CLK 23
+37 *216:144 *850:CLK 23
+38 *216:79 *832:CLK 40.0714
+39 *216:73 *216:175 16.5743
+40 *216:175 *836:CLK 23
+41 *216:175 *216:191 37.7471
+42 *216:191 *839:CLK 23
+43 *216:72 *216:202 25.9579
+44 *216:202 *844:CLK 23
+45 *216:202 *216:212 18.8529
+46 *216:212 *841:CLK 42.4121
+47 *216:212 *216:222 2.61
+48 *216:222 *842:CLK 39.5743
+49 *216:222 *843:CLK 43.4271
+50 *216:56 *840:CLK 25.4236
+51 *216:43 *845:CLK 23
+*END
+
+*D_NET *217 0.00879175
+*CONN
+*I *734:B I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *725:C I *D sky130_fd_sc_hd__and3_2
+*I *736:A I *D sky130_fd_sc_hd__nand3_2
+*I *650:A I *D sky130_fd_sc_hd__and2_2
+*I *672:A I *D sky130_fd_sc_hd__or2_2
+*I *651:A I *D sky130_fd_sc_hd__nand2_2
+*I *639:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *834:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *734:B 0
+2 *731:A2 1.73388e-05
+3 *725:C 9.03534e-05
+4 *736:A 0
+5 *650:A 7.88155e-05
+6 *672:A 0
+7 *651:A 0.000171943
+8 *639:A0 0
+9 *834:Q 3.3552e-05
+10 *217:82 0.000156037
+11 *217:64 0.000273329
+12 *217:55 0.000212739
+13 *217:35 0.000168465
+14 *217:15 0.000222882
+15 *217:13 0.000488255
+16 *217:11 0.000917868
+17 *217:9 0.00101608
+18 *217:7 0.00080253
+19 *217:5 0.000593346
+20 *650:A *650:B 7.99385e-05
+21 *650:A *222:21 0
+22 *651:A *651:B 5.50049e-05
+23 *651:A *222:17 0.000168687
+24 *651:A *222:21 1.14154e-05
+25 *725:C *725:A 0
+26 *725:C *218:11 5.78923e-05
+27 *725:C *218:21 1.32314e-05
+28 *725:C *219:18 0.000184527
+29 *731:A2 *218:11 5.50106e-05
+30 *731:A2 *219:18 1.5769e-05
+31 *217:13 *650:B 0.000124306
+32 *217:13 *222:21 0.000181125
+33 *217:15 *222:17 1.41129e-05
+34 *217:15 *222:21 1.24376e-05
+35 *217:55 *638:A0 0.000185655
+36 *217:64 *638:A0 0
+37 *217:64 *218:26 0
+38 *405:DIODE *217:55 5.67058e-05
+39 *735:A1 *217:5 5.50106e-05
+40 *735:A1 *217:7 5.50049e-05
+41 *736:B *217:55 5.50049e-05
+42 *736:C *217:82 0.000175438
+43 *826:A *651:A 3.53115e-05
+44 *5:88 *217:64 0.000112803
+45 *5:613 *217:35 7.48331e-05
+46 *5:621 *217:35 0.000252131
+47 *43:8 *217:64 3.70187e-05
+48 *66:6 *217:55 0.000114764
+49 *66:6 *217:64 0
+50 *78:8 *651:A 0.000137651
+51 *102:8 *217:11 2.18926e-05
+52 *122:6 *217:35 0.000404047
+53 *168:8 *217:64 6.16531e-05
+54 *174:8 *217:82 0.000117239
+55 *216:131 *217:7 0.00014574
+56 *216:131 *217:9 0.000116409
+57 *216:131 *217:11 3.7122e-06
+58 *216:144 *650:A 9.91101e-05
+59 *216:144 *217:11 0.000175563
+60 *216:151 *217:35 8.20575e-05
+*RES
+1 *834:Q *217:5 23.6214
+2 *217:5 *217:7 5.38571
+3 *217:7 *217:9 3.48
+4 *217:9 *217:11 8.24429
+5 *217:11 *217:13 3.95643
+6 *217:13 *217:15 0.621429
+7 *217:15 *639:A0 23
+8 *217:15 *651:A 27.4743
+9 *217:13 *217:35 36.0486
+10 *217:35 *672:A 23
+11 *217:11 *650:A 25.7964
+12 *217:9 *217:55 35.6964
+13 *217:55 *736:A 23
+14 *217:7 *217:64 33.6664
+15 *217:64 *725:C 25.9
+16 *217:64 *731:A2 23.6214
+17 *217:5 *217:82 34.7229
+18 *217:82 *734:B 23
+*END
+
+*D_NET *218 0.00505748
+*CONN
+*I *734:A I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *649:A I *D sky130_fd_sc_hd__and2_2
+*I *652:A I *D sky130_fd_sc_hd__xor2_2
+*I *638:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *725:B I *D sky130_fd_sc_hd__and3_2
+*I *835:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *734:A 0
+2 *731:A1 1.5965e-05
+3 *649:A 0
+4 *652:A 0
+5 *638:A0 0.000343538
+6 *725:B 2.34823e-05
+7 *835:Q 0
+8 *218:58 0.000207168
+9 *218:26 0.000506195
+10 *218:21 0.000263618
+11 *218:11 0.000262196
+12 *218:8 0.00046373
+13 *218:4 0.000517179
+14 *638:A0 *638:A1 0.000300108
+15 *638:A0 *649:B 1.14452e-05
+16 *638:A0 *223:17 0.000138718
+17 *731:A1 *333:50 3.3123e-05
+18 *218:8 *811:B 3.3577e-05
+19 *218:8 *333:41 4.54033e-05
+20 *218:8 *333:50 0.00017505
+21 *218:11 *219:18 1.79538e-05
+22 *218:21 *219:18 4.19743e-05
+23 *218:21 *219:23 5.70419e-05
+24 *218:26 *649:B 0.000240695
+25 *218:26 *219:23 2.93845e-05
+26 *218:58 *811:B 3.7122e-06
+27 *218:58 *812:B 1.97329e-05
+28 *218:58 *333:41 2.29192e-05
+29 *405:DIODE *638:A0 0.000185428
+30 *725:C *218:11 5.78923e-05
+31 *725:C *218:21 1.32314e-05
+32 *731:A2 *218:11 5.50106e-05
+33 *732:A *218:11 0.000178517
+34 *736:C *218:58 0.000166513
+35 *5:88 *731:A1 5.46706e-05
+36 *5:88 *218:8 0.00031842
+37 *5:105 *218:58 1.32289e-05
+38 *66:6 *638:A0 0
+39 *66:6 *218:8 0
+40 *168:5 *218:11 5.50049e-05
+41 *168:8 *218:26 0
+42 *217:55 *638:A0 0.000185655
+43 *217:64 *638:A0 0
+44 *217:64 *218:26 0
+*RES
+1 *835:Q *218:4 23
+2 *218:4 *218:8 22.2293
+3 *218:8 *218:11 18.48
+4 *218:11 *725:B 23.4971
+5 *218:11 *218:21 2.9
+6 *218:21 *218:26 18.9357
+7 *218:26 *638:A0 46.5343
+8 *218:26 *652:A 38
+9 *218:21 *649:A 23
+10 *218:8 *731:A1 38.8493
+11 *218:4 *218:58 33.8321
+12 *218:58 *734:A 23
+*END
+
+*D_NET *219 0.0051221
+*CONN
+*I *725:A I *D sky130_fd_sc_hd__and3_2
+*I *647:A I *D sky130_fd_sc_hd__or2_2
+*I *637:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *646:A I *D sky130_fd_sc_hd__nor2_2
+*I *648:A I *D sky130_fd_sc_hd__and2_2
+*I *731:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *836:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *725:A 2.34823e-05
+2 *647:A 0.000268058
+3 *637:A0 0
+4 *646:A 7.30938e-05
+5 *648:A 9.93691e-05
+6 *731:B1 2.69319e-05
+7 *836:Q 0.000103885
+8 *219:37 0.000117843
+9 *219:34 0.00047874
+10 *219:23 0.000473661
+11 *219:18 0.000675211
+12 *219:8 0.000574187
+13 *647:A *647:B 0.000378246
+14 *647:A *648:B 4.90185e-05
+15 *731:B1 *333:50 4.7869e-05
+16 *219:8 *333:50 3.62323e-05
+17 *219:8 *333:55 5.27215e-06
+18 *219:34 *648:B 0.000232823
+19 *654:B1 *648:A 7.88828e-05
+20 *725:C *725:A 0
+21 *725:C *219:18 0.000184527
+22 *731:A2 *219:18 1.5769e-05
+23 *732:A *219:18 1.08955e-05
+24 *851:CLK *647:A 3.42712e-05
+25 *5:604 *646:A 8.35132e-05
+26 *5:604 *219:37 6.68822e-05
+27 *80:6 *647:A 8.57485e-05
+28 *88:39 *219:23 0
+29 *88:39 *219:34 0
+30 *88:65 *647:A 3.85833e-05
+31 *88:65 *219:34 2.08572e-05
+32 *88:77 *647:A 5.01232e-06
+33 *93:22 *647:A 0
+34 *96:10 *646:A 0.000226907
+35 *96:10 *219:34 5.09164e-05
+36 *96:10 *219:37 0.000141344
+37 *129:17 *647:A 4.93223e-05
+38 *139:19 *646:A 2.44913e-05
+39 *168:8 *219:23 0
+40 *168:8 *219:34 0
+41 *171:10 *731:B1 2.70366e-05
+42 *171:10 *219:8 3.83778e-05
+43 *171:12 *731:B1 2.08324e-05
+44 *172:9 *219:18 1.73399e-05
+45 *216:46 *647:A 9.03157e-05
+46 *218:11 *219:18 1.79538e-05
+47 *218:21 *219:18 4.19743e-05
+48 *218:21 *219:23 5.70419e-05
+49 *218:26 *219:23 2.93845e-05
+*RES
+1 *836:Q *219:8 39.8229
+2 *219:8 *731:B1 39.2014
+3 *219:8 *219:18 21.3386
+4 *219:18 *219:23 18.1279
+5 *219:23 *648:A 40.0714
+6 *219:23 *219:34 3.66643
+7 *219:34 *219:37 16.5743
+8 *219:37 *646:A 25.5271
+9 *219:37 *637:A0 23
+10 *219:34 *647:A 45.7057
+11 *219:18 *725:A 23.4971
+*END
+
+*D_NET *220 0.00604708
+*CONN
+*I *726:A I *D sky130_fd_sc_hd__and2_2
+*I *636:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *643:A I *D sky130_fd_sc_hd__nor2_2
+*I *645:A I *D sky130_fd_sc_hd__and2_2
+*I *729:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *837:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *726:A 0.00010296
+2 *636:A0 0
+3 *643:A 0.000124343
+4 *645:A 0.000182546
+5 *729:A1 2.19397e-05
+6 *837:Q 0.000215405
+7 *220:36 0.00100215
+8 *220:20 0.00126487
+9 *220:19 0.00051029
+10 *220:8 0.00044016
+11 *643:A *643:B 0.0002263
+12 *220:8 *814:B 2.33679e-05
+13 *220:20 *727:A1 5.50049e-05
+14 *220:36 *643:B 1.03955e-05
+15 *220:36 *645:B 0
+16 *220:36 *225:8 0
+17 *220:36 *225:13 9.46637e-06
+18 *726:B *220:19 0.000332902
+19 *727:A2 *220:20 4.21378e-05
+20 *729:A2 *729:A1 1.36718e-05
+21 *837:RESET_B *220:8 0.000145171
+22 *838:D *220:36 0.000139511
+23 *70:6 *220:36 7.03766e-05
+24 *81:8 *643:A 3.22879e-05
+25 *88:77 *220:19 0
+26 *88:77 *220:20 1.36806e-05
+27 *88:82 *645:A 0.000125404
+28 *88:82 *220:20 3.7122e-06
+29 *93:22 *220:36 0
+30 *168:8 *220:8 0.000138747
+31 *168:8 *220:19 6.2088e-05
+32 *169:14 *220:19 0.000164291
+33 *170:8 *220:8 5.75756e-06
+34 *170:8 *220:19 7.37663e-05
+35 *216:37 *643:A 3.7681e-05
+36 *216:37 *220:36 0.000101925
+37 *216:46 *220:36 0.000354769
+*RES
+1 *837:Q *220:8 41.9564
+2 *220:8 *729:A1 38.3211
+3 *220:8 *220:19 20.4271
+4 *220:19 *220:20 3.00357
+5 *220:20 *645:A 26.9771
+6 *220:20 *220:36 43.9407
+7 *220:36 *643:A 26.3764
+8 *220:36 *636:A0 23
+9 *220:19 *726:A 24.595
+*END
+
+*D_NET *221 0.00660819
+*CONN
+*I *640:A I *D sky130_fd_sc_hd__nand2_2
+*I *641:A I *D sky130_fd_sc_hd__or2_2
+*I *635:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *727:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *730:A I *D sky130_fd_sc_hd__nand2_2
+*I *728:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *838:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *640:A 0
+2 *641:A 2.34823e-05
+3 *635:A0 0
+4 *727:A1 1.50079e-05
+5 *730:A 0.000202937
+6 *728:A_N 0.000122594
+7 *838:Q 0
+8 *221:63 0.000319472
+9 *221:55 0.00101146
+10 *221:43 0.00126427
+11 *221:14 0.000398553
+12 *221:10 0.000327061
+13 *221:5 0.000834799
+14 *221:10 *333:219 0
+15 *221:10 *333:235 0
+16 *221:43 *640:B 1.37834e-05
+17 *221:43 *333:235 3.75171e-05
+18 *221:43 *333:245 5.50049e-05
+19 *221:55 *640:B 0.000185501
+20 *221:55 *641:B 3.34756e-05
+21 *221:55 *830:B 8.4047e-05
+22 *221:55 *226:19 1.27321e-05
+23 *221:63 *635:A1 0.000310636
+24 clockp[1] *221:63 0.000110922
+25 *413:DIODE *221:43 8.6949e-05
+26 *727:A2 *727:A1 5.50049e-05
+27 *730:B *730:A 0.000180218
+28 *5:15 *221:55 9.62764e-05
+29 *5:15 *221:63 4.6595e-05
+30 *5:57 *221:10 0
+31 *5:57 *221:43 0
+32 *5:688 *221:43 5.67058e-05
+33 *32:27 *221:63 2.96369e-05
+34 *47:8 *221:10 0
+35 *47:8 *221:43 5.3304e-05
+36 *88:77 *221:10 3.82956e-05
+37 *88:77 *221:14 8.16459e-05
+38 *90:13 *221:55 1.67818e-05
+39 *90:13 *221:63 8.6097e-05
+40 *91:8 *221:63 5.50106e-05
+41 *97:7 *728:A_N 0
+42 *129:17 *728:A_N 4.93341e-05
+43 *129:17 *730:A 5.90091e-05
+44 *169:14 *221:10 3.47781e-05
+45 *169:14 *221:14 0.000164291
+46 *216:46 *221:10 0
+47 *220:20 *727:A1 5.50049e-05
+*RES
+1 *838:Q *221:5 38
+2 *221:5 *221:10 5.26143
+3 *221:10 *221:14 17.2579
+4 *221:14 *728:A_N 25.0714
+5 *221:14 *730:A 26.9771
+6 *221:10 *727:A1 38.6214
+7 *221:5 *221:43 26.5586
+8 *221:43 *221:55 40.585
+9 *221:55 *221:63 37.0843
+10 *221:63 *635:A0 23
+11 *221:55 *641:A 23.4971
+12 *221:43 *640:A 23
+*END
+
+*D_NET *222 0.00363855
+*CONN
+*I *639:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *650:B I *D sky130_fd_sc_hd__and2_2
+*I *672:B I *D sky130_fd_sc_hd__or2_2
+*I *651:B I *D sky130_fd_sc_hd__nand2_2
+*I *849:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *639:A1 0
+2 *650:B 7.02752e-05
+3 *672:B 0
+4 *651:B 1.80505e-05
+5 *849:Q 0.000541284
+6 *222:29 0.000243128
+7 *222:21 0.000406296
+8 *222:17 0.000167322
+9 *222:10 9.24806e-05
+10 *222:8 0.000541284
+11 clockp[1] *222:8 0.000133316
+12 *405:DIODE *222:29 0
+13 *650:A *650:B 7.99385e-05
+14 *650:A *222:21 0
+15 *651:A *651:B 5.50049e-05
+16 *651:A *222:17 0.000168687
+17 *651:A *222:21 1.14154e-05
+18 *826:A *651:B 2.93747e-05
+19 *826:A *222:17 0.000119477
+20 *826:A *222:21 1.40958e-05
+21 *849:RESET_B *222:8 9.18694e-05
+22 *5:613 *222:29 2.96369e-05
+23 *5:621 *222:29 7.29969e-05
+24 *11:8 *222:29 0.000100522
+25 *39:10 *222:29 3.7122e-06
+26 *88:29 *222:21 3.22879e-05
+27 *120:18 *222:8 0
+28 *216:144 *650:B 1.21972e-05
+29 *216:144 *222:29 0.000164282
+30 *216:151 *222:29 0.00010763
+31 *217:13 *650:B 0.000124306
+32 *217:13 *222:21 0.000181125
+33 *217:15 *222:17 1.41129e-05
+34 *217:15 *222:21 1.24376e-05
+*RES
+1 *849:Q *222:8 45.7679
+2 *222:8 *222:10 15
+3 *222:10 *651:B 23.6214
+4 *222:10 *222:17 2.52714
+5 *222:17 *222:21 2.54786
+6 *222:21 *222:29 36.1936
+7 *222:29 *672:B 23
+8 *222:21 *650:B 25.0714
+9 *222:17 *639:A1 23
+*END
+
+*D_NET *223 0.00183625
+*CONN
+*I *649:B I *D sky130_fd_sc_hd__and2_2
+*I *652:B I *D sky130_fd_sc_hd__xor2_2
+*I *638:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *850:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *649:B 0.000116878
+2 *652:B 0
+3 *638:A1 0.000171978
+4 *850:Q 0.000102641
+5 *223:17 0.000198694
+6 *223:7 0.000356436
+7 *405:DIODE *638:A1 0.00010018
+8 *638:A0 *638:A1 0.000300108
+9 *638:A0 *649:B 1.14452e-05
+10 *638:A0 *223:17 0.000138718
+11 *736:B *638:A1 4.17736e-05
+12 *59:6 *638:A1 0
+13 *88:39 *638:A1 5.67058e-05
+14 *88:39 *649:B 0
+15 *88:39 *223:17 0
+16 *218:26 *649:B 0.000240695
+*RES
+1 *850:Q *223:7 39.0979
+2 *223:7 *638:A1 43.1164
+3 *223:7 *223:17 1.90571
+4 *223:17 *652:B 15
+5 *223:17 *649:B 41.3143
+*END
+
+*D_NET *224 0.00326582
+*CONN
+*I *647:B I *D sky130_fd_sc_hd__or2_2
+*I *648:B I *D sky130_fd_sc_hd__and2_2
+*I *637:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *646:B I *D sky130_fd_sc_hd__nor2_2
+*I *851:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *647:B 0.000209693
+2 *648:B 0.000206107
+3 *637:A1 0
+4 *646:B 0
+5 *851:Q 0.000366816
+6 *224:28 0.00051352
+7 *224:20 0.000333774
+8 *224:8 0.000602871
+9 *647:A *647:B 0.000378246
+10 *647:A *648:B 4.90185e-05
+11 *5:604 *648:B 0
+12 *5:604 *224:20 2.23467e-05
+13 *60:6 *224:8 3.68205e-05
+14 *88:39 *648:B 0
+15 *96:10 *224:20 0.000182222
+16 *96:10 *224:28 0.000131563
+17 *219:34 *648:B 0.000232823
+*RES
+1 *851:Q *224:8 43.3857
+2 *224:8 *646:B 38
+3 *224:8 *224:20 18.9979
+4 *224:20 *637:A1 23
+5 *224:20 *224:28 16.5743
+6 *224:28 *648:B 42.0186
+7 *224:28 *647:B 43.075
+*END
+
+*D_NET *225 0.0025878
+*CONN
+*I *645:B I *D sky130_fd_sc_hd__and2_2
+*I *636:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *643:B I *D sky130_fd_sc_hd__nor2_2
+*I *852:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *645:B 0.00016168
+2 *636:A1 0
+3 *643:B 0.000187036
+4 *852:Q 0.000403135
+5 *225:13 0.00050328
+6 *225:8 0.000881059
+7 *225:8 *240:6 0
+8 *643:A *643:B 0.0002263
+9 *838:D *225:13 6.17437e-06
+10 *11:8 *645:B 0
+11 *70:6 *645:B 0
+12 *70:6 *225:8 0
+13 *81:8 *643:B 3.53115e-05
+14 *88:97 *225:8 0
+15 *90:13 *225:8 5.55172e-05
+16 *93:22 *645:B 3.70094e-05
+17 *216:37 *643:B 1.32314e-05
+18 *216:37 *225:8 0
+19 *216:37 *225:13 5.8207e-05
+20 *220:36 *643:B 1.03955e-05
+21 *220:36 *645:B 0
+22 *220:36 *225:8 0
+23 *220:36 *225:13 9.46637e-06
+*RES
+1 *852:Q *225:8 44.4007
+2 *225:8 *225:13 19.3293
+3 *225:13 *643:B 27.4536
+4 *225:13 *636:A1 23
+5 *225:8 *645:B 40.8793
+*END
+
+*D_NET *226 0.00324442
+*CONN
+*I *641:B I *D sky130_fd_sc_hd__or2_2
+*I *635:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *640:B I *D sky130_fd_sc_hd__nand2_2
+*I *853:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *641:B 5.5695e-05
+2 *635:A1 0.000424121
+3 *640:B 0.000211788
+4 *853:Q 0.00019891
+5 *226:19 0.000509758
+6 *226:8 0.000440641
+7 *641:B *830:B 9.21347e-06
+8 *226:8 *333:246 3.56887e-05
+9 *226:19 *830:B 1.11421e-05
+10 *226:19 *333:246 1.70989e-05
+11 *32:27 *635:A1 2.24608e-05
+12 *32:27 *641:B 4.18566e-05
+13 *32:27 *226:8 6.18676e-05
+14 *32:27 *226:19 3.71611e-05
+15 *90:13 *635:A1 1.76095e-05
+16 *90:13 *640:B 0.000452224
+17 *216:13 *226:8 0.000141052
+18 *221:43 *640:B 1.37834e-05
+19 *221:55 *640:B 0.000185501
+20 *221:55 *641:B 3.34756e-05
+21 *221:55 *226:19 1.27321e-05
+22 *221:63 *635:A1 0.000310636
+*RES
+1 *853:Q *226:8 41.48
+2 *226:8 *640:B 44.8357
+3 *226:8 *226:19 1.3775
+4 *226:19 *635:A1 44.3282
+5 *226:19 *641:B 39.3775
+*END
+
+*D_NET *227 0.000524282
+*CONN
+*I *847:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *846:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *847:D 0.000208004
+2 *846:Q 0.000208004
+3 *429:DIODE *847:D 4.99329e-05
+4 *216:94 *847:D 5.83407e-05
+*RES
+1 *846:Q *847:D 49.5007
+*END
+
+*D_NET *228 0.00243071
+*CONN
+*I *633:A I *D sky130_fd_sc_hd__xnor2_2
+*I *634:A I *D sky130_fd_sc_hd__xor2_2
+*I *848:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *847:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *633:A 0.000250528
+2 *634:A 0
+3 *848:D 0.000257292
+4 *847:Q 0.000120914
+5 *228:15 0.000379629
+6 *228:6 0.000507306
+7 *633:A *633:B 0.000268825
+8 *848:D *229:8 1.94693e-05
+9 *848:D *333:95 8.1796e-05
+10 *228:15 *633:B 1.14452e-05
+11 *228:15 *229:8 0.000141879
+12 *421:DIODE *848:D 0.000391631
+13 *56:6 *228:6 0
+14 *56:6 *228:15 0
+*RES
+1 *847:Q *228:6 39.9057
+2 *228:6 *848:D 45.7679
+3 *228:6 *228:15 2.96214
+4 *228:15 *634:A 38
+5 *228:15 *633:A 43.51
+*END
+
+*D_NET *229 0.000994703
+*CONN
+*I *633:B I *D sky130_fd_sc_hd__xnor2_2
+*I *634:B I *D sky130_fd_sc_hd__xor2_2
+*I *848:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *633:B 0.000150829
+2 *634:B 0
+3 *848:Q 0.000125713
+4 *229:8 0.000276542
+5 *633:A *633:B 0.000268825
+6 *848:D *229:8 1.94693e-05
+7 *56:6 *633:B 0
+8 *56:6 *229:8 0
+9 *228:15 *633:B 1.14452e-05
+10 *228:15 *229:8 0.000141879
+*RES
+1 *848:Q *229:8 40.5271
+2 *229:8 *634:B 15
+3 *229:8 *633:B 41.9357
+*END
+
+*D_NET *230 0.00363742
+*CONN
+*I *686:B I *D sky130_fd_sc_hd__and3_2
+*I *738:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *739:A I *D sky130_fd_sc_hd__or2_2
+*I *831:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *686:B 0.000294146
+2 *738:A1 0
+3 *739:A 0
+4 *831:Q 0.000302569
+5 *230:18 0.000312695
+6 *230:11 0.000365311
+7 *230:8 0.000649331
+8 *686:B *231:11 0.000218962
+9 *686:B *231:25 1.6374e-05
+10 *686:B *333:64 3.81451e-05
+11 *230:11 *231:11 0.000136738
+12 *230:18 *231:11 5.67058e-05
+13 *686:C *686:B 0.000349377
+14 *739:B *686:B 4.92689e-05
+15 *739:B *230:8 0.000110913
+16 *739:B *230:18 0
+17 *809:A *230:11 9.41704e-05
+18 *831:RESET_B *230:8 0.000134437
+19 *5:108 *230:18 0
+20 *5:140 *230:11 4.17736e-05
+21 *5:140 *230:18 0.00014196
+22 *5:146 *230:8 7.33097e-05
+23 *5:146 *230:18 0
+24 *5:561 *686:B 2.3529e-05
+25 *5:567 *686:B 0
+26 *41:6 *686:B 2.95125e-05
+27 *63:6 *230:18 8.51329e-05
+28 *89:34 *230:18 7.22308e-05
+29 *136:6 *686:B 1.14452e-05
+30 *216:191 *686:B 2.93845e-05
+*RES
+1 *831:Q *230:8 43.0336
+2 *230:8 *230:11 16.5743
+3 *230:11 *230:18 37.0014
+4 *230:18 *739:A 23
+5 *230:11 *738:A1 23
+6 *230:8 *686:B 45.8093
+*END
+
+*D_NET *231 0.00300097
+*CONN
+*I *737:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *690:A I *D sky130_fd_sc_hd__and4_2
+*I *738:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *832:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A0 0.0001232
+2 *690:A 0
+3 *738:A0 0
+4 *832:Q 0
+5 *231:25 0.000330641
+6 *231:11 0.00023755
+7 *231:4 0.00069139
+8 *737:A0 *232:19 2.30292e-05
+9 *231:11 *333:64 2.35393e-05
+10 *231:25 *232:19 0
+11 *231:25 *238:8 0
+12 *231:25 *333:64 0.00020173
+13 *686:B *231:11 0.000218962
+14 *686:B *231:25 1.6374e-05
+15 *809:A *231:11 2.32101e-05
+16 *832:RESET_B *231:11 2.33744e-05
+17 *41:6 *231:11 0.000166513
+18 *71:8 *231:25 6.86023e-06
+19 *88:19 *737:A0 0.000308324
+20 *88:19 *231:11 0.000136743
+21 *89:5 *231:11 8.17328e-05
+22 *89:34 *231:11 0.000139346
+23 *136:6 *231:25 0
+24 *140:11 *231:25 5.50049e-05
+25 *230:11 *231:11 0.000136738
+26 *230:18 *231:11 5.67058e-05
+*RES
+1 *832:Q *231:4 23
+2 *231:4 *231:11 37.0636
+3 *231:11 *738:A0 23
+4 *231:4 *231:25 36.815
+5 *231:25 *690:A 23
+6 *231:4 *737:A0 26.9771
+*END
+
+*D_NET *232 0.00251244
+*CONN
+*I *737:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *686:A I *D sky130_fd_sc_hd__and3_2
+*I *833:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A1 0
+2 *686:A 0
+3 *833:Q 0.000520143
+4 *232:19 0.000392394
+5 *232:11 0.000497578
+6 *232:8 0.000625327
+7 *737:A0 *232:19 2.30292e-05
+8 *29:8 *232:8 0.000108672
+9 *29:8 *232:19 0
+10 *65:8 *232:8 0
+11 *88:5 *232:11 1.94693e-05
+12 *88:19 *232:11 2.25419e-05
+13 *88:19 *232:19 1.32939e-05
+14 *89:5 *232:8 0.000263534
+15 *216:191 *232:19 2.64616e-05
+16 *231:25 *232:19 0
+*RES
+1 *833:Q *232:8 46.3479
+2 *232:8 *232:11 16.5743
+3 *232:11 *232:19 36.1314
+4 *232:19 *686:A 23
+5 *232:11 *737:A1 23
+*END
+
+*D_NET *233 0.00642123
+*CONN
+*I *632:A I *D sky130_fd_sc_hd__inv_2
+*I *680:B I *D sky130_fd_sc_hd__or2_2
+*I *693:B I *D sky130_fd_sc_hd__nand2_2
+*I *701:A I *D sky130_fd_sc_hd__nor2_2
+*I *719:B1 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *841:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *632:A 3.65451e-05
+2 *680:B 0
+3 *693:B 0
+4 *701:A 0
+5 *719:B1 0
+6 *841:Q 0.00071395
+7 *233:33 0.000118901
+8 *233:28 0.000437511
+9 *233:15 0.000665856
+10 *233:8 0.000432052
+11 *233:7 0.000835301
+12 *632:A *234:19 6.0874e-05
+13 *632:A *235:52 4.5051e-05
+14 *233:15 *236:10 9.35072e-05
+15 *233:15 *236:19 0.000181044
+16 *233:28 *234:37 2.05503e-05
+17 *233:28 *236:19 0.000108835
+18 *233:28 *236:28 3.63845e-05
+19 *233:33 *693:A 5.3304e-05
+20 *233:33 *234:19 0.00010018
+21 *233:33 *234:21 0.000139357
+22 *233:33 *235:52 9.16459e-05
+23 *704:B1 *233:28 0.000207891
+24 *716:A1 *233:28 0.000101749
+25 *806:B2 *632:A 5.50106e-05
+26 *841:CLK *233:8 9.98891e-05
+27 *841:D *233:7 0.000104182
+28 *841:RESET_B *233:7 2.17418e-05
+29 *130:7 *233:33 9.5877e-05
+30 *140:22 *233:8 0.00035793
+31 *140:22 *233:15 8.94487e-05
+32 *163:6 *233:28 0.000171288
+33 *164:6 *233:8 6.48142e-05
+34 *164:6 *233:15 0.000647537
+35 *164:6 *233:28 0.000129504
+36 *207:25 *632:A 5.50106e-05
+37 *216:222 *233:8 4.85126e-05
+*RES
+1 *841:Q *233:7 47.1971
+2 *233:7 *233:8 4.72286
+3 *233:8 *719:B1 38
+4 *233:8 *233:15 8.94857
+5 *233:15 *701:A 38
+6 *233:15 *233:28 24.0107
+7 *233:28 *693:B 23
+8 *233:28 *233:33 3.00357
+9 *233:33 *680:B 23
+10 *233:33 *632:A 24.5743
+*END
+
+*D_NET *234 0.0210218
+*CONN
+*I *801:A I *D sky130_fd_sc_hd__or2_2
+*I *799:A I *D sky130_fd_sc_hd__or3_2
+*I *750:A I *D sky130_fd_sc_hd__or3_2
+*I *796:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *680:A I *D sky130_fd_sc_hd__or2_2
+*I *631:A I *D sky130_fd_sc_hd__inv_2
+*I *770:A I *D sky130_fd_sc_hd__or2_2
+*I *753:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *749:A I *D sky130_fd_sc_hd__or2_2
+*I *693:A I *D sky130_fd_sc_hd__nand2_2
+*I *842:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *801:A 0
+2 *799:A 0
+3 *750:A 3.97464e-05
+4 *796:A2 0.000326634
+5 *680:A 0
+6 *631:A 4.56159e-05
+7 *770:A 0
+8 *753:A1 0.000598589
+9 *749:A 0
+10 *693:A 8.70902e-05
+11 *842:Q 0.000287821
+12 *234:145 0.000426647
+13 *234:126 0.000535109
+14 *234:115 0.000780643
+15 *234:108 0.000952256
+16 *234:97 0.000729226
+17 *234:75 5.33117e-05
+18 *234:52 0.00134664
+19 *234:47 0.00139313
+20 *234:37 0.00152858
+21 *234:21 0.00101158
+22 *234:19 0.000355472
+23 *234:8 0.00104579
+24 *234:7 0.000849166
+25 *693:A *630:A 5.12055e-06
+26 *693:A *235:52 1.21972e-05
+27 *753:A1 *883:A 1.87502e-05
+28 *753:A1 *908:TE 0
+29 *753:A1 *307:12 5.58003e-05
+30 *796:A2 *796:A1 2.64616e-05
+31 *796:A2 *796:B1 2.02872e-05
+32 *234:8 *237:117 0
+33 *234:8 *263:18 0.000796466
+34 *234:37 *236:28 7.57281e-06
+35 *234:37 *236:37 0.000211176
+36 *234:37 *334:14 1.43357e-05
+37 *234:52 *862:A 8.57485e-05
+38 *234:52 *877:A 0
+39 *234:52 *882:TE_B 0
+40 *234:52 *883:A 5.08899e-05
+41 *234:52 *267:8 8.75688e-05
+42 *234:97 *263:18 0.000218922
+43 *234:108 *797:A 2.45831e-05
+44 *234:108 *237:100 1.43747e-05
+45 *234:108 *263:18 1.12487e-05
+46 *234:115 *253:11 6.17437e-06
+47 *234:115 *299:17 8.21463e-05
+48 *234:126 *256:11 5.20721e-05
+49 *234:126 *256:21 0.000211782
+50 *234:126 *263:18 4.11549e-06
+51 *234:126 *299:26 0.000409402
+52 *234:145 *869:A 0.000204821
+53 *234:145 *263:18 0.000106136
+54 *632:A *234:19 6.0874e-05
+55 *700:B *631:A 2.64616e-05
+56 *704:B2 *234:47 2.93431e-05
+57 *708:B1 *234:47 0.000226899
+58 *750:C *750:A 1.06888e-05
+59 *753:A2 *753:A1 3.83687e-05
+60 *778:B1 *750:A 0
+61 *786:B1 *234:126 4.82477e-05
+62 *791:B *234:115 0.000226295
+63 *791:B *234:126 4.2573e-05
+64 *794:B2 *234:145 1.66821e-05
+65 *797:B *796:A2 5.61255e-06
+66 *806:A2 *234:8 5.90146e-05
+67 *806:A2 *234:19 2.7273e-05
+68 *806:A2 *234:97 2.48429e-05
+69 *5:279 *234:97 2.98508e-05
+70 *5:307 *234:97 5.28231e-05
+71 *5:307 *234:108 0.000130433
+72 *5:377 *234:145 1.02387e-05
+73 *5:384 *234:145 4.62315e-05
+74 *5:700 *234:52 3.88725e-05
+75 *5:714 *234:52 5.53025e-05
+76 *14:7 *753:A1 0
+77 *17:31 *234:126 4.33453e-05
+78 *19:18 *234:126 0.000265977
+79 *21:15 *234:145 0.000231452
+80 *29:8 *234:8 0.000568337
+81 *50:8 *234:7 9.41704e-05
+82 *51:6 *234:8 0.000117121
+83 *83:20 *234:115 0.00021477
+84 *83:20 *234:126 0.000141052
+85 *84:26 *631:A 5.11935e-06
+86 *84:26 *234:47 6.82999e-05
+87 *130:7 *693:A 2.02872e-05
+88 *130:39 *234:126 1.91026e-05
+89 *130:76 *234:126 1.56145e-05
+90 *131:31 *234:47 0.000269102
+91 *133:22 *631:A 2.86398e-05
+92 *144:10 *693:A 0.000137533
+93 *144:10 *234:19 8.68775e-05
+94 *144:10 *234:21 0.00013024
+95 *150:41 *234:37 0.000131258
+96 *150:54 *234:115 2.64616e-05
+97 *178:12 *234:126 4.89546e-05
+98 *178:49 *753:A1 6.48838e-05
+99 *178:49 *234:52 4.6318e-06
+100 *180:6 *753:A1 5.83555e-05
+101 *180:21 *753:A1 2.29105e-05
+102 *180:21 *234:52 7.71816e-05
+103 *180:49 *753:A1 6.43253e-05
+104 *187:17 *234:47 9.73773e-05
+105 *192:14 *234:47 0.000132734
+106 *192:14 *234:52 0.000100477
+107 *196:12 *234:108 0.000204054
+108 *196:12 *234:115 3.34993e-05
+109 *197:8 *234:126 2.18506e-05
+110 *197:26 *234:126 5.50049e-05
+111 *207:25 *234:19 0.000223077
+112 *212:7 *796:A2 5.3304e-05
+113 *212:23 *234:108 0.000430466
+114 *212:23 *234:115 5.62433e-06
+115 *212:23 *234:145 5.67777e-05
+116 *233:28 *234:37 2.05503e-05
+117 *233:33 *693:A 5.3304e-05
+118 *233:33 *234:19 0.00010018
+119 *233:33 *234:21 0.000139357
+*RES
+1 *842:Q *234:7 41.48
+2 *234:7 *234:8 16.8718
+3 *234:8 *234:19 21.0175
+4 *234:19 *234:21 1.57429
+5 *234:21 *693:A 25.0714
+6 *234:21 *234:37 33.2661
+7 *234:37 *234:47 26.5896
+8 *234:47 *234:52 27.9257
+9 *234:52 *749:A 38
+10 *234:52 *753:A1 48.9786
+11 *234:47 *234:75 30.8493
+12 *234:75 *770:A 23
+13 *234:37 *631:A 38.9425
+14 *234:19 *680:A 23
+15 *234:8 *234:97 3.90464
+16 *234:97 *796:A2 42.205
+17 *234:97 *234:108 6.3075
+18 *234:108 *234:115 21.8046
+19 *234:115 *234:126 35.3506
+20 *234:126 *750:A 38.8493
+21 *234:115 *799:A 23
+22 *234:108 *234:145 37.707
+23 *234:145 *801:A 23
+*END
+
+*D_NET *235 0.0115413
+*CONN
+*I *796:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *742:C I *D sky130_fd_sc_hd__or3_2
+*I *772:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *630:A I *D sky130_fd_sc_hd__inv_2
+*I *681:B I *D sky130_fd_sc_hd__or2_2
+*I *714:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *697:A I *D sky130_fd_sc_hd__nor2_2
+*I *698:A I *D sky130_fd_sc_hd__and2_2
+*I *843:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *796:A1 0.000112704
+2 *742:C 0.000199168
+3 *772:B1 0.000456474
+4 *630:A 3.93171e-05
+5 *681:B 2.32701e-05
+6 *714:A0 0
+7 *697:A 0
+8 *698:A 0.000497078
+9 *843:Q 5.42176e-05
+10 *235:61 0.000815548
+11 *235:52 0.000558144
+12 *235:49 0.00056544
+13 *235:40 0.000359988
+14 *235:30 0.000213279
+15 *235:13 0.000844774
+16 *235:9 0.000845305
+17 *235:5 0.00100801
+18 *681:B *681:A 5.3304e-05
+19 *742:C *629:A 5.50106e-05
+20 *742:C *742:A 1.4345e-05
+21 *742:C *236:67 8.52481e-05
+22 *742:C *237:75 1.14154e-05
+23 *772:B1 *759:A 5.50106e-05
+24 *796:A1 *263:18 0.000150977
+25 *235:5 *263:21 5.3304e-05
+26 *235:40 *237:117 0.000331209
+27 *235:40 *263:18 0.000669348
+28 *235:49 *237:117 1.07299e-05
+29 *235:49 *263:18 0.000202768
+30 *402:DIODE *235:52 5.3304e-05
+31 *632:A *235:52 4.5051e-05
+32 *692:A *772:B1 1.61339e-05
+33 *692:A *235:61 7.05435e-05
+34 *693:A *630:A 5.12055e-06
+35 *693:A *235:52 1.21972e-05
+36 *714:A1 *698:A 0.000119467
+37 *714:A1 *235:13 5.41385e-05
+38 *714:S *235:9 4.00259e-06
+39 *717:A *235:61 0.000130826
+40 *742:B *742:C 7.75736e-06
+41 *796:A2 *796:A1 2.64616e-05
+42 *797:B *796:A1 6.17437e-06
+43 *806:A2 *235:52 6.99492e-05
+44 *806:B2 *235:52 0.000180224
+45 *843:D *235:9 5.96327e-05
+46 *843:RESET_B *235:5 1.72202e-05
+47 *843:RESET_B *235:9 2.8521e-05
+48 *5:290 *235:52 0.000166079
+49 *83:36 *772:B1 1.46586e-05
+50 *84:7 *742:C 5.50106e-05
+51 *84:10 *742:C 1.25898e-05
+52 *85:29 *772:B1 4.2898e-05
+53 *85:29 *235:61 0.00012556
+54 *86:13 *772:B1 0.000134498
+55 *87:7 *235:52 0.000436767
+56 *87:41 *742:C 4.19875e-05
+57 *87:41 *235:61 8.30566e-06
+58 *129:58 *698:A 0
+59 *130:7 *235:52 0.00014196
+60 *131:20 *681:B 5.3304e-05
+61 *131:62 *742:C 1.12695e-05
+62 *131:62 *235:61 7.20592e-05
+63 *131:94 *796:A1 0.000161121
+64 *131:94 *235:49 0.00018835
+65 *133:22 *235:30 0.000192671
+66 *143:5 *235:52 1.94693e-05
+67 *144:10 *235:52 5.61255e-06
+68 *145:15 *235:30 0
+69 *145:53 *235:9 5.50049e-05
+70 *145:53 *235:13 9.24795e-06
+71 *145:53 *235:30 0
+72 *145:58 *698:A 7.44161e-06
+73 *148:5 *698:A 3.90046e-05
+74 *149:13 *698:A 0.000123215
+75 *154:21 *698:A 5.79559e-05
+76 *177:47 *742:C 2.32004e-05
+77 *187:11 *772:B1 4.78883e-05
+78 *207:25 *235:52 1.03955e-05
+79 *233:33 *235:52 9.16459e-05
+*RES
+1 *843:Q *235:5 24.0979
+2 *235:5 *235:9 11.1236
+3 *235:9 *235:13 2.42357
+4 *235:13 *698:A 30.4779
+5 *235:13 *235:30 35.075
+6 *235:30 *697:A 23
+7 *235:9 *714:A0 23
+8 *235:5 *235:40 23.9486
+9 *235:40 *681:B 38.6214
+10 *235:40 *235:49 2.96214
+11 *235:49 *235:52 24.6736
+12 *235:52 *630:A 23.8493
+13 *235:52 *235:61 18.3143
+14 *235:61 *772:B1 45.9957
+15 *235:61 *742:C 42.1014
+16 *235:49 *796:A1 40.8793
+*END
+
+*D_NET *236 0.0134301
+*CONN
+*I *804:B I *D sky130_fd_sc_hd__and3b_2
+*I *743:A I *D sky130_fd_sc_hd__nor2_2
+*I *629:A I *D sky130_fd_sc_hd__inv_2
+*I *779:A I *D sky130_fd_sc_hd__or2_2
+*I *796:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *772:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *681:A I *D sky130_fd_sc_hd__or2_2
+*I *712:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *844:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *804:B 0.000355424
+2 *743:A 2.77218e-05
+3 *629:A 1.91252e-05
+4 *779:A 0
+5 *796:B1 0.000323003
+6 *772:A1 0
+7 *681:A 0.000292884
+8 *712:A0 0.000117795
+9 *844:Q 2.34823e-05
+10 *236:84 0.000279516
+11 *236:67 0.000616657
+12 *236:46 0.000357176
+13 *236:43 0.000465222
+14 *236:37 0.000524991
+15 *236:28 0.00087835
+16 *236:19 0.000920841
+17 *236:10 0.00108907
+18 *236:5 0.000990273
+19 *743:A *299:17 5.3304e-05
+20 *804:B *804:C 4.24464e-06
+21 *236:28 *334:14 0
+22 *236:37 *334:14 9.11514e-05
+23 *236:84 *256:11 7.47186e-05
+24 *236:84 *256:21 2.17681e-05
+25 *236:84 *278:12 0.000117839
+26 *382:DIODE *236:43 1.23339e-05
+27 *382:DIODE *236:67 1.1644e-05
+28 *403:DIODE *681:A 5.3304e-05
+29 *681:B *681:A 5.3304e-05
+30 *704:B1 *236:28 0
+31 *742:B *236:84 8.13127e-05
+32 *742:C *629:A 5.50106e-05
+33 *742:C *236:67 8.52481e-05
+34 *779:B *796:B1 1.89039e-05
+35 *786:A1 *236:67 2.30984e-05
+36 *786:A2 *236:67 1.24376e-05
+37 *786:B1 *236:67 0.000215942
+38 *786:B1 *236:84 3.06908e-05
+39 *786:B2 *236:67 9.62939e-05
+40 *796:A2 *796:B1 2.02872e-05
+41 *797:B *796:B1 5.26556e-05
+42 *844:RESET_B *804:B 6.88885e-05
+43 *844:RESET_B *236:10 6.42913e-05
+44 *5:235 *804:B 1.14876e-05
+45 *5:235 *236:10 0
+46 *5:241 *804:B 1.32939e-05
+47 *5:252 *804:B 2.94414e-05
+48 *5:307 *796:B1 7.38255e-05
+49 *5:311 *796:B1 4.56338e-05
+50 *5:311 *236:46 2.64616e-05
+51 *29:8 *236:10 0
+52 *74:7 *681:A 0.000141352
+53 *74:10 *236:10 8.92841e-05
+54 *74:10 *236:19 0.000204405
+55 *83:25 *743:A 2.64616e-05
+56 *83:30 *236:37 9.47501e-05
+57 *86:13 *236:37 6.39489e-06
+58 *87:41 *236:84 0
+59 *129:111 *236:10 8.94394e-05
+60 *129:111 *236:19 0.000352547
+61 *130:21 *236:28 0
+62 *131:20 *681:A 0.000382868
+63 *131:62 *236:67 9.26547e-05
+64 *131:81 *236:67 6.16531e-05
+65 *131:81 *236:84 4.19127e-05
+66 *140:22 *236:10 0.000361091
+67 *142:11 *236:37 0.000748711
+68 *142:11 *236:43 7.57281e-06
+69 *144:10 *236:19 6.5117e-06
+70 *144:10 *236:28 3.36703e-05
+71 *145:7 *712:A0 9.67842e-05
+72 *145:11 *712:A0 5.3304e-05
+73 *150:41 *236:37 0.000251418
+74 *163:6 *236:19 8.49652e-05
+75 *177:14 *236:37 9.68654e-05
+76 *177:47 *629:A 5.3304e-05
+77 *177:47 *236:67 9.71435e-05
+78 *187:33 *236:84 0.00010932
+79 *207:25 *236:28 4.41264e-05
+80 *207:25 *236:43 6.51274e-05
+81 *207:25 *236:67 0.000203438
+82 *212:7 *796:B1 9.41704e-05
+83 *233:15 *236:10 9.35072e-05
+84 *233:15 *236:19 0.000181044
+85 *233:28 *236:19 0.000108835
+86 *233:28 *236:28 3.63845e-05
+87 *234:37 *236:28 7.57281e-06
+88 *234:37 *236:37 0.000211176
+*RES
+1 *844:Q *236:5 23.4971
+2 *236:5 *236:10 26.9729
+3 *236:10 *712:A0 39.5743
+4 *236:10 *236:19 10.7093
+5 *236:19 *681:A 44.815
+6 *236:19 *236:28 4.54679
+7 *236:28 *236:37 34.3869
+8 *236:37 *772:A1 23
+9 *236:28 *236:43 1.3775
+10 *236:43 *236:46 15.6214
+11 *236:46 *796:B1 29.38
+12 *236:46 *779:A 23
+13 *236:43 *236:67 22.8921
+14 *236:67 *629:A 23.6214
+15 *236:67 *236:84 49.687
+16 *236:84 *743:A 23.6214
+17 *236:5 *804:B 27.9093
+*END
+
+*D_NET *237 0.0143596
+*CONN
+*I *804:C I *D sky130_fd_sc_hd__and3b_2
+*I *628:A I *D sky130_fd_sc_hd__inv_2
+*I *775:A I *D sky130_fd_sc_hd__nand2_2
+*I *797:A I *D sky130_fd_sc_hd__nand2_2
+*I *759:A I *D sky130_fd_sc_hd__or3_2
+*I *742:A I *D sky130_fd_sc_hd__or3_2
+*I *774:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *682:A I *D sky130_fd_sc_hd__or2_2
+*I *709:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *706:A I *D sky130_fd_sc_hd__xnor2_2
+*I *845:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *804:C 0.000163568
+2 *628:A 0
+3 *775:A 0
+4 *797:A 7.99505e-05
+5 *759:A 0.000108244
+6 *742:A 1.62492e-05
+7 *774:A1 1.93465e-05
+8 *773:A1 1.97948e-05
+9 *682:A 0
+10 *709:A1 7.02223e-05
+11 *706:A 0.000362595
+12 *845:Q 0.000292687
+13 *237:117 0.00108143
+14 *237:106 0.000995837
+15 *237:100 0.000163511
+16 *237:89 0.000636472
+17 *237:75 0.000643236
+18 *237:62 0.000429474
+19 *237:54 0.000542165
+20 *237:40 0.00032886
+21 *237:33 0.000400724
+22 *237:14 0.000432817
+23 *237:12 0.000557943
+24 *706:A *246:22 4.19097e-05
+25 *709:A1 *246:22 4.67488e-05
+26 *797:A *334:14 2.33476e-05
+27 *237:89 *256:21 5.22146e-05
+28 *237:89 *278:12 0.000147908
+29 *237:89 *334:14 0.000280183
+30 *237:117 *867:TE_B 1.14154e-05
+31 *237:117 *945:A 9.28739e-05
+32 *237:117 *947:A 6.63769e-05
+33 *237:117 *251:8 5.85863e-05
+34 *237:117 *263:18 2.7448e-05
+35 *237:117 *333:144 1.47563e-05
+36 *237:117 *333:155 0.000122304
+37 *237:117 *333:162 4.98718e-06
+38 *237:117 *333:177 7.28335e-05
+39 *682:B *237:33 4.77159e-05
+40 *705:B1 *237:12 7.69935e-05
+41 *705:B1 *237:33 5.68297e-05
+42 *706:B *706:A 9.67842e-05
+43 *708:B1 *237:33 0.000154111
+44 *709:B1 *706:A 0.000135134
+45 *742:C *742:A 1.4345e-05
+46 *742:C *237:75 1.14154e-05
+47 *744:B *237:62 4.96207e-05
+48 *772:B1 *759:A 5.50106e-05
+49 *773:A2 *237:54 2.17761e-05
+50 *774:A2 *774:A1 1.51491e-05
+51 *774:A2 *237:40 5.01986e-05
+52 *774:A2 *237:54 0.000163756
+53 *803:B1 *237:117 0.00040944
+54 *804:B *804:C 4.24464e-06
+55 *806:B2 *797:A 2.38952e-05
+56 *806:B2 *237:100 1.31296e-05
+57 *845:D *237:12 7.53384e-06
+58 *5:241 *804:C 1.32314e-05
+59 *5:252 *804:C 0.000136738
+60 *5:307 *797:A 6.48049e-05
+61 *5:351 *237:89 0
+62 *29:8 *237:117 0
+63 *50:8 *237:117 0.000110922
+64 *51:6 *237:117 0.000117121
+65 *52:8 *237:117 0.000136486
+66 *83:8 *237:100 2.18506e-05
+67 *83:8 *237:106 4.34973e-05
+68 *84:10 *759:A 6.24239e-05
+69 *84:10 *237:75 9.86551e-06
+70 *84:26 *237:12 1.00251e-05
+71 *84:26 *237:33 7.59137e-05
+72 *84:26 *237:40 0.000165593
+73 *86:13 *759:A 5.67058e-05
+74 *129:63 *237:12 0.000141052
+75 *130:94 *773:A1 5.50049e-05
+76 *131:62 *237:89 0.0003384
+77 *131:81 *237:89 5.05028e-05
+78 *131:94 *237:117 0.000532985
+79 *142:29 *237:54 8.50652e-06
+80 *142:29 *237:62 0.000126998
+81 *145:58 *237:12 0.000404066
+82 *145:58 *237:33 6.16531e-05
+83 *146:10 *237:12 8.32593e-05
+84 *158:8 *237:12 3.92407e-05
+85 *158:8 *237:33 0.000127068
+86 *176:8 *237:62 0.000305139
+87 *177:47 *237:62 6.08617e-05
+88 *177:47 *237:75 2.93845e-05
+89 *177:47 *237:100 6.07611e-06
+90 *178:12 *237:62 1.71017e-05
+91 *181:18 *237:100 9.41704e-05
+92 *181:18 *237:106 0.000178517
+93 *181:18 *237:117 5.50106e-05
+94 *187:17 *759:A 8.04828e-05
+95 *187:33 *759:A 7.23898e-05
+96 *187:33 *237:75 1.38978e-05
+97 *192:14 *237:33 0
+98 *192:14 *237:40 0
+99 *193:8 *773:A1 1.94693e-05
+100 *193:8 *237:54 8.62876e-05
+101 *194:6 *237:40 1.44546e-05
+102 *194:6 *237:54 4.26048e-06
+103 *196:12 *237:100 9.03134e-05
+104 *196:12 *237:106 4.08664e-05
+105 *234:8 *237:117 0
+106 *234:108 *797:A 2.45831e-05
+107 *234:108 *237:100 1.43747e-05
+108 *235:40 *237:117 0.000331209
+109 *235:49 *237:117 1.07299e-05
+*RES
+1 *845:Q *237:12 46.12
+2 *237:12 *237:14 15
+3 *237:14 *706:A 29.7321
+4 *237:14 *709:A1 24.0979
+5 *237:12 *237:33 6.04857
+6 *237:33 *682:A 38
+7 *237:33 *237:40 2.96214
+8 *237:40 *773:A1 38.6214
+9 *237:40 *237:54 18.5525
+10 *237:54 *774:A1 23.4971
+11 *237:54 *237:62 6.63893
+12 *237:62 *742:A 23.4971
+13 *237:62 *237:75 16.4396
+14 *237:75 *759:A 40.7032
+15 *237:75 *237:89 17.7733
+16 *237:89 *797:A 39.7296
+17 *237:89 *237:100 17.1232
+18 *237:100 *775:A 23
+19 *237:100 *237:106 2.05071
+20 *237:106 *628:A 23
+21 *237:106 *237:117 40.2093
+22 *237:117 *804:C 40.0973
+*END
+
+*D_NET *238 0.00489527
+*CONN
+*I *723:A I *D sky130_fd_sc_hd__nand2_2
+*I *724:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *684:B I *D sky130_fd_sc_hd__or2_2
+*I *685:B I *D sky130_fd_sc_hd__or4bb_2
+*I *691:B I *D sky130_fd_sc_hd__nand2_2
+*I *839:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *723:A 0
+2 *724:A1 1.57972e-05
+3 *684:B 0.000490363
+4 *685:B 4.56698e-05
+5 *691:B 0.000127185
+6 *839:Q 0.000553402
+7 *238:28 0.000709431
+8 *238:17 0.000425579
+9 *238:15 0.000253878
+10 *238:10 0.000113084
+11 *238:8 0.000553402
+12 *684:B *684:A 0.000143156
+13 *685:B *685:A 2.95526e-05
+14 *691:B *691:A 0
+15 *697:B *684:B 0.00014538
+16 *699:A *684:B 0.00010018
+17 *723:B *238:15 0.00033644
+18 *723:B *238:17 5.82853e-05
+19 *724:B1 *238:15 0.000117401
+20 *839:RESET_B *238:8 2.52027e-05
+21 *29:8 *238:8 0
+22 *71:8 *238:8 0
+23 *73:8 *684:B 9.32613e-05
+24 *73:8 *238:28 1.07617e-05
+25 *76:6 *684:B 0
+26 *133:22 *684:B 9.5763e-05
+27 *133:22 *238:28 2.74363e-05
+28 *135:6 *238:28 2.34077e-05
+29 *139:19 *691:B 0.000103647
+30 *139:19 *238:17 2.4754e-05
+31 *140:11 *724:A1 1.12796e-05
+32 *140:11 *238:15 1.72202e-05
+33 *140:11 *238:17 9.9189e-05
+34 *140:22 *238:15 0.000145163
+35 *231:25 *238:8 0
+*RES
+1 *839:Q *238:8 45.6436
+2 *238:8 *238:10 15
+3 *238:10 *238:15 5.075
+4 *238:15 *238:17 3.00357
+5 *238:17 *691:B 25.5479
+6 *238:17 *238:28 18.3143
+7 *238:28 *685:B 38.6214
+8 *238:28 *684:B 48.2329
+9 *238:15 *724:A1 23.4971
+10 *238:10 *723:A 23
+*END
+
+*D_NET *239 0.00323795
+*CONN
+*I *685:A I *D sky130_fd_sc_hd__or4bb_2
+*I *684:A I *D sky130_fd_sc_hd__or2_2
+*I *722:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *691:A I *D sky130_fd_sc_hd__nand2_2
+*I *840:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *685:A 0.000279129
+2 *684:A 0.000294268
+3 *722:A0 7.22929e-05
+4 *691:A 0.000222534
+5 *840:Q 0
+6 *239:19 0.000583202
+7 *239:7 0.000493462
+8 *239:4 0.000333416
+9 *684:B *684:A 0.000143156
+10 *685:B *685:A 2.95526e-05
+11 *685:C_N *685:A 0.000190602
+12 *685:C_N *691:A 0
+13 *685:C_N *239:7 1.21972e-05
+14 *685:C_N *239:19 0
+15 *691:B *691:A 0
+16 *697:B *684:A 2.78327e-05
+17 *129:37 *239:19 0
+18 *139:19 *691:A 0.000100803
+19 *165:7 *722:A0 0.000100061
+20 *216:64 *691:A 0
+21 *216:202 *691:A 0.000218677
+22 *216:202 *239:19 0.000136761
+*RES
+1 *840:Q *239:4 23
+2 *239:4 *239:7 15.6214
+3 *239:7 *691:A 42.9093
+4 *239:7 *239:19 2.96214
+5 *239:19 *722:A0 39.0979
+6 *239:19 *684:A 42.2257
+7 *239:4 *685:A 28.7793
+*END
+
+*D_NET *240 0.000285731
+*CONN
+*I *941:A I *D sky130_fd_sc_hd__clkinv_8
+*I *940:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *941:A 0
+2 *940:Y 0.000142866
+3 *240:6 0.000142866
+4 *5:685 *240:6 0
+5 *47:8 *240:6 0
+6 *216:46 *240:6 0
+7 *225:8 *240:6 0
+*RES
+1 *940:Y *240:6 40.2579
+2 *240:6 *941:A 38
+*END
+
+*D_NET *241 0.000561906
+*CONN
+*I *943:A I *D sky130_fd_sc_hd__clkinv_8
+*I *942:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *943:A 0
+2 *942:Y 0.00025534
+3 *241:6 0.00025534
+4 *241:6 *242:6 5.12262e-05
+*RES
+1 *942:Y *241:6 43.075
+2 *241:6 *943:A 38
+*END
+
+*D_NET *242 0.000238931
+*CONN
+*I *855:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *943:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *855:A 0
+2 *943:Y 9.38524e-05
+3 *242:6 9.38524e-05
+4 *241:6 *242:6 5.12262e-05
+*RES
+1 *943:Y *242:6 40.2579
+2 *242:6 *855:A 38
+*END
+
+*D_NET *243 0.000154608
+*CONN
+*I *859:A I *D sky130_fd_sc_hd__einvp_2
+*I *857:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *859:A 6.24069e-05
+2 *857:X 6.24069e-05
+3 *859:A *857:A 5.14746e-06
+4 *859:A *858:Z 2.46468e-05
+*RES
+1 *857:X *859:A 47.1186
+*END
+
+*D_NET *244 0.00178523
+*CONN
+*I *862:A I *D sky130_fd_sc_hd__clkinv_1
+*I *859:Z O *D sky130_fd_sc_hd__einvp_2
+*I *861:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *862:A 0.000357422
+2 *859:Z 0.000172904
+3 *861:Z 0
+4 *244:5 0.000530326
+5 *859:Z *857:A 5.67058e-05
+6 *859:Z *858:Z 0
+7 *859:Z *246:29 3.7122e-06
+8 *859:Z *250:5 8.52481e-05
+9 *859:Z *250:18 7.93784e-05
+10 *862:A *882:A 0.000233211
+11 *862:A *245:8 6.17437e-06
+12 *862:A *267:8 2.50118e-05
+13 *741:B1 *862:A 7.68866e-05
+14 *5:700 *859:Z 6.39794e-05
+15 *5:700 *862:A 8.52094e-06
+16 *234:52 *862:A 8.57485e-05
+*RES
+1 *861:Z *244:5 38
+2 *244:5 *859:Z 42.5364
+3 *244:5 *862:A 43.9864
+*END
+
+*D_NET *245 0.000810403
+*CONN
+*I *858:A I *D sky130_fd_sc_hd__einvp_2
+*I *862:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *858:A 2.70172e-05
+2 *862:Y 0.000331139
+3 *245:8 0.000358157
+4 *858:A *858:Z 3.22879e-05
+5 *245:8 *858:Z 0
+6 *245:8 *877:A 0
+7 *741:B1 *245:8 5.56279e-05
+8 *862:A *245:8 6.17437e-06
+9 *5:888 *245:8 0
+*RES
+1 *862:Y *245:8 44.0486
+2 *245:8 *858:A 38.6214
+*END
+
+*D_NET *246 0.00799799
+*CONN
+*I *949:Z O *D sky130_fd_sc_hd__einvn_8
+*I *856:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *940:A I *D sky130_fd_sc_hd__clkinv_2
+*I *947:Z O *D sky130_fd_sc_hd__einvp_2
+*I *952:Z O *D sky130_fd_sc_hd__einvp_1
+*CAP
+1 *949:Z 0.000364201
+2 *856:A 0
+3 *940:A 0
+4 *947:Z 3.89239e-05
+5 *952:Z 0.000217008
+6 *246:29 0.000225611
+7 *246:22 0.00224582
+8 *246:17 0.00245631
+9 *246:7 0.000327833
+10 *947:Z *945:A 1.02387e-05
+11 *947:Z *947:A 1.83667e-05
+12 *246:7 *947:A 5.56356e-05
+13 *246:7 *952:A 5.37348e-06
+14 *246:7 *952:TE 1.59071e-05
+15 *246:17 *947:A 3.47776e-05
+16 *246:22 *859:TE 8.1796e-05
+17 *246:22 *945:A 0.000221997
+18 *246:22 *945:B 0.000136743
+19 *246:29 *859:TE 5.25848e-05
+20 *246:29 *250:5 2.07355e-05
+21 *246:29 *333:245 2.33476e-05
+22 *703:A1 *246:22 4.96303e-05
+23 *703:B1_N *246:22 0.000227401
+24 *706:A *246:22 4.19097e-05
+25 *709:A1 *246:22 4.67488e-05
+26 *709:B1 *246:22 0.000349813
+27 *716:A1 *246:22 0.000252807
+28 *716:A2 *246:22 9.77174e-05
+29 *859:Z *246:29 3.7122e-06
+30 *5:700 *246:29 4.39932e-05
+31 *27:19 *949:Z 6.53011e-05
+32 *153:5 *246:22 0.000142513
+33 *153:11 *246:22 2.4754e-05
+34 *156:8 *246:22 9.84794e-05
+*RES
+1 *952:Z *246:7 41.0036
+2 *246:7 *947:Z 38.8493
+3 *246:7 *246:17 16.2014
+4 *246:17 *246:22 34.1371
+5 *246:22 *246:29 34.3086
+6 *246:29 *940:A 23
+7 *246:22 *856:A 23
+8 *246:17 *949:Z 29.5043
+*END
+
+*D_NET *247 0.00188868
+*CONN
+*I *877:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *858:Z O *D sky130_fd_sc_hd__einvp_2
+*I *860:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *877:A 0.000370597
+2 *858:Z 0.000304084
+3 *860:Z 6.1814e-05
+4 *247:7 0.000736495
+5 *858:Z *857:A 3.65406e-05
+6 *858:Z *250:18 4.87408e-05
+7 *877:A *882:A 0.000133656
+8 *877:A *272:6 8.15327e-05
+9 *247:7 *860:A 5.82853e-05
+10 *858:A *858:Z 3.22879e-05
+11 *859:A *858:Z 2.46468e-05
+12 *859:Z *858:Z 0
+13 *5:700 *858:Z 0
+14 *234:52 *877:A 0
+15 *245:8 *858:Z 0
+16 *245:8 *877:A 0
+*RES
+1 *860:Z *247:7 39.0979
+2 *247:7 *858:Z 44.2143
+3 *247:7 *877:A 45.54
+*END
+
+*D_NET *248 0.00133822
+*CONN
+*I *860:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *858:TE I *D sky130_fd_sc_hd__einvp_2
+*I *741:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *860:TE_B 0.000211844
+2 *858:TE 0.000226979
+3 *741:X 0.000109212
+4 *248:6 0.000548035
+5 *5:876 *858:TE 0.000196035
+6 *5:876 *248:6 4.33506e-05
+7 *5:888 *248:6 2.76611e-06
+*RES
+1 *741:X *248:6 40.2579
+2 *248:6 *858:TE 42.8264
+3 *248:6 *860:TE_B 41.48
+*END
+
+*D_NET *249 0.00166728
+*CONN
+*I *861:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *859:TE I *D sky130_fd_sc_hd__einvp_2
+*I *771:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *861:TE_B 0
+2 *859:TE 0.000277204
+3 *771:X 0.000402018
+4 *249:8 0.000679222
+5 *859:TE *250:5 7.73389e-05
+6 *249:8 *878:A 3.7122e-06
+7 *249:8 *882:TE_B 5.26308e-05
+8 *5:700 *859:TE 0
+9 *5:714 *249:8 0
+10 *130:94 *249:8 0
+11 *132:14 *249:8 4.07774e-05
+12 *155:16 *859:TE 0
+13 *175:22 *249:8 0
+14 *246:22 *859:TE 8.1796e-05
+15 *246:29 *859:TE 5.25848e-05
+*RES
+1 *771:X *249:8 44.2971
+2 *249:8 *859:TE 44.2557
+3 *249:8 *861:TE_B 38
+*END
+
+*D_NET *250 0.00165326
+*CONN
+*I *861:A I *D sky130_fd_sc_hd__einvn_4
+*I *860:A I *D sky130_fd_sc_hd__einvn_8
+*I *857:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *856:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *861:A 0
+2 *860:A 5.38141e-05
+3 *857:A 0.000224662
+4 *856:X 0.000234042
+5 *250:18 0.000133864
+6 *250:5 0.000538754
+7 *858:Z *857:A 3.65406e-05
+8 *858:Z *250:18 4.87408e-05
+9 *859:A *857:A 5.14746e-06
+10 *859:TE *250:5 7.73389e-05
+11 *859:Z *857:A 5.67058e-05
+12 *859:Z *250:5 8.52481e-05
+13 *859:Z *250:18 7.93784e-05
+14 *246:29 *250:5 2.07355e-05
+15 *247:7 *860:A 5.82853e-05
+*RES
+1 *856:X *250:5 26.48
+2 *250:5 *857:A 26.7286
+3 *250:5 *250:18 32.2579
+4 *250:18 *860:A 24.0979
+5 *250:18 *861:A 23
+*END
+
+*D_NET *251 0.00306595
+*CONN
+*I *866:A I *D sky130_fd_sc_hd__einvp_2
+*I *864:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *866:A 0.000160065
+2 *864:X 0.000399355
+3 *251:18 0.000381593
+4 *251:8 0.000620883
+5 *866:A *866:Z 5.56279e-05
+6 *866:A *253:11 5.38167e-05
+7 *251:8 *864:A 0.000102551
+8 *251:8 *866:TE 0.000343324
+9 *251:8 *257:6 0.000171906
+10 *251:8 *335:10 0
+11 *251:18 *866:TE 7.2407e-05
+12 *794:B2 *251:8 0.000140958
+13 *803:B1 *251:8 0.000462809
+14 *5:371 *251:18 5.61255e-06
+15 *26:17 *251:8 0
+16 *26:17 *251:18 2.40212e-05
+17 *131:94 *251:18 1.24376e-05
+18 *237:117 *251:8 5.85863e-05
+*RES
+1 *864:X *251:8 49.2271
+2 *251:8 *251:18 48.045
+3 *251:18 *866:A 25.5271
+*END
+
+*D_NET *252 0.00314589
+*CONN
+*I *866:Z O *D sky130_fd_sc_hd__einvp_2
+*I *869:A I *D sky130_fd_sc_hd__clkinv_1
+*I *868:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *866:Z 3.5653e-05
+2 *869:A 0.000681161
+3 *868:Z 0.000197994
+4 *252:9 0.000840095
+5 *252:6 0.000321275
+6 *866:Z *253:11 2.64616e-05
+7 *866:Z *258:8 2.11157e-05
+8 *252:6 *870:A 0
+9 *252:6 *256:34 0.000167452
+10 *252:6 *258:8 0.000254891
+11 *252:6 *258:10 0.000169674
+12 *866:A *866:Z 5.56279e-05
+13 *5:351 *869:A 0.00011023
+14 *26:17 *866:Z 2.33476e-05
+15 *196:12 *869:A 1.34542e-05
+16 *212:23 *869:A 2.26406e-05
+17 *234:145 *869:A 0.000204821
+*RES
+1 *868:Z *252:6 43.6032
+2 *252:6 *252:9 8.22773
+3 *252:9 *869:A 47.5792
+4 *252:9 *866:Z 46.9425
+*END
+
+*D_NET *253 0.00222622
+*CONN
+*I *865:A I *D sky130_fd_sc_hd__einvp_2
+*I *869:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *865:A 0
+2 *869:Y 0.000872884
+3 *253:11 0.000872884
+4 *253:11 *299:17 0.000173886
+5 *794:A2 *253:11 7.27694e-06
+6 *866:A *253:11 5.38167e-05
+7 *866:Z *253:11 2.64616e-05
+8 *5:371 *253:11 0.000191505
+9 *131:94 *253:11 2.13292e-05
+10 *234:115 *253:11 6.17437e-06
+*RES
+1 *869:Y *253:11 35.7186
+2 *253:11 *865:A 23
+*END
+
+*D_NET *254 0.00111601
+*CONN
+*I *935:Z O *D sky130_fd_sc_hd__einvp_2
+*I *863:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *937:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *935:Z 0
+2 *863:A 0.00011484
+3 *937:Z 0.000184532
+4 *254:8 0.000299371
+5 *863:A *939:A 4.41821e-06
+6 *863:A *323:8 0.000120442
+7 *254:8 *939:A 2.02217e-05
+8 *254:8 *324:6 0.000140875
+9 *5:458 *254:8 0.000113398
+10 *21:15 *863:A 9.78061e-05
+11 *21:15 *254:8 1.39826e-05
+12 *25:10 *863:A 6.12496e-06
+*RES
+1 *937:Z *254:8 41.8321
+2 *254:8 *863:A 41.3143
+3 *254:8 *935:Z 38
+*END
+
+*D_NET *255 0.000608533
+*CONN
+*I *865:Z O *D sky130_fd_sc_hd__einvp_2
+*I *870:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *867:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *865:Z 0.000101964
+2 *870:A 0.000202303
+3 *867:Z 0
+4 *255:5 0.000304267
+5 *865:Z *256:34 0
+6 *252:6 *870:A 0
+*RES
+1 *867:Z *255:5 38
+2 *255:5 *870:A 41.5836
+3 *255:5 *865:Z 39.9057
+*END
+
+*D_NET *256 0.00738861
+*CONN
+*I *865:TE I *D sky130_fd_sc_hd__einvp_2
+*I *867:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *766:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *865:TE 0
+2 *867:TE_B 4.67181e-05
+3 *766:X 0.000686977
+4 *256:34 0.000473669
+5 *256:21 0.00138857
+6 *256:11 0.00155516
+7 *256:11 *278:12 0.000528625
+8 *256:11 *299:26 0.000971794
+9 *256:21 *263:18 2.77054e-05
+10 *256:21 *278:12 5.44993e-06
+11 *256:34 *867:A 2.64616e-05
+12 *766:A3 *256:11 3.22879e-05
+13 *792:C *256:21 1.05624e-05
+14 *806:B2 *256:21 3.62323e-05
+15 *865:Z *256:34 0
+16 *5:351 *256:21 6.51679e-05
+17 *16:5 *256:11 1.65913e-05
+18 *19:18 *256:21 6.65687e-05
+19 *131:81 *256:21 0.000339061
+20 *132:29 *256:11 3.52294e-05
+21 *177:47 *256:21 3.70094e-05
+22 *181:18 *256:21 0.000347998
+23 *196:12 *256:21 9.9347e-05
+24 *234:126 *256:11 5.20721e-05
+25 *234:126 *256:21 0.000211782
+26 *236:84 *256:11 7.47186e-05
+27 *236:84 *256:21 2.17681e-05
+28 *237:89 *256:21 5.22146e-05
+29 *237:117 *867:TE_B 1.14154e-05
+30 *252:6 *256:34 0.000167452
+*RES
+1 *766:X *256:11 49.8495
+2 *256:11 *256:21 31.2133
+3 *256:21 *867:TE_B 23.8493
+4 *256:21 *256:34 37.6436
+5 *256:34 *865:TE 23
+*END
+
+*D_NET *257 0.00144947
+*CONN
+*I *866:TE I *D sky130_fd_sc_hd__einvp_2
+*I *868:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *803:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *866:TE 0.000258856
+2 *868:TE_B 4.7102e-05
+3 *803:X 8.49341e-05
+4 *257:6 0.000390893
+5 *794:B2 *866:TE 2.60152e-05
+6 *5:371 *866:TE 5.40337e-05
+7 *26:17 *866:TE 0
+8 *26:17 *257:6 0
+9 *251:8 *866:TE 0.000343324
+10 *251:8 *257:6 0.000171906
+11 *251:18 *866:TE 7.2407e-05
+*RES
+1 *803:X *257:6 40.2579
+2 *257:6 *868:TE_B 38.6214
+3 *257:6 *866:TE 44.525
+*END
+
+*D_NET *258 0.00361672
+*CONN
+*I *867:A I *D sky130_fd_sc_hd__einvn_8
+*I *868:A I *D sky130_fd_sc_hd__einvn_4
+*I *864:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *863:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *867:A 4.24639e-05
+2 *868:A 0.000119361
+3 *864:A 0.000393835
+4 *863:X 0.000352768
+5 *258:10 0.000576246
+6 *258:8 0.000458282
+7 *864:A *946:A 0
+8 *864:A *265:6 0
+9 *864:A *331:7 0.000113938
+10 *258:8 *323:8 0.000143655
+11 *800:A2 *258:8 3.17634e-05
+12 *866:Z *258:8 2.11157e-05
+13 *26:17 *864:A 0.000310842
+14 *26:17 *258:8 0.000247766
+15 *26:17 *258:10 0.000185508
+16 *27:19 *864:A 6.00448e-05
+17 *131:7 *864:A 5.55743e-06
+18 *251:8 *864:A 0.000102551
+19 *252:6 *258:8 0.000254891
+20 *252:6 *258:10 0.000169674
+21 *256:34 *867:A 2.64616e-05
+*RES
+1 *863:X *258:8 45.6021
+2 *258:8 *258:10 2.61
+3 *258:10 *864:A 47.4043
+4 *258:10 *868:A 40.0507
+5 *258:8 *867:A 38.6214
+*END
+
+*D_NET *259 0.000136448
+*CONN
+*I *873:A I *D sky130_fd_sc_hd__einvp_2
+*I *871:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *873:A 6.82242e-05
+2 *871:X 6.82242e-05
+*RES
+1 *871:X *873:A 47.1186
+*END
+
+*D_NET *260 0.000604223
+*CONN
+*I *876:A I *D sky130_fd_sc_hd__clkinv_1
+*I *873:Z O *D sky130_fd_sc_hd__einvp_2
+*I *875:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *876:A 9.53488e-05
+2 *873:Z 0.000161778
+3 *875:Z 4.49845e-05
+4 *260:7 0.000302112
+5 *873:Z *871:A 0
+6 *873:Z *265:12 0
+7 *876:A *265:12 0
+8 *215:8 *873:Z 0
+*RES
+1 *875:Z *260:7 38.6214
+2 *260:7 *873:Z 40.9621
+3 *260:7 *876:A 39.5536
+*END
+
+*D_NET *261 0.000246867
+*CONN
+*I *872:A I *D sky130_fd_sc_hd__einvp_2
+*I *876:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *872:A 0
+2 *876:Y 8.98902e-05
+3 *261:6 8.98902e-05
+4 *261:6 *265:12 6.70862e-05
+*RES
+1 *876:Y *261:6 39.9057
+2 *261:6 *872:A 38
+*END
+
+*D_NET *262 0.00200879
+*CONN
+*I *946:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *950:A I *D sky130_fd_sc_hd__einvn_4
+*I *949:A I *D sky130_fd_sc_hd__einvn_8
+*I *872:Z O *D sky130_fd_sc_hd__einvp_2
+*I *874:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *946:A 0.000200805
+2 *950:A 0
+3 *949:A 0
+4 *872:Z 0.000156979
+5 *874:Z 0
+6 *262:22 0.000342703
+7 *262:15 0.000347309
+8 *262:5 0.00036239
+9 *872:Z *265:6 2.95125e-05
+10 *872:Z *265:12 8.51432e-05
+11 *946:A *948:A 6.17437e-06
+12 *946:A *265:6 8.45374e-05
+13 *946:A *330:8 6.25208e-05
+14 *262:15 *265:6 0.000195157
+15 *262:22 *265:6 0.000121627
+16 *418:DIODE *872:Z 1.39317e-05
+17 *864:A *946:A 0
+18 *27:19 *262:22 0
+*RES
+1 *874:Z *262:5 38
+2 *262:5 *872:Z 41.5836
+3 *262:5 *262:15 5.075
+4 *262:15 *949:A 38
+5 *262:15 *262:22 3.31429
+6 *262:22 *950:A 38
+7 *262:22 *946:A 41.7079
+*END
+
+*D_NET *263 0.0124274
+*CONN
+*I *872:TE I *D sky130_fd_sc_hd__einvp_2
+*I *874:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *768:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *872:TE 0
+2 *874:TE_B 0
+3 *768:X 0.000158766
+4 *263:33 0.000350046
+5 *263:21 0.000420619
+6 *263:18 0.00246983
+7 *263:10 0.00255803
+8 *263:18 *299:26 5.51091e-05
+9 *263:33 *333:162 2.22079e-05
+10 *263:33 *333:177 4.3407e-05
+11 *418:DIODE *263:33 5.25962e-05
+12 *756:B1 *263:10 7.53384e-06
+13 *768:B1 *263:10 0.000131601
+14 *794:B2 *263:18 0
+15 *796:A1 *263:18 0.000150977
+16 *843:RESET_B *263:21 9.58714e-05
+17 *5:351 *263:18 0.000476195
+18 *5:409 *263:18 0.000140483
+19 *5:487 *263:33 1.92253e-05
+20 *17:31 *263:18 0.000453326
+21 *19:18 *263:18 0.000347838
+22 *26:28 *263:18 4.66787e-05
+23 *130:45 *263:18 9.59978e-05
+24 *131:94 *263:18 0.000174039
+25 *142:62 *263:18 0.000778965
+26 *150:24 *263:18 0.000513974
+27 *178:39 *263:10 2.31116e-05
+28 *179:24 *263:10 2.63049e-05
+29 *179:32 *263:10 2.65157e-06
+30 *179:85 *263:10 2.11066e-05
+31 *184:30 *263:18 0
+32 *212:23 *263:18 0.000673419
+33 *234:8 *263:18 0.000796466
+34 *234:97 *263:18 0.000218922
+35 *234:108 *263:18 1.12487e-05
+36 *234:126 *263:18 4.11549e-06
+37 *234:145 *263:18 0.000106136
+38 *235:5 *263:21 5.3304e-05
+39 *235:40 *263:18 0.000669348
+40 *235:49 *263:18 0.000202768
+41 *237:117 *263:18 2.7448e-05
+42 *256:21 *263:18 2.77054e-05
+*RES
+1 *768:X *263:10 48.8482
+2 *263:10 *263:18 37.9275
+3 *263:18 *263:21 16.5743
+4 *263:21 *874:TE_B 23
+5 *263:21 *263:33 36.7114
+6 *263:33 *872:TE 23
+*END
+
+*D_NET *264 0.000945662
+*CONN
+*I *875:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *873:TE I *D sky130_fd_sc_hd__einvp_2
+*I *805:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *875:TE_B 0.000185113
+2 *873:TE 7.69291e-05
+3 *805:X 9.95038e-05
+4 *264:6 0.000361546
+5 *875:TE_B *333:144 0
+6 *215:8 *875:TE_B 0.00014424
+7 *215:8 *264:6 7.83309e-05
+*RES
+1 *805:X *264:6 40.2579
+2 *264:6 *873:TE 39.0979
+3 *264:6 *875:TE_B 42.0807
+*END
+
+*D_NET *265 0.00341155
+*CONN
+*I *874:A I *D sky130_fd_sc_hd__einvn_8
+*I *875:A I *D sky130_fd_sc_hd__einvn_4
+*I *871:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *870:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *874:A 0
+2 *875:A 0
+3 *871:A 0.000166711
+4 *870:X 0
+5 *265:12 0.00052752
+6 *265:6 0.000864484
+7 *265:5 0.000503676
+8 *864:A *265:6 0
+9 *872:Z *265:6 2.95125e-05
+10 *872:Z *265:12 8.51432e-05
+11 *873:Z *871:A 0
+12 *873:Z *265:12 0
+13 *876:A *265:12 0
+14 *946:A *265:6 8.45374e-05
+15 *27:11 *265:6 0.000524703
+16 *27:11 *265:12 0.000241394
+17 *261:6 *265:12 6.70862e-05
+18 *262:15 *265:6 0.000195157
+19 *262:22 *265:6 0.000121627
+*RES
+1 *870:X *265:5 38
+2 *265:5 *265:6 13.8786
+3 *265:6 *265:12 9.01071
+4 *265:12 *871:A 41.3143
+5 *265:12 *875:A 38
+6 *265:6 *874:A 38
+*END
+
+*D_NET *266 0.000608241
+*CONN
+*I *880:A I *D sky130_fd_sc_hd__einvp_2
+*I *878:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *880:A 0
+2 *878:X 0.000186315
+3 *266:9 0.000186315
+4 *266:9 *878:A 0.000235611
+*RES
+1 *878:X *266:9 27.1221
+2 *266:9 *880:A 23
+*END
+
+*D_NET *267 0.00206343
+*CONN
+*I *883:A I *D sky130_fd_sc_hd__clkinv_1
+*I *880:Z O *D sky130_fd_sc_hd__einvp_2
+*I *882:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *883:A 0.000252248
+2 *880:Z 5.15114e-05
+3 *882:Z 0.000173907
+4 *267:8 0.000477667
+5 *880:Z *878:A 9.84851e-05
+6 *883:A *908:TE 4.21594e-06
+7 *883:A *268:8 0
+8 *883:A *272:6 0.000132665
+9 *883:A *299:26 1.23662e-05
+10 *267:8 *882:A 0.000344462
+11 *267:8 *272:6 3.70187e-05
+12 *741:B1 *267:8 0.000137651
+13 *753:A1 *883:A 1.87502e-05
+14 *862:A *267:8 2.50118e-05
+15 *178:49 *883:A 0.000159018
+16 *234:52 *883:A 5.08899e-05
+17 *234:52 *267:8 8.75688e-05
+*RES
+1 *882:Z *267:8 43.2407
+2 *267:8 *880:Z 39.0979
+3 *267:8 *883:A 43.4271
+*END
+
+*D_NET *268 0.00094255
+*CONN
+*I *879:A I *D sky130_fd_sc_hd__einvp_2
+*I *883:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *879:A 0
+2 *883:Y 0.000335084
+3 *268:8 0.000335084
+4 *883:A *268:8 0
+5 *186:13 *268:8 0.000272383
+*RES
+1 *883:Y *268:8 42.9093
+2 *268:8 *879:A 38
+*END
+
+*D_NET *269 0.00143833
+*CONN
+*I *879:Z O *D sky130_fd_sc_hd__einvp_2
+*I *884:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *881:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *879:Z 0.00011482
+2 *884:A 0.000379597
+3 *881:Z 0.000224746
+4 *269:8 0.000719163
+5 *5:888 *879:Z 0
+6 *5:888 *269:8 0
+*RES
+1 *881:Z *269:8 41.7286
+2 *269:8 *884:A 43.3857
+3 *269:8 *879:Z 39.9057
+*END
+
+*D_NET *270 0.00096711
+*CONN
+*I *879:TE I *D sky130_fd_sc_hd__einvp_2
+*I *881:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *747:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *879:TE 5.20645e-05
+2 *881:TE_B 0
+3 *747:X 2.84143e-05
+4 *270:10 0.000121863
+5 *270:5 0.000202342
+6 *879:TE *890:A 4.2573e-05
+7 *879:TE *275:13 9.84851e-05
+8 *270:5 *890:A 9.67842e-05
+9 *270:5 *275:13 9.67842e-05
+10 *270:10 *297:15 8.93663e-05
+11 *5:888 *879:TE 4.6595e-05
+12 *5:888 *270:10 4.23714e-05
+13 *178:59 *879:TE 4.94667e-05
+*RES
+1 *747:X *270:5 24.0979
+2 *270:5 *270:10 32.61
+3 *270:10 *881:TE_B 23
+4 *270:5 *879:TE 25.0507
+*END
+
+*D_NET *271 0.00373372
+*CONN
+*I *880:TE I *D sky130_fd_sc_hd__einvp_2
+*I *882:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *778:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *880:TE 0
+2 *882:TE_B 0.000189007
+3 *778:X 0.000638665
+4 *271:12 0.000475767
+5 *271:11 0.000925425
+6 *741:B1 *882:TE_B 0.000141052
+7 *745:B *271:11 8.44298e-05
+8 *746:A *271:11 6.99589e-05
+9 *750:C *271:11 1.72202e-05
+10 *769:B1 *271:12 6.07852e-05
+11 *778:B1 *271:11 1.61335e-05
+12 *5:714 *882:TE_B 8.18724e-05
+13 *132:14 *882:TE_B 0
+14 *132:14 *271:12 0
+15 *132:30 *271:11 6.37845e-05
+16 *132:48 *271:11 4.5051e-05
+17 *150:8 *271:12 0
+18 *176:14 *271:11 0.000139352
+19 *178:43 *271:12 5.58473e-05
+20 *179:7 *271:11 4.5051e-05
+21 *179:57 *271:11 1.59094e-05
+22 *180:6 *271:12 0.00013185
+23 *180:21 *882:TE_B 2.26497e-05
+24 *180:21 *271:12 0.000282761
+25 *186:13 *271:11 0.000178517
+26 *234:52 *882:TE_B 0
+27 *249:8 *882:TE_B 5.26308e-05
+*RES
+1 *778:X *271:11 48.1914
+2 *271:11 *271:12 5.77929
+3 *271:12 *882:TE_B 42.5364
+4 *271:12 *880:TE 38
+*END
+
+*D_NET *272 0.00232838
+*CONN
+*I *878:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *881:A I *D sky130_fd_sc_hd__einvn_8
+*I *882:A I *D sky130_fd_sc_hd__einvn_4
+*I *877:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *878:A 0.000260621
+2 *881:A 0
+3 *882:A 0.000163594
+4 *877:X 5.34444e-05
+5 *272:17 0.000260621
+6 *272:6 0.000217038
+7 *741:B1 *882:A 7.27085e-05
+8 *862:A *882:A 0.000233211
+9 *877:A *882:A 0.000133656
+10 *877:A *272:6 8.15327e-05
+11 *880:Z *878:A 9.84851e-05
+12 *883:A *272:6 0.000132665
+13 *249:8 *878:A 3.7122e-06
+14 *266:9 *878:A 0.000235611
+15 *267:8 *882:A 0.000344462
+16 *267:8 *272:6 3.70187e-05
+*RES
+1 *877:X *272:6 40.2579
+2 *272:6 *882:A 44.1936
+3 *272:6 *272:17 15
+4 *272:17 *881:A 23
+5 *272:17 *878:A 28.3857
+*END
+
+*D_NET *273 0.00115341
+*CONN
+*I *887:A I *D sky130_fd_sc_hd__einvp_2
+*I *885:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *887:A 0
+2 *885:X 0.000276261
+3 *273:8 0.000276261
+4 *273:8 *274:8 0.000326053
+5 *273:8 *279:16 5.61311e-05
+6 *273:8 *297:15 0.00018282
+7 *5:891 *273:8 1.83667e-05
+8 *32:22 *273:8 1.75192e-05
+9 *32:27 *273:8 0
+*RES
+1 *885:X *273:8 44.4214
+2 *273:8 *887:A 38
+*END
+
+*D_NET *274 0.00218712
+*CONN
+*I *890:A I *D sky130_fd_sc_hd__clkinv_1
+*I *887:Z O *D sky130_fd_sc_hd__einvp_2
+*I *889:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *890:A 0.000161891
+2 *887:Z 0
+3 *889:Z 0.000212116
+4 *274:10 0.000161891
+5 *274:8 0.000212116
+6 *890:A *275:13 0.000244756
+7 *274:8 *889:TE_B 5.86535e-05
+8 *274:8 *891:A 3.70187e-05
+9 *274:8 *276:8 0.000219873
+10 *274:8 *279:16 0
+11 *741:A1 *274:8 5.50106e-05
+12 *747:A2 *890:A 6.31661e-05
+13 *879:TE *890:A 4.2573e-05
+14 *5:888 *890:A 0.000287465
+15 *5:891 *274:8 7.75329e-06
+16 *270:5 *890:A 9.67842e-05
+17 *273:8 *274:8 0.000326053
+*RES
+1 *889:Z *274:8 44.4007
+2 *274:8 *274:10 15
+3 *274:10 *887:Z 23
+4 *274:10 *890:A 28.5514
+*END
+
+*D_NET *275 0.00200436
+*CONN
+*I *886:A I *D sky130_fd_sc_hd__einvp_2
+*I *890:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *886:A 0
+2 *890:Y 0.000286059
+3 *275:13 0.000286059
+4 *275:13 *886:Z 0.000152982
+5 *361:DIODE *275:13 0.000243526
+6 *747:A2 *275:13 3.03356e-05
+7 *879:TE *275:13 9.84851e-05
+8 *890:A *275:13 0.000244756
+9 *178:59 *275:13 0.000565376
+10 *270:5 *275:13 9.67842e-05
+*RES
+1 *890:Y *275:13 34.7864
+2 *275:13 *886:A 23
+*END
+
+*D_NET *276 0.00213
+*CONN
+*I *891:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *886:Z O *D sky130_fd_sc_hd__einvp_2
+*I *888:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *891:A 0.000205572
+2 *886:Z 6.66217e-05
+3 *888:Z 0.000150737
+4 *276:8 0.000422931
+5 *891:A *889:TE_B 0
+6 *891:A *278:12 0
+7 *276:8 *889:TE_B 5.85911e-05
+8 *361:DIODE *891:A 0.000161121
+9 *747:A2 *886:Z 0.000269775
+10 *751:B1 *891:A 9.84794e-05
+11 *751:B2 *891:A 0.00010018
+12 *757:A2 *891:A 6.17437e-06
+13 *5:891 *891:A 0
+14 *5:904 *891:A 2.02872e-05
+15 *178:59 *891:A 0.000159657
+16 *274:8 *891:A 3.70187e-05
+17 *274:8 *276:8 0.000219873
+18 *275:13 *886:Z 0.000152982
+*RES
+1 *888:Z *276:8 41.5836
+2 *276:8 *886:Z 41.0036
+3 *276:8 *891:A 43.2407
+*END
+
+*D_NET *277 0.00118962
+*CONN
+*I *886:TE I *D sky130_fd_sc_hd__einvp_2
+*I *888:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *751:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *886:TE 0.000105033
+2 *888:TE_B 0.000167102
+3 *751:X 5.83632e-05
+4 *277:6 0.000330498
+5 *355:DIODE *888:TE_B 0.000215538
+6 *355:DIODE *277:6 0.000141888
+7 *361:DIODE *886:TE 2.93747e-05
+8 *5:911 *277:6 8.85189e-05
+9 *31:11 *888:TE_B 5.3304e-05
+*RES
+1 *751:X *277:6 39.9057
+2 *277:6 *888:TE_B 41.5836
+3 *277:6 *886:TE 39.5743
+*END
+
+*D_NET *278 0.00874354
+*CONN
+*I *887:TE I *D sky130_fd_sc_hd__einvp_2
+*I *889:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *786:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *887:TE 0
+2 *889:TE_B 0.000394232
+3 *786:X 1.03605e-05
+4 *278:12 0.00326376
+5 *278:8 0.00287989
+6 *889:TE_B *297:15 7.88828e-05
+7 *278:12 *299:26 0.000371514
+8 *278:12 *334:14 0.000131845
+9 *352:DIODE *889:TE_B 1.97329e-05
+10 *352:DIODE *278:12 1.36079e-05
+11 *741:A1 *889:TE_B 8.26478e-05
+12 *786:B1 *278:8 2.26497e-05
+13 *891:A *889:TE_B 0
+14 *891:A *278:12 0
+15 *16:23 *278:12 0.000143735
+16 *23:8 *889:TE_B 1.70831e-05
+17 *31:11 *889:TE_B 0
+18 *87:41 *278:8 2.26497e-05
+19 *131:81 *278:12 6.25159e-05
+20 *142:29 *278:12 0.000127629
+21 *178:59 *278:12 0.000110155
+22 *180:21 *278:12 7.35791e-05
+23 *236:84 *278:12 0.000117839
+24 *237:89 *278:12 0.000147908
+25 *256:11 *278:12 0.000528625
+26 *256:21 *278:12 5.44993e-06
+27 *274:8 *889:TE_B 5.86535e-05
+28 *276:8 *889:TE_B 5.85911e-05
+*RES
+1 *786:X *278:8 46.3211
+2 *278:8 *278:12 15.6844
+3 *278:12 *889:TE_B 46.5964
+4 *278:12 *887:TE 38
+*END
+
+*D_NET *279 0.00105166
+*CONN
+*I *885:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *889:A I *D sky130_fd_sc_hd__einvn_4
+*I *888:A I *D sky130_fd_sc_hd__einvn_8
+*I *884:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *885:A 6.95451e-05
+2 *889:A 0
+3 *888:A 6.80978e-05
+4 *884:X 4.55997e-05
+5 *279:16 0.000193716
+6 *279:5 0.000237869
+7 *741:A1 *885:A 0.000139352
+8 *32:27 *279:16 0.000241346
+9 *273:8 *279:16 5.61311e-05
+10 *274:8 *279:16 0
+*RES
+1 *884:X *279:5 23.6214
+2 *279:5 *888:A 24.0979
+3 *279:5 *279:16 33.3143
+4 *279:16 *889:A 23
+5 *279:16 *885:A 24.5743
+*END
+
+*D_NET *280 0.00102684
+*CONN
+*I *894:A I *D sky130_fd_sc_hd__einvp_2
+*I *892:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *894:A 0
+2 *892:X 0.000256502
+3 *280:8 0.000256502
+4 *280:8 *892:A 4.91172e-06
+5 *280:8 *894:Z 0.000324732
+6 *280:8 *896:A 9.97435e-06
+7 *280:8 *291:11 0.000166309
+8 *184:13 *280:8 7.91414e-06
+*RES
+1 *892:X *280:8 43.945
+2 *280:8 *894:A 38
+*END
+
+*D_NET *281 0.00137116
+*CONN
+*I *894:Z O *D sky130_fd_sc_hd__einvp_2
+*I *897:A I *D sky130_fd_sc_hd__clkinv_1
+*I *896:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *894:Z 0.000177018
+2 *897:A 0.000197038
+3 *896:Z 0
+4 *281:5 0.000374056
+5 *894:Z *896:TE_B 0
+6 *754:B1 *894:Z 0.000119266
+7 *754:B1 *897:A 3.13952e-05
+8 *5:1003 *897:A 0.000147656
+9 *280:8 *894:Z 0.000324732
+*RES
+1 *896:Z *281:5 38
+2 *281:5 *897:A 41.48
+3 *281:5 *894:Z 43.4271
+*END
+
+*D_NET *282 0.000152122
+*CONN
+*I *893:A I *D sky130_fd_sc_hd__einvp_2
+*I *897:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *893:A 4.72428e-05
+2 *897:Y 4.72428e-05
+3 *5:1003 *893:A 5.76359e-05
+*RES
+1 *897:Y *893:A 47.0979
+*END
+
+*D_NET *283 0.0026487
+*CONN
+*I *898:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *893:Z O *D sky130_fd_sc_hd__einvp_2
+*I *895:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *898:A 0.000305024
+2 *893:Z 0.00026109
+3 *895:Z 5.89126e-05
+4 *283:6 0.000625027
+5 *893:Z *284:5 0.000211973
+6 *893:Z *284:13 9.79925e-05
+7 *898:A *903:A 0.000140021
+8 *5:928 *283:6 3.81391e-05
+9 *5:1001 *898:A 2.22503e-05
+10 *5:1001 *283:6 7.04198e-06
+11 *5:1003 *893:Z 4.70479e-05
+12 *33:8 *898:A 0.000201189
+13 *33:8 *283:6 0.00014179
+14 *184:13 *898:A 0.000491204
+*RES
+1 *895:Z *283:6 39.9057
+2 *283:6 *893:Z 42.9093
+3 *283:6 *898:A 46.3479
+*END
+
+*D_NET *284 0.00170966
+*CONN
+*I *893:TE I *D sky130_fd_sc_hd__einvp_2
+*I *895:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *752:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *893:TE 0
+2 *895:TE_B 0
+3 *752:X 0.000107603
+4 *284:13 0.000433397
+5 *284:5 0.000541
+6 *284:13 *286:6 4.6318e-06
+7 *284:13 *286:15 9.90199e-05
+8 *752:B1 *284:5 5.3304e-05
+9 *893:Z *284:5 0.000211973
+10 *893:Z *284:13 9.79925e-05
+11 *5:1001 *284:5 5.94211e-05
+12 *5:1003 *284:5 4.98398e-05
+13 *5:1003 *284:13 5.14826e-05
+14 *31:11 *284:13 0
+*RES
+1 *752:X *284:5 26.0036
+2 *284:5 *284:13 38.4929
+3 *284:13 *895:TE_B 23
+4 *284:5 *893:TE 23
+*END
+
+*D_NET *285 0.00963628
+*CONN
+*I *894:TE I *D sky130_fd_sc_hd__einvp_2
+*I *896:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *789:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *894:TE 0
+2 *896:TE_B 0.000312852
+3 *789:X 0.000463493
+4 *285:18 0.0016171
+5 *285:13 0.00269659
+6 *285:8 0.00185583
+7 *896:TE_B *291:11 0
+8 *285:8 *316:6 0
+9 *285:8 *319:11 5.5792e-05
+10 *285:8 *321:16 0
+11 *285:13 *306:12 0.000800763
+12 *285:18 *291:11 0
+13 *754:B1 *896:TE_B 7.05232e-05
+14 *894:Z *896:TE_B 0
+15 *5:1008 *896:TE_B 6.67868e-05
+16 *19:8 *285:8 0
+17 *20:15 *285:8 0
+18 *32:11 *896:TE_B 0.000127196
+19 *34:10 *285:18 3.58626e-05
+20 *35:10 *285:18 0
+21 *35:18 *285:18 0.000207171
+22 *35:23 *285:13 0.000832341
+23 *35:23 *285:18 0.000387237
+24 *36:10 *285:18 0.000106737
+*RES
+1 *789:X *285:8 45.105
+2 *285:8 *285:13 48.8679
+3 *285:13 *285:18 34.5543
+4 *285:18 *896:TE_B 44.8771
+5 *285:18 *894:TE 38
+*END
+
+*D_NET *286 0.00123546
+*CONN
+*I *892:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *896:A I *D sky130_fd_sc_hd__einvn_4
+*I *895:A I *D sky130_fd_sc_hd__einvn_8
+*I *891:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *892:A 5.8656e-05
+2 *896:A 1.80979e-05
+3 *895:A 0
+4 *891:X 8.45101e-05
+5 *286:15 0.00034182
+6 *286:6 0.000349576
+7 *751:B2 *286:6 2.79004e-05
+8 *752:A2 *286:15 4.67055e-05
+9 *752:B1 *286:6 2.04774e-05
+10 *752:B1 *286:15 6.50004e-05
+11 *31:11 *286:6 0
+12 *184:13 *892:A 4.839e-05
+13 *184:13 *896:A 5.5792e-05
+14 *280:8 *892:A 4.91172e-06
+15 *280:8 *896:A 9.97435e-06
+16 *284:13 *286:6 4.6318e-06
+17 *284:13 *286:15 9.90199e-05
+*RES
+1 *891:X *286:6 39.9057
+2 *286:6 *895:A 38
+3 *286:6 *286:15 20.4271
+4 *286:15 *896:A 23.6214
+5 *286:15 *892:A 24.595
+*END
+
+*D_NET *287 0.000267826
+*CONN
+*I *901:A I *D sky130_fd_sc_hd__einvp_2
+*I *899:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *901:A 8.17677e-05
+2 *899:X 8.17677e-05
+3 *901:A *904:A 0.000104291
+*RES
+1 *899:X *901:A 47.595
+*END
+
+*D_NET *288 0.00139641
+*CONN
+*I *904:A I *D sky130_fd_sc_hd__clkinv_1
+*I *901:Z O *D sky130_fd_sc_hd__einvp_2
+*I *903:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *904:A 0.00015073
+2 *901:Z 0
+3 *903:Z 0.000221547
+4 *288:9 0.000186097
+5 *288:6 0.000256914
+6 *904:A *899:A 5.3304e-05
+7 *904:A *289:5 0.000160888
+8 *288:6 *899:A 0
+9 *288:6 *290:10 0
+10 *288:9 *289:5 2.18506e-05
+11 *901:A *904:A 0.000104291
+12 *5:949 *288:6 7.65679e-05
+13 *32:22 *288:6 0.000128566
+14 *37:10 *288:6 3.56537e-05
+*RES
+1 *903:Z *288:6 43.4271
+2 *288:6 *288:9 15.6214
+3 *288:9 *901:Z 23
+4 *288:9 *904:A 27.1429
+*END
+
+*D_NET *289 0.00121305
+*CONN
+*I *900:A I *D sky130_fd_sc_hd__einvp_2
+*I *904:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *900:A 0
+2 *904:Y 0.000174546
+3 *289:5 0.000174546
+4 *289:5 *899:A 5.3304e-05
+5 *289:5 *901:TE 5.67058e-05
+6 *904:A *289:5 0.000160888
+7 *36:10 *289:5 0.000571212
+8 *288:9 *289:5 2.18506e-05
+*RES
+1 *904:Y *289:5 29.3386
+2 *289:5 *900:A 23
+*END
+
+*D_NET *290 0.00211355
+*CONN
+*I *905:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *900:Z O *D sky130_fd_sc_hd__einvp_2
+*I *902:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *905:A 0
+2 *900:Z 0
+3 *902:Z 0
+4 *290:20 0.000304986
+5 *290:10 0.000293351
+6 *290:4 0.000598337
+7 *290:20 *902:A 4.98295e-05
+8 *290:20 *293:16 0.000113235
+9 *370:DIODE *290:20 3.09013e-05
+10 *5:949 *290:20 0.00033908
+11 *13:9 *290:20 1.7602e-05
+12 *32:22 *290:10 6.43401e-05
+13 *33:8 *290:10 0.000223718
+14 *37:10 *290:10 6.71876e-05
+15 *37:10 *290:20 1.09834e-05
+16 *288:6 *290:10 0
+*RES
+1 *902:Z *290:4 23
+2 *290:4 *290:10 36.4421
+3 *290:10 *900:Z 23
+4 *290:4 *290:20 36.2971
+5 *290:20 *905:A 23
+*END
+
+*D_NET *291 0.000793551
+*CONN
+*I *902:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *900:TE I *D sky130_fd_sc_hd__einvp_2
+*I *754:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *902:TE_B 0
+2 *900:TE 0
+3 *754:X 5.97603e-05
+4 *291:11 0.000166893
+5 *291:5 0.000226653
+6 *291:11 *901:TE 0
+7 *896:TE_B *291:11 0
+8 *32:22 *291:5 9.41704e-05
+9 *35:10 *291:11 5.50106e-05
+10 *36:10 *291:11 2.4754e-05
+11 *280:8 *291:11 0.000166309
+12 *285:18 *291:11 0
+*RES
+1 *754:X *291:5 24.0979
+2 *291:5 *291:11 33.7079
+3 *291:11 *900:TE 23
+4 *291:5 *902:TE_B 23
+*END
+
+*D_NET *292 0.00926278
+*CONN
+*I *901:TE I *D sky130_fd_sc_hd__einvp_2
+*I *903:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *793:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *901:TE 0.000311418
+2 *903:TE_B 2.40166e-05
+3 *793:X 0.000211978
+4 *292:13 0.00260674
+5 *292:8 0.00248328
+6 *292:8 *921:TE 5.74126e-05
+7 *292:8 *325:7 0.000113388
+8 *780:A *292:8 1.05441e-05
+9 *33:8 *901:TE 0.000392442
+10 *33:8 *903:TE_B 5.03902e-05
+11 *36:10 *901:TE 6.68919e-05
+12 *183:8 *292:8 8.15379e-06
+13 *184:30 *292:13 0.00180642
+14 *187:47 *292:8 3.39192e-05
+15 *187:63 *292:8 3.46356e-05
+16 *187:63 *292:13 4.93883e-05
+17 *200:32 *292:13 0.000503687
+18 *212:23 *292:8 0.000441371
+19 *289:5 *901:TE 5.67058e-05
+20 *291:11 *901:TE 0
+*RES
+1 *793:X *292:8 43.9346
+2 *292:8 *292:13 22.1525
+3 *292:13 *903:TE_B 38.6732
+4 *292:13 *901:TE 45.3018
+*END
+
+*D_NET *293 0.0017806
+*CONN
+*I *899:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *902:A I *D sky130_fd_sc_hd__einvn_8
+*I *903:A I *D sky130_fd_sc_hd__einvn_4
+*I *898:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *899:A 0.000142088
+2 *902:A 6.35084e-05
+3 *903:A 0.000129709
+4 *898:X 4.49478e-05
+5 *293:16 0.000285359
+6 *293:5 0.000254419
+7 *898:A *903:A 0.000140021
+8 *904:A *899:A 5.3304e-05
+9 *5:949 *899:A 1.07299e-05
+10 *5:949 *902:A 9.79925e-05
+11 *5:949 *293:16 2.46399e-05
+12 *13:9 *899:A 0.000185551
+13 *13:9 *293:16 0.000104639
+14 *37:10 *899:A 0
+15 *37:10 *902:A 2.33679e-05
+16 *184:13 *293:5 3.95707e-06
+17 *288:6 *899:A 0
+18 *289:5 *899:A 5.3304e-05
+19 *290:20 *902:A 4.98295e-05
+20 *290:20 *293:16 0.000113235
+*RES
+1 *898:X *293:5 23.6214
+2 *293:5 *903:A 25.7757
+3 *293:5 *293:16 17.2579
+4 *293:16 *902:A 39.5743
+5 *293:16 *899:A 41.2314
+*END
+
+*D_NET *294 0.00188955
+*CONN
+*I *908:A I *D sky130_fd_sc_hd__einvp_2
+*I *906:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *908:A 9.93278e-05
+2 *906:X 0.000409911
+3 *294:8 0.000509239
+4 *908:A *295:17 0.000144494
+5 *294:8 *906:A 0.00011807
+6 *294:8 *908:TE 3.71198e-05
+7 *294:8 *295:17 0.00031425
+8 *765:B *294:8 5.21141e-05
+9 *768:B2 *294:8 0.000161121
+10 *5:969 *908:A 4.38995e-05
+*RES
+1 *906:X *294:8 46.2029
+2 *294:8 *908:A 40.5271
+*END
+
+*D_NET *295 0.00185519
+*CONN
+*I *908:Z O *D sky130_fd_sc_hd__einvp_2
+*I *911:A I *D sky130_fd_sc_hd__clkinv_1
+*I *910:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *908:Z 0
+2 *911:A 3.00399e-05
+3 *910:Z 0
+4 *295:17 0.00026783
+5 *295:4 0.00029787
+6 *911:A *906:A 7.40718e-05
+7 *911:A *300:11 5.73309e-05
+8 *295:17 *906:A 7.41133e-05
+9 *295:17 *908:TE 0
+10 *295:17 *300:6 0
+11 *295:17 *300:11 0
+12 *908:A *295:17 0.000144494
+13 *5:969 *295:17 0.000143373
+14 *14:14 *911:A 0.00019063
+15 *14:14 *295:17 0.000261185
+16 *294:8 *295:17 0.00031425
+*RES
+1 *910:Z *295:4 23
+2 *295:4 *911:A 25.0507
+3 *295:4 *295:17 37.8714
+4 *295:17 *908:Z 23
+*END
+
+*D_NET *296 0.000495947
+*CONN
+*I *907:A I *D sky130_fd_sc_hd__einvp_2
+*I *911:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *907:A 0
+2 *911:Y 0.00010189
+3 *296:8 0.00010189
+4 *296:8 *297:8 1.14452e-05
+5 *296:8 *297:15 0.000140949
+6 *296:8 *300:11 4.23817e-05
+7 *14:14 *296:8 5.67058e-05
+8 *186:13 *296:8 4.06849e-05
+*RES
+1 *911:Y *296:8 41.0036
+2 *296:8 *907:A 38
+*END
+
+*D_NET *297 0.00506039
+*CONN
+*I *912:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *907:Z O *D sky130_fd_sc_hd__einvp_2
+*I *942:A I *D sky130_fd_sc_hd__clkinv_2
+*I *909:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *912:A 0
+2 *907:Z 0
+3 *942:A 0
+4 *909:Z 0
+5 *297:30 0.000116774
+6 *297:15 0.000857905
+7 *297:8 0.00133969
+8 *297:4 0.000598556
+9 *297:8 *909:A 0.000168504
+10 *297:8 *909:TE_B 7.88926e-05
+11 *297:8 *298:6 1.22803e-05
+12 *297:8 *300:6 0
+13 *297:8 *300:11 0
+14 *297:15 *298:6 6.93449e-05
+15 *297:15 *300:11 0
+16 *297:30 *908:TE 0.000167127
+17 *741:A1 *297:15 0.000408161
+18 *765:B *297:30 7.6774e-06
+19 *889:TE_B *297:15 7.88828e-05
+20 *5:888 *297:15 0
+21 *14:7 *297:30 0.000194767
+22 *14:14 *297:8 0.000261956
+23 *32:22 *297:8 1.94522e-05
+24 *32:22 *297:15 8.43762e-05
+25 *32:27 *297:15 0.000129053
+26 *179:32 *297:8 7.43025e-06
+27 *180:31 *297:15 3.49867e-05
+28 *270:10 *297:15 8.93663e-05
+29 *273:8 *297:15 0.00018282
+30 *296:8 *297:8 1.14452e-05
+31 *296:8 *297:15 0.000140949
+*RES
+1 *909:Z *297:4 23
+2 *297:4 *297:8 24.4457
+3 *297:8 *297:15 34.4507
+4 *297:15 *942:A 23
+5 *297:8 *907:Z 38
+6 *297:4 *297:30 33.2314
+7 *297:30 *912:A 23
+*END
+
+*D_NET *298 0.00101503
+*CONN
+*I *909:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *907:TE I *D sky130_fd_sc_hd__einvp_2
+*I *757:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *909:TE_B 8.86067e-05
+2 *907:TE 9.40837e-05
+3 *757:X 6.96823e-05
+4 *298:8 0.00018269
+5 *298:6 6.96823e-05
+6 *32:22 *298:6 0.000166513
+7 *179:32 *907:TE 6.99492e-05
+8 *179:32 *909:TE_B 0.000113302
+9 *297:8 *909:TE_B 7.88926e-05
+10 *297:8 *298:6 1.22803e-05
+11 *297:15 *298:6 6.93449e-05
+*RES
+1 *757:X *298:6 40.2579
+2 *298:6 *298:8 15
+3 *298:8 *907:TE 24.5743
+4 *298:8 *909:TE_B 25.5271
+*END
+
+*D_NET *299 0.00849091
+*CONN
+*I *908:TE I *D sky130_fd_sc_hd__einvp_2
+*I *910:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *794:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *908:TE 0.000319764
+2 *910:TE_B 0
+3 *794:X 0.000833529
+4 *299:26 0.00167732
+5 *299:17 0.00219108
+6 *375:DIODE *908:TE 2.93845e-05
+7 *380:DIODE *299:17 9.5877e-05
+8 *743:A *299:17 5.3304e-05
+9 *753:A1 *908:TE 0
+10 *765:B *908:TE 2.94661e-05
+11 *768:B2 *908:TE 7.56417e-05
+12 *791:B *299:17 0.000247495
+13 *883:A *908:TE 4.21594e-06
+14 *883:A *299:26 1.23662e-05
+15 *5:969 *908:TE 6.17437e-06
+16 *14:7 *908:TE 1.12487e-05
+17 *17:31 *299:26 4.22668e-05
+18 *83:25 *299:17 4.5873e-05
+19 *85:10 *299:26 4.82567e-05
+20 *150:24 *299:26 0.000129812
+21 *150:41 *299:26 9.23705e-06
+22 *150:54 *299:17 5.3304e-05
+23 *187:33 *299:26 7.16228e-05
+24 *197:8 *299:17 0.000139352
+25 *197:26 *299:17 3.95196e-05
+26 *213:8 *299:17 5.67058e-05
+27 *234:115 *299:17 8.21463e-05
+28 *234:126 *299:26 0.000409402
+29 *253:11 *299:17 0.000173886
+30 *256:11 *299:26 0.000971794
+31 *263:18 *299:26 5.51091e-05
+32 *278:12 *299:26 0.000371514
+33 *294:8 *908:TE 3.71198e-05
+34 *295:17 *908:TE 0
+35 *297:30 *908:TE 0.000167127
+*RES
+1 *794:X *299:17 37.3705
+2 *299:17 *299:26 36.7044
+3 *299:26 *910:TE_B 38
+4 *299:26 *908:TE 44.525
+*END
+
+*D_NET *300 0.00292369
+*CONN
+*I *909:A I *D sky130_fd_sc_hd__einvn_8
+*I *910:A I *D sky130_fd_sc_hd__einvn_4
+*I *906:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *905:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *909:A 0.000126498
+2 *910:A 0
+3 *906:A 0.000220296
+4 *905:X 0.000134429
+5 *300:11 0.000388335
+6 *300:6 0.000428965
+7 *911:A *906:A 7.40718e-05
+8 *911:A *300:11 5.73309e-05
+9 *14:14 *909:A 9.41704e-05
+10 *14:14 *300:6 0
+11 *14:14 *300:11 2.18506e-05
+12 *32:22 *300:6 0
+13 *179:32 *909:A 0.000215253
+14 *186:13 *906:A 0.000709935
+15 *186:13 *300:11 4.9486e-05
+16 *294:8 *906:A 0.00011807
+17 *295:17 *906:A 7.41133e-05
+18 *295:17 *300:6 0
+19 *295:17 *300:11 0
+20 *296:8 *300:11 4.23817e-05
+21 *297:8 *909:A 0.000168504
+22 *297:8 *300:6 0
+23 *297:8 *300:11 0
+24 *297:15 *300:11 0
+*RES
+1 *905:X *300:6 40.2579
+2 *300:6 *300:11 18.7079
+3 *300:11 *906:A 31.7621
+4 *300:11 *910:A 23
+5 *300:6 *909:A 42.4329
+*END
+
+*D_NET *301 0.000849252
+*CONN
+*I *915:A I *D sky130_fd_sc_hd__einvp_2
+*I *913:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *915:A 0
+2 *913:X 9.6621e-05
+3 *301:7 9.6621e-05
+4 *301:7 *303:8 2.45549e-06
+5 *301:7 *307:30 2.18506e-05
+6 *366:DIODE *301:7 0.000272377
+7 *36:22 *301:7 0.000359326
+*RES
+1 *913:X *301:7 27.4536
+2 *301:7 *915:A 23
+*END
+
+*D_NET *302 0.00140085
+*CONN
+*I *915:Z O *D sky130_fd_sc_hd__einvp_2
+*I *918:A I *D sky130_fd_sc_hd__clkinv_1
+*I *917:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *915:Z 0
+2 *918:A 9.32534e-05
+3 *917:Z 0.00026157
+4 *302:8 9.32534e-05
+5 *302:6 0.00026157
+6 *918:A *303:8 0.000267167
+7 *302:6 *303:8 0.000340069
+8 *302:6 *307:12 2.75733e-06
+9 *302:6 *307:30 1.12487e-05
+10 *14:7 *302:6 0
+11 *36:22 *918:A 6.9961e-05
+*RES
+1 *917:Z *302:6 43.4271
+2 *302:6 *302:8 15
+3 *302:8 *918:A 26.0036
+4 *302:8 *915:Z 23
+*END
+
+*D_NET *303 0.00193564
+*CONN
+*I *914:A I *D sky130_fd_sc_hd__einvp_2
+*I *918:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *914:A 6.66598e-05
+2 *918:Y 0.000473011
+3 *303:8 0.000539671
+4 *914:A *307:24 5.58003e-05
+5 *303:8 *914:Z 0
+6 *303:8 *307:30 0.000135241
+7 *918:A *303:8 0.000267167
+8 *36:22 *303:8 7.1851e-06
+9 *190:7 *914:A 4.83798e-05
+10 *301:7 *303:8 2.45549e-06
+11 *302:6 *303:8 0.000340069
+*RES
+1 *918:Y *303:8 46.6793
+2 *303:8 *914:A 39.5743
+*END
+
+*D_NET *304 0.000934856
+*CONN
+*I *919:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *914:Z O *D sky130_fd_sc_hd__einvp_2
+*I *916:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *919:A 0.000108174
+2 *914:Z 8.78265e-05
+3 *916:Z 1.51572e-05
+4 *304:7 0.000211158
+5 *914:Z *307:24 0.000136469
+6 *919:A *307:24 0.000215144
+7 *919:A *307:30 4.93425e-05
+8 *304:7 *305:22 5.5792e-05
+9 *183:20 *304:7 5.5792e-05
+10 *303:8 *914:Z 0
+*RES
+1 *916:Z *304:7 38.6214
+2 *304:7 *914:Z 39.9057
+3 *304:7 *919:A 40.9621
+*END
+
+*D_NET *305 0.00272089
+*CONN
+*I *914:TE I *D sky130_fd_sc_hd__einvp_2
+*I *916:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *758:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *914:TE 0
+2 *916:TE_B 0
+3 *758:X 0.000205274
+4 *305:22 0.000408054
+5 *305:7 0.000613328
+6 *758:B2 *305:7 2.93431e-05
+7 *5:949 *305:7 3.9669e-05
+8 *15:10 *305:22 0.000189563
+9 *34:21 *305:7 1.53875e-05
+10 *37:10 *305:7 7.53303e-06
+11 *175:29 *305:7 2.57706e-05
+12 *175:29 *305:22 0.000627484
+13 *179:95 *305:22 6.69637e-06
+14 *183:20 *305:7 9.99448e-05
+15 *183:20 *305:22 0.00039705
+16 *304:7 *305:22 5.5792e-05
+*RES
+1 *758:X *305:7 27.3293
+2 *305:7 *916:TE_B 23
+3 *305:7 *305:22 42.9257
+4 *305:22 *914:TE 23
+*END
+
+*D_NET *306 0.00454403
+*CONN
+*I *915:TE I *D sky130_fd_sc_hd__einvp_2
+*I *917:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *795:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *915:TE 0
+2 *917:TE_B 0.000324178
+3 *795:X 0.000104646
+4 *306:12 0.00142228
+5 *306:6 0.00120275
+6 *765:B *917:TE_B 3.50862e-06
+7 *14:7 *917:TE_B 0.000444216
+8 *14:7 *306:12 0.000138708
+9 *16:5 *306:6 0
+10 *17:9 *306:6 0
+11 *183:20 *917:TE_B 0
+12 *190:7 *917:TE_B 0.000102971
+13 *285:13 *306:12 0.000800763
+*RES
+1 *795:X *306:6 39.5536
+2 *306:6 *306:12 47.7729
+3 *306:12 *917:TE_B 45.2293
+4 *306:12 *915:TE 38
+*END
+
+*D_NET *307 0.00365284
+*CONN
+*I *913:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *916:A I *D sky130_fd_sc_hd__einvn_8
+*I *917:A I *D sky130_fd_sc_hd__einvn_4
+*I *912:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *913:A 5.43022e-05
+2 *916:A 0
+3 *917:A 0
+4 *912:X 0.000361845
+5 *307:30 0.000198798
+6 *307:24 0.000495114
+7 *307:14 0.000242014
+8 *307:12 0.000361845
+9 *913:A *314:8 1.41129e-05
+10 *307:30 *314:8 6.17437e-06
+11 *366:DIODE *913:A 0.000226306
+12 *366:DIODE *307:30 0.000141954
+13 *753:A1 *307:12 5.58003e-05
+14 *914:A *307:24 5.58003e-05
+15 *914:Z *307:24 0.000136469
+16 *919:A *307:24 0.000215144
+17 *919:A *307:30 4.93425e-05
+18 *14:7 *307:30 0
+19 *15:10 *307:24 7.75329e-06
+20 *180:49 *913:A 0.000182832
+21 *180:49 *307:12 0.000108018
+22 *180:49 *307:24 0.00039032
+23 *184:8 *307:12 3.26241e-05
+24 *184:30 *307:12 0
+25 *190:7 *307:24 0.000145171
+26 *301:7 *307:30 2.18506e-05
+27 *302:6 *307:12 2.75733e-06
+28 *302:6 *307:30 1.12487e-05
+29 *303:8 *307:30 0.000135241
+*RES
+1 *912:X *307:12 44.1314
+2 *307:12 *307:14 15
+3 *307:14 *917:A 23
+4 *307:14 *307:24 37.3536
+5 *307:24 *307:30 34.6607
+6 *307:30 *916:A 23
+7 *307:24 *913:A 25.5271
+*END
+
+*D_NET *308 0.00124011
+*CONN
+*I *922:A I *D sky130_fd_sc_hd__einvp_2
+*I *920:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *922:A 0
+2 *920:X 0.000416402
+3 *308:7 0.000416402
+4 *788:A *308:7 0.000220472
+5 *795:A2 *308:7 1.02535e-05
+6 *5:844 *308:7 0.000143163
+7 *180:55 *308:7 3.34129e-05
+*RES
+1 *920:X *308:7 28.8829
+2 *308:7 *922:A 23
+*END
+
+*D_NET *309 0.0026058
+*CONN
+*I *925:A I *D sky130_fd_sc_hd__clkinv_1
+*I *922:Z O *D sky130_fd_sc_hd__einvp_2
+*I *924:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *925:A 0
+2 *922:Z 0
+3 *924:Z 0
+4 *309:22 0.000401637
+5 *309:12 0.000332183
+6 *309:4 0.00073382
+7 *309:12 *922:TE 0.000116314
+8 *309:12 *314:11 9.77922e-06
+9 *309:22 *923:A 0.000288892
+10 *309:22 *310:6 0.000110843
+11 *309:22 *314:11 4.98398e-05
+12 *5:844 *309:12 3.56537e-05
+13 *18:5 *309:22 0.000110843
+14 *187:63 *309:12 0.000319331
+15 *207:9 *309:22 9.66585e-05
+*RES
+1 *924:Z *309:4 23
+2 *309:4 *309:12 36.0071
+3 *309:12 *922:Z 23
+4 *309:4 *309:22 38.3686
+5 *309:22 *925:A 23
+*END
+
+*D_NET *310 0.00100302
+*CONN
+*I *921:A I *D sky130_fd_sc_hd__einvp_2
+*I *925:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *921:A 0
+2 *925:Y 0.000177673
+3 *310:6 0.000177673
+4 *310:6 *311:12 0.000162254
+5 *788:C *310:6 0.000342713
+6 *18:5 *310:6 3.18672e-05
+7 *309:22 *310:6 0.000110843
+*RES
+1 *925:Y *310:6 42.7229
+2 *310:6 *921:A 38
+*END
+
+*D_NET *311 0.00207639
+*CONN
+*I *926:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *921:Z O *D sky130_fd_sc_hd__einvp_2
+*I *923:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *926:A 0
+2 *921:Z 0
+3 *923:Z 0
+4 *311:21 0.000189606
+5 *311:12 0.00023585
+6 *311:4 0.000425456
+7 *311:12 *923:A 5.3304e-05
+8 *311:12 *319:11 0.000349509
+9 *311:21 *932:A 9.84794e-05
+10 *311:21 *317:9 7.59794e-05
+11 *389:DIODE *311:21 3.62323e-05
+12 *788:C *311:12 1.39826e-05
+13 *788:C *311:21 0
+14 *5:393 *311:12 5.55743e-06
+15 *5:397 *311:12 4.98398e-05
+16 *18:5 *311:12 0.000192032
+17 *18:5 *311:21 0
+18 *19:8 *311:21 4.94267e-05
+19 *19:18 *311:12 2.83509e-05
+20 *207:9 *311:12 2.46803e-05
+21 *207:12 *311:21 8.58465e-05
+22 *310:6 *311:12 0.000162254
+*RES
+1 *923:Z *311:4 23
+2 *311:4 *311:12 37.0636
+3 *311:12 *921:Z 23
+4 *311:4 *311:21 34.5364
+5 *311:21 *926:A 23
+*END
+
+*D_NET *312 0.00379828
+*CONN
+*I *923:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *921:TE I *D sky130_fd_sc_hd__einvp_2
+*I *761:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *923:TE_B 0
+2 *921:TE 0.00021484
+3 *761:X 0.000581117
+4 *312:16 0.000409248
+5 *312:13 0.000775525
+6 *921:TE *325:7 0.000182222
+7 *366:DIODE *312:13 0.000141052
+8 *788:B *312:13 0.000183733
+9 *795:A2 *312:13 0.000295402
+10 *798:B1 *921:TE 2.20776e-05
+11 *5:844 *312:13 5.99563e-06
+12 *18:5 *312:16 0
+13 *24:12 *921:TE 4.77164e-06
+14 *24:12 *312:16 9.27244e-05
+15 *36:22 *312:13 9.84794e-05
+16 *180:49 *312:13 8.48502e-06
+17 *180:55 *312:13 0.00049021
+18 *183:8 *921:TE 0.000155892
+19 *187:63 *921:TE 4.51595e-05
+20 *187:63 *312:16 5.58152e-06
+21 *207:6 *312:16 0
+22 *212:23 *921:TE 2.83509e-05
+23 *292:8 *921:TE 5.74126e-05
+*RES
+1 *761:X *312:13 36.34
+2 *312:13 *312:16 18.3143
+3 *312:16 *921:TE 43.0129
+4 *312:16 *923:TE_B 38
+*END
+
+*D_NET *313 0.00167501
+*CONN
+*I *922:TE I *D sky130_fd_sc_hd__einvp_2
+*I *924:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *798:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *922:TE 0.000161887
+2 *924:TE_B 1.51302e-05
+3 *798:X 0.000230316
+4 *313:8 0.000407333
+5 *922:TE *314:8 0.000201446
+6 *924:TE_B *314:11 5.58003e-05
+7 *313:8 *314:8 3.67392e-05
+8 *313:8 *325:7 0.000147656
+9 *5:844 *922:TE 0
+10 *5:844 *313:8 0
+11 *16:5 *922:TE 1.69668e-05
+12 *16:5 *313:8 2.41538e-05
+13 *180:55 *922:TE 0.000182826
+14 *180:62 *922:TE 0
+15 *187:63 *924:TE_B 5.58003e-05
+16 *200:32 *313:8 2.26406e-05
+17 *309:12 *922:TE 0.000116314
+*RES
+1 *798:X *313:8 41.8321
+2 *313:8 *924:TE_B 38.6214
+3 *313:8 *922:TE 42.6607
+*END
+
+*D_NET *314 0.00545216
+*CONN
+*I *923:A I *D sky130_fd_sc_hd__einvn_8
+*I *924:A I *D sky130_fd_sc_hd__einvn_4
+*I *920:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *919:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *923:A 0.000213085
+2 *924:A 0
+3 *920:A 0
+4 *919:X 0.000642598
+5 *314:19 0.000154293
+6 *314:13 0.000177883
+7 *314:11 0.000392844
+8 *314:8 0.000798766
+9 *923:A *319:11 0.000225064
+10 *788:A *314:19 0.000180224
+11 *788:B *314:19 1.14452e-05
+12 *795:A2 *314:19 0.000180224
+13 *798:B1 *314:19 1.05441e-05
+14 *913:A *314:8 1.41129e-05
+15 *922:TE *314:8 0.000201446
+16 *924:TE_B *314:11 5.58003e-05
+17 *16:5 *314:8 7.97061e-05
+18 *24:12 *314:19 0.000173733
+19 *180:49 *314:8 0.000438468
+20 *180:55 *314:8 4.21471e-05
+21 *183:11 *923:A 8.30586e-05
+22 *183:11 *314:11 0.000137533
+23 *183:20 *314:11 0.000404886
+24 *187:63 *314:11 0.000189481
+25 *200:8 *314:19 0.000186518
+26 *207:9 *923:A 1.35714e-05
+27 *307:30 *314:8 6.17437e-06
+28 *309:12 *314:11 9.77922e-06
+29 *309:22 *923:A 0.000288892
+30 *309:22 *314:11 4.98398e-05
+31 *311:12 *923:A 5.3304e-05
+32 *313:8 *314:8 3.67392e-05
+*RES
+1 *919:X *314:8 48.5021
+2 *314:8 *314:11 20.8621
+3 *314:11 *314:13 0.497143
+4 *314:13 *314:19 34.6607
+5 *314:19 *920:A 23
+6 *314:13 *924:A 23
+7 *314:11 *923:A 29.8357
+*END
+
+*D_NET *315 0.00024695
+*CONN
+*I *929:A I *D sky130_fd_sc_hd__einvp_2
+*I *927:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *929:A 8.34443e-05
+2 *927:X 8.34443e-05
+3 *929:A *932:A 8.00616e-05
+*RES
+1 *927:X *929:A 47.595
+*END
+
+*D_NET *316 0.00164049
+*CONN
+*I *932:A I *D sky130_fd_sc_hd__clkinv_1
+*I *929:Z O *D sky130_fd_sc_hd__einvp_2
+*I *931:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *932:A 0.000229175
+2 *929:Z 0
+3 *931:Z 0.000283856
+4 *316:8 0.000229175
+5 *316:6 0.000283856
+6 *932:A *317:9 2.80512e-05
+7 *932:A *321:5 5.67058e-05
+8 *932:A *321:16 0.000187079
+9 *316:6 *931:A 1.33874e-05
+10 *316:6 *321:16 4.36617e-05
+11 *793:A2 *316:6 8.0612e-06
+12 *929:A *932:A 8.00616e-05
+13 *5:393 *316:6 9.89453e-05
+14 *285:8 *316:6 0
+15 *311:21 *932:A 9.84794e-05
+*RES
+1 *931:Z *316:6 43.4271
+2 *316:6 *316:8 15
+3 *316:8 *929:Z 23
+4 *316:8 *932:A 29.0486
+*END
+
+*D_NET *317 0.00207032
+*CONN
+*I *928:A I *D sky130_fd_sc_hd__einvp_2
+*I *932:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *928:A 0
+2 *932:Y 0.000321299
+3 *317:9 0.000321299
+4 *317:9 *321:5 2.4754e-05
+5 *317:9 *321:16 0.000356724
+6 *932:A *317:9 2.80512e-05
+7 *22:8 *317:9 0.000942209
+8 *311:21 *317:9 7.59794e-05
+*RES
+1 *932:Y *317:9 34.745
+2 *317:9 *928:A 23
+*END
+
+*D_NET *318 0.000840465
+*CONN
+*I *933:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *928:Z O *D sky130_fd_sc_hd__einvp_2
+*I *930:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *933:A 0.000112
+2 *928:Z 0
+3 *930:Z 0
+4 *318:10 0.000279869
+5 *318:4 0.000391869
+6 *933:A *327:7 1.21972e-05
+7 *318:10 *322:6 2.86209e-05
+8 *318:10 *327:7 1.59094e-05
+*RES
+1 *930:Z *318:4 23
+2 *318:4 *318:10 34.4329
+3 *318:10 *928:Z 23
+4 *318:4 *933:A 24.5743
+*END
+
+*D_NET *319 0.00640377
+*CONN
+*I *928:TE I *D sky130_fd_sc_hd__einvp_2
+*I *930:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *762:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *928:TE 0
+2 *930:TE_B 9.02301e-05
+3 *762:X 0.00169377
+4 *319:24 0.000195955
+5 *319:11 0.00197995
+6 *930:TE_B *930:A 1.24376e-05
+7 *319:24 *930:A 0.000135037
+8 *319:24 *320:26 0.000194105
+9 *923:A *319:11 0.000225064
+10 *5:393 *930:TE_B 0.000141347
+11 *5:393 *319:11 0.000435993
+12 *5:397 *319:11 2.73653e-05
+13 *183:11 *319:11 0.000208154
+14 *183:20 *319:11 0.00065906
+15 *285:8 *319:11 5.5792e-05
+16 *311:12 *319:11 0.000349509
+*RES
+1 *762:X *319:11 49.4107
+2 *319:11 *930:TE_B 25.0507
+3 *319:11 *319:24 34.1843
+4 *319:24 *928:TE 23
+*END
+
+*D_NET *320 0.00346237
+*CONN
+*I *929:TE I *D sky130_fd_sc_hd__einvp_2
+*I *931:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *800:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *929:TE 0
+2 *931:TE_B 3.00209e-05
+3 *800:X 0.000332997
+4 *320:26 0.00047013
+5 *320:10 0.000500151
+6 *320:8 0.000332997
+7 *320:26 *931:A 3.76974e-05
+8 *320:26 *325:7 0.000102773
+9 *320:26 *325:18 5.5792e-05
+10 *793:A2 *931:TE_B 0.000145163
+11 *793:A2 *320:8 0.00020516
+12 *793:A2 *320:26 5.3304e-05
+13 *800:A2 *320:8 1.79293e-05
+14 *802:A1 *320:8 0.000136161
+15 *5:393 *320:26 6.51997e-06
+16 *20:15 *320:26 0.000350399
+17 *26:28 *320:8 1.77397e-05
+18 *196:12 *320:8 0.00016067
+19 *210:11 *320:8 0.000136738
+20 *210:22 *320:8 0
+21 *212:23 *931:TE_B 0.000105227
+22 *212:23 *320:26 7.07005e-05
+23 *319:24 *320:26 0.000194105
+*RES
+1 *800:X *320:8 44.7736
+2 *320:8 *320:10 15
+3 *320:10 *931:TE_B 24.5743
+4 *320:10 *320:26 41.2064
+5 *320:26 *929:TE 23
+*END
+
+*D_NET *321 0.00270705
+*CONN
+*I *930:A I *D sky130_fd_sc_hd__einvn_8
+*I *931:A I *D sky130_fd_sc_hd__einvn_4
+*I *927:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *926:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *930:A 0.000238456
+2 *931:A 0.000170076
+3 *927:A 0
+4 *926:X 7.99798e-06
+5 *321:16 0.00061394
+6 *321:5 0.000213405
+7 *930:TE_B *930:A 1.24376e-05
+8 *932:A *321:5 5.67058e-05
+9 *932:A *321:16 0.000187079
+10 *5:393 *931:A 0.000214403
+11 *20:15 *931:A 0.000187612
+12 *20:15 *321:16 0.000193681
+13 *285:8 *321:16 0
+14 *316:6 *931:A 1.33874e-05
+15 *316:6 *321:16 4.36617e-05
+16 *317:9 *321:5 2.4754e-05
+17 *317:9 *321:16 0.000356724
+18 *319:24 *930:A 0.000135037
+19 *320:26 *931:A 3.76974e-05
+*RES
+1 *926:X *321:5 23.6214
+2 *321:5 *927:A 23
+3 *321:5 *321:16 22.0636
+4 *321:16 *931:A 41.6664
+5 *321:16 *930:A 41.5007
+*END
+
+*D_NET *322 0.00146676
+*CONN
+*I *936:A I *D sky130_fd_sc_hd__einvp_2
+*I *934:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *936:A 2.89277e-05
+2 *934:X 0.00024203
+3 *322:6 0.000270957
+4 *936:A *936:Z 9.91548e-05
+5 *322:6 *934:A 0.000184541
+6 *322:6 *938:A 0.000165547
+7 *322:6 *325:18 0.000163256
+8 *322:6 *327:8 0.000187065
+9 *5:458 *936:A 9.66585e-05
+10 *318:10 *322:6 2.86209e-05
+*RES
+1 *934:X *322:6 45.1879
+2 *322:6 *936:A 39.0979
+*END
+
+*D_NET *323 0.00288225
+*CONN
+*I *939:A I *D sky130_fd_sc_hd__clkinv_1
+*I *936:Z O *D sky130_fd_sc_hd__einvp_2
+*I *938:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *939:A 0.000244618
+2 *936:Z 0.000114409
+3 *938:Z 0.000144948
+4 *323:8 0.000503975
+5 *939:A *934:A 0.000199815
+6 *939:A *938:A 0.000182942
+7 *939:A *327:8 0.000196155
+8 *323:8 *938:A 0.000246267
+9 *800:A2 *323:8 7.88828e-05
+10 *863:A *939:A 4.41821e-06
+11 *863:A *323:8 0.000120442
+12 *936:A *936:Z 9.91548e-05
+13 *5:458 *936:Z 6.70197e-05
+14 *5:458 *939:A 0.000513294
+15 *22:8 *939:A 2.03764e-06
+16 *254:8 *939:A 2.02217e-05
+17 *258:8 *323:8 0.000143655
+*RES
+1 *938:Z *323:8 43.2407
+2 *323:8 *936:Z 40.5271
+3 *323:8 *939:A 46.1614
+*END
+
+*D_NET *324 0.00129994
+*CONN
+*I *935:A I *D sky130_fd_sc_hd__einvp_2
+*I *939:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *935:A 0
+2 *939:Y 0.00019424
+3 *324:6 0.00019424
+4 *5:458 *324:6 0.000203665
+5 *21:7 *324:6 0.000527903
+6 *21:15 *324:6 3.90213e-05
+7 *254:8 *324:6 0.000140875
+*RES
+1 *939:Y *324:6 45.54
+2 *324:6 *935:A 38
+*END
+
+*D_NET *325 0.00548362
+*CONN
+*I *935:TE I *D sky130_fd_sc_hd__einvp_2
+*I *937:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *764:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *935:TE 0
+2 *937:TE_B 0
+3 *764:X 0.00102746
+4 *325:18 0.000373585
+5 *325:7 0.00140105
+6 *325:18 *938:A 2.37148e-05
+7 *784:A *325:7 2.81066e-05
+8 *793:A2 *325:7 0.000279219
+9 *793:B1 *325:7 6.40491e-05
+10 *793:B2 *325:7 2.95423e-05
+11 *798:B1 *325:7 0.000191096
+12 *921:TE *325:7 0.000182222
+13 *5:838 *325:7 7.11919e-05
+14 *20:15 *325:7 0.00062526
+15 *200:7 *325:7 0.00018221
+16 *200:42 *325:7 0.000211976
+17 *204:12 *325:7 0.000139
+18 *212:23 *325:7 7.10757e-05
+19 *292:8 *325:7 0.000113388
+20 *313:8 *325:7 0.000147656
+21 *320:26 *325:7 0.000102773
+22 *320:26 *325:18 5.5792e-05
+23 *322:6 *325:18 0.000163256
+*RES
+1 *764:X *325:7 48.2921
+2 *325:7 *937:TE_B 23
+3 *325:7 *325:18 37.395
+4 *325:18 *935:TE 23
+*END
+
+*D_NET *326 0.000970837
+*CONN
+*I *938:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *936:TE I *D sky130_fd_sc_hd__einvp_2
+*I *802:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *938:TE_B 0
+2 *936:TE 0
+3 *802:X 4.48247e-05
+4 *326:11 0.000172366
+5 *326:5 0.000217191
+6 *326:11 *938:A 0
+7 *800:A2 *326:5 0.000137651
+8 *802:B2 *326:5 9.67842e-05
+9 *5:371 *326:5 5.50106e-05
+10 *5:377 *326:11 8.4824e-05
+11 *5:384 *326:11 0.000143845
+12 *5:446 *326:11 3.7122e-06
+13 *5:458 *326:11 1.46286e-05
+*RES
+1 *802:X *326:5 24.5743
+2 *326:5 *326:11 33.5836
+3 *326:11 *936:TE 23
+4 *326:5 *938:TE_B 23
+*END
+
+*D_NET *327 0.00238145
+*CONN
+*I *934:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *937:A I *D sky130_fd_sc_hd__einvn_8
+*I *938:A I *D sky130_fd_sc_hd__einvn_4
+*I *933:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *934:A 0.000122063
+2 *937:A 0
+3 *938:A 0.000201845
+4 *933:X 8.43406e-05
+5 *327:8 0.000253987
+6 *327:7 0.000258545
+7 *933:A *327:7 1.21972e-05
+8 *939:A *934:A 0.000199815
+9 *939:A *938:A 0.000182942
+10 *939:A *327:8 0.000196155
+11 *5:458 *934:A 2.70366e-05
+12 *22:8 *934:A 1.94812e-05
+13 *318:10 *327:7 1.59094e-05
+14 *322:6 *934:A 0.000184541
+15 *322:6 *938:A 0.000165547
+16 *322:6 *327:8 0.000187065
+17 *323:8 *938:A 0.000246267
+18 *325:18 *938:A 2.37148e-05
+19 *326:11 *938:A 0
+*RES
+1 *933:X *327:7 39.0979
+2 *327:7 *327:8 2.61
+3 *327:8 *938:A 43.7793
+4 *327:8 *937:A 38
+5 *327:7 *934:A 41.9357
+*END
+
+*D_NET *328 0.000110864
+*CONN
+*I *949:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *945:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *949:TE_B 2.79296e-05
+2 *945:X 2.79296e-05
+3 *949:TE_B *945:A 5.50049e-05
+*RES
+1 *945:X *949:TE_B 46.6214
+*END
+
+*D_NET *329 0.000160118
+*CONN
+*I *948:A I *D sky130_fd_sc_hd__einvp_2
+*I *946:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *948:A 7.69717e-05
+2 *946:X 7.69717e-05
+3 *946:A *948:A 6.17437e-06
+*RES
+1 *946:X *948:A 47.1186
+*END
+
+*D_NET *330 0.000510576
+*CONN
+*I *951:A I *D sky130_fd_sc_hd__clkinv_1
+*I *948:Z O *D sky130_fd_sc_hd__einvp_2
+*I *950:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *951:A 9.03295e-05
+2 *948:Z 0
+3 *950:Z 0
+4 *330:8 7.78113e-05
+5 *330:4 0.000168141
+6 *951:A *331:7 4.94011e-05
+7 *946:A *330:8 6.25208e-05
+8 *27:19 *330:8 6.23724e-05
+*RES
+1 *950:Z *330:4 23
+2 *330:4 *330:8 31.9057
+3 *330:8 *948:Z 23
+4 *330:4 *951:A 24.5743
+*END
+
+*D_NET *331 0.00190505
+*CONN
+*I *947:A I *D sky130_fd_sc_hd__einvp_2
+*I *951:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *947:A 0.00026415
+2 *951:Y 0.00041041
+3 *331:7 0.00067456
+4 *947:A *945:A 0.000138708
+5 *947:A *952:TE 7.87243e-05
+6 *864:A *331:7 0.000113938
+7 *947:Z *947:A 1.83667e-05
+8 *951:A *331:7 4.94011e-05
+9 *27:19 *947:A 0
+10 *237:117 *947:A 6.63769e-05
+11 *246:7 *947:A 5.56356e-05
+12 *246:17 *947:A 3.47776e-05
+*RES
+1 *951:Y *331:7 45.2914
+2 *331:7 *947:A 44.2971
+*END
+
+*D_NET *332 6.7789e-05
+*CONN
+*I *952:A I *D sky130_fd_sc_hd__einvp_1
+*I *944:HI O *D sky130_fd_sc_hd__conb_1
+*CAP
+1 *952:A 3.12077e-05
+2 *944:HI 3.12077e-05
+3 *246:7 *952:A 5.37348e-06
+*RES
+1 *944:HI *952:A 46.6214
+*END
+
+*D_NET *333 0.0337841
+*CONN
+*I *815:B I *D sky130_fd_sc_hd__nor2_2
+*I *830:B I *D sky130_fd_sc_hd__nor2_2
+*I *829:B I *D sky130_fd_sc_hd__nor2_2
+*I *828:B I *D sky130_fd_sc_hd__nor2_2
+*I *822:B I *D sky130_fd_sc_hd__nor2_2
+*I *817:B I *D sky130_fd_sc_hd__nor2_2
+*I *814:B I *D sky130_fd_sc_hd__nor2_2
+*I *813:B I *D sky130_fd_sc_hd__nor2_2
+*I *952:TE I *D sky130_fd_sc_hd__einvp_1
+*I *945:A I *D sky130_fd_sc_hd__or2_2
+*I *819:B I *D sky130_fd_sc_hd__nor2_2
+*I *820:B I *D sky130_fd_sc_hd__nor2_2
+*I *818:B I *D sky130_fd_sc_hd__nor2_2
+*I *816:B I *D sky130_fd_sc_hd__nor2_2
+*I *821:B I *D sky130_fd_sc_hd__nor2_2
+*I *810:B I *D sky130_fd_sc_hd__nor2_2
+*I *824:B I *D sky130_fd_sc_hd__nor2_2
+*I *825:B I *D sky130_fd_sc_hd__nor2_2
+*I *823:B I *D sky130_fd_sc_hd__nor2_2
+*I *808:B I *D sky130_fd_sc_hd__nor2_2
+*I *809:B I *D sky130_fd_sc_hd__nor2_2
+*I *811:B I *D sky130_fd_sc_hd__nor2_2
+*I *812:B I *D sky130_fd_sc_hd__nor2_2
+*I *827:B I *D sky130_fd_sc_hd__nor2_2
+*I *826:B I *D sky130_fd_sc_hd__nor2_2
+*I *807:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *815:B 0
+2 *830:B 0.000350615
+3 *829:B 3.81122e-05
+4 *828:B 0
+5 *822:B 6.59334e-05
+6 *817:B 0
+7 *814:B 0.000102565
+8 *813:B 0
+9 *952:TE 9.06372e-05
+10 *945:A 0.000219923
+11 *819:B 0.000187923
+12 *820:B 0
+13 *818:B 0.000100829
+14 *816:B 0
+15 *821:B 0.000196005
+16 *810:B 0
+17 *824:B 0.000176469
+18 *825:B 7.67416e-05
+19 *823:B 1.26784e-05
+20 *808:B 0
+21 *809:B 0
+22 *811:B 0.000124568
+23 *812:B 0.000392897
+24 *827:B 0
+25 *826:B 0
+26 *807:Y 0
+27 *333:255 0.000744331
+28 *333:246 0.000940862
+29 *333:245 0.00134008
+30 *333:235 0.00142988
+31 *333:219 0.0010845
+32 *333:212 0.000511786
+33 *333:201 0.000311621
+34 *333:177 0.000512363
+35 *333:162 0.000418424
+36 *333:155 0.000175112
+37 *333:144 0.00062205
+38 *333:125 0.000196005
+39 *333:123 0.000547964
+40 *333:108 0.000176469
+41 *333:106 0.000306589
+42 *333:95 0.000772198
+43 *333:84 0.000702067
+44 *333:76 0.000446879
+45 *333:64 0.000773367
+46 *333:55 0.00143441
+47 *333:50 0.00149763
+48 *333:41 0.000635481
+49 *333:27 0.00131127
+50 *333:20 5.56649e-05
+51 *333:15 0.00100684
+52 *333:10 0.00114611
+53 *333:4 0.00128976
+54 clockp[1] *333:255 0
+55 *346:DIODE *333:10 7.20089e-05
+56 *405:DIODE *333:15 4.49877e-05
+57 *405:DIODE *333:27 0.00025424
+58 *413:DIODE *333:235 0.00010018
+59 *421:DIODE *333:95 5.72091e-05
+60 *641:B *830:B 9.21347e-06
+61 *665:A3 *333:255 4.29568e-05
+62 *667:A2 *333:255 3.14377e-05
+63 *671:A *333:10 0
+64 *686:B *333:64 3.81451e-05
+65 *686:C *333:64 9.5877e-05
+66 *726:B *333:219 0
+67 *731:A1 *333:50 3.3123e-05
+68 *731:B1 *333:50 4.7869e-05
+69 *736:B *333:27 0.000117923
+70 *736:C *812:B 0.0001099
+71 *736:C *333:27 2.25419e-05
+72 *736:C *333:41 0
+73 *736:C *333:50 0
+74 *739:B *333:76 0
+75 *808:A *823:B 0
+76 *808:A *333:84 1.14154e-05
+77 *811:A *811:B 0.000187129
+78 *819:A *819:B 1.14154e-05
+79 *821:A *821:B 8.841e-06
+80 *824:A *824:B 0.000102389
+81 *825:A *825:B 1.37232e-05
+82 *828:A *333:255 1.12796e-05
+83 *831:RESET_B *333:76 0.000185434
+84 *833:CLK *333:95 0
+85 *836:D *333:55 3.15313e-05
+86 *836:RESET_B *333:55 2.02729e-05
+87 *836:RESET_B *333:64 1.32314e-05
+88 *837:RESET_B *814:B 0.000234542
+89 *848:D *333:95 8.1796e-05
+90 *875:TE_B *333:144 0
+91 *947:A *945:A 0.000138708
+92 *947:A *952:TE 7.87243e-05
+93 *947:Z *945:A 1.02387e-05
+94 *949:TE_B *945:A 5.50049e-05
+95 *5:15 *830:B 0.000355029
+96 *5:27 *830:B 8.51925e-05
+97 *5:27 *333:246 6.91116e-05
+98 *5:57 *333:235 3.59943e-05
+99 *5:66 *333:235 9.3813e-05
+100 *5:70 *333:219 0.000303414
+101 *5:70 *333:235 3.3123e-05
+102 *5:72 *333:201 5.1687e-05
+103 *5:72 *333:212 0.000185923
+104 *5:72 *333:219 3.673e-05
+105 *5:77 *333:50 6.25829e-05
+106 *5:77 *333:55 2.64616e-05
+107 *5:77 *333:201 0.000107212
+108 *5:88 *333:50 6.88715e-05
+109 *5:105 *811:B 6.68919e-05
+110 *5:146 *333:76 0.000274078
+111 *5:146 *333:84 2.4754e-05
+112 *5:154 *333:84 0.00010655
+113 *5:154 *333:95 1.56665e-05
+114 *5:164 *823:B 1.14154e-05
+115 *5:175 *333:95 5.3304e-05
+116 *5:191 *825:B 9.75779e-05
+117 *5:191 *333:106 0.000138727
+118 *5:191 *333:123 2.55642e-05
+119 *5:194 *824:B 4.65192e-05
+120 *5:196 *824:B 5.90675e-06
+121 *5:208 *824:B 4.93812e-05
+122 *5:222 *333:123 0.000218852
+123 *5:222 *333:144 2.53488e-05
+124 *5:227 *821:B 1.57571e-05
+125 *5:252 *333:144 0.000145633
+126 *5:257 *333:144 1.23172e-05
+127 *5:257 *333:155 0.000169683
+128 *5:261 *819:B 3.23389e-05
+129 *5:266 *819:B 2.93747e-05
+130 *5:504 *818:B 2.46906e-05
+131 *5:504 *333:155 0
+132 *5:534 *821:B 1.77547e-05
+133 *5:544 *821:B 6.26436e-06
+134 *5:561 *333:64 0.000141954
+135 *5:590 *814:B 0.000151601
+136 *5:604 *333:255 1.94693e-05
+137 *5:606 *333:255 3.52383e-05
+138 *5:611 *333:255 2.30292e-05
+139 *5:621 *333:10 0.000306344
+140 *5:621 *333:15 8.53029e-05
+141 *5:621 *333:20 2.72789e-05
+142 *5:685 *333:245 9.28078e-06
+143 *5:688 *333:235 5.50106e-05
+144 *5:700 *333:245 4.80423e-06
+145 *5:876 *830:B 1.12487e-05
+146 *6:24 *333:10 7.76035e-05
+147 *8:26 *333:255 5.34292e-05
+148 *11:8 *333:245 2.25536e-05
+149 *11:8 *333:246 0.00010001
+150 *11:8 *333:255 0.000392901
+151 *32:27 *830:B 0
+152 *32:27 *333:246 0
+153 *41:6 *333:64 0.000218952
+154 *42:8 *333:95 0.000142439
+155 *42:8 *333:106 6.61686e-06
+156 *48:8 *821:B 0.000140259
+157 *50:8 *818:B 0.000137368
+158 *50:8 *333:144 0.000422644
+159 *52:8 *819:B 5.50106e-05
+160 *52:8 *333:162 1.58805e-05
+161 *52:8 *333:177 4.85126e-05
+162 *54:6 *333:235 5.80963e-05
+163 *56:6 *333:95 0
+164 *56:6 *333:106 0
+165 *57:12 *333:95 0
+166 *58:6 *333:10 7.28526e-05
+167 *59:6 *333:20 3.93505e-05
+168 *65:8 *333:64 0
+169 *65:8 *333:76 0
+170 *66:6 *812:B 0
+171 *66:6 *333:41 0
+172 *81:8 *333:255 0
+173 *88:5 *825:B 7.44257e-05
+174 *88:39 *333:27 0.000103647
+175 *88:97 *333:245 0
+176 *91:8 *830:B 4.5051e-05
+177 *93:6 *333:255 0
+178 *104:27 *333:255 0.000141879
+179 *113:6 *333:255 0.000169203
+180 *129:63 *333:235 0
+181 *155:16 *333:235 4.6318e-06
+182 *156:11 *333:235 0.000185434
+183 *156:20 *333:235 3.88077e-05
+184 *157:8 *333:235 0.000141954
+185 *168:8 *333:50 0
+186 *168:8 *333:201 0
+187 *169:14 *333:219 0
+188 *171:10 *333:50 0
+189 *171:12 *333:50 0
+190 *174:8 *812:B 0.00010018
+191 *216:13 *829:B 2.64616e-05
+192 *216:64 *333:212 0.000137754
+193 *216:64 *333:219 7.75148e-05
+194 *216:151 *333:10 4.2062e-05
+195 *216:175 *333:55 7.88293e-05
+196 *216:191 *333:55 2.93747e-05
+197 *216:191 *333:64 0.000117769
+198 *218:8 *811:B 3.3577e-05
+199 *218:8 *333:41 4.54033e-05
+200 *218:8 *333:50 0.00017505
+201 *218:58 *811:B 3.7122e-06
+202 *218:58 *812:B 1.97329e-05
+203 *218:58 *333:41 2.29192e-05
+204 *219:8 *333:50 3.62323e-05
+205 *219:8 *333:55 5.27215e-06
+206 *220:8 *814:B 2.33679e-05
+207 *221:10 *333:219 0
+208 *221:10 *333:235 0
+209 *221:43 *333:235 3.75171e-05
+210 *221:43 *333:245 5.50049e-05
+211 *221:55 *830:B 8.4047e-05
+212 *226:8 *333:246 3.56887e-05
+213 *226:19 *830:B 1.11421e-05
+214 *226:19 *333:246 1.70989e-05
+215 *231:11 *333:64 2.35393e-05
+216 *231:25 *333:64 0.00020173
+217 *237:117 *945:A 9.28739e-05
+218 *237:117 *333:144 1.47563e-05
+219 *237:117 *333:155 0.000122304
+220 *237:117 *333:162 4.98718e-06
+221 *237:117 *333:177 7.28335e-05
+222 *246:7 *952:TE 1.59071e-05
+223 *246:22 *945:A 0.000221997
+224 *246:29 *333:245 2.33476e-05
+225 *263:33 *333:162 2.22079e-05
+226 *263:33 *333:177 4.3407e-05
+*RES
+1 *807:Y *333:4 23
+2 *333:4 *333:10 47.255
+3 *333:10 *826:B 23
+4 *333:4 *333:15 2.05071
+5 *333:15 *333:20 31.2014
+6 *333:20 *827:B 23
+7 *333:15 *333:27 27.0557
+8 *333:27 *812:B 46.3064
+9 *333:27 *333:41 2.25786
+10 *333:41 *811:B 41.48
+11 *333:41 *333:50 9.30071
+12 *333:50 *333:55 28.2571
+13 *333:55 *333:64 25.9786
+14 *333:64 *809:B 38
+15 *333:64 *333:76 21.7114
+16 *333:76 *808:B 23
+17 *333:76 *333:84 3.02429
+18 *333:84 *823:B 23.4971
+19 *333:84 *333:95 25.6886
+20 *333:95 *825:B 40.0714
+21 *333:95 *333:106 2.25786
+22 *333:106 *333:108 15
+23 *333:108 *824:B 26.9979
+24 *333:108 *810:B 23
+25 *333:106 *333:123 3.31429
+26 *333:123 *333:125 15
+27 *333:125 *821:B 27.2257
+28 *333:125 *816:B 23
+29 *333:123 *333:144 9.01071
+30 *333:144 *818:B 40.0507
+31 *333:144 *333:155 3.93571
+32 *333:155 *820:B 38
+33 *333:155 *333:162 0.849286
+34 *333:162 *819:B 41.19
+35 *333:162 *333:177 4.72286
+36 *333:177 *945:A 43.7586
+37 *333:177 *952:TE 40.0507
+38 *333:55 *813:B 23
+39 *333:50 *333:201 2.25786
+40 *333:201 *814:B 41.625
+41 *333:201 *333:212 2.61
+42 *333:212 *817:B 38
+43 *333:212 *333:219 8.59643
+44 *333:219 *822:B 39.1186
+45 *333:219 *333:235 27.8429
+46 *333:235 *333:245 33.63
+47 *333:245 *333:246 3.49036
+48 *333:246 *333:255 31.6957
+49 *333:255 *828:B 23
+50 *333:246 *829:B 38.6214
+51 *333:245 *830:B 46.1925
+52 *333:235 *815:B 23
+*END
+
+*D_NET *334 0.00838859
+*CONN
+*I *945:B I *D sky130_fd_sc_hd__or2_2
+*I *947:TE I *D sky130_fd_sc_hd__einvp_2
+*I *769:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *945:B 8.41859e-05
+2 *947:TE 0.000368638
+3 *769:X 0.00040422
+4 *334:14 0.00209157
+5 *334:8 0.00204296
+6 *379:DIODE *334:8 2.02872e-05
+7 *695:A3 *334:14 1.53581e-05
+8 *769:A1 *334:8 0.000174793
+9 *797:A *334:14 2.33476e-05
+10 *806:B2 *334:14 0.000107691
+11 *5:266 *947:TE 0.000192087
+12 *5:266 *334:14 0.000299024
+13 *5:279 *334:14 0.000182251
+14 *5:307 *334:14 7.10275e-05
+15 *5:726 *334:8 0.000123628
+16 *5:727 *334:8 4.05745e-05
+17 *5:731 *334:8 8.43466e-05
+18 *16:5 *334:8 1.8722e-05
+19 *16:23 *334:14 0.000169384
+20 *131:62 *334:14 0.000336699
+21 *142:11 *334:14 0.000750566
+22 *142:29 *334:14 2.38469e-05
+23 *144:10 *334:14 0
+24 *145:95 *947:TE 9.88678e-05
+25 *207:25 *334:14 1.02561e-05
+26 *234:37 *334:14 1.43357e-05
+27 *236:28 *334:14 0
+28 *236:37 *334:14 9.11514e-05
+29 *237:89 *334:14 0.000280183
+30 *246:22 *945:B 0.000136743
+31 *278:12 *334:14 0.000131845
+*RES
+1 *769:X *334:8 44.0745
+2 *334:8 *334:14 28.787
+3 *334:14 *947:TE 44.5664
+4 *334:14 *945:B 39.5743
+*END
+
+*D_NET *335 0.00115639
+*CONN
+*I *948:TE I *D sky130_fd_sc_hd__einvp_2
+*I *950:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *806:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *948:TE 0.000115346
+2 *950:TE_B 0
+3 *806:X 0.000177872
+4 *335:10 0.000187742
+5 *335:5 0.000480959
+6 *803:A1 *948:TE 1.46286e-05
+7 *803:A1 *335:5 2.75991e-05
+8 *803:B1 *335:5 9.9075e-05
+9 *26:17 *335:10 3.48396e-05
+10 *27:19 *948:TE 1.83327e-05
+11 *251:8 *335:10 0
+*RES
+1 *806:X *335:5 26.0036
+2 *335:5 *335:10 33.3143
+3 *335:10 *950:TE_B 23
+4 *335:5 *948:TE 25.0714
+*END
diff --git a/signoff/digital_pll/openlane-signoff/spef/digital_pll.min.spef b/signoff/digital_pll/openlane-signoff/spef/digital_pll.min.spef
new file mode 100644
index 00000000..4283a7e4
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/spef/digital_pll.min.spef
@@ -0,0 +1,12951 @@
+*SPEF "ieee 1481-1999"
+*DESIGN "digital_pll"
+*DATE "11:11:11 Fri 11 11, 1111"
+*VENDOR "OpenRCX"
+*PROGRAM "Parallel Extraction"
+*VERSION "1.0"
+*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
+*DIVIDER /
+*DELIMITER :
+*BUS_DELIMITER []
+*T_UNIT 1 NS
+*C_UNIT 1 PF
+*R_UNIT 1 OHM
+*L_UNIT 1 HENRY
+
+*NAME_MAP
+*3 clockp[0]
+*4 clockp[1]
+*5 dco
+*6 div[0]
+*7 div[1]
+*8 div[2]
+*9 div[3]
+*10 div[4]
+*11 enable
+*12 ext_trim[0]
+*13 ext_trim[10]
+*14 ext_trim[11]
+*15 ext_trim[12]
+*16 ext_trim[13]
+*17 ext_trim[14]
+*18 ext_trim[15]
+*19 ext_trim[16]
+*20 ext_trim[17]
+*21 ext_trim[18]
+*22 ext_trim[19]
+*23 ext_trim[1]
+*24 ext_trim[20]
+*25 ext_trim[21]
+*26 ext_trim[22]
+*27 ext_trim[23]
+*28 ext_trim[24]
+*29 ext_trim[25]
+*30 ext_trim[2]
+*31 ext_trim[3]
+*32 ext_trim[4]
+*33 ext_trim[5]
+*34 ext_trim[6]
+*35 ext_trim[7]
+*36 ext_trim[8]
+*37 ext_trim[9]
+*38 osc
+*39 resetb
+*40 _000_
+*41 _001_
+*42 _002_
+*43 _003_
+*44 _004_
+*45 _005_
+*46 _006_
+*47 _007_
+*48 _008_
+*49 _009_
+*50 _010_
+*51 _011_
+*52 _012_
+*53 _013_
+*54 _014_
+*55 _015_
+*56 _016_
+*57 _017_
+*58 _018_
+*59 _019_
+*60 _020_
+*61 _021_
+*62 _022_
+*63 _023_
+*64 _024_
+*65 _025_
+*66 _026_
+*67 _027_
+*68 _028_
+*69 _029_
+*70 _030_
+*71 _031_
+*72 _032_
+*73 _033_
+*74 _034_
+*75 _035_
+*76 _036_
+*77 _037_
+*78 _038_
+*79 _039_
+*80 _040_
+*81 _041_
+*82 _042_
+*83 _043_
+*84 _044_
+*85 _045_
+*86 _046_
+*87 _047_
+*88 _048_
+*89 _049_
+*90 _050_
+*91 _051_
+*92 _052_
+*93 _053_
+*94 _054_
+*95 _055_
+*96 _056_
+*97 _057_
+*98 _058_
+*99 _059_
+*100 _060_
+*101 _061_
+*102 _062_
+*103 _063_
+*104 _064_
+*105 _065_
+*106 _066_
+*107 _067_
+*108 _068_
+*109 _069_
+*110 _070_
+*111 _071_
+*112 _072_
+*113 _073_
+*114 _074_
+*115 _075_
+*116 _076_
+*117 _077_
+*118 _078_
+*119 _079_
+*120 _080_
+*121 _081_
+*122 _082_
+*123 _083_
+*124 _084_
+*125 _085_
+*126 _086_
+*127 _087_
+*128 _088_
+*129 _089_
+*130 _090_
+*131 _091_
+*132 _092_
+*133 _093_
+*134 _094_
+*135 _095_
+*136 _096_
+*137 _097_
+*138 _098_
+*139 _099_
+*140 _100_
+*141 _101_
+*142 _102_
+*143 _103_
+*144 _104_
+*145 _105_
+*146 _106_
+*147 _107_
+*148 _108_
+*149 _109_
+*150 _110_
+*151 _111_
+*152 _112_
+*153 _113_
+*154 _114_
+*155 _115_
+*156 _116_
+*157 _117_
+*158 _118_
+*159 _119_
+*160 _120_
+*161 _121_
+*162 _122_
+*163 _123_
+*164 _124_
+*165 _125_
+*166 _126_
+*167 _127_
+*168 _128_
+*169 _129_
+*170 _130_
+*171 _131_
+*172 _132_
+*173 _133_
+*174 _134_
+*175 _135_
+*176 _136_
+*177 _137_
+*178 _138_
+*179 _139_
+*180 _140_
+*181 _141_
+*182 _142_
+*183 _143_
+*184 _144_
+*185 _145_
+*186 _146_
+*187 _147_
+*188 _148_
+*189 _149_
+*190 _150_
+*191 _151_
+*192 _152_
+*193 _153_
+*194 _154_
+*195 _155_
+*196 _156_
+*197 _157_
+*198 _158_
+*199 _159_
+*200 _160_
+*201 _161_
+*202 _162_
+*203 _163_
+*204 _164_
+*205 _165_
+*206 _166_
+*207 _167_
+*208 _168_
+*209 _169_
+*210 _170_
+*211 _171_
+*212 _172_
+*213 _173_
+*214 _174_
+*215 _175_
+*216 pll_control\.clock
+*217 pll_control\.count0\[0\]
+*218 pll_control\.count0\[1\]
+*219 pll_control\.count0\[2\]
+*220 pll_control\.count0\[3\]
+*221 pll_control\.count0\[4\]
+*222 pll_control\.count1\[0\]
+*223 pll_control\.count1\[1\]
+*224 pll_control\.count1\[2\]
+*225 pll_control\.count1\[3\]
+*226 pll_control\.count1\[4\]
+*227 pll_control\.oscbuf\[0\]
+*228 pll_control\.oscbuf\[1\]
+*229 pll_control\.oscbuf\[2\]
+*230 pll_control\.prep\[0\]
+*231 pll_control\.prep\[1\]
+*232 pll_control\.prep\[2\]
+*233 pll_control\.tint\[0\]
+*234 pll_control\.tint\[1\]
+*235 pll_control\.tint\[2\]
+*236 pll_control\.tint\[3\]
+*237 pll_control\.tint\[4\]
+*238 pll_control\.tval\[0\]
+*239 pll_control\.tval\[1\]
+*240 ringosc\.c\[0\]
+*241 ringosc\.c\[1\]
+*242 ringosc\.clockp\[1\]
+*243 ringosc\.dstage\[0\]\.id\.d0
+*244 ringosc\.dstage\[0\]\.id\.d1
+*245 ringosc\.dstage\[0\]\.id\.d2
+*246 ringosc\.dstage\[0\]\.id\.in
+*247 ringosc\.dstage\[0\]\.id\.out
+*248 ringosc\.dstage\[0\]\.id\.trim\[0\]
+*249 ringosc\.dstage\[0\]\.id\.trim\[1\]
+*250 ringosc\.dstage\[0\]\.id\.ts
+*251 ringosc\.dstage\[10\]\.id\.d0
+*252 ringosc\.dstage\[10\]\.id\.d1
+*253 ringosc\.dstage\[10\]\.id\.d2
+*254 ringosc\.dstage\[10\]\.id\.in
+*255 ringosc\.dstage\[10\]\.id\.out
+*256 ringosc\.dstage\[10\]\.id\.trim\[0\]
+*257 ringosc\.dstage\[10\]\.id\.trim\[1\]
+*258 ringosc\.dstage\[10\]\.id\.ts
+*259 ringosc\.dstage\[11\]\.id\.d0
+*260 ringosc\.dstage\[11\]\.id\.d1
+*261 ringosc\.dstage\[11\]\.id\.d2
+*262 ringosc\.dstage\[11\]\.id\.out
+*263 ringosc\.dstage\[11\]\.id\.trim\[0\]
+*264 ringosc\.dstage\[11\]\.id\.trim\[1\]
+*265 ringosc\.dstage\[11\]\.id\.ts
+*266 ringosc\.dstage\[1\]\.id\.d0
+*267 ringosc\.dstage\[1\]\.id\.d1
+*268 ringosc\.dstage\[1\]\.id\.d2
+*269 ringosc\.dstage\[1\]\.id\.out
+*270 ringosc\.dstage\[1\]\.id\.trim\[0\]
+*271 ringosc\.dstage\[1\]\.id\.trim\[1\]
+*272 ringosc\.dstage\[1\]\.id\.ts
+*273 ringosc\.dstage\[2\]\.id\.d0
+*274 ringosc\.dstage\[2\]\.id\.d1
+*275 ringosc\.dstage\[2\]\.id\.d2
+*276 ringosc\.dstage\[2\]\.id\.out
+*277 ringosc\.dstage\[2\]\.id\.trim\[0\]
+*278 ringosc\.dstage\[2\]\.id\.trim\[1\]
+*279 ringosc\.dstage\[2\]\.id\.ts
+*280 ringosc\.dstage\[3\]\.id\.d0
+*281 ringosc\.dstage\[3\]\.id\.d1
+*282 ringosc\.dstage\[3\]\.id\.d2
+*283 ringosc\.dstage\[3\]\.id\.out
+*284 ringosc\.dstage\[3\]\.id\.trim\[0\]
+*285 ringosc\.dstage\[3\]\.id\.trim\[1\]
+*286 ringosc\.dstage\[3\]\.id\.ts
+*287 ringosc\.dstage\[4\]\.id\.d0
+*288 ringosc\.dstage\[4\]\.id\.d1
+*289 ringosc\.dstage\[4\]\.id\.d2
+*290 ringosc\.dstage\[4\]\.id\.out
+*291 ringosc\.dstage\[4\]\.id\.trim\[0\]
+*292 ringosc\.dstage\[4\]\.id\.trim\[1\]
+*293 ringosc\.dstage\[4\]\.id\.ts
+*294 ringosc\.dstage\[5\]\.id\.d0
+*295 ringosc\.dstage\[5\]\.id\.d1
+*296 ringosc\.dstage\[5\]\.id\.d2
+*297 ringosc\.dstage\[5\]\.id\.out
+*298 ringosc\.dstage\[5\]\.id\.trim\[0\]
+*299 ringosc\.dstage\[5\]\.id\.trim\[1\]
+*300 ringosc\.dstage\[5\]\.id\.ts
+*301 ringosc\.dstage\[6\]\.id\.d0
+*302 ringosc\.dstage\[6\]\.id\.d1
+*303 ringosc\.dstage\[6\]\.id\.d2
+*304 ringosc\.dstage\[6\]\.id\.out
+*305 ringosc\.dstage\[6\]\.id\.trim\[0\]
+*306 ringosc\.dstage\[6\]\.id\.trim\[1\]
+*307 ringosc\.dstage\[6\]\.id\.ts
+*308 ringosc\.dstage\[7\]\.id\.d0
+*309 ringosc\.dstage\[7\]\.id\.d1
+*310 ringosc\.dstage\[7\]\.id\.d2
+*311 ringosc\.dstage\[7\]\.id\.out
+*312 ringosc\.dstage\[7\]\.id\.trim\[0\]
+*313 ringosc\.dstage\[7\]\.id\.trim\[1\]
+*314 ringosc\.dstage\[7\]\.id\.ts
+*315 ringosc\.dstage\[8\]\.id\.d0
+*316 ringosc\.dstage\[8\]\.id\.d1
+*317 ringosc\.dstage\[8\]\.id\.d2
+*318 ringosc\.dstage\[8\]\.id\.out
+*319 ringosc\.dstage\[8\]\.id\.trim\[0\]
+*320 ringosc\.dstage\[8\]\.id\.trim\[1\]
+*321 ringosc\.dstage\[8\]\.id\.ts
+*322 ringosc\.dstage\[9\]\.id\.d0
+*323 ringosc\.dstage\[9\]\.id\.d1
+*324 ringosc\.dstage\[9\]\.id\.d2
+*325 ringosc\.dstage\[9\]\.id\.trim\[0\]
+*326 ringosc\.dstage\[9\]\.id\.trim\[1\]
+*327 ringosc\.dstage\[9\]\.id\.ts
+*328 ringosc\.iss\.ctrl0
+*329 ringosc\.iss\.d0
+*330 ringosc\.iss\.d1
+*331 ringosc\.iss\.d2
+*332 ringosc\.iss\.one
+*333 ringosc\.iss\.reset
+*334 ringosc\.iss\.trim\[0\]
+*335 ringosc\.iss\.trim\[1\]
+*336 ANTENNA__207__A1
+*337 ANTENNA__213__A1
+*338 ANTENNA__213__B1
+*339 ANTENNA__214__B1
+*340 ANTENNA__215__B1
+*341 ANTENNA__216__A
+*342 ANTENNA__219__A
+*343 ANTENNA__222__A1
+*344 ANTENNA__222__B2
+*345 ANTENNA__225__B1
+*346 ANTENNA__235__A
+*347 ANTENNA__288__A
+*348 ANTENNA__289__A1
+*349 ANTENNA__289__A2
+*350 ANTENNA__293__A_N
+*351 ANTENNA__295__A1
+*352 ANTENNA__295__A2
+*353 ANTENNA__296__B
+*354 ANTENNA__299__A1
+*355 ANTENNA__299__A2
+*356 ANTENNA__300__A1
+*357 ANTENNA__300__A2
+*358 ANTENNA__302__A1
+*359 ANTENNA__302__A2
+*360 ANTENNA__305__A1
+*361 ANTENNA__305__A2
+*362 ANTENNA__306__A1
+*363 ANTENNA__306__A2
+*364 ANTENNA__309__A1
+*365 ANTENNA__309__A2
+*366 ANTENNA__310__A1
+*367 ANTENNA__310__A2
+*368 ANTENNA__312__A1
+*369 ANTENNA__312__A2
+*370 ANTENNA__313__A_N
+*371 ANTENNA__313__B
+*372 ANTENNA__314__A1
+*373 ANTENNA__316__B1
+*374 ANTENNA__316__B2
+*375 ANTENNA__317__A1
+*376 ANTENNA__317__A2
+*377 ANTENNA__319__A1
+*378 ANTENNA__319__A2
+*379 ANTENNA__326__A1
+*380 ANTENNA__326__A2
+*381 ANTENNA__334__B1
+*382 ANTENNA__334__B2
+*383 ANTENNA__337__A1
+*384 ANTENNA__337__A2
+*385 ANTENNA__341__B1
+*386 ANTENNA__341__B2
+*387 ANTENNA__342__A1
+*388 ANTENNA__342__A2
+*389 ANTENNA__343__A1
+*390 ANTENNA__343__A2
+*391 ANTENNA__346__B1
+*392 ANTENNA__346__B2
+*393 ANTENNA__348__A1
+*394 ANTENNA__348__A2
+*395 ANTENNA__350__B1
+*396 ANTENNA__350__B2
+*397 ANTENNA__351__A1
+*398 ANTENNA__351__A2
+*399 ANTENNA__352__A_N
+*400 ANTENNA__353__A1
+*401 ANTENNA__353__A2
+*402 ANTENNA__354__A1
+*403 ANTENNA__354__A2
+*404 ANTENNA__355__A
+*405 ANTENNA__355__B
+*406 ANTENNA__356__A
+*407 ANTENNA__357__A
+*408 ANTENNA__358__A
+*409 ANTENNA__359__A
+*410 ANTENNA__360__A
+*411 ANTENNA__361__A
+*412 ANTENNA__362__A
+*413 ANTENNA__363__A
+*414 ANTENNA__364__A
+*415 ANTENNA__365__A
+*416 ANTENNA__366__A
+*417 ANTENNA__367__A
+*418 ANTENNA__368__A
+*419 ANTENNA__369__A
+*420 ANTENNA__370__A
+*421 ANTENNA__371__A
+*422 ANTENNA__372__A
+*423 ANTENNA__373__A
+*424 ANTENNA__374__A
+*425 ANTENNA__375__A
+*426 ANTENNA__376__A
+*427 ANTENNA__377__A
+*428 ANTENNA__378__A
+*429 ANTENNA__394__D
+*430 FILLER_0_81
+*431 FILLER_0_90
+*432 FILLER_10_27
+*433 FILLER_10_3
+*434 FILLER_10_70
+*435 FILLER_10_85
+*436 FILLER_11_100
+*437 FILLER_11_113
+*438 FILLER_11_131
+*439 FILLER_11_135
+*440 FILLER_11_55
+*441 FILLER_11_85
+*442 FILLER_12_107
+*443 FILLER_12_16
+*444 FILLER_12_27
+*445 FILLER_12_75
+*446 FILLER_12_85
+*447 FILLER_13_118
+*448 FILLER_13_24
+*449 FILLER_13_3
+*450 FILLER_13_69
+*451 FILLER_13_94
+*452 FILLER_14_10
+*453 FILLER_14_135
+*454 FILLER_14_27
+*455 FILLER_14_5
+*456 FILLER_14_69
+*457 FILLER_14_79
+*458 FILLER_14_83
+*459 FILLER_15_135
+*460 FILLER_15_23
+*461 FILLER_15_67
+*462 FILLER_15_75
+*463 FILLER_15_99
+*464 FILLER_16_116
+*465 FILLER_16_135
+*466 FILLER_16_45
+*467 FILLER_16_67
+*468 FILLER_16_78
+*469 FILLER_17_108
+*470 FILLER_17_135
+*471 FILLER_17_26
+*472 FILLER_17_49
+*473 FILLER_17_69
+*474 FILLER_17_84
+*475 FILLER_18_72
+*476 FILLER_18_75
+*477 FILLER_18_97
+*478 FILLER_19_111
+*479 FILLER_19_47
+*480 FILLER_1_3
+*481 FILLER_1_50
+*482 FILLER_1_59
+*483 FILLER_20_33
+*484 FILLER_20_97
+*485 FILLER_21_111
+*486 FILLER_21_135
+*487 FILLER_21_72
+*488 FILLER_22_127
+*489 FILLER_22_35
+*490 FILLER_22_83
+*491 FILLER_22_88
+*492 FILLER_2_134
+*493 FILLER_2_3
+*494 FILLER_2_35
+*495 FILLER_2_79
+*496 FILLER_2_99
+*497 FILLER_3_109
+*498 FILLER_3_118
+*499 FILLER_3_132
+*500 FILLER_3_3
+*501 FILLER_3_49
+*502 FILLER_3_76
+*503 FILLER_3_99
+*504 FILLER_4_132
+*505 FILLER_4_31
+*506 FILLER_4_55
+*507 FILLER_4_62
+*508 FILLER_4_90
+*509 FILLER_5_134
+*510 FILLER_5_78
+*511 FILLER_5_87
+*512 FILLER_6_120
+*513 FILLER_6_95
+*514 FILLER_7_113
+*515 FILLER_7_124
+*516 FILLER_7_41
+*517 FILLER_7_47
+*518 FILLER_7_55
+*519 FILLER_7_89
+*520 FILLER_8_128
+*521 FILLER_8_134
+*522 FILLER_8_24
+*523 FILLER_8_42
+*524 FILLER_8_58
+*525 FILLER_8_70
+*526 FILLER_8_90
+*527 FILLER_9_111
+*528 FILLER_9_125
+*529 FILLER_9_135
+*530 FILLER_9_57
+*531 FILLER_9_78
+*532 PHY_0
+*533 PHY_1
+*534 PHY_10
+*535 PHY_11
+*536 PHY_12
+*537 PHY_13
+*538 PHY_14
+*539 PHY_15
+*540 PHY_16
+*541 PHY_17
+*542 PHY_18
+*543 PHY_19
+*544 PHY_2
+*545 PHY_20
+*546 PHY_21
+*547 PHY_22
+*548 PHY_23
+*549 PHY_24
+*550 PHY_25
+*551 PHY_26
+*552 PHY_27
+*553 PHY_28
+*554 PHY_29
+*555 PHY_3
+*556 PHY_30
+*557 PHY_31
+*558 PHY_32
+*559 PHY_33
+*560 PHY_34
+*561 PHY_35
+*562 PHY_36
+*563 PHY_37
+*564 PHY_38
+*565 PHY_39
+*566 PHY_4
+*567 PHY_40
+*568 PHY_41
+*569 PHY_42
+*570 PHY_43
+*571 PHY_44
+*572 PHY_45
+*573 PHY_5
+*574 PHY_6
+*575 PHY_7
+*576 PHY_8
+*577 PHY_9
+*578 TAP_46
+*579 TAP_47
+*580 TAP_48
+*581 TAP_49
+*582 TAP_50
+*583 TAP_51
+*584 TAP_52
+*585 TAP_53
+*586 TAP_54
+*587 TAP_55
+*588 TAP_56
+*589 TAP_57
+*590 TAP_58
+*591 TAP_59
+*592 TAP_60
+*593 TAP_61
+*594 TAP_62
+*595 TAP_63
+*596 TAP_64
+*597 TAP_65
+*598 TAP_66
+*599 TAP_67
+*600 TAP_68
+*601 TAP_69
+*602 TAP_70
+*603 TAP_71
+*604 TAP_72
+*605 TAP_73
+*606 TAP_74
+*607 TAP_75
+*608 TAP_76
+*609 TAP_77
+*610 TAP_78
+*611 TAP_79
+*612 TAP_80
+*613 TAP_81
+*614 TAP_82
+*615 TAP_83
+*616 TAP_84
+*617 TAP_85
+*618 TAP_86
+*619 TAP_87
+*620 TAP_88
+*621 TAP_89
+*622 TAP_90
+*623 TAP_91
+*624 TAP_92
+*625 TAP_93
+*626 TAP_94
+*627 TAP_95
+*628 _176_
+*629 _177_
+*630 _178_
+*631 _179_
+*632 _180_
+*633 _181_
+*634 _182_
+*635 _183_
+*636 _184_
+*637 _185_
+*638 _186_
+*639 _187_
+*640 _188_
+*641 _189_
+*642 _190_
+*643 _191_
+*644 _192_
+*645 _193_
+*646 _194_
+*647 _195_
+*648 _196_
+*649 _197_
+*650 _198_
+*651 _199_
+*652 _200_
+*653 _201_
+*654 _202_
+*655 _203_
+*656 _204_
+*657 _205_
+*658 _206_
+*659 _207_
+*660 _208_
+*661 _209_
+*662 _210_
+*663 _211_
+*664 _212_
+*665 _213_
+*666 _214_
+*667 _215_
+*668 _216_
+*669 _217_
+*670 _218_
+*671 _219_
+*672 _220_
+*673 _221_
+*674 _222_
+*675 _223_
+*676 _224_
+*677 _225_
+*678 _226_
+*679 _227_
+*680 _228_
+*681 _229_
+*682 _230_
+*683 _231_
+*684 _232_
+*685 _233_
+*686 _234_
+*687 _235_
+*688 _236_
+*689 _237_
+*690 _238_
+*691 _239_
+*692 _240_
+*693 _241_
+*694 _242_
+*695 _243_
+*696 _244_
+*697 _245_
+*698 _246_
+*699 _247_
+*700 _248_
+*701 _249_
+*702 _250_
+*703 _251_
+*704 _252_
+*705 _253_
+*706 _254_
+*707 _255_
+*708 _256_
+*709 _257_
+*710 _258_
+*711 _259_
+*712 _260_
+*713 _261_
+*714 _262_
+*715 _263_
+*716 _264_
+*717 _265_
+*718 _266_
+*719 _267_
+*720 _268_
+*721 _269_
+*722 _270_
+*723 _271_
+*724 _272_
+*725 _273_
+*726 _274_
+*727 _275_
+*728 _276_
+*729 _277_
+*730 _278_
+*731 _279_
+*732 _280_
+*733 _281_
+*734 _282_
+*735 _283_
+*736 _284_
+*737 _285_
+*738 _286_
+*739 _287_
+*740 _288_
+*741 _289_
+*742 _290_
+*743 _291_
+*744 _292_
+*745 _293_
+*746 _294_
+*747 _295_
+*748 _296_
+*749 _297_
+*750 _298_
+*751 _299_
+*752 _300_
+*753 _301_
+*754 _302_
+*755 _303_
+*756 _304_
+*757 _305_
+*758 _306_
+*759 _307_
+*760 _308_
+*761 _309_
+*762 _310_
+*763 _311_
+*764 _312_
+*765 _313_
+*766 _314_
+*767 _315_
+*768 _316_
+*769 _317_
+*770 _318_
+*771 _319_
+*772 _320_
+*773 _321_
+*774 _322_
+*775 _323_
+*776 _324_
+*777 _325_
+*778 _326_
+*779 _327_
+*780 _328_
+*781 _329_
+*782 _330_
+*783 _331_
+*784 _332_
+*785 _333_
+*786 _334_
+*787 _335_
+*788 _336_
+*789 _337_
+*790 _338_
+*791 _339_
+*792 _340_
+*793 _341_
+*794 _342_
+*795 _343_
+*796 _344_
+*797 _345_
+*798 _346_
+*799 _347_
+*800 _348_
+*801 _349_
+*802 _350_
+*803 _351_
+*804 _352_
+*805 _353_
+*806 _354_
+*807 _355_
+*808 _356_
+*809 _357_
+*810 _358_
+*811 _359_
+*812 _360_
+*813 _361_
+*814 _362_
+*815 _363_
+*816 _364_
+*817 _365_
+*818 _366_
+*819 _367_
+*820 _368_
+*821 _369_
+*822 _370_
+*823 _371_
+*824 _372_
+*825 _373_
+*826 _374_
+*827 _375_
+*828 _376_
+*829 _377_
+*830 _378_
+*831 _379_
+*832 _380_
+*833 _381_
+*834 _382_
+*835 _383_
+*836 _384_
+*837 _385_
+*838 _386_
+*839 _387_
+*840 _388_
+*841 _389_
+*842 _390_
+*843 _391_
+*844 _392_
+*845 _393_
+*846 _394_
+*847 _395_
+*848 _396_
+*849 _397_
+*850 _398_
+*851 _399_
+*852 _400_
+*853 _401_
+*854 clockp_buffer_0
+*855 clockp_buffer_1
+*856 ringosc\.dstage\[0\]\.id\.delaybuf0
+*857 ringosc\.dstage\[0\]\.id\.delaybuf1
+*858 ringosc\.dstage\[0\]\.id\.delayen0
+*859 ringosc\.dstage\[0\]\.id\.delayen1
+*860 ringosc\.dstage\[0\]\.id\.delayenb0
+*861 ringosc\.dstage\[0\]\.id\.delayenb1
+*862 ringosc\.dstage\[0\]\.id\.delayint0
+*863 ringosc\.dstage\[10\]\.id\.delaybuf0
+*864 ringosc\.dstage\[10\]\.id\.delaybuf1
+*865 ringosc\.dstage\[10\]\.id\.delayen0
+*866 ringosc\.dstage\[10\]\.id\.delayen1
+*867 ringosc\.dstage\[10\]\.id\.delayenb0
+*868 ringosc\.dstage\[10\]\.id\.delayenb1
+*869 ringosc\.dstage\[10\]\.id\.delayint0
+*870 ringosc\.dstage\[11\]\.id\.delaybuf0
+*871 ringosc\.dstage\[11\]\.id\.delaybuf1
+*872 ringosc\.dstage\[11\]\.id\.delayen0
+*873 ringosc\.dstage\[11\]\.id\.delayen1
+*874 ringosc\.dstage\[11\]\.id\.delayenb0
+*875 ringosc\.dstage\[11\]\.id\.delayenb1
+*876 ringosc\.dstage\[11\]\.id\.delayint0
+*877 ringosc\.dstage\[1\]\.id\.delaybuf0
+*878 ringosc\.dstage\[1\]\.id\.delaybuf1
+*879 ringosc\.dstage\[1\]\.id\.delayen0
+*880 ringosc\.dstage\[1\]\.id\.delayen1
+*881 ringosc\.dstage\[1\]\.id\.delayenb0
+*882 ringosc\.dstage\[1\]\.id\.delayenb1
+*883 ringosc\.dstage\[1\]\.id\.delayint0
+*884 ringosc\.dstage\[2\]\.id\.delaybuf0
+*885 ringosc\.dstage\[2\]\.id\.delaybuf1
+*886 ringosc\.dstage\[2\]\.id\.delayen0
+*887 ringosc\.dstage\[2\]\.id\.delayen1
+*888 ringosc\.dstage\[2\]\.id\.delayenb0
+*889 ringosc\.dstage\[2\]\.id\.delayenb1
+*890 ringosc\.dstage\[2\]\.id\.delayint0
+*891 ringosc\.dstage\[3\]\.id\.delaybuf0
+*892 ringosc\.dstage\[3\]\.id\.delaybuf1
+*893 ringosc\.dstage\[3\]\.id\.delayen0
+*894 ringosc\.dstage\[3\]\.id\.delayen1
+*895 ringosc\.dstage\[3\]\.id\.delayenb0
+*896 ringosc\.dstage\[3\]\.id\.delayenb1
+*897 ringosc\.dstage\[3\]\.id\.delayint0
+*898 ringosc\.dstage\[4\]\.id\.delaybuf0
+*899 ringosc\.dstage\[4\]\.id\.delaybuf1
+*900 ringosc\.dstage\[4\]\.id\.delayen0
+*901 ringosc\.dstage\[4\]\.id\.delayen1
+*902 ringosc\.dstage\[4\]\.id\.delayenb0
+*903 ringosc\.dstage\[4\]\.id\.delayenb1
+*904 ringosc\.dstage\[4\]\.id\.delayint0
+*905 ringosc\.dstage\[5\]\.id\.delaybuf0
+*906 ringosc\.dstage\[5\]\.id\.delaybuf1
+*907 ringosc\.dstage\[5\]\.id\.delayen0
+*908 ringosc\.dstage\[5\]\.id\.delayen1
+*909 ringosc\.dstage\[5\]\.id\.delayenb0
+*910 ringosc\.dstage\[5\]\.id\.delayenb1
+*911 ringosc\.dstage\[5\]\.id\.delayint0
+*912 ringosc\.dstage\[6\]\.id\.delaybuf0
+*913 ringosc\.dstage\[6\]\.id\.delaybuf1
+*914 ringosc\.dstage\[6\]\.id\.delayen0
+*915 ringosc\.dstage\[6\]\.id\.delayen1
+*916 ringosc\.dstage\[6\]\.id\.delayenb0
+*917 ringosc\.dstage\[6\]\.id\.delayenb1
+*918 ringosc\.dstage\[6\]\.id\.delayint0
+*919 ringosc\.dstage\[7\]\.id\.delaybuf0
+*920 ringosc\.dstage\[7\]\.id\.delaybuf1
+*921 ringosc\.dstage\[7\]\.id\.delayen0
+*922 ringosc\.dstage\[7\]\.id\.delayen1
+*923 ringosc\.dstage\[7\]\.id\.delayenb0
+*924 ringosc\.dstage\[7\]\.id\.delayenb1
+*925 ringosc\.dstage\[7\]\.id\.delayint0
+*926 ringosc\.dstage\[8\]\.id\.delaybuf0
+*927 ringosc\.dstage\[8\]\.id\.delaybuf1
+*928 ringosc\.dstage\[8\]\.id\.delayen0
+*929 ringosc\.dstage\[8\]\.id\.delayen1
+*930 ringosc\.dstage\[8\]\.id\.delayenb0
+*931 ringosc\.dstage\[8\]\.id\.delayenb1
+*932 ringosc\.dstage\[8\]\.id\.delayint0
+*933 ringosc\.dstage\[9\]\.id\.delaybuf0
+*934 ringosc\.dstage\[9\]\.id\.delaybuf1
+*935 ringosc\.dstage\[9\]\.id\.delayen0
+*936 ringosc\.dstage\[9\]\.id\.delayen1
+*937 ringosc\.dstage\[9\]\.id\.delayenb0
+*938 ringosc\.dstage\[9\]\.id\.delayenb1
+*939 ringosc\.dstage\[9\]\.id\.delayint0
+*940 ringosc\.ibufp00
+*941 ringosc\.ibufp01
+*942 ringosc\.ibufp10
+*943 ringosc\.ibufp11
+*944 ringosc\.iss\.const1
+*945 ringosc\.iss\.ctrlen0
+*946 ringosc\.iss\.delaybuf0
+*947 ringosc\.iss\.delayen0
+*948 ringosc\.iss\.delayen1
+*949 ringosc\.iss\.delayenb0
+*950 ringosc\.iss\.delayenb1
+*951 ringosc\.iss\.delayint0
+*952 ringosc\.iss\.reseten0
+
+*PORTS
+clockp[0] O
+clockp[1] O
+dco I
+div[0] I
+div[1] I
+div[2] I
+div[3] I
+div[4] I
+enable I
+ext_trim[0] I
+ext_trim[10] I
+ext_trim[11] I
+ext_trim[12] I
+ext_trim[13] I
+ext_trim[14] I
+ext_trim[15] I
+ext_trim[16] I
+ext_trim[17] I
+ext_trim[18] I
+ext_trim[19] I
+ext_trim[1] I
+ext_trim[20] I
+ext_trim[21] I
+ext_trim[22] I
+ext_trim[23] I
+ext_trim[24] I
+ext_trim[25] I
+ext_trim[2] I
+ext_trim[3] I
+ext_trim[4] I
+ext_trim[5] I
+ext_trim[6] I
+ext_trim[7] I
+ext_trim[8] I
+ext_trim[9] I
+osc I
+resetb I
+
+*D_NET *3 0.000787684
+*CONN
+*P clockp[0] O
+*I *854:X O *D sky130_fd_sc_hd__clkbuf_16
+*CAP
+1 clockp[0] 0.000393842
+2 *854:X 0.000393842
+3 clockp[0] clockp[1] 0
+*RES
+1 *854:X clockp[0] 8.16177
+*END
+
+*D_NET *4 0.0056302
+*CONN
+*P clockp[1] O
+*I *855:X O *D sky130_fd_sc_hd__clkbuf_16
+*CAP
+1 clockp[1] 0.00158315
+2 *855:X 0.00158315
+3 clockp[1] *337:DIODE 6.8665e-05
+4 clockp[1] *344:DIODE 5.00699e-05
+5 clockp[1] *349:DIODE 9.64335e-05
+6 clockp[1] *359:DIODE 0
+7 clockp[1] *635:A0 9.30787e-05
+8 clockp[1] *635:S 0
+9 clockp[1] *657:A 0
+10 clockp[1] *657:B 5.22058e-05
+11 clockp[1] *661:A 0
+12 clockp[1] *664:A2 0
+13 clockp[1] *667:A1 0
+14 clockp[1] *671:B 0
+15 clockp[1] *673:A 0.000139245
+16 clockp[1] *673:B 0.000187688
+17 clockp[1] *677:A2 0
+18 clockp[1] *678:B 0.000481053
+19 clockp[1] *688:A 0
+20 clockp[1] *826:B 0.00010189
+21 clockp[1] *828:B 0
+22 clockp[1] *849:D 5.2089e-05
+23 clockp[1] *852:D 0.000292051
+24 clockp[1] *853:RESET_B 0.000308734
+25 clockp[1] *5:10 3.99339e-05
+26 clockp[1] *5:611 0
+27 clockp[1] *6:7 0
+28 clockp[1] *7:29 0
+29 clockp[1] *9:38 2.15594e-05
+30 clockp[1] *93:9 7.81876e-05
+31 clockp[1] *109:16 0
+32 clockp[1] *111:8 8.89953e-05
+33 clockp[1] *113:12 0
+34 clockp[1] *129:17 0.000199887
+35 clockp[1] *222:10 0.000112123
+36 clockp[0] clockp[1] 0
+*RES
+1 *855:X clockp[1] 32.1023
+*END
+
+*D_NET *5 0.0777935
+*CONN
+*P dco I
+*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *747:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *752:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *362:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *374:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *765:B I *D sky130_fd_sc_hd__nand2b_2
+*I *768:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *758:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *741:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *766:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *795:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *764:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *762:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *778:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *392:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *745:A_N I *D sky130_fd_sc_hd__and2b_2
+*I *771:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *769:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *740:A I *D sky130_fd_sc_hd__nor2_2
+*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *413:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *815:A I *D sky130_fd_sc_hd__nor2_2
+*I *420:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *817:A I *D sky130_fd_sc_hd__nor2_2
+*I *814:A I *D sky130_fd_sc_hd__nor2_2
+*I *412:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *828:A I *D sky130_fd_sc_hd__nor2_2
+*I *426:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *826:A I *D sky130_fd_sc_hd__nor2_2
+*I *425:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *827:A I *D sky130_fd_sc_hd__nor2_2
+*I *809:A I *D sky130_fd_sc_hd__nor2_2
+*I *813:A I *D sky130_fd_sc_hd__nor2_2
+*I *411:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *400:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *805:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *816:A I *D sky130_fd_sc_hd__nor2_2
+*I *821:A I *D sky130_fd_sc_hd__nor2_2
+*I *419:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *414:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *416:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *818:A I *D sky130_fd_sc_hd__nor2_2
+*I *418:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *417:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *820:A I *D sky130_fd_sc_hd__nor2_2
+*I *819:A I *D sky130_fd_sc_hd__nor2_2
+*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *383:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *387:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *789:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *389:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *793:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *386:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *396:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *393:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *802:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:B I *D sky130_fd_sc_hd__nor2_2
+*I *786:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *382:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *806:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *402:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *397:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *804:A_N I *D sky130_fd_sc_hd__and3b_2
+*I *399:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *422:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *408:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *824:A I *D sky130_fd_sc_hd__nor2_2
+*I *810:A I *D sky130_fd_sc_hd__nor2_2
+*I *825:A I *D sky130_fd_sc_hd__nor2_2
+*I *423:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *823:A I *D sky130_fd_sc_hd__nor2_2
+*I *421:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *808:A I *D sky130_fd_sc_hd__nor2_2
+*I *407:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *424:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *406:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *410:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *812:A I *D sky130_fd_sc_hd__nor2_2
+*I *409:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *811:A I *D sky130_fd_sc_hd__nor2_2
+*I *415:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *822:A I *D sky130_fd_sc_hd__nor2_2
+*I *427:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *829:A I *D sky130_fd_sc_hd__nor2_2
+*I *428:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *830:A I *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 dco 0.000602511
+2 *349:DIODE 0.000183316
+3 *358:DIODE 0
+4 *747:A1 0
+5 *752:A1 0
+6 *356:DIODE 0
+7 *754:A1 0.000101192
+8 *362:DIODE 0
+9 *374:DIODE 0
+10 *375:DIODE 2.88526e-05
+11 *765:B 0.000148428
+12 *768:B2 0.000120329
+13 *758:A1 0
+14 *364:DIODE 0.00013164
+15 *371:DIODE 0
+16 *360:DIODE 0
+17 *757:A1 2.42507e-05
+18 *751:A1 2.78682e-05
+19 *354:DIODE 0.000169784
+20 *351:DIODE 5.7882e-05
+21 *741:A2 5.13782e-05
+22 *347:DIODE 6.0607e-05
+23 *379:DIODE 4.15416e-05
+24 *766:A1 0
+25 *350:DIODE 0
+26 *798:B2 2.01332e-05
+27 *795:A1 0.000324673
+28 *764:A1 0
+29 *366:DIODE 0.000112733
+30 *761:A1 0
+31 *762:A1 2.11887e-05
+32 *368:DIODE 0
+33 *372:DIODE 3.37871e-05
+34 *778:A1 6.95686e-05
+35 *392:DIODE 0
+36 *745:A_N 2.64626e-05
+37 *771:A1 6.8583e-05
+38 *769:A1 0.00010151
+39 *740:A 2.65678e-05
+40 *377:DIODE 0
+41 *413:DIODE 9.5872e-06
+42 *815:A 0
+43 *420:DIODE 0
+44 *817:A 6.38092e-05
+45 *814:A 3.67679e-05
+46 *412:DIODE 0
+47 *828:A 3.43048e-05
+48 *426:DIODE 0
+49 *826:A 0.000469875
+50 *425:DIODE 7.08131e-05
+51 *827:A 0.000166082
+52 *809:A 0.000188681
+53 *813:A 0
+54 *411:DIODE 0.000248032
+55 *400:DIODE 3.76975e-05
+56 *805:A1 1.54807e-05
+57 *816:A 0
+58 *821:A 1.18464e-05
+59 *419:DIODE 0
+60 *414:DIODE 0
+61 *416:DIODE 6.59198e-05
+62 *818:A 3.58421e-05
+63 *418:DIODE 0.000300269
+64 *417:DIODE 0
+65 *820:A 1.04904e-05
+66 *819:A 1.85694e-05
+67 *353:DIODE 0
+68 *800:A1 5.07461e-06
+69 *383:DIODE 0.000582618
+70 *387:DIODE 0
+71 *789:A1 0
+72 *389:DIODE 6.28902e-05
+73 *793:B2 1.40022e-05
+74 *386:DIODE 0
+75 *396:DIODE 0.00019602
+76 *393:DIODE 0
+77 *794:A1 0.000172518
+78 *802:B2 3.66893e-05
+79 *748:B 0
+80 *786:B2 9.8126e-05
+81 *382:DIODE 0.000220117
+82 *803:A1 0.00016928
+83 *806:A1 5.08748e-05
+84 *402:DIODE 3.58358e-05
+85 *397:DIODE 3.69588e-05
+86 *804:A_N 2.08101e-05
+87 *399:DIODE 0
+88 *422:DIODE 4.93979e-05
+89 *408:DIODE 0
+90 *824:A 9.19084e-06
+91 *810:A 0
+92 *825:A 2.9682e-05
+93 *423:DIODE 0
+94 *823:A 0
+95 *421:DIODE 0.000683033
+96 *808:A 1.85694e-05
+97 *407:DIODE 0
+98 *424:DIODE 0.000123108
+99 *406:DIODE 0
+100 *410:DIODE 0
+101 *812:A 0.000107531
+102 *409:DIODE 0
+103 *811:A 0.000119879
+104 *415:DIODE 2.32329e-05
+105 *822:A 0.000117362
+106 *427:DIODE 0
+107 *829:A 4.96752e-05
+108 *428:DIODE 0
+109 *830:A 0
+110 *5:1008 0.00031176
+111 *5:1003 0.000423605
+112 *5:1001 0.000388347
+113 *5:971 0.000268757
+114 *5:969 0.000285015
+115 *5:965 0.000336891
+116 *5:949 0.000393996
+117 *5:943 0.000404454
+118 *5:935 0.000197749
+119 *5:930 0.00013638
+120 *5:928 0.000327595
+121 *5:904 0.000428684
+122 *5:902 0.000274164
+123 *5:894 0.000141068
+124 *5:891 0.000310384
+125 *5:888 0.000577791
+126 *5:876 0.000710865
+127 *5:838 0.000622116
+128 *5:817 0.000170648
+129 *5:806 0.000197386
+130 *5:803 0.000134052
+131 *5:801 0.000452172
+132 *5:796 0.00018626
+133 *5:785 0.000109518
+134 *5:780 0.000151081
+135 *5:761 0.000413281
+136 *5:758 0.000488624
+137 *5:749 0.000143071
+138 *5:739 0.000142796
+139 *5:731 0.000141123
+140 *5:727 6.73069e-05
+141 *5:726 0.000177581
+142 *5:716 0.000134912
+143 *5:714 0.000427405
+144 *5:700 0.000654909
+145 *5:688 1.73518e-05
+146 *5:685 0.000420323
+147 *5:613 0.00032125
+148 *5:611 0.000808264
+149 *5:606 0.00027525
+150 *5:604 0.000376933
+151 *5:592 0.000338747
+152 *5:590 0.000158144
+153 *5:561 0.000501017
+154 *5:544 0.000240015
+155 *5:534 0.000284311
+156 *5:504 0.000274561
+157 *5:487 0.000361405
+158 *5:446 0.00062768
+159 *5:409 0.000523139
+160 *5:398 0.000410004
+161 *5:397 0.000171227
+162 *5:393 0.000379377
+163 *5:384 0.000461131
+164 *5:377 0.000121525
+165 *5:356 0.000209208
+166 *5:351 0.000356519
+167 *5:346 0.000498935
+168 *5:331 0.000227977
+169 *5:311 0.000533599
+170 *5:307 0.000469868
+171 *5:290 0.000432018
+172 *5:281 0.000247699
+173 *5:279 0.000319325
+174 *5:266 0.000562005
+175 *5:261 0.000518122
+176 *5:259 0.000128406
+177 *5:257 0.000229629
+178 *5:252 0.000411189
+179 *5:241 0.000143698
+180 *5:235 0.000313674
+181 *5:229 0.000336167
+182 *5:227 0.000204188
+183 *5:223 0.000217792
+184 *5:222 0.00022686
+185 *5:208 0.000183579
+186 *5:196 2.82175e-05
+187 *5:194 0.000219986
+188 *5:191 0.000329054
+189 *5:180 0.000268886
+190 *5:175 0.00027122
+191 *5:164 0.000220006
+192 *5:154 0.000773145
+193 *5:146 0.000403089
+194 *5:142 0.000558592
+195 *5:140 0.000418011
+196 *5:129 0.000397112
+197 *5:109 0.000175571
+198 *5:108 0.000144043
+199 *5:105 0.000868956
+200 *5:88 0.00104212
+201 *5:77 0.000337389
+202 *5:72 0.000336716
+203 *5:70 0.000484447
+204 *5:66 0.00049594
+205 *5:51 0.000496171
+206 *5:43 0.000727181
+207 *5:37 0.000425842
+208 *5:27 0.000295406
+209 *5:23 0.000387081
+210 *5:21 3.01352e-05
+211 *5:15 0.000130637
+212 *5:10 0.000121715
+213 *5:7 0.00080704
+214 *347:DIODE *700:B 7.3151e-05
+215 *349:DIODE *359:DIODE 3.68605e-05
+216 *354:DIODE *355:DIODE 1.1561e-05
+217 *354:DIODE *751:A2 1.45064e-05
+218 *354:DIODE *277:6 7.4027e-05
+219 *364:DIODE *758:A2 4.63425e-05
+220 *364:DIODE *36:10 2.50123e-05
+221 *366:DIODE *761:A2 0.000103027
+222 *366:DIODE *762:A2 7.99093e-05
+223 *366:DIODE *913:A 0.000198945
+224 *366:DIODE *915:A 0.000239807
+225 *366:DIODE *916:A 0.000124895
+226 *366:DIODE *180:49 4.60094e-05
+227 *366:DIODE *312:16 0.000124007
+228 *372:DIODE *178:36 4.77769e-05
+229 *375:DIODE *908:TE 2.75229e-05
+230 *379:DIODE *766:A3 0.0001249
+231 *379:DIODE *334:14 1.91597e-05
+232 *382:DIODE *692:B 1.91597e-05
+233 *382:DIODE *779:B 4.66108e-05
+234 *382:DIODE *86:13 8.23457e-05
+235 *382:DIODE *130:21 2.73058e-05
+236 *382:DIODE *130:39 9.0209e-05
+237 *382:DIODE *142:29 1.7635e-05
+238 *382:DIODE *236:43 1.42048e-05
+239 *382:DIODE *236:67 1.29665e-05
+240 *383:DIODE *934:A 2.4997e-05
+241 *383:DIODE *935:A 0.00019078
+242 *383:DIODE *936:A 8.35118e-05
+243 *383:DIODE *936:TE 1.41165e-05
+244 *383:DIODE *936:Z 6.13872e-05
+245 *383:DIODE *939:A 0.000419723
+246 *383:DIODE *21:7 8.08153e-06
+247 *383:DIODE *22:8 4.80182e-05
+248 *383:DIODE *254:8 9.24881e-05
+249 *389:DIODE *384:DIODE 1.10951e-05
+250 *389:DIODE *788:C 0
+251 *389:DIODE *926:A 3.4222e-05
+252 *389:DIODE *207:12 4.91459e-05
+253 *396:DIODE *777:A 8.23457e-05
+254 *396:DIODE *780:A 0
+255 *396:DIODE *142:62 2.58731e-05
+256 *396:DIODE *143:63 7.05863e-06
+257 *396:DIODE *183:11 6.72196e-05
+258 *396:DIODE *187:33 1.52949e-05
+259 *396:DIODE *187:47 3.63701e-06
+260 *397:DIODE *131:20 4.66108e-05
+261 *400:DIODE *805:A2 4.99619e-05
+262 *402:DIODE *235:52 4.66108e-05
+263 *411:DIODE *686:B 0
+264 *411:DIODE *690:C 0
+265 *411:DIODE *690:D 0.000275033
+266 *411:DIODE *839:CLK 1.93834e-05
+267 *411:DIODE *141:8 6.35048e-05
+268 *413:DIODE *221:43 7.66083e-05
+269 *413:DIODE *333:235 8.8266e-05
+270 *415:DIODE *7:29 2.75145e-05
+271 *418:DIODE *872:TE 5.011e-05
+272 *418:DIODE *872:Z 1.4389e-05
+273 *421:DIODE *848:D 0.000360581
+274 *421:DIODE *333:95 5.80043e-05
+275 *424:DIODE *89:34 8.84166e-05
+276 *425:DIODE *216:145 2.75229e-05
+277 *740:A *771:B2 7.23533e-05
+278 *740:A *132:8 4.9968e-05
+279 *740:A *175:22 1.07797e-05
+280 *741:A2 *741:A1 3.00381e-05
+281 *745:A_N *745:B 4.66108e-05
+282 *745:A_N *778:B1 4.80285e-05
+283 *745:A_N *132:30 4.66108e-05
+284 *751:A1 *751:B1 3.26889e-05
+285 *754:A1 *359:DIODE 3.69049e-05
+286 *754:A1 *32:11 0.000120094
+287 *757:A1 *757:B1 3.17194e-05
+288 *762:A1 *762:A2 1.2818e-05
+289 *762:A1 *762:B2 4.10929e-05
+290 *762:A1 *764:A2 8.35118e-05
+291 *765:B *908:A 4.24771e-05
+292 *765:B *908:TE 2.78177e-05
+293 *765:B *912:A 8.34904e-06
+294 *765:B *917:TE_B 3.75882e-06
+295 *768:B2 *373:DIODE 0.000160641
+296 *768:B2 *768:B1 0.000188957
+297 *768:B2 *908:A 0.00013521
+298 *768:B2 *908:TE 7.17811e-05
+299 *768:B2 *179:32 0.00020655
+300 *769:A1 *376:DIODE 6.90485e-05
+301 *769:A1 *766:A3 5.83121e-06
+302 *769:A1 *769:B1 4.82863e-05
+303 *769:A1 *15:10 5.49581e-05
+304 *769:A1 *334:14 0.000153557
+305 *771:A1 *683:B 6.45599e-06
+306 *771:A1 *771:A2 6.10352e-05
+307 *771:A1 *130:94 5.45302e-06
+308 *771:A1 *150:8 3.7729e-05
+309 *771:A1 *175:22 6.76985e-05
+310 *778:A1 *778:A2 9.30717e-05
+311 *778:A1 *86:40 9.30717e-05
+312 *778:A1 *150:32 4.66108e-05
+313 *786:B2 *130:39 8.05852e-05
+314 *786:B2 *131:62 3.79221e-05
+315 *786:B2 *236:67 8.48776e-05
+316 *793:B2 *798:A3 2.69153e-05
+317 *793:B2 *325:7 2.69153e-05
+318 *794:A1 *794:A2 0
+319 *794:A1 *794:B1 2.71298e-05
+320 *794:A1 *800:A2 4.25093e-05
+321 *794:A1 *865:A 0.000167284
+322 *794:A1 *866:A 6.07575e-06
+323 *794:A1 *866:TE 4.8854e-05
+324 *794:A1 *26:17 0.000139144
+325 *794:A1 *326:5 4.82919e-05
+326 *795:A1 *795:A2 3.5457e-05
+327 *795:A1 *798:A1 0
+328 *795:A1 *922:A 0.000122083
+329 *795:A1 *922:TE 0
+330 *795:A1 *922:Z 3.68682e-05
+331 *795:A1 *16:5 0
+332 *795:A1 *180:62 3.32994e-05
+333 *795:A1 *312:16 6.47727e-06
+334 *795:A1 *313:8 0
+335 *798:B2 *785:C 4.77757e-05
+336 *800:A1 *792:C 1.00941e-05
+337 *800:A1 *207:12 1.15399e-05
+338 *802:B2 *800:A2 4.0843e-05
+339 *802:B2 *26:17 0.000159741
+340 *802:B2 *326:5 8.49205e-05
+341 *803:A1 *803:B1 0.000161003
+342 *803:A1 *948:TE 1.41165e-05
+343 *803:A1 *335:5 2.65821e-05
+344 *806:A1 *806:A2 4.85529e-05
+345 *808:A *823:B 0
+346 *808:A *333:84 9.53428e-06
+347 *809:A *738:A0 2.16917e-05
+348 *809:A *833:CLK 1.13438e-05
+349 *809:A *89:5 5.74791e-05
+350 *809:A *230:11 8.23457e-05
+351 *811:A *811:B 0.000164874
+352 *811:A *834:RESET_B 0.00012657
+353 *817:A *837:D 6.52347e-05
+354 *817:A *840:CLK 3.83194e-05
+355 *817:A *216:56 2.69153e-05
+356 *819:A *819:B 9.53428e-06
+357 *821:A *821:B 8.75786e-06
+358 *822:A *845:RESET_B 9.71067e-05
+359 *822:A *221:10 0
+360 *822:A *221:43 0
+361 *822:A *333:235 3.65039e-05
+362 *824:A *824:B 8.64421e-05
+363 *825:A *825:B 1.37328e-05
+364 *826:A *651:A 3.23663e-05
+365 *826:A *651:B 2.75145e-05
+366 *826:A *673:A 8.8266e-05
+367 *826:A *121:12 8.65961e-05
+368 *826:A *222:17 0.000111909
+369 *826:A *222:21 1.34503e-05
+370 *827:A *405:DIODE 8.41307e-05
+371 *827:A *672:A 0.000210144
+372 *827:A *672:B 6.65339e-05
+373 *827:A *826:B 0.000268745
+374 *827:A *827:B 2.61042e-05
+375 *827:A *850:D 2.61042e-05
+376 *827:A *854:A 0.000189832
+377 *827:A *39:10 4.14882e-05
+378 *827:A *333:15 7.32549e-05
+379 *828:A *690:D 0
+380 *828:A *828:B 9.12671e-06
+381 *829:A *852:RESET_B 7.20473e-05
+382 *5:7 *11:8 0
+383 *5:7 *12:10 0
+384 *5:10 *359:DIODE 1.16467e-05
+385 *5:15 *635:A0 4.35348e-05
+386 *5:15 *642:B 4.99619e-05
+387 *5:15 *830:B 0.000312182
+388 *5:15 *221:55 9.02259e-05
+389 *5:27 *830:B 8.01855e-05
+390 *5:27 *852:RESET_B 0.000115633
+391 *5:27 *11:8 1.88602e-05
+392 *5:27 *216:9 8.40213e-05
+393 *5:27 *216:13 1.16578e-05
+394 *5:27 *333:246 6.60888e-05
+395 *5:37 *216:9 2.10214e-05
+396 *5:43 *216:9 6.60042e-05
+397 *5:43 *216:43 0.000266614
+398 *5:51 *845:D 0.000142054
+399 *5:51 *216:43 1.08758e-05
+400 *5:66 *705:A1 0
+401 *5:66 *845:D 0.000113255
+402 *5:66 *129:63 1.22676e-05
+403 *5:66 *333:235 8.68724e-05
+404 *5:70 *685:C_N 0
+405 *5:70 *705:A1 0
+406 *5:70 *129:37 0
+407 *5:70 *129:48 0
+408 *5:70 *129:58 0
+409 *5:70 *171:10 7.53989e-05
+410 *5:70 *333:219 0.000281827
+411 *5:70 *333:235 3.05373e-05
+412 *5:72 *171:10 7.27975e-05
+413 *5:72 *333:201 4.24457e-05
+414 *5:72 *333:212 0.00015255
+415 *5:72 *333:219 2.98587e-05
+416 *5:77 *343:DIODE 4.47209e-05
+417 *5:77 *7:29 5.83121e-06
+418 *5:77 *171:10 4.77013e-05
+419 *5:77 *333:50 5.23362e-05
+420 *5:77 *333:55 2.49909e-05
+421 *5:77 *333:201 9.044e-05
+422 *5:88 *731:A1 4.54719e-05
+423 *5:88 *834:RESET_B 9.30787e-05
+424 *5:88 *168:8 7.96909e-05
+425 *5:88 *217:64 0.000105776
+426 *5:88 *218:8 0.000266612
+427 *5:88 *333:50 7.03934e-05
+428 *5:105 *734:A 1.32843e-05
+429 *5:105 *811:B 6.27029e-05
+430 *5:105 *835:CLK 1.77595e-05
+431 *5:105 *835:D 4.77757e-05
+432 *5:105 *835:RESET_B 8.07616e-05
+433 *5:108 *739:A 0
+434 *5:108 *831:D 4.70343e-05
+435 *5:108 *216:83 7.25517e-05
+436 *5:108 *216:88 0.000112907
+437 *5:109 *216:88 2.75229e-05
+438 *5:129 *216:83 6.05336e-05
+439 *5:140 *739:A 0.0001249
+440 *5:140 *89:34 0.000111285
+441 *5:140 *230:11 3.66286e-05
+442 *5:146 *739:A 0
+443 *5:146 *739:B 0.000139933
+444 *5:146 *831:RESET_B 1.95248e-05
+445 *5:146 *230:8 6.71952e-05
+446 *5:146 *333:76 0.000241483
+447 *5:146 *333:84 2.30292e-05
+448 *5:154 *333:84 9.91257e-05
+449 *5:154 *333:95 1.46744e-05
+450 *5:164 *823:B 9.53428e-06
+451 *5:175 *833:CLK 6.10014e-05
+452 *5:175 *833:RESET_B 0
+453 *5:175 *29:8 0.000402055
+454 *5:175 *333:95 4.66108e-05
+455 *5:180 *88:5 3.45679e-05
+456 *5:191 *825:B 8.57024e-05
+457 *5:191 *833:RESET_B 9.37731e-05
+458 *5:191 *839:RESET_B 1.94723e-05
+459 *5:191 *88:5 4.36241e-05
+460 *5:191 *333:106 0.000116165
+461 *5:191 *333:123 2.14129e-05
+462 *5:194 *824:B 4.21579e-05
+463 *5:194 *833:RESET_B 5.83121e-06
+464 *5:196 *824:B 5.4155e-06
+465 *5:208 *824:B 4.40028e-05
+466 *5:222 *839:RESET_B 5.72742e-05
+467 *5:222 *29:8 0
+468 *5:222 *333:123 0.000182401
+469 *5:222 *333:144 2.07338e-05
+470 *5:223 *839:RESET_B 4.35348e-05
+471 *5:227 *821:B 1.47256e-05
+472 *5:227 *839:RESET_B 2.75229e-05
+473 *5:235 *804:B 1.17409e-05
+474 *5:235 *844:RESET_B 0.000197264
+475 *5:235 *29:8 0.000329874
+476 *5:235 *140:22 0
+477 *5:235 *236:10 0
+478 *5:241 *804:B 1.33293e-05
+479 *5:241 *804:C 1.16578e-05
+480 *5:252 *804:B 3.0807e-05
+481 *5:252 *804:C 0.000119756
+482 *5:252 *333:144 0.000122483
+483 *5:257 *333:144 1.03592e-05
+484 *5:257 *333:155 0.000141606
+485 *5:261 *819:B 3.03754e-05
+486 *5:261 *843:RESET_B 2.75145e-05
+487 *5:266 *695:A3 0
+488 *5:266 *695:B1 0
+489 *5:266 *716:C1 0.000127586
+490 *5:266 *806:A2 6.06948e-05
+491 *5:266 *819:B 2.75145e-05
+492 *5:266 *947:TE 0.000160658
+493 *5:266 *29:8 0.000711294
+494 *5:266 *145:5 5.83121e-06
+495 *5:266 *334:14 0.000245929
+496 *5:279 *806:A2 0.000119786
+497 *5:279 *234:97 2.93262e-05
+498 *5:279 *334:14 0.000153495
+499 *5:290 *806:A2 6.51782e-07
+500 *5:290 *806:B2 0
+501 *5:290 *235:52 0.000145515
+502 *5:307 *796:B1 6.98204e-05
+503 *5:307 *797:A 5.39961e-05
+504 *5:307 *234:97 4.75134e-05
+505 *5:307 *234:108 0.000109016
+506 *5:307 *334:14 6.64348e-05
+507 *5:311 *779:B 1.33293e-05
+508 *5:311 *796:B1 4.29198e-05
+509 *5:311 *142:29 1.56428e-05
+510 *5:311 *236:46 2.49909e-05
+511 *5:331 *786:A3 0.000111061
+512 *5:331 *806:B2 0.000111061
+513 *5:346 *786:A3 2.14129e-05
+514 *5:346 *791:A 9.30717e-05
+515 *5:346 *792:C 9.08764e-05
+516 *5:346 *806:B1 0.000169524
+517 *5:346 *806:B2 0.000110735
+518 *5:346 *83:20 8.71757e-05
+519 *5:346 *207:12 2.10433e-05
+520 *5:351 *869:A 0.000109175
+521 *5:351 *237:89 0
+522 *5:351 *256:21 6.34192e-05
+523 *5:351 *263:21 0.000403124
+524 *5:377 *794:A2 3.32976e-05
+525 *5:377 *801:A 1.01661e-05
+526 *5:377 *936:TE 7.13993e-05
+527 *5:384 *801:A 4.93384e-05
+528 *5:384 *936:TE 0.000118618
+529 *5:393 *789:B1 2.06566e-05
+530 *5:393 *921:Z 5.19006e-06
+531 *5:393 *929:TE 7.28465e-06
+532 *5:393 *930:TE_B 0.000121039
+533 *5:393 *931:A 0.000175213
+534 *5:393 *19:8 2.21074e-05
+535 *5:393 *316:8 9.41223e-05
+536 *5:393 *319:11 0.000373185
+537 *5:397 *384:DIODE 0.000119251
+538 *5:397 *921:Z 4.60814e-05
+539 *5:397 *19:8 3.75203e-05
+540 *5:397 *319:11 2.62258e-05
+541 *5:398 *384:DIODE 0.000103446
+542 *5:398 *207:12 0.000195828
+543 *5:409 *384:DIODE 9.15912e-05
+544 *5:409 *395:DIODE 0.000114996
+545 *5:409 *777:A 4.66108e-05
+546 *5:409 *790:A2 0.000171352
+547 *5:409 *790:B1 1.66925e-05
+548 *5:409 *790:B2 3.80608e-05
+549 *5:409 *130:45 6.17688e-05
+550 *5:409 *207:12 0.000179281
+551 *5:409 *263:21 0.00013912
+552 *5:446 *936:TE 3.64998e-06
+553 *5:487 *872:TE 1.87038e-05
+554 *5:504 *818:B 2.20014e-05
+555 *5:504 *841:RESET_B 4.77757e-05
+556 *5:504 *333:155 0
+557 *5:534 *821:B 1.71828e-05
+558 *5:544 *821:B 6.35836e-06
+559 *5:561 *686:B 2.23407e-05
+560 *5:561 *686:C 0.000287556
+561 *5:561 *739:B 7.06865e-05
+562 *5:561 *832:D 0
+563 *5:561 *839:CLK 0.000123225
+564 *5:561 *333:64 0.000124895
+565 *5:590 *690:D 0.000414537
+566 *5:590 *814:B 0.000141323
+567 *5:590 *837:RESET_B 1.16253e-05
+568 *5:590 *216:64 1.0063e-05
+569 *5:592 *660:A 3.64998e-06
+570 *5:592 *690:D 3.74161e-05
+571 *5:604 *637:S 2.98807e-05
+572 *5:604 *646:A 7.80666e-05
+573 *5:604 *648:B 0
+574 *5:604 *660:A 6.05513e-05
+575 *5:604 *690:D 0.000648445
+576 *5:604 *828:B 1.83756e-05
+577 *5:604 *88:39 3.72516e-05
+578 *5:604 *104:8 0
+579 *5:604 *219:37 6.26945e-05
+580 *5:604 *224:20 1.84465e-05
+581 *5:606 *690:D 7.40446e-05
+582 *5:606 *828:B 3.31103e-05
+583 *5:611 *337:DIODE 8.6099e-05
+584 *5:611 *338:DIODE 0.000134509
+585 *5:611 *639:S 0.000181103
+586 *5:611 *661:A 0.000138544
+587 *5:611 *673:B 8.34505e-05
+588 *5:611 *690:D 0.000158066
+589 *5:611 *828:B 2.11084e-05
+590 *5:613 *639:S 1.70935e-05
+591 *5:613 *672:A 6.28498e-05
+592 *5:613 *672:B 3.18219e-05
+593 *5:613 *673:B 4.5783e-05
+594 *5:613 *216:144 0
+595 *5:685 *838:RESET_B 9.37731e-05
+596 *5:685 *941:A 0
+597 *5:685 *333:245 7.9642e-06
+598 *5:688 *221:43 4.99619e-05
+599 *5:688 *333:235 4.82919e-05
+600 *5:700 *858:Z 0
+601 *5:700 *859:TE 0
+602 *5:700 *859:Z 6.90085e-05
+603 *5:700 *862:A 9.12857e-06
+604 *5:700 *940:A 4.56432e-05
+605 *5:700 *156:11 0
+606 *5:700 *234:52 3.72081e-05
+607 *5:700 *333:245 4.82172e-06
+608 *5:714 *683:A 2.30292e-05
+609 *5:714 *683:B 0.000160635
+610 *5:714 *700:B 0.00019315
+611 *5:714 *741:B1 3.86988e-05
+612 *5:714 *882:TE_B 7.66908e-05
+613 *5:714 *150:8 4.82863e-05
+614 *5:714 *234:52 5.09395e-05
+615 *5:714 *249:8 0
+616 *5:726 *771:B2 0.000114492
+617 *5:726 *774:C1 0
+618 *5:726 *16:5 0
+619 *5:726 *132:8 0.000171873
+620 *5:726 *132:29 0.000112154
+621 *5:726 *334:14 0.000103631
+622 *5:727 *766:A3 8.23457e-05
+623 *5:727 *334:14 3.83194e-05
+624 *5:731 *766:A3 4.21973e-05
+625 *5:731 *334:14 7.40446e-05
+626 *5:749 *766:A2 0.000176746
+627 *5:749 *766:B1 0.000200618
+628 *5:749 *150:8 7.1301e-06
+629 *5:749 *175:22 2.17599e-05
+630 *5:758 *766:B1 0.000106648
+631 *5:758 *175:22 6.10014e-05
+632 *5:761 *756:B1 2.61207e-05
+633 *5:761 *756:C1 0.000122331
+634 *5:761 *768:A3 7.67415e-06
+635 *5:761 *782:A1 3.2593e-05
+636 *5:761 *782:A2 7.16048e-06
+637 *5:761 *150:32 0.000122331
+638 *5:761 *185:13 9.80032e-06
+639 *5:780 *762:B1 2.49909e-05
+640 *5:780 *766:B1 0.000186587
+641 *5:780 *175:22 9.79857e-05
+642 *5:780 *176:28 3.57349e-05
+643 *5:785 *753:A2 2.98587e-05
+644 *5:785 *762:B1 2.49909e-05
+645 *5:785 *766:B1 9.10613e-05
+646 *5:785 *15:10 3.29269e-05
+647 *5:785 *176:28 4.82863e-05
+648 *5:796 *766:B1 6.90254e-05
+649 *5:796 *15:10 3.3625e-05
+650 *5:801 *764:B1 2.03356e-05
+651 *5:801 *764:B2 2.49909e-05
+652 *5:801 *766:B1 4.66108e-05
+653 *5:801 *784:A 1.08105e-05
+654 *5:803 *784:A 4.87465e-06
+655 *5:806 *762:A2 2.85623e-05
+656 *5:806 *762:B1 4.85286e-05
+657 *5:806 *762:B2 7.27402e-06
+658 *5:806 *764:A2 0.000214967
+659 *5:806 *175:22 6.90381e-05
+660 *5:817 *761:B2 2.58818e-05
+661 *5:817 *762:A2 0.00012585
+662 *5:817 *764:A2 2.89143e-05
+663 *5:817 *180:62 3.09233e-05
+664 *5:838 *764:A2 7.92982e-06
+665 *5:838 *784:A 0.000138783
+666 *5:838 *784:C 4.77769e-05
+667 *5:838 *785:C 8.52972e-05
+668 *5:838 *325:7 6.9054e-05
+669 *5:876 *359:DIODE 0
+670 *5:876 *830:B 1.07797e-05
+671 *5:876 *858:TE 0.000164073
+672 *5:876 *248:6 4.74267e-05
+673 *5:888 *359:DIODE 0
+674 *5:888 *858:A 0
+675 *5:888 *879:TE 4.35348e-05
+676 *5:888 *879:Z 0
+677 *5:888 *881:TE_B 4.40812e-05
+678 *5:888 *890:A 0.000253845
+679 *5:888 *942:A 0
+680 *5:888 *248:6 2.97004e-06
+681 *5:888 *269:8 0
+682 *5:891 *359:DIODE 0.000176273
+683 *5:891 *361:DIODE 2.46089e-05
+684 *5:891 *887:A 1.71013e-05
+685 *5:891 *891:A 0
+686 *5:891 *274:10 8.08153e-06
+687 *5:894 *757:B1 1.08758e-05
+688 *5:902 *757:B1 5.4155e-06
+689 *5:904 *751:B1 0.000354719
+690 *5:904 *757:A2 8.73389e-06
+691 *5:904 *891:A 1.91597e-05
+692 *5:928 *359:DIODE 0.000358937
+693 *5:928 *361:DIODE 4.49328e-05
+694 *5:928 *33:8 0
+695 *5:928 *283:6 3.89528e-05
+696 *5:935 *370:DIODE 5.062e-06
+697 *5:943 *370:DIODE 2.53645e-05
+698 *5:949 *758:A2 2.65729e-05
+699 *5:949 *899:A 1.0397e-05
+700 *5:949 *902:A 8.41384e-05
+701 *5:949 *905:A 0.000278188
+702 *5:949 *37:10 0.000228863
+703 *5:949 *288:9 8.34999e-05
+704 *5:949 *293:16 2.3228e-05
+705 *5:949 *305:7 3.81062e-05
+706 *5:965 *370:DIODE 4.90383e-05
+707 *5:969 *908:A 4.05602e-05
+708 *5:969 *908:TE 5.83121e-06
+709 *5:969 *908:Z 0.000122199
+710 *5:1001 *359:DIODE 9.53294e-05
+711 *5:1001 *752:B1 4.66108e-05
+712 *5:1001 *898:A 2.48641e-05
+713 *5:1001 *283:6 7.60904e-06
+714 *5:1001 *284:5 5.4606e-05
+715 *5:1003 *893:A 5.09431e-05
+716 *5:1003 *893:Z 4.51928e-05
+717 *5:1003 *895:TE_B 4.71087e-05
+718 *5:1003 *897:A 0.00012398
+719 *5:1003 *284:5 4.60814e-05
+720 *5:1008 *896:TE_B 6.3309e-05
+721 *5:1008 *32:11 1.88656e-05
+722 clockp[1] *349:DIODE 9.64335e-05
+723 clockp[1] *5:10 3.99339e-05
+724 clockp[1] *5:611 0
+*RES
+1 dco *5:7 2.06307
+2 *5:7 *5:10 2.4875
+3 *5:10 *830:A 1.6
+4 *5:10 *5:15 3.21
+5 *5:15 *428:DIODE 1.6
+6 *5:15 *5:21 0.45
+7 *5:21 *5:23 2
+8 *5:23 *5:27 6.215
+9 *5:27 *829:A 6.47
+10 *5:27 *5:37 0.45
+11 *5:37 *427:DIODE 1.6
+12 *5:37 *5:43 5.28
+13 *5:43 *5:51 4.035
+14 *5:51 *822:A 8.0225
+15 *5:51 *5:66 5.72
+16 *5:66 *5:70 6.93
+17 *5:70 *5:72 2.655
+18 *5:72 *5:77 5.12
+19 *5:77 *415:DIODE 2.05
+20 *5:77 *5:88 9.715
+21 *5:88 *811:A 4.495
+22 *5:88 *409:DIODE 1.6
+23 *5:88 *5:105 10.385
+24 *5:105 *5:108 4.145
+25 *5:108 *5:109 0.795
+26 *5:109 *812:A 3.265
+27 *5:109 *410:DIODE 1.6
+28 *5:108 *406:DIODE 1.6
+29 *5:105 *5:129 2.87
+30 *5:129 *424:DIODE 3.085
+31 *5:129 *407:DIODE 1.6
+32 *5:129 *5:140 3.21
+33 *5:140 *5:142 2
+34 *5:142 *5:146 8.57
+35 *5:146 *808:A 1.96
+36 *5:146 *5:154 1.83
+37 *5:154 *421:DIODE 10.6
+38 *5:154 *5:164 0.36
+39 *5:164 *823:A 1.6
+40 *5:164 *5:175 9.145
+41 *5:175 *423:DIODE 1.6
+42 *5:175 *5:180 0.795
+43 *5:180 *825:A 2.215
+44 *5:180 *5:191 5.465
+45 *5:191 *5:194 3.14
+46 *5:194 *5:196 0.36
+47 *5:196 *810:A 1.6
+48 *5:196 *824:A 2.395
+49 *5:194 *5:208 2.52
+50 *5:208 *408:DIODE 1.6
+51 *5:208 *422:DIODE 2.395
+52 *5:191 *5:222 4.4
+53 *5:222 *5:223 0.795
+54 *5:223 *5:227 2.535
+55 *5:227 *5:229 0.45
+56 *5:229 *5:235 10.105
+57 *5:235 *399:DIODE 1.6
+58 *5:235 *5:241 0.45
+59 *5:241 *804:A_N 1.96
+60 *5:241 *5:252 4.6475
+61 *5:252 *5:257 4.5575
+62 *5:257 *5:259 0.615
+63 *5:259 *5:261 1.83
+64 *5:261 *5:266 13.55
+65 *5:266 *397:DIODE 4.05
+66 *5:266 *5:279 2.7
+67 *5:279 *5:281 2
+68 *5:281 *402:DIODE 2.05
+69 *5:281 *5:290 2.955
+70 *5:290 *806:A1 2.395
+71 *5:290 *803:A1 4.465
+72 *5:279 *5:307 5.975
+73 *5:307 *5:311 4.52
+74 *5:311 *382:DIODE 6.81
+75 *5:311 *786:B2 5.52
+76 *5:307 *5:331 3.38
+77 *5:331 *748:B 3.6
+78 *5:331 *5:346 5.1675
+79 *5:346 *5:351 1.912
+80 *5:351 *5:356 2
+81 *5:356 *802:B2 3.085
+82 *5:356 *794:A1 9.965
+83 *5:351 *5:377 1.125
+84 *5:377 *393:DIODE 3.6
+85 *5:377 *5:384 2.145
+86 *5:384 *5:393 10.475
+87 *5:393 *5:397 3.485
+88 *5:397 *5:398 2.4
+89 *5:398 *5:409 6.83067
+90 *5:409 *396:DIODE 8.405
+91 *5:409 *386:DIODE 1.6
+92 *5:398 *793:B2 4.05
+93 *5:397 *389:DIODE 4.98
+94 *5:393 *789:A1 1.6
+95 *5:384 *5:446 2.45
+96 *5:446 *387:DIODE 1.6
+97 *5:446 *383:DIODE 16.445
+98 *5:346 *800:A1 3.8325
+99 *5:307 *353:DIODE 1.6
+100 *5:261 *819:A 1.96
+101 *5:259 *820:A 1.96
+102 *5:257 *5:487 0.795
+103 *5:487 *417:DIODE 1.6
+104 *5:487 *418:DIODE 5.155
+105 *5:252 *5:504 4.4225
+106 *5:504 *818:A 2.215
+107 *5:504 *416:DIODE 2.395
+108 *5:229 *414:DIODE 1.6
+109 *5:227 *419:DIODE 1.6
+110 *5:223 *821:A 1.96
+111 *5:222 *5:534 1.5
+112 *5:534 *816:A 1.6
+113 *5:534 *5:544 2.985
+114 *5:544 *805:A1 1.96
+115 *5:544 *400:DIODE 2.395
+116 *5:142 *5:561 7.835
+117 *5:561 *411:DIODE 10.01
+118 *5:561 *813:A 1.6
+119 *5:140 *809:A 4.6
+120 *5:72 *5:590 5.9
+121 *5:590 *5:592 0.45
+122 *5:592 *5:604 12.355
+123 *5:604 *5:606 0.795
+124 *5:606 *5:611 8.945
+125 *5:611 *5:613 1.89
+126 *5:613 *827:A 13.825
+127 *5:613 *425:DIODE 4.395
+128 *5:611 *826:A 11.43
+129 *5:606 *426:DIODE 1.6
+130 *5:604 *828:A 2.215
+131 *5:592 *412:DIODE 1.6
+132 *5:590 *814:A 2.215
+133 *5:70 *817:A 5.1
+134 *5:66 *420:DIODE 3.6
+135 *5:43 *5:685 4.085
+136 *5:685 *5:688 2.45
+137 *5:688 *815:A 1.6
+138 *5:688 *413:DIODE 2.395
+139 *5:685 *5:700 5.46
+140 *5:700 *377:DIODE 3.6
+141 *5:700 *5:714 8.87
+142 *5:714 *5:716 2
+143 *5:716 *740:A 4.47
+144 *5:716 *5:726 5.42
+145 *5:726 *5:727 0.795
+146 *5:727 *5:731 1.155
+147 *5:731 *769:A1 4.12
+148 *5:731 *5:739 2
+149 *5:739 *771:A1 5.35125
+150 *5:739 *5:749 2.655
+151 *5:749 *745:A_N 4.395
+152 *5:749 *5:758 1.38
+153 *5:758 *5:761 6.245
+154 *5:761 *392:DIODE 1.6
+155 *5:761 *778:A1 7.175
+156 *5:758 *5:780 4.85
+157 *5:780 *5:785 3.575
+158 *5:785 *372:DIODE 4.05
+159 *5:785 *5:796 2.87
+160 *5:796 *368:DIODE 1.6
+161 *5:796 *5:801 1.83
+162 *5:801 *5:803 0.36
+163 *5:803 *5:806 4.91
+164 *5:806 *762:A1 4.395
+165 *5:806 *5:817 3.635
+166 *5:817 *761:A1 1.6
+167 *5:817 *366:DIODE 6.55
+168 *5:803 *764:A1 1.6
+169 *5:801 *5:838 4.71
+170 *5:838 *795:A1 10.925
+171 *5:838 *798:B2 2.05
+172 *5:780 *350:DIODE 1.6
+173 *5:727 *766:A1 1.6
+174 *5:726 *379:DIODE 2.74
+175 *5:714 *347:DIODE 2.395
+176 *5:23 *5:876 3.93
+177 *5:876 *741:A2 4.395
+178 *5:876 *5:888 9.14
+179 *5:888 *5:891 4.145
+180 *5:891 *5:894 2.795
+181 *5:894 *351:DIODE 2.395
+182 *5:894 *5:902 0.36
+183 *5:902 *5:904 4.59
+184 *5:904 *354:DIODE 8.21
+185 *5:904 *751:A1 2.215
+186 *5:902 *757:A1 2.215
+187 *5:891 *5:928 4.185
+188 *5:928 *5:930 2
+189 *5:930 *360:DIODE 1.6
+190 *5:930 *5:935 1.485
+191 *5:935 *371:DIODE 1.6
+192 *5:935 *5:943 1.155
+193 *5:943 *5:949 11.125
+194 *5:949 *364:DIODE 7.52
+195 *5:949 *758:A1 1.6
+196 *5:943 *5:965 1.485
+197 *5:965 *5:969 3.225
+198 *5:969 *5:971 2
+199 *5:971 *768:B2 8.445
+200 *5:971 *765:B 6.255
+201 *5:969 *375:DIODE 2.05
+202 *5:965 *374:DIODE 1.6
+203 *5:928 *5:1001 5.3
+204 *5:1001 *5:1003 4.245
+205 *5:1003 *5:1008 5.615
+206 *5:1008 *362:DIODE 3.6
+207 *5:1008 *754:A1 5.61
+208 *5:1003 *356:DIODE 1.6
+209 *5:1001 *752:A1 1.6
+210 *5:888 *747:A1 1.6
+211 *5:21 *358:DIODE 1.6
+212 *5:7 *349:DIODE 6.3375
+*END
+
+*D_NET *6 0.00414406
+*CONN
+*P div[0] I
+*I *687:A I *D sky130_fd_sc_hd__and2_2
+*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[0] 0.000244855
+2 *687:A 0
+3 *344:DIODE 0.000436942
+4 *674:B2 0
+5 *346:DIODE 3.80092e-05
+6 *6:34 0.00052298
+7 *6:24 0.000368739
+8 *6:7 0.000565565
+9 *344:DIODE *337:DIODE 0.000640033
+10 *344:DIODE *338:DIODE 7.22354e-05
+11 *344:DIODE *654:B1 9.14035e-05
+12 *344:DIODE *661:B 0.00011797
+13 *344:DIODE *671:A 0
+14 *344:DIODE *673:A 1.71013e-05
+15 *344:DIODE *688:A 0
+16 *344:DIODE *7:8 0
+17 *344:DIODE *7:29 9.20702e-05
+18 *344:DIODE *104:8 2.86975e-05
+19 *344:DIODE *111:8 2.75229e-05
+20 *346:DIODE *826:B 6.06593e-05
+21 *6:7 *7:7 0
+22 *6:24 *671:A 0
+23 *6:24 *674:B1 3.25635e-05
+24 *6:24 *675:B 1.56428e-05
+25 *6:24 *826:B 6.44676e-05
+26 *6:24 *121:12 0.000123909
+27 *6:34 *674:A2 9.04837e-05
+28 *6:34 *674:B1 0.000231398
+29 *6:34 *687:B 4.00541e-06
+30 *6:34 *120:7 0.000163204
+31 *6:34 *123:5 4.35348e-05
+32 clockp[1] *344:DIODE 5.00699e-05
+33 clockp[1] *6:7 0
+*RES
+1 div[0] *6:7 1.1308
+2 *6:7 *346:DIODE 4.3425
+3 *6:7 *6:24 7.1825
+4 *6:24 *674:B2 1.6
+5 *6:24 *6:34 3.675
+6 *6:34 *344:DIODE 16.22
+7 *6:34 *687:A 1.6
+*END
+
+*D_NET *7 0.00551904
+*CONN
+*P div[1] I
+*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *671:A I *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 div[1] 0.000451973
+2 *343:DIODE 5.70276e-05
+3 *342:DIODE 0
+4 *674:A1 0
+5 *671:A 0.000107727
+6 *7:29 0.00132067
+7 *7:8 0.000178855
+8 *7:7 0.00178675
+9 *671:A *671:B 8.97564e-05
+10 *671:A *673:A 0
+11 *671:A *826:B 0
+12 *671:A *121:12 0
+13 *7:7 *8:7 0
+14 *7:8 *688:A 9.5799e-06
+15 *7:8 *121:12 0
+16 *7:29 *661:B 0.00106756
+17 *7:29 *688:A 8.56505e-05
+18 *7:29 *688:B 4.82919e-05
+19 *7:29 *688:C_N 3.09233e-05
+20 *7:29 *689:C 2.75538e-05
+21 *7:29 *111:8 8.65905e-05
+22 *7:29 *121:12 0
+23 clockp[1] *7:29 0
+24 *344:DIODE *671:A 0
+25 *344:DIODE *7:8 0
+26 *344:DIODE *7:29 9.20702e-05
+27 *415:DIODE *7:29 2.75145e-05
+28 *5:77 *343:DIODE 4.47209e-05
+29 *5:77 *7:29 5.83121e-06
+30 *6:7 *7:7 0
+31 *6:24 *671:A 0
+*RES
+1 div[1] *7:7 1.4804
+2 *7:7 *7:8 0.9975
+3 *7:8 *671:A 5.745
+4 *7:8 *674:A1 3.6
+5 *7:7 *7:29 20.5475
+6 *7:29 *342:DIODE 1.6
+7 *7:29 *343:DIODE 2.395
+*END
+
+*D_NET *8 0.00325468
+*CONN
+*P div[2] I
+*I *665:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *668:A I *D sky130_fd_sc_hd__nor2_2
+*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[2] 0.000983184
+2 *665:B1 0.00013795
+3 *341:DIODE 0
+4 *668:A 0
+5 *338:DIODE 9.96423e-05
+6 *8:26 0.000194724
+7 *8:8 0.000110868
+8 *8:7 0.00105118
+9 *338:DIODE *337:DIODE 1.53463e-05
+10 *338:DIODE *639:S 0.000143694
+11 *338:DIODE *661:B 0.000122331
+12 *338:DIODE *11:8 0
+13 *665:B1 *9:55 1.4991e-05
+14 *665:B1 *113:9 8.64599e-05
+15 *8:7 *9:10 0
+16 *8:8 *337:DIODE 3.16057e-06
+17 *8:26 *337:DIODE 3.00916e-05
+18 *8:26 *828:B 5.05524e-05
+19 *8:26 *9:55 3.75882e-06
+20 *344:DIODE *338:DIODE 7.22354e-05
+21 *5:611 *338:DIODE 0.000134509
+22 *7:7 *8:7 0
+*RES
+1 div[2] *8:7 2.3544
+2 *8:7 *8:8 0.2325
+3 *8:8 *338:DIODE 6.885
+4 *8:8 *668:A 3.6
+5 *8:7 *8:26 3.2525
+6 *8:26 *341:DIODE 1.6
+7 *8:26 *665:B1 3.985
+*END
+
+*D_NET *9 0.00585027
+*CONN
+*P div[3] I
+*I *665:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *667:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *666:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[3] 0.000274789
+2 *665:A1 0
+3 *337:DIODE 0.000613387
+4 *667:B1 3.91626e-05
+5 *340:DIODE 2.83776e-05
+6 *666:B1 0.00015095
+7 *339:DIODE 0
+8 *9:55 0.000825331
+9 *9:38 0.000186936
+10 *9:18 0.000376327
+11 *9:15 0.000799553
+12 *9:10 0.000756417
+13 *337:DIODE *661:A 5.16418e-05
+14 *337:DIODE *129:17 0.000175171
+15 *340:DIODE *105:7 3.16627e-06
+16 *340:DIODE *105:12 2.11084e-05
+17 *666:B1 *666:A1 5.83121e-06
+18 *666:B1 *116:7 1.83847e-05
+19 *667:B1 *678:B 4.66108e-05
+20 *667:B1 *105:7 2.8185e-05
+21 *9:10 *689:A 0
+22 *9:10 *10:7 0
+23 *9:10 *109:16 0
+24 *9:15 *665:B2 2.65814e-06
+25 *9:15 *676:A2 1.56428e-05
+26 *9:15 *115:7 6.6664e-05
+27 *9:18 *669:C 0
+28 *9:18 *678:B 0.000181369
+29 *9:18 *127:8 0
+30 *9:38 *644:A 0
+31 *9:38 *678:B 9.37731e-05
+32 *9:38 *105:7 1.59999e-05
+33 *9:38 *109:16 0
+34 *9:38 *127:8 0
+35 *9:55 *665:B2 8.73389e-06
+36 *9:55 *113:12 7.45914e-05
+37 *9:55 *129:17 0.000105805
+38 clockp[1] *337:DIODE 6.8665e-05
+39 clockp[1] *9:38 2.15594e-05
+40 *338:DIODE *337:DIODE 1.53463e-05
+41 *344:DIODE *337:DIODE 0.000640033
+42 *665:B1 *9:55 1.4991e-05
+43 *5:611 *337:DIODE 8.6099e-05
+44 *8:7 *9:10 0
+45 *8:8 *337:DIODE 3.16057e-06
+46 *8:26 *337:DIODE 3.00916e-05
+47 *8:26 *9:55 3.75882e-06
+*RES
+1 div[3] *9:10 4.26677
+2 *9:10 *339:DIODE 1.6
+3 *9:10 *9:15 5.28
+4 *9:15 *9:18 4.145
+5 *9:18 *666:B1 5.91
+6 *9:18 *9:38 4.2725
+7 *9:38 *340:DIODE 2.2225
+8 *9:38 *667:B1 2.5675
+9 *9:15 *9:55 5.21
+10 *9:55 *337:DIODE 13.755
+11 *9:55 *665:A1 3.6
+*END
+
+*D_NET *10 0.00188513
+*CONN
+*P div[4] I
+*I *659:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *677:B1 I *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 div[4] 0.000191625
+2 *659:A1 0.000248946
+3 *336:DIODE 0
+4 *345:DIODE 0
+5 *677:B1 0.000189539
+6 *10:24 0.000329935
+7 *10:19 9.57828e-05
+8 *10:7 0.000395958
+9 *659:A1 *659:A2 9.94888e-07
+10 *659:A1 *659:B1 5.9964e-06
+11 *677:B1 *677:A1 4.66108e-05
+12 *677:B1 *92:8 0.00010484
+13 *677:B1 *105:12 0.000134938
+14 *677:B1 *106:5 0.000122325
+15 *10:7 *11:8 0
+16 *10:19 *92:8 1.7635e-05
+17 *9:10 *10:7 0
+*RES
+1 div[4] *10:7 1.01427
+2 *10:7 *677:B1 7.7175
+3 *10:7 *10:19 2.2325
+4 *10:19 *345:DIODE 1.6
+5 *10:19 *10:24 0.795
+6 *10:24 *336:DIODE 1.6
+7 *10:24 *659:A1 4.71625
+*END
+
+*D_NET *11 0.00783921
+*CONN
+*P enable I
+*I *807:A I *D sky130_fd_sc_hd__nand2_2
+*I *404:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 enable 0.0018823
+2 *807:A 0
+3 *404:DIODE 0.000991598
+4 *11:8 0.0028739
+5 *404:DIODE *850:D 3.59272e-05
+6 *404:DIODE *39:10 3.86529e-05
+7 *11:8 *635:S 0.00014318
+8 *11:8 *639:S 0.000171549
+9 *11:8 *645:B 0
+10 *11:8 *654:A2 0
+11 *11:8 *672:B 9.42145e-05
+12 *11:8 *828:B 0.000369327
+13 *11:8 *838:CLK 0.000160285
+14 *11:8 *850:D 0.000102403
+15 *11:8 *850:RESET_B 0
+16 *11:8 *852:RESET_B 1.79955e-05
+17 *11:8 *854:A 0
+18 *11:8 *88:82 7.89689e-05
+19 *11:8 *102:10 0
+20 *11:8 *104:8 0.000281515
+21 *11:8 *104:21 0.000128891
+22 *11:8 *113:9 0.000139361
+23 *11:8 *216:144 0.000204456
+24 *11:8 *333:245 2.231e-05
+25 *11:8 *333:246 8.35222e-05
+26 *338:DIODE *11:8 0
+27 *5:7 *11:8 0
+28 *5:27 *11:8 1.88602e-05
+29 *10:7 *11:8 0
+*RES
+1 enable *11:8 23.985
+2 *11:8 *404:DIODE 19.27
+3 *11:8 *807:A 3.6
+*END
+
+*D_NET *12 0.00227766
+*CONN
+*P ext_trim[0] I
+*I *741:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[0] 0.000403933
+2 *741:A1 0.000405994
+3 *348:DIODE 0
+4 *12:10 0.000809927
+5 *741:A1 *741:B1 1.85674e-05
+6 *741:A1 *885:A 0.000122331
+7 *741:A1 *889:TE_B 7.30505e-05
+8 *741:A1 *942:A 0.000365527
+9 *741:A1 *274:10 4.82919e-05
+10 *12:10 *23:8 0
+11 *741:A2 *741:A1 3.00381e-05
+12 *5:7 *12:10 0
+*RES
+1 ext_trim[0] *12:10 3.7129
+2 *12:10 *348:DIODE 1.6
+3 *12:10 *741:A1 9.595
+*END
+
+*D_NET *13 0.0022067
+*CONN
+*P ext_trim[10] I
+*I *765:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[10] 0.000425554
+2 *765:A_N 5.30689e-05
+3 *370:DIODE 0.000289349
+4 *13:9 0.000767973
+5 *370:DIODE *905:A 3.54125e-05
+6 *765:A_N *754:B1 7.08554e-05
+7 *13:9 *758:A2 0.000218558
+8 *13:9 *899:A 0.000155106
+9 *13:9 *905:A 1.91767e-05
+10 *13:9 *37:10 0
+11 *13:9 *293:16 9.21848e-05
+12 *5:935 *370:DIODE 5.062e-06
+13 *5:943 *370:DIODE 2.53645e-05
+14 *5:965 *370:DIODE 4.90383e-05
+*RES
+1 ext_trim[10] *13:9 8.64
+2 *13:9 *370:DIODE 7.5
+3 *13:9 *765:A_N 4.74
+*END
+
+*D_NET *14 0.00416138
+*CONN
+*P ext_trim[11] I
+*I *768:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *373:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[11] 0.000591551
+2 *768:B1 0.000104563
+3 *373:DIODE 0.000388909
+4 *14:7 0.00108502
+5 *373:DIODE *757:B1 9.27255e-06
+6 *373:DIODE *907:A 4.99619e-05
+7 *373:DIODE *908:Z 0.00021761
+8 *373:DIODE *909:A 8.23457e-05
+9 *373:DIODE *911:A 0.000161984
+10 *373:DIODE *179:32 9.80943e-06
+11 *373:DIODE *297:8 0.000229541
+12 *373:DIODE *300:6 0
+13 *373:DIODE *300:11 2.0514e-05
+14 *768:B1 *179:32 4.68092e-05
+15 *768:B1 *263:21 0.00011568
+16 *14:7 *753:A1 0
+17 *14:7 *792:A 1.03284e-05
+18 *14:7 *908:TE 1.07797e-05
+19 *14:7 *912:A 0.000160772
+20 *14:7 *916:A 0
+21 *14:7 *917:TE_B 0.00036693
+22 *14:7 *184:8 3.32517e-05
+23 *14:7 *302:8 0
+24 *14:7 *306:12 0.000116151
+25 *768:B2 *373:DIODE 0.000160641
+26 *768:B2 *768:B1 0.000188957
+*RES
+1 ext_trim[11] *14:7 13.91
+2 *14:7 *373:DIODE 12.965
+3 *14:7 *768:B1 4.39
+*END
+
+*D_NET *15 0.00413397
+*CONN
+*P ext_trim[12] I
+*I *769:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[12] 0.000725035
+2 *769:A2 0
+3 *376:DIODE 0.000233717
+4 *15:10 0.000958752
+5 *376:DIODE *747:B1 0.000246215
+6 *376:DIODE *751:B1 0.000237238
+7 *376:DIODE *769:B1 2.30292e-05
+8 *376:DIODE *769:B2 4.82863e-05
+9 *15:10 *746:A 0.00026082
+10 *15:10 *753:A2 4.31647e-05
+11 *15:10 *757:B1 9.14285e-05
+12 *15:10 *758:B1 1.56261e-05
+13 *15:10 *761:A2 7.08485e-05
+14 *15:10 *762:A2 0
+15 *15:10 *764:B1 0.000365464
+16 *15:10 *766:B1 6.26239e-06
+17 *15:10 *769:B1 7.23706e-05
+18 *15:10 *914:TE 0.000155219
+19 *15:10 *150:8 0.000111939
+20 *15:10 *179:19 1.01851e-05
+21 *15:10 *180:49 0.000166651
+22 *15:10 *186:6 9.30787e-05
+23 *15:10 *307:24 8.08153e-06
+24 *769:A1 *376:DIODE 6.90485e-05
+25 *769:A1 *15:10 5.49581e-05
+26 *5:785 *15:10 3.29269e-05
+27 *5:796 *15:10 3.3625e-05
+*RES
+1 ext_trim[12] *15:10 19.295
+2 *15:10 *376:DIODE 7.54
+3 *15:10 *769:A2 1.6
+*END
+
+*D_NET *16 0.00405647
+*CONN
+*P ext_trim[13] I
+*I *771:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[13] 0.000787021
+2 *771:A2 0.000201968
+3 *378:DIODE 0.000100079
+4 *16:5 0.00108907
+5 *378:DIODE *771:B2 3.64998e-06
+6 *378:DIODE *130:94 1.45272e-05
+7 *771:A2 *683:B 6.8725e-06
+8 *771:A2 *771:B1 5.91159e-05
+9 *771:A2 *130:94 3.64998e-06
+10 *771:A2 *150:8 6.43553e-05
+11 *771:A2 *278:12 0.000142191
+12 *771:A2 *334:14 0.000143103
+13 *16:5 *760:A 0.000335692
+14 *16:5 *761:A2 0
+15 *16:5 *761:B2 7.78105e-05
+16 *16:5 *773:A2 1.01152e-05
+17 *16:5 *774:C1 0.000116182
+18 *16:5 *783:A1 3.0243e-05
+19 *16:5 *784:B 1.12082e-05
+20 *16:5 *784:C 2.88689e-05
+21 *16:5 *785:A 1.14559e-05
+22 *16:5 *922:TE 1.73877e-05
+23 *16:5 *130:52 4.3574e-05
+24 *16:5 *132:29 0
+25 *16:5 *142:47 8.03928e-05
+26 *16:5 *142:62 9.29867e-05
+27 *16:5 *150:32 1.88602e-05
+28 *16:5 *176:14 7.00225e-05
+29 *16:5 *176:23 0.000262981
+30 *16:5 *180:62 0
+31 *16:5 *185:13 3.02383e-05
+32 *16:5 *256:21 1.84195e-05
+33 *16:5 *306:12 0
+34 *16:5 *313:8 2.74929e-05
+35 *16:5 *314:11 7.49399e-05
+36 *16:5 *334:14 2.09578e-05
+37 *771:A1 *771:A2 6.10352e-05
+38 *795:A1 *16:5 0
+39 *5:726 *16:5 0
+*RES
+1 ext_trim[13] *16:5 17.52
+2 *16:5 *378:DIODE 4.74
+3 *16:5 *771:A2 6.02865
+*END
+
+*D_NET *17 0.00466149
+*CONN
+*P ext_trim[14] I
+*I *778:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[14] 0.00070669
+2 *778:A2 0.00033265
+3 *380:DIODE 0.000243952
+4 *17:9 0.00128329
+5 *380:DIODE *384:DIODE 8.00848e-05
+6 *380:DIODE *83:25 5.87792e-05
+7 *380:DIODE *143:28 0.00012115
+8 *380:DIODE *183:11 0.000103124
+9 *380:DIODE *299:26 8.40269e-05
+10 *778:A2 *750:A 4.36516e-05
+11 *778:A2 *750:C 1.37395e-05
+12 *778:A2 *778:B1 6.8725e-06
+13 *778:A2 *86:40 2.52762e-05
+14 *778:A2 *142:47 4.43871e-06
+15 *778:A2 *142:62 7.47089e-05
+16 *778:A2 *263:21 0.000387001
+17 *778:A2 *299:26 4.14847e-05
+18 *17:9 *395:DIODE 0.000114014
+19 *17:9 *760:B 1.79483e-05
+20 *17:9 *781:A1 1.87674e-05
+21 *17:9 *785:B 6.72143e-05
+22 *17:9 *785:C 4.12489e-05
+23 *17:9 *788:B 6.10324e-05
+24 *17:9 *798:A1 0.000187722
+25 *17:9 *798:A2 7.01303e-05
+26 *17:9 *798:B1 1.07628e-05
+27 *17:9 *143:28 2.90124e-05
+28 *17:9 *176:56 2.55159e-05
+29 *17:9 *178:15 8.73147e-06
+30 *17:9 *183:11 3.35621e-05
+31 *17:9 *200:8 0.000271833
+32 *17:9 *306:12 0
+33 *778:A1 *778:A2 9.30717e-05
+*RES
+1 ext_trim[14] *17:9 13.1925
+2 *17:9 *380:DIODE 8.0925
+3 *17:9 *778:A2 7.10692
+*END
+
+*D_NET *18 0.00349759
+*CONN
+*P ext_trim[15] I
+*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *786:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[15] 0.000566651
+2 *381:DIODE 0.000121794
+3 *786:B1 0.000281131
+4 *18:5 0.000969575
+5 *381:DIODE *791:A 4.40325e-06
+6 *381:DIODE *130:39 2.0514e-05
+7 *381:DIODE *206:8 8.40213e-05
+8 *786:B1 *743:A 3.00448e-05
+9 *786:B1 *750:A 4.00257e-05
+10 *786:B1 *775:B 0.000106903
+11 *786:B1 *776:B 4.05985e-05
+12 *786:B1 *790:A1 4.8446e-05
+13 *786:B1 *790:B1 7.3039e-05
+14 *786:B1 *87:41 4.4091e-05
+15 *786:B1 *130:39 3.94127e-05
+16 *786:B1 *197:8 0.000130819
+17 *786:B1 *236:67 0.000189719
+18 *786:B1 *278:12 1.88656e-05
+19 *18:5 *760:B 0
+20 *18:5 *788:C 4.45419e-05
+21 *18:5 *790:A1 6.33059e-05
+22 *18:5 *790:A2 1.88602e-05
+23 *18:5 *790:B1 0.000123648
+24 *18:5 *790:C1 3.03281e-05
+25 *18:5 *792:A 5.54282e-05
+26 *18:5 *921:A 3.0042e-05
+27 *18:5 *921:Z 0.000156284
+28 *18:5 *925:A 9.27434e-05
+29 *18:5 *926:A 0
+30 *18:5 *207:9 7.23602e-05
+31 *18:5 *312:16 0
+*RES
+1 ext_trim[15] *18:5 11.4
+2 *18:5 *786:B1 10.05
+3 *18:5 *381:DIODE 5.085
+*END
+
+*D_NET *19 0.00478674
+*CONN
+*P ext_trim[16] I
+*I *789:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *384:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[16] 0.000348101
+2 *789:A2 0
+3 *384:DIODE 0.00084509
+4 *19:8 0.00119319
+5 *384:DIODE *704:A1 2.62104e-05
+6 *384:DIODE *750:A 0.000240121
+7 *384:DIODE *773:B1 0.000135905
+8 *384:DIODE *788:C 0.0002098
+9 *384:DIODE *789:B1 7.26398e-05
+10 *384:DIODE *790:A1 9.5432e-06
+11 *384:DIODE *800:B2 6.2706e-05
+12 *384:DIODE *921:Z 2.747e-05
+13 *384:DIODE *130:39 3.34633e-05
+14 *384:DIODE *143:28 0.000156891
+15 *384:DIODE *177:6 0.000143516
+16 *384:DIODE *183:11 5.86739e-05
+17 *384:DIODE *206:8 5.81236e-05
+18 *384:DIODE *207:9 0.000119873
+19 *384:DIODE *207:12 5.63346e-05
+20 *384:DIODE *256:21 6.58583e-05
+21 *384:DIODE *263:21 0.000321141
+22 *19:8 *789:B1 8.52972e-05
+23 *19:8 *926:A 5.17009e-05
+24 *19:8 *285:17 0
+25 *380:DIODE *384:DIODE 8.00848e-05
+26 *389:DIODE *384:DIODE 1.10951e-05
+27 *5:393 *19:8 2.21074e-05
+28 *5:397 *384:DIODE 0.000119251
+29 *5:397 *19:8 3.75203e-05
+30 *5:398 *384:DIODE 0.000103446
+31 *5:409 *384:DIODE 9.15912e-05
+*RES
+1 ext_trim[16] *19:8 8.33
+2 *19:8 *384:DIODE 21.537
+3 *19:8 *789:A2 1.6
+*END
+
+*D_NET *20 0.00450402
+*CONN
+*P ext_trim[17] I
+*I *793:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *385:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[17] 0.000738625
+2 *793:B1 1.37477e-05
+3 *385:DIODE 0.000508767
+4 *20:15 0.00126114
+5 *385:DIODE *777:A 0.000115339
+6 *385:DIODE *790:B2 2.86767e-05
+7 *385:DIODE *792:A 0.000303303
+8 *385:DIODE *792:C 4.66108e-05
+9 *385:DIODE *793:A1 8.87986e-05
+10 *385:DIODE *793:A3 1.907e-05
+11 *385:DIODE *207:12 0.000137531
+12 *793:B1 *798:A3 3.04621e-05
+13 *793:B1 *325:7 5.471e-05
+14 *20:15 *929:TE 0.000288548
+15 *20:15 *931:A 0.00015356
+16 *20:15 *207:12 2.3228e-05
+17 *20:15 *285:17 0
+18 *20:15 *321:16 0.000161705
+19 *20:15 *325:7 0.000530203
+*RES
+1 ext_trim[17] *20:15 17.425
+2 *20:15 *385:DIODE 11.79
+3 *20:15 *793:B1 4.11375
+*END
+
+*D_NET *21 0.00369134
+*CONN
+*P ext_trim[18] I
+*I *388:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[18] 0.000524294
+2 *388:DIODE 0
+3 *794:A2 0.000562928
+4 *21:7 0.00108722
+5 *794:A2 *794:B1 8.26048e-06
+6 *794:A2 *794:B2 1.79536e-05
+7 *794:A2 *800:A2 0.000681886
+8 *794:A2 *801:A 0.000194584
+9 *794:A2 *863:A 9.09611e-05
+10 *794:A2 *865:A 6.8725e-06
+11 *794:A2 *935:A 3.12855e-05
+12 *794:A2 *254:8 1.28996e-05
+13 *21:7 *935:A 0.000430817
+14 *21:7 *22:8 0
+15 *383:DIODE *21:7 8.08153e-06
+16 *794:A1 *794:A2 0
+17 *5:377 *794:A2 3.32976e-05
+*RES
+1 ext_trim[18] *21:7 10.5
+2 *21:7 *794:A2 18.8388
+3 *21:7 *388:DIODE 3.6
+*END
+
+*D_NET *22 0.00513655
+*CONN
+*P ext_trim[19] I
+*I *390:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *795:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[19] 0.00138377
+2 *390:DIODE 0
+3 *795:A2 0.000326462
+4 *22:8 0.00171023
+5 *795:A2 *788:A 0.000105393
+6 *795:A2 *788:B 0.000113809
+7 *795:A2 *788:C 0.000138799
+8 *795:A2 *920:A 0.000158072
+9 *795:A2 *922:A 9.97536e-06
+10 *795:A2 *312:16 0.000260546
+11 *22:8 *928:A 0.000825443
+12 *22:8 *934:A 1.83847e-05
+13 *22:8 *939:A 2.19562e-06
+14 *383:DIODE *22:8 4.80182e-05
+15 *795:A1 *795:A2 3.5457e-05
+16 *21:7 *22:8 0
+*RES
+1 ext_trim[19] *22:8 20.87
+2 *22:8 *795:A2 10.0487
+3 *22:8 *390:DIODE 1.6
+*END
+
+*D_NET *23 0.00218417
+*CONN
+*P ext_trim[1] I
+*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *747:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[1] 0.000461235
+2 *352:DIODE 0.000148436
+3 *747:A2 0.000293656
+4 *23:8 0.000903327
+5 *352:DIODE *357:DIODE 0
+6 *352:DIODE *751:B1 0
+7 *352:DIODE *889:TE_B 2.03578e-05
+8 *352:DIODE *278:12 1.37812e-05
+9 *747:A2 *886:A 2.88568e-05
+10 *747:A2 *886:Z 0.000237243
+11 *747:A2 *890:A 5.84124e-05
+12 *23:8 *357:DIODE 0
+13 *23:8 *889:TE_B 1.88595e-05
+14 *23:8 *30:8 0
+15 *12:10 *23:8 0
+*RES
+1 ext_trim[1] *23:8 2.2229
+2 *23:8 *747:A2 7.635
+3 *23:8 *352:DIODE 5.745
+*END
+
+*D_NET *24 0.00554279
+*CONN
+*P ext_trim[20] I
+*I *391:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[20] 0.00220571
+2 *391:DIODE 0
+3 *798:B1 0.000146357
+4 *24:12 0.00235207
+5 *798:B1 *785:C 8.89489e-05
+6 *798:B1 *798:A3 4.77807e-05
+7 *798:B1 *920:A 8.7152e-06
+8 *798:B1 *921:TE 2.12787e-05
+9 *798:B1 *183:11 0.000128213
+10 *798:B1 *200:8 5.97618e-05
+11 *798:B1 *325:7 0.000163997
+12 *24:12 *760:B 5.17483e-05
+13 *24:12 *788:B 1.69508e-05
+14 *24:12 *920:A 0.000146094
+15 *24:12 *921:TE 4.55864e-06
+16 *24:12 *312:16 8.98405e-05
+17 *17:9 *798:B1 1.07628e-05
+*RES
+1 ext_trim[20] *24:12 6.44837
+2 *24:12 *798:B1 7.29375
+3 *24:12 *391:DIODE 3.6
+*END
+
+*D_NET *25 0.00262649
+*CONN
+*P ext_trim[21] I
+*I *394:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[21] 0.00018279
+2 *394:DIODE 0
+3 *800:A2 0.000427937
+4 *25:10 0.000610726
+5 *800:A2 *395:DIODE 0.000146591
+6 *800:A2 *791:A 6.10243e-06
+7 *800:A2 *802:A2 0.000119869
+8 *800:A2 *802:B1 6.7689e-05
+9 *800:A2 *26:17 5.22838e-05
+10 *800:A2 *130:39 0
+11 *800:A2 *258:8 2.96426e-05
+12 *800:A2 *320:10 1.68183e-05
+13 *800:A2 *323:8 7.35729e-05
+14 *800:A2 *326:5 0.000120655
+15 *25:10 *863:A 6.57653e-06
+16 *794:A1 *800:A2 4.25093e-05
+17 *794:A2 *800:A2 0.000681886
+18 *802:B2 *800:A2 4.0843e-05
+*RES
+1 ext_trim[21] *25:10 3.1885
+2 *25:10 *800:A2 13.3488
+3 *25:10 *394:DIODE 1.6
+*END
+
+*D_NET *26 0.00707697
+*CONN
+*P ext_trim[22] I
+*I *802:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *395:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[22] 0.00123237
+2 *802:B1 3.49015e-05
+3 *395:DIODE 0.000865146
+4 *26:17 0.00213241
+5 *395:DIODE *785:C 3.93429e-05
+6 *395:DIODE *790:A2 0.000425071
+7 *395:DIODE *792:A 0.000165431
+8 *395:DIODE *792:C 7.44377e-05
+9 *395:DIODE *793:A3 0.000122516
+10 *395:DIODE *802:A1 4.82863e-05
+11 *395:DIODE *802:A3 0.000112685
+12 *395:DIODE *143:63 2.75145e-05
+13 *395:DIODE *183:11 0.000183367
+14 *395:DIODE *196:15 5.26748e-05
+15 *395:DIODE *263:21 4.95963e-05
+16 *395:DIODE *320:10 1.93321e-05
+17 *26:17 *794:B2 0
+18 *26:17 *864:A 0.000253665
+19 *26:17 *866:A 2.37035e-05
+20 *26:17 *866:TE 0
+21 *26:17 *866:Z 1.92979e-05
+22 *26:17 *950:TE_B 3.80629e-05
+23 *26:17 *257:6 0
+24 *26:17 *258:8 0.000211996
+25 *26:17 *258:10 0.000150706
+26 *794:A1 *26:17 0.000139144
+27 *800:A2 *395:DIODE 0.000146591
+28 *800:A2 *802:B1 6.7689e-05
+29 *800:A2 *26:17 5.22838e-05
+30 *802:B2 *26:17 0.000159741
+31 *5:409 *395:DIODE 0.000114996
+32 *17:9 *395:DIODE 0.000114014
+*RES
+1 ext_trim[22] *26:17 16.7462
+2 *26:17 *395:DIODE 16.1681
+3 *26:17 *802:B1 2.5825
+*END
+
+*D_NET *27 0.00271529
+*CONN
+*P ext_trim[23] I
+*I *398:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[23] 0.000478545
+2 *398:DIODE 0
+3 *803:A2 0.000459352
+4 *27:11 0.000937898
+5 *803:A2 *864:A 5.7969e-05
+6 *803:A2 *947:A 0
+7 *803:A2 *948:TE 1.77595e-05
+8 *803:A2 *948:Z 5.97661e-05
+9 *803:A2 *949:Z 6.61178e-05
+10 *803:A2 *262:22 0
+11 *27:11 *265:6 0.00043692
+12 *27:11 *265:12 0.000200966
+*RES
+1 ext_trim[23] *27:11 10.5235
+2 *27:11 *803:A2 12.425
+3 *27:11 *398:DIODE 1.6
+*END
+
+*D_NET *28 0.000837208
+*CONN
+*P ext_trim[24] I
+*I *805:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *401:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[24] 0.000252831
+2 *805:A2 0.000140792
+3 *401:DIODE 0
+4 *28:8 0.000393623
+5 *400:DIODE *805:A2 4.99619e-05
+*RES
+1 ext_trim[24] *28:8 1.81503
+2 *28:8 *401:DIODE 3.6
+3 *28:8 *805:A2 5.955
+*END
+
+*D_NET *29 0.00746231
+*CONN
+*P ext_trim[25] I
+*I *806:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *403:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[25] 0.00212253
+2 *806:A2 0.000150074
+3 *403:DIODE 2.61242e-05
+4 *29:8 0.00229873
+5 *403:DIODE *681:A 4.66108e-05
+6 *806:A2 *806:B1 4.82863e-05
+7 *806:A2 *234:8 5.71835e-05
+8 *806:A2 *234:19 2.31255e-05
+9 *806:A2 *234:97 2.33387e-05
+10 *806:A2 *235:52 6.58339e-05
+11 *29:8 *429:DIODE 0.000113818
+12 *29:8 *686:A 0
+13 *29:8 *695:B1 0
+14 *29:8 *804:C 0
+15 *29:8 *833:CLK 4.24292e-06
+16 *29:8 *833:D 0
+17 *29:8 *833:RESET_B 0
+18 *29:8 *839:D 0
+19 *29:8 *839:RESET_B 0
+20 *29:8 *841:RESET_B 0
+21 *29:8 *842:D 0
+22 *29:8 *844:RESET_B 0
+23 *29:8 *846:RESET_B 5.92191e-05
+24 *29:8 *38:7 6.31747e-05
+25 *29:8 *216:97 5.93844e-05
+26 *29:8 *232:11 0.000101317
+27 *29:8 *234:8 0.000526403
+28 *29:8 *236:10 0
+29 *29:8 *238:10 0
+30 *806:A1 *806:A2 4.85529e-05
+31 *5:175 *29:8 0.000402055
+32 *5:222 *29:8 0
+33 *5:235 *29:8 0.000329874
+34 *5:266 *806:A2 6.06948e-05
+35 *5:266 *29:8 0.000711294
+36 *5:279 *806:A2 0.000119786
+37 *5:290 *806:A2 6.51782e-07
+*RES
+1 ext_trim[25] *29:8 29.1652
+2 *29:8 *403:DIODE 4.05
+3 *29:8 *806:A2 7.35375
+*END
+
+*D_NET *30 0.00160628
+*CONN
+*P ext_trim[2] I
+*I *751:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[2] 0.000253353
+2 *751:A2 1.57799e-05
+3 *355:DIODE 0.000276531
+4 *30:8 0.000545665
+5 *355:DIODE *357:DIODE 0.000181546
+6 *355:DIODE *888:TE_B 0.000180395
+7 *355:DIODE *277:6 0.000118527
+8 *30:8 *357:DIODE 8.41703e-06
+9 *30:8 *31:5 0
+10 *354:DIODE *355:DIODE 1.1561e-05
+11 *354:DIODE *751:A2 1.45064e-05
+12 *23:8 *30:8 0
+*RES
+1 ext_trim[2] *30:8 1.3633
+2 *30:8 *355:DIODE 9.765
+3 *30:8 *751:A2 3.855
+*END
+
+*D_NET *31 0.00265425
+*CONN
+*P ext_trim[3] I
+*I *752:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[3] 0.000294089
+2 *752:A2 0.000320978
+3 *357:DIODE 0.000564456
+4 *31:5 0.00117952
+5 *357:DIODE *751:B1 0
+6 *357:DIODE *751:B2 0
+7 *357:DIODE *888:TE_B 4.66108e-05
+8 *357:DIODE *889:TE_B 0
+9 *357:DIODE *895:TE_B 0
+10 *357:DIODE *286:6 0
+11 *752:A2 *752:B1 1.96485e-05
+12 *752:A2 *286:15 3.89775e-05
+13 *31:5 *32:11 0
+14 *352:DIODE *357:DIODE 0
+15 *355:DIODE *357:DIODE 0.000181546
+16 *23:8 *357:DIODE 0
+17 *30:8 *357:DIODE 8.41703e-06
+18 *30:8 *31:5 0
+*RES
+1 ext_trim[3] *31:5 0.747333
+2 *31:5 *357:DIODE 13.2125
+3 *31:5 *752:A2 5.09163
+*END
+
+*D_NET *32 0.00580417
+*CONN
+*P ext_trim[4] I
+*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[4] 0.000291487
+2 *359:DIODE 0.00150615
+3 *754:A2 0
+4 *32:11 0.00179764
+5 *359:DIODE *635:A0 3.18219e-05
+6 *359:DIODE *635:A1 1.88904e-05
+7 *359:DIODE *641:B 3.96119e-05
+8 *359:DIODE *747:B1 6.96175e-05
+9 *359:DIODE *830:B 0
+10 *359:DIODE *852:D 6.8672e-05
+11 *359:DIODE *887:A 1.86404e-05
+12 *359:DIODE *900:Z 6.2053e-05
+13 *359:DIODE *942:A 0.000207766
+14 *359:DIODE *33:8 0
+15 *359:DIODE *37:10 4.77769e-05
+16 *359:DIODE *216:13 2.75229e-05
+17 *359:DIODE *226:8 5.93963e-05
+18 *359:DIODE *226:19 3.39944e-05
+19 *359:DIODE *279:16 0.000202088
+20 *359:DIODE *288:9 0.000121209
+21 *359:DIODE *291:5 8.23457e-05
+22 *359:DIODE *297:8 1.94723e-05
+23 *359:DIODE *298:8 0.000139238
+24 *359:DIODE *300:6 0
+25 *359:DIODE *333:246 0
+26 *32:11 *896:TE_B 0.000103865
+27 *32:11 *33:8 0
+28 clockp[1] *359:DIODE 0
+29 *349:DIODE *359:DIODE 3.68605e-05
+30 *754:A1 *359:DIODE 3.69049e-05
+31 *754:A1 *32:11 0.000120094
+32 *5:10 *359:DIODE 1.16467e-05
+33 *5:876 *359:DIODE 0
+34 *5:888 *359:DIODE 0
+35 *5:891 *359:DIODE 0.000176273
+36 *5:928 *359:DIODE 0.000358937
+37 *5:1001 *359:DIODE 9.53294e-05
+38 *5:1008 *32:11 1.88656e-05
+39 *31:5 *32:11 0
+*RES
+1 ext_trim[4] *32:11 4.77503
+2 *32:11 *754:A2 1.6
+3 *32:11 *359:DIODE 35.975
+*END
+
+*D_NET *33 0.00522591
+*CONN
+*P ext_trim[5] I
+*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[5] 0.00120049
+2 *361:DIODE 0.000491677
+3 *757:A2 6.6026e-05
+4 *33:8 0.00175819
+5 *361:DIODE *751:B1 8.40269e-05
+6 *361:DIODE *751:B2 1.15688e-05
+7 *361:DIODE *886:A 0.000214965
+8 *361:DIODE *886:TE 2.75145e-05
+9 *361:DIODE *891:A 0.00013521
+10 *757:A2 *891:A 5.83121e-06
+11 *33:8 *751:B2 7.23533e-05
+12 *33:8 *752:B1 0.000249298
+13 *33:8 *761:A2 0
+14 *33:8 *898:A 0.000164959
+15 *33:8 *900:Z 0.000184034
+16 *33:8 *901:TE 0.000322153
+17 *33:8 *903:TE_B 4.11685e-05
+18 *33:8 *34:10 0
+19 *33:8 *37:10 0
+20 *33:8 *283:6 0.000118176
+21 *359:DIODE *33:8 0
+22 *5:891 *361:DIODE 2.46089e-05
+23 *5:904 *757:A2 8.73389e-06
+24 *5:928 *361:DIODE 4.49328e-05
+25 *5:928 *33:8 0
+26 *32:11 *33:8 0
+*RES
+1 ext_trim[5] *33:8 12.3208
+2 *33:8 *757:A2 4.41
+3 *33:8 *361:DIODE 10.785
+*END
+
+*D_NET *34 0.00398595
+*CONN
+*P ext_trim[6] I
+*I *758:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[6] 0.000393849
+2 *758:A2 0.000851753
+3 *363:DIODE 0
+4 *34:10 0.0012456
+5 *758:A2 *35:10 6.52265e-05
+6 *758:A2 *36:10 0.00099396
+7 *758:A2 *305:7 1.4417e-05
+8 *34:10 *35:10 0
+9 *34:10 *36:10 9.44847e-05
+10 *34:10 *285:18 3.51805e-05
+11 *364:DIODE *758:A2 4.63425e-05
+12 *5:949 *758:A2 2.65729e-05
+13 *13:9 *758:A2 0.000218558
+14 *33:8 *34:10 0
+*RES
+1 ext_trim[6] *34:10 6.81677
+2 *34:10 *363:DIODE 1.6
+3 *34:10 *758:A2 18.62
+*END
+
+*D_NET *35 0.00500692
+*CONN
+*P ext_trim[7] I
+*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[7] 0.000559187
+2 *365:DIODE 0
+3 *761:A2 0.000957989
+4 *35:10 0.00151718
+5 *761:A2 *762:A2 2.75707e-05
+6 *761:A2 *36:10 0
+7 *761:A2 *37:10 0
+8 *761:A2 *180:49 0.000104697
+9 *761:A2 *285:17 0.0012748
+10 *761:A2 *285:18 0
+11 *35:10 *900:TE 4.82919e-05
+12 *35:10 *36:10 0.000278111
+13 *35:10 *285:18 0
+14 *366:DIODE *761:A2 0.000103027
+15 *758:A2 *35:10 6.52265e-05
+16 *15:10 *761:A2 7.08485e-05
+17 *16:5 *761:A2 0
+18 *33:8 *761:A2 0
+19 *34:10 *35:10 0
+*RES
+1 ext_trim[7] *35:10 12.515
+2 *35:10 *761:A2 31.62
+3 *35:10 *365:DIODE 1.6
+*END
+
+*D_NET *36 0.00543265
+*CONN
+*P ext_trim[8] I
+*I *762:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[8] 0.000508243
+2 *762:A2 0.000776349
+3 *367:DIODE 0
+4 *36:10 0.00128459
+5 *762:A2 *758:B1 7.27704e-06
+6 *762:A2 *762:B1 5.31158e-06
+7 *762:A2 *762:B2 1.34584e-05
+8 *762:A2 *914:A 7.25761e-06
+9 *762:A2 *915:A 0.000316415
+10 *762:A2 *918:A 7.18547e-05
+11 *762:A2 *180:62 1.1561e-05
+12 *762:A2 *312:16 8.65905e-05
+13 *36:10 *900:A 0.000501399
+14 *36:10 *900:TE 2.30292e-05
+15 *36:10 *901:TE 6.27029e-05
+16 *36:10 *285:18 9.03291e-05
+17 *364:DIODE *36:10 2.50123e-05
+18 *366:DIODE *762:A2 7.99093e-05
+19 *758:A2 *36:10 0.00099396
+20 *761:A2 *762:A2 2.75707e-05
+21 *761:A2 *36:10 0
+22 *762:A1 *762:A2 1.2818e-05
+23 *5:806 *762:A2 2.85623e-05
+24 *5:817 *762:A2 0.00012585
+25 *15:10 *762:A2 0
+26 *34:10 *36:10 9.44847e-05
+27 *35:10 *36:10 0.000278111
+*RES
+1 ext_trim[8] *36:10 17.345
+2 *36:10 *367:DIODE 1.6
+3 *36:10 *762:A2 16.7638
+*END
+
+*D_NET *37 0.00509394
+*CONN
+*P ext_trim[9] I
+*I *764:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[9] 0.00129228
+2 *764:A2 0.000331815
+3 *369:DIODE 0
+4 *37:10 0.00162409
+5 *764:A2 *758:B1 0.000110783
+6 *764:A2 *760:A 8.34904e-06
+7 *764:A2 *761:B2 1.67164e-05
+8 *764:A2 *762:B2 0.000121256
+9 *764:A2 *784:A 6.8725e-06
+10 *37:10 *758:B1 0.000821424
+11 *37:10 *899:A 0
+12 *37:10 *900:Z 7.04131e-05
+13 *37:10 *902:A 2.10841e-05
+14 *37:10 *905:A 1.19028e-05
+15 *37:10 *288:9 3.68682e-05
+16 *37:10 *305:7 8.12896e-06
+17 *359:DIODE *37:10 4.77769e-05
+18 *761:A2 *37:10 0
+19 *762:A1 *764:A2 8.35118e-05
+20 *5:806 *764:A2 0.000214967
+21 *5:817 *764:A2 2.89143e-05
+22 *5:838 *764:A2 7.92982e-06
+23 *5:949 *37:10 0.000228863
+24 *13:9 *37:10 0
+25 *33:8 *37:10 0
+*RES
+1 ext_trim[9] *37:10 20.6
+2 *37:10 *369:DIODE 1.6
+3 *37:10 *764:A2 11.4987
+*END
+
+*D_NET *38 0.00306819
+*CONN
+*P osc I
+*I *429:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *846:D I *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 osc 0.000137793
+2 *429:DIODE 0.00058906
+3 *846:D 0.000406879
+4 *38:7 0.00113373
+5 *429:DIODE *847:D 4.65641e-05
+6 *429:DIODE *216:94 0.00015896
+7 *846:D *216:94 0.000343477
+8 *38:7 *846:RESET_B 7.47284e-05
+9 *29:8 *429:DIODE 0.000113818
+10 *29:8 *38:7 6.31747e-05
+*RES
+1 osc *38:7 4.73
+2 *38:7 *846:D 7.28875
+3 *38:7 *429:DIODE 11.26
+*END
+
+*D_NET *39 0.00250652
+*CONN
+*P resetb I
+*I *405:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *807:B I *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 resetb 0.000250649
+2 *405:DIODE 0.000435819
+3 *807:B 0
+4 *39:10 0.000686468
+5 *405:DIODE *638:A0 0.000163199
+6 *405:DIODE *638:A1 8.8266e-05
+7 *405:DIODE *672:B 0
+8 *405:DIODE *736:A 4.99619e-05
+9 *405:DIODE *736:B 5.82176e-05
+10 *405:DIODE *736:C 0.000191823
+11 *405:DIODE *850:D 6.47353e-05
+12 *405:DIODE *88:39 6.90876e-05
+13 *405:DIODE *333:15 4.11718e-05
+14 *405:DIODE *333:27 0.000239196
+15 *39:10 *672:B 3.64998e-06
+16 *404:DIODE *39:10 3.86529e-05
+17 *827:A *405:DIODE 8.41307e-05
+18 *827:A *39:10 4.14882e-05
+*RES
+1 resetb *39:10 5.81
+2 *39:10 *807:B 1.6
+3 *39:10 *405:DIODE 13.495
+*END
+
+*D_NET *40 0.000407985
+*CONN
+*I *831:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *808:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *831:RESET_B 5.35389e-05
+2 *808:Y 5.35389e-05
+3 *831:RESET_B *230:8 0.000118178
+4 *831:RESET_B *333:76 0.000163204
+5 *5:146 *831:RESET_B 1.95248e-05
+*RES
+1 *808:Y *831:RESET_B 3.3775
+*END
+
+*D_NET *41 0.000521953
+*CONN
+*I *832:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *809:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *832:RESET_B 7.53622e-05
+2 *809:Y 7.53622e-05
+3 *832:RESET_B *686:B 2.68721e-05
+4 *832:RESET_B *738:A0 0.000161313
+5 *832:RESET_B *333:64 0.000183043
+*RES
+1 *809:Y *832:RESET_B 8.1425
+*END
+
+*D_NET *42 0.000800964
+*CONN
+*I *833:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *810:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *833:RESET_B 0.000266449
+2 *810:Y 0.000266449
+3 *833:RESET_B *839:RESET_B 4.43871e-06
+4 *833:RESET_B *89:5 2.40101e-05
+5 *833:RESET_B *333:95 0.000133672
+6 *833:RESET_B *333:106 6.34101e-06
+7 *5:175 *833:RESET_B 0
+8 *5:191 *833:RESET_B 9.37731e-05
+9 *5:194 *833:RESET_B 5.83121e-06
+10 *29:8 *833:RESET_B 0
+*RES
+1 *810:Y *833:RESET_B 10.6325
+*END
+
+*D_NET *43 0.000500816
+*CONN
+*I *834:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *811:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *834:RESET_B 0.000125122
+2 *811:Y 0.000125122
+3 *834:RESET_B *834:D 0
+4 *834:RESET_B *217:64 3.09233e-05
+5 *811:A *834:RESET_B 0.00012657
+6 *5:88 *834:RESET_B 9.30787e-05
+*RES
+1 *811:Y *834:RESET_B 7.865
+*END
+
+*D_NET *44 0.000432899
+*CONN
+*I *835:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *812:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *835:RESET_B 0.000173849
+2 *812:Y 0.000173849
+3 *835:RESET_B *735:A2 0
+4 *835:RESET_B *835:D 4.43871e-06
+5 *835:RESET_B *216:83 0
+6 *835:RESET_B *216:88 0
+7 *5:105 *835:RESET_B 8.07616e-05
+*RES
+1 *812:Y *835:RESET_B 8.7425
+*END
+
+*D_NET *45 0.000868079
+*CONN
+*I *836:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *813:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *836:RESET_B 0.00032617
+2 *813:Y 0.00032617
+3 *836:RESET_B *836:D 0.00012306
+4 *836:RESET_B *839:CLK 1.61831e-05
+5 *836:RESET_B *216:175 4.3731e-05
+6 *836:RESET_B *333:55 2.11079e-05
+7 *836:RESET_B *333:64 1.16578e-05
+*RES
+1 *813:Y *836:RESET_B 5.9125
+*END
+
+*D_NET *46 0.000491179
+*CONN
+*I *837:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *814:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *837:RESET_B 5.82656e-05
+2 *814:Y 5.82656e-05
+3 *837:RESET_B *814:B 0.000197862
+4 *837:RESET_B *216:64 4.23389e-05
+5 *837:RESET_B *220:8 0.000122821
+6 *5:590 *837:RESET_B 1.16253e-05
+*RES
+1 *814:Y *837:RESET_B 3.7225
+*END
+
+*D_NET *47 0.000758563
+*CONN
+*I *838:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *815:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *838:RESET_B 0.00021521
+2 *815:Y 0.00021521
+3 *838:RESET_B *941:A 0
+4 *838:RESET_B *216:46 0.000187759
+5 *838:RESET_B *221:10 0
+6 *838:RESET_B *221:43 4.66108e-05
+7 *5:685 *838:RESET_B 9.37731e-05
+*RES
+1 *815:Y *838:RESET_B 9.725
+*END
+
+*D_NET *48 0.000690103
+*CONN
+*I *839:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *816:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *839:RESET_B 0.000194473
+2 *816:Y 0.000194473
+3 *839:RESET_B *821:B 0.000123225
+4 *839:RESET_B *238:10 2.56899e-05
+5 *833:RESET_B *839:RESET_B 4.43871e-06
+6 *5:191 *839:RESET_B 1.94723e-05
+7 *5:222 *839:RESET_B 5.72742e-05
+8 *5:223 *839:RESET_B 4.35348e-05
+9 *5:227 *839:RESET_B 2.75229e-05
+10 *29:8 *839:RESET_B 0
+*RES
+1 *816:Y *839:RESET_B 9.8825
+*END
+
+*D_NET *49 0.000807981
+*CONN
+*I *840:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *817:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *840:RESET_B 0.000256749
+2 *817:Y 0.000256749
+3 *840:RESET_B *837:D 0.000193129
+4 *840:RESET_B *840:D 0.000101354
+*RES
+1 *817:Y *840:RESET_B 5.5675
+*END
+
+*D_NET *50 0.00128843
+*CONN
+*I *841:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *818:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *841:RESET_B 0.000241636
+2 *818:Y 0.000241636
+3 *841:RESET_B *804:C 9.30787e-05
+4 *841:RESET_B *818:B 0.000119246
+5 *841:RESET_B *844:RESET_B 8.81167e-05
+6 *841:RESET_B *233:8 2.35533e-05
+7 *841:RESET_B *234:8 8.23457e-05
+8 *841:RESET_B *333:144 0.000351043
+9 *5:504 *841:RESET_B 4.77757e-05
+10 *29:8 *841:RESET_B 0
+*RES
+1 *818:Y *841:RESET_B 12.0125
+*END
+
+*D_NET *51 0.000316398
+*CONN
+*I *842:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *819:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *842:RESET_B 5.04827e-05
+2 *819:Y 5.04827e-05
+3 *842:RESET_B *804:C 0.000107716
+4 *842:RESET_B *234:8 0.000107716
+*RES
+1 *819:Y *842:RESET_B 7.745
+*END
+
+*D_NET *52 0.000651948
+*CONN
+*I *843:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *820:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *843:RESET_B 0.000137037
+2 *820:Y 0.000137037
+3 *843:RESET_B *804:C 0.000114492
+4 *843:RESET_B *819:B 4.82919e-05
+5 *843:RESET_B *235:5 1.60203e-05
+6 *843:RESET_B *235:9 2.65337e-05
+7 *843:RESET_B *263:21 8.40213e-05
+8 *843:RESET_B *333:162 1.52184e-05
+9 *843:RESET_B *333:177 4.5783e-05
+10 *5:261 *843:RESET_B 2.75145e-05
+*RES
+1 *820:Y *843:RESET_B 8.8625
+*END
+
+*D_NET *53 0.00100245
+*CONN
+*I *844:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *821:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *844:RESET_B 0.000296296
+2 *821:Y 0.000296296
+3 *844:RESET_B *804:B 6.42013e-05
+4 *844:RESET_B *236:10 6.0272e-05
+5 *841:RESET_B *844:RESET_B 8.81167e-05
+6 *5:235 *844:RESET_B 0.000197264
+7 *29:8 *844:RESET_B 0
+*RES
+1 *821:Y *844:RESET_B 12.0875
+*END
+
+*D_NET *54 0.000207136
+*CONN
+*I *845:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *822:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *845:RESET_B 2.80288e-05
+2 *822:Y 2.80288e-05
+3 *845:RESET_B *333:235 5.39718e-05
+4 *822:A *845:RESET_B 9.71067e-05
+*RES
+1 *822:Y *845:RESET_B 6.725
+*END
+
+*D_NET *55 0.000404249
+*CONN
+*I *846:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *823:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *846:RESET_B 0.000123146
+2 *823:Y 0.000123146
+3 *846:RESET_B *216:94 2.40101e-05
+4 *29:8 *846:RESET_B 5.92191e-05
+5 *38:7 *846:RESET_B 7.47284e-05
+*RES
+1 *823:Y *846:RESET_B 8.1425
+*END
+
+*D_NET *56 0.0011353
+*CONN
+*I *847:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *824:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *847:RESET_B 0.000499739
+2 *824:Y 0.000499739
+3 *847:RESET_B *633:B 0
+4 *847:RESET_B *848:RESET_B 0.000135826
+5 *847:RESET_B *228:6 0
+6 *847:RESET_B *228:15 0
+7 *847:RESET_B *229:8 0
+8 *847:RESET_B *333:95 0
+9 *847:RESET_B *333:106 0
+*RES
+1 *824:Y *847:RESET_B 13.865
+*END
+
+*D_NET *57 0.00111235
+*CONN
+*I *848:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *825:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *848:RESET_B 0.000427094
+2 *825:Y 0.000427094
+3 *848:RESET_B *88:5 0.000122331
+4 *848:RESET_B *333:95 0
+5 *847:RESET_B *848:RESET_B 0.000135826
+*RES
+1 *825:Y *848:RESET_B 12.785
+*END
+
+*D_NET *58 0.000335273
+*CONN
+*I *849:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *826:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *849:RESET_B 9.35491e-05
+2 *826:Y 9.35491e-05
+3 *849:RESET_B *826:B 6.74079e-05
+4 *849:RESET_B *222:10 8.07672e-05
+*RES
+1 *826:Y *849:RESET_B 7.7225
+*END
+
+*D_NET *59 0.000373356
+*CONN
+*I *850:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *827:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *850:RESET_B 0.000125457
+2 *827:Y 0.000125457
+3 *850:RESET_B *638:A1 0
+4 *850:RESET_B *827:B 3.76877e-05
+5 *850:RESET_B *88:39 8.47542e-05
+6 *11:8 *850:RESET_B 0
+*RES
+1 *827:Y *850:RESET_B 8.255
+*END
+
+*D_NET *60 0.000278391
+*CONN
+*I *851:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *828:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *851:RESET_B 7.73056e-05
+2 *828:Y 7.73056e-05
+3 *851:RESET_B *104:8 8.32746e-05
+4 *851:RESET_B *224:8 4.05053e-05
+*RES
+1 *828:Y *851:RESET_B 7.49
+*END
+
+*D_NET *61 0.000640805
+*CONN
+*I *852:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *829:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *852:RESET_B 0.000178193
+2 *829:Y 0.000178193
+3 *852:RESET_B *838:CLK 7.87426e-05
+4 *829:A *852:RESET_B 7.20473e-05
+5 *5:27 *852:RESET_B 0.000115633
+6 *11:8 *852:RESET_B 1.79955e-05
+*RES
+1 *829:Y *852:RESET_B 9.065
+*END
+
+*D_NET *62 0.000849926
+*CONN
+*I *853:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *830:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *853:RESET_B 0.000163493
+2 *830:Y 0.000163493
+3 *853:RESET_B *635:S 9.29751e-06
+4 *853:RESET_B *642:B 4.82863e-05
+5 *853:RESET_B *852:CLK 0.000156621
+6 clockp[1] *853:RESET_B 0.000308734
+*RES
+1 *830:Y *853:RESET_B 9.725
+*END
+
+*D_NET *63 0.000302754
+*CONN
+*I *831:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *739:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *831:D 8.83756e-05
+2 *739:X 8.83756e-05
+3 *831:D *739:A 7.89689e-05
+4 *5:108 *831:D 4.70343e-05
+*RES
+1 *739:X *831:D 9.285
+*END
+
+*D_NET *64 0.000425417
+*CONN
+*I *832:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *738:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *832:D 0.000162749
+2 *738:X 0.000162749
+3 *832:D *832:CLK 5.83121e-06
+4 *832:D *88:19 9.4087e-05
+5 *5:561 *832:D 0
+*RES
+1 *738:X *832:D 10.065
+*END
+
+*D_NET *65 0.000525904
+*CONN
+*I *833:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *737:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *833:D 0.000200505
+2 *737:X 0.000200505
+3 *833:D *88:19 0.000124895
+4 *833:D *232:11 0
+5 *833:D *333:64 0
+6 *833:D *333:76 0
+7 *29:8 *833:D 0
+*RES
+1 *737:X *833:D 10.23
+*END
+
+*D_NET *66 0.000516445
+*CONN
+*I *834:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *736:Y O *D sky130_fd_sc_hd__nand3_2
+*CAP
+1 *834:D 0.000199509
+2 *736:Y 0.000199509
+3 *834:D *638:A0 0
+4 *834:D *736:A 0.000117428
+5 *834:D *812:B 0
+6 *834:D *217:64 0
+7 *834:D *218:8 0
+8 *834:D *333:41 0
+9 *834:RESET_B *834:D 0
+*RES
+1 *736:Y *834:D 10.875
+*END
+
+*D_NET *67 0.000347497
+*CONN
+*I *835:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *735:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *835:D 0.000133884
+2 *735:Y 0.000133884
+3 *835:D *733:B1 2.75145e-05
+4 *835:D *735:A2 0
+5 *835:RESET_B *835:D 4.43871e-06
+6 *5:105 *835:D 4.77757e-05
+*RES
+1 *735:Y *835:D 9.225
+*END
+
+*D_NET *68 0.00115023
+*CONN
+*I *836:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *733:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *836:D 0.000324906
+2 *733:Y 0.000324906
+3 *836:D *733:A2 0.000239807
+4 *836:D *88:19 9.76874e-05
+5 *836:D *216:175 5.83121e-06
+6 *836:D *333:55 3.40331e-05
+7 *836:RESET_B *836:D 0.00012306
+*RES
+1 *733:Y *836:D 12.435
+*END
+
+*D_NET *69 0.00141265
+*CONN
+*I *837:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *729:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *837:D 0.000318262
+2 *729:X 0.000318262
+3 *837:D *690:D 0.000191243
+4 *837:D *840:CLK 1.08105e-05
+5 *837:D *840:D 5.83121e-06
+6 *837:D *171:10 0.000154409
+7 *837:D *216:56 2.38055e-05
+8 *837:D *216:64 0.000131667
+9 *817:A *837:D 6.52347e-05
+10 *840:RESET_B *837:D 0.000193129
+*RES
+1 *729:X *837:D 15.165
+*END
+
+*D_NET *70 0.000611892
+*CONN
+*I *838:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *727:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *838:D 0.000205797
+2 *727:X 0.000205797
+3 *838:D *645:B 0
+4 *838:D *220:36 0.000194467
+5 *838:D *225:8 0
+6 *838:D *225:13 5.83121e-06
+*RES
+1 *727:X *838:D 11.445
+*END
+
+*D_NET *71 0.000276956
+*CONN
+*I *839:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *724:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *839:D 0.00012112
+2 *724:X 0.00012112
+3 *839:D *690:A 7.1932e-06
+4 *839:D *724:B1 2.75229e-05
+5 *839:D *238:10 0
+6 *29:8 *839:D 0
+*RES
+1 *724:X *839:D 9.03
+*END
+
+*D_NET *72 0.00082666
+*CONN
+*I *840:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *722:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *840:D 0.000263953
+2 *722:X 0.000263953
+3 *840:D *685:C_N 0.000115526
+4 *840:D *721:B 2.10134e-05
+5 *840:D *722:A1 3.00381e-05
+6 *840:D *129:23 2.49909e-05
+7 *840:D *171:10 0
+8 *837:D *840:D 5.83121e-06
+9 *840:RESET_B *840:D 0.000101354
+*RES
+1 *722:X *840:D 11.895
+*END
+
+*D_NET *73 0.00122518
+*CONN
+*I *841:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *719:X O *D sky130_fd_sc_hd__o2bb2a_2
+*CAP
+1 *841:D 0.00036277
+2 *719:X 0.00036277
+3 *841:D *684:B 9.62357e-05
+4 *841:D *723:B 4.99527e-05
+5 *841:D *841:CLK 8.53011e-05
+6 *841:D *844:D 0.000139238
+7 *841:D *145:15 5.83121e-06
+8 *841:D *145:24 2.05661e-05
+9 *841:D *233:8 9.09747e-05
+10 *841:D *238:28 1.15383e-05
+*RES
+1 *719:X *841:D 14.49
+*END
+
+*D_NET *74 0.0020773
+*CONN
+*I *842:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *717:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *842:D 0.000342671
+2 *717:Y 0.000342671
+3 *842:D *681:A 0.000121036
+4 *842:D *695:A2 0.000361313
+5 *842:D *695:A3 0.000382007
+6 *842:D *695:B1 0.000136884
+7 *842:D *716:B1 0.000116165
+8 *842:D *236:10 8.34426e-05
+9 *842:D *236:19 0.000191111
+10 *29:8 *842:D 0
+*RES
+1 *717:Y *842:D 18.39
+*END
+
+*D_NET *75 0.000355805
+*CONN
+*I *843:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *714:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *843:D 0.000149355
+2 *714:X 0.000149355
+3 *843:D *235:9 5.70959e-05
+*RES
+1 *714:X *843:D 5.045
+*END
+
+*D_NET *76 0.000714478
+*CONN
+*I *844:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *712:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *844:D 9.81755e-05
+2 *712:X 9.81755e-05
+3 *844:D *684:B 0
+4 *844:D *685:D_N 0
+5 *844:D *843:CLK 4.49328e-05
+6 *844:D *145:15 0.000141606
+7 *844:D *145:24 0.000183043
+8 *844:D *216:222 9.3062e-06
+9 *841:D *844:D 0.000139238
+*RES
+1 *712:X *844:D 10.875
+*END
+
+*D_NET *77 0.0017199
+*CONN
+*I *845:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *709:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *845:D 0.000532448
+2 *709:X 0.000532448
+3 *845:D *705:A1 0.000185405
+4 *845:D *705:B1 3.50033e-05
+5 *845:D *706:B 6.55406e-05
+6 *845:D *129:63 0.000106736
+7 *845:D *237:12 7.00889e-06
+8 *5:51 *845:D 0.000142054
+9 *5:66 *845:D 0.000113255
+*RES
+1 *709:X *845:D 15.7837
+*END
+
+*D_NET *78 0.000586374
+*CONN
+*I *849:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *639:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *849:D 0.000159928
+2 *639:X 0.000159928
+3 *849:D *651:A 0.000120655
+4 *849:D *673:B 9.37731e-05
+5 clockp[1] *849:D 5.2089e-05
+*RES
+1 *639:X *849:D 9.915
+*END
+
+*D_NET *79 0.00110719
+*CONN
+*I *850:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *638:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *850:D 0.000439012
+2 *638:X 0.000439012
+3 *404:DIODE *850:D 3.59272e-05
+4 *405:DIODE *850:D 6.47353e-05
+5 *827:A *850:D 2.61042e-05
+6 *11:8 *850:D 0.000102403
+*RES
+1 *638:X *850:D 13.455
+*END
+
+*D_NET *80 0.000381231
+*CONN
+*I *851:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *637:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *851:D 0.000132587
+2 *637:X 0.000132587
+3 *851:D *647:A 7.03219e-05
+4 *851:D *88:65 4.57354e-05
+*RES
+1 *637:X *851:D 9.225
+*END
+
+*D_NET *81 0.000966615
+*CONN
+*I *852:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *636:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *852:D 0.000271744
+2 *636:X 0.000271744
+3 *852:D *643:A 3.00381e-05
+4 *852:D *643:B 3.23663e-05
+5 *852:D *828:B 0
+6 clockp[1] *852:D 0.000292051
+7 *359:DIODE *852:D 6.8672e-05
+*RES
+1 *636:X *852:D 12.45
+*END
+
+*D_NET *82 0.000330196
+*CONN
+*I *853:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *635:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *853:D 0.000120878
+2 *635:X 0.000120878
+3 *853:D *635:S 0
+4 *853:D *659:C1 3.51805e-05
+5 *853:D *853:CLK 5.32595e-05
+*RES
+1 *635:X *853:D 8.91375
+*END
+
+*D_NET *83 0.0055105
+*CONN
+*I *776:A I *D sky130_fd_sc_hd__or2_2
+*I *744:A I *D sky130_fd_sc_hd__nand2_2
+*I *694:A I *D sky130_fd_sc_hd__or4_2
+*I *772:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *748:A I *D sky130_fd_sc_hd__nor2_2
+*I *628:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *776:A 3.60632e-05
+2 *744:A 0
+3 *694:A 4.44093e-05
+4 *772:C1 8.86951e-05
+5 *748:A 0
+6 *628:Y 0.000329185
+7 *83:30 0.000345793
+8 *83:25 0.000444286
+9 *83:20 0.000475212
+10 *83:8 0.000536736
+11 *694:A *773:B1 1.34594e-05
+12 *694:A *84:23 9.2741e-05
+13 *694:A *143:5 0.000122331
+14 *694:A *143:9 7.66083e-05
+15 *772:C1 *694:C 1.07655e-05
+16 *772:C1 *770:B 0
+17 *772:C1 *772:B1 1.2818e-05
+18 *772:C1 *773:B1 2.84757e-05
+19 *772:C1 *84:23 9.073e-05
+20 *772:C1 *86:13 6.8725e-06
+21 *772:C1 *143:28 0.000153508
+22 *776:A *197:26 4.87465e-06
+23 *83:8 *786:A2 0.000132815
+24 *83:8 *786:A3 5.06809e-05
+25 *83:8 *806:B1 5.19629e-05
+26 *83:8 *181:18 2.59835e-05
+27 *83:8 *196:15 8.40213e-05
+28 *83:8 *237:100 2.0514e-05
+29 *83:8 *237:106 4.08514e-05
+30 *83:20 *750:A 0.000124007
+31 *83:20 *750:B 7.40446e-05
+32 *83:20 *786:A3 3.09164e-05
+33 *83:20 *799:B 4.66108e-05
+34 *83:20 *806:B1 0.000129047
+35 *83:20 *197:8 1.34594e-05
+36 *83:20 *234:115 0.000188957
+37 *83:25 *743:A 2.49909e-05
+38 *83:25 *750:B 0.000482141
+39 *83:25 *142:29 1.98158e-05
+40 *83:25 *143:28 0.000149977
+41 *83:25 *176:14 1.73226e-05
+42 *83:25 *178:15 8.79963e-05
+43 *83:25 *183:11 1.03423e-05
+44 *83:25 *197:8 1.83756e-05
+45 *83:25 *299:26 4.54556e-05
+46 *83:30 *772:A1 7.92039e-05
+47 *83:30 *773:B1 5.84832e-05
+48 *83:30 *84:26 0
+49 *83:30 *142:29 1.88656e-05
+50 *83:30 *143:9 8.77516e-05
+51 *83:30 *143:28 0.000322174
+52 *83:30 *176:14 1.42101e-05
+53 *380:DIODE *83:25 5.87792e-05
+54 *5:346 *83:20 8.71757e-05
+*RES
+1 *628:Y *83:8 8.115
+2 *83:8 *748:A 3.6
+3 *83:8 *83:20 7.205
+4 *83:20 *83:25 8.48
+5 *83:25 *83:30 6.98
+6 *83:30 *772:C1 8.12375
+7 *83:30 *694:A 3.43
+8 *83:25 *744:A 3.6
+9 *83:20 *776:A 2.215
+*END
+
+*D_NET *84 0.00819204
+*CONN
+*I *742:B I *D sky130_fd_sc_hd__or3_2
+*I *759:B I *D sky130_fd_sc_hd__or3_2
+*I *774:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *696:A I *D sky130_fd_sc_hd__xnor2_2
+*I *695:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *629:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *742:B 9.87834e-05
+2 *759:B 0
+3 *774:A2 0.000198922
+4 *696:A 0
+5 *695:A1 0.000808945
+6 *629:Y 3.38648e-05
+7 *84:26 0.0011634
+8 *84:23 0.00100351
+9 *84:10 0.000605127
+10 *84:7 0.000287643
+11 *695:A1 *695:A2 0.000158076
+12 *695:A1 *711:A 0.000150423
+13 *695:A1 *712:A1 0.000232999
+14 *695:A1 *712:S 2.16917e-05
+15 *695:A1 *145:5 1.61831e-05
+16 *695:A1 *145:7 2.39634e-05
+17 *742:B *742:C 8.68097e-06
+18 *742:B *743:A 6.86726e-05
+19 *742:B *775:B 2.75145e-05
+20 *742:B *187:33 3.98852e-05
+21 *774:A2 *773:A2 6.56448e-06
+22 *774:A2 *774:A1 1.32373e-05
+23 *774:A2 *774:B1 2.68721e-05
+24 *774:A2 *86:8 2.92496e-05
+25 *774:A2 *86:40 0.000211255
+26 *774:A2 *142:29 2.11199e-05
+27 *774:A2 *142:47 2.0851e-06
+28 *774:A2 *237:40 4.21314e-05
+29 *774:A2 *237:54 0.000137529
+30 *84:7 *742:C 4.82919e-05
+31 *84:10 *742:C 1.43749e-05
+32 *84:10 *759:A 6.11558e-05
+33 *84:10 *770:B 9.30637e-06
+34 *84:10 *131:62 9.90014e-05
+35 *84:10 *237:75 9.58678e-06
+36 *84:23 *704:A1 3.79555e-05
+37 *84:23 *770:B 4.16006e-05
+38 *84:23 *773:B1 0.000116637
+39 *84:23 *85:5 0.000122331
+40 *84:23 *86:13 0.000337831
+41 *84:23 *130:94 2.42043e-05
+42 *84:23 *131:31 4.82919e-05
+43 *84:23 *131:62 1.88958e-05
+44 *84:23 *143:5 8.34281e-06
+45 *84:26 *631:A 5.08304e-06
+46 *84:26 *705:A1 0
+47 *84:26 *708:B1 0.000135833
+48 *84:26 *86:8 7.23533e-05
+49 *84:26 *129:58 7.81954e-05
+50 *84:26 *129:90 0.000136884
+51 *84:26 *129:121 0.000240432
+52 *84:26 *129:132 0.000151068
+53 *84:26 *134:17 8.53177e-05
+54 *84:26 *145:58 0.00038135
+55 *84:26 *234:47 6.51383e-05
+56 *84:26 *237:12 9.78543e-06
+57 *84:26 *237:33 7.24397e-05
+58 *84:26 *237:40 0.000138551
+59 *694:A *84:23 9.2741e-05
+60 *772:C1 *84:23 9.073e-05
+61 *83:30 *84:26 0
+*RES
+1 *629:Y *84:7 4.05
+2 *84:7 *84:10 2.595
+3 *84:10 *84:23 15.98
+4 *84:23 *84:26 13.58
+5 *84:26 *695:A1 14.32
+6 *84:26 *696:A 1.6
+7 *84:23 *774:A2 7.51125
+8 *84:10 *759:B 3.6
+9 *84:7 *742:B 5.43
+*END
+
+*D_NET *85 0.00236672
+*CONN
+*I *759:C I *D sky130_fd_sc_hd__or3_2
+*I *694:B I *D sky130_fd_sc_hd__or4_2
+*I *743:B I *D sky130_fd_sc_hd__nor2_2
+*I *630:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *759:C 0.00010341
+2 *694:B 0
+3 *743:B 0.000194262
+4 *630:Y 0
+5 *85:5 0.000370028
+6 *85:4 0.000279176
+7 *743:B *704:A1 0.000452244
+8 *743:B *770:B 0.000167089
+9 *743:B *773:B1 5.38986e-06
+10 *743:B *187:33 0.00024118
+11 *743:B *299:26 4.0031e-05
+12 *759:C *692:A 3.34054e-06
+13 *759:C *772:B1 4.004e-05
+14 *759:C *86:13 8.10303e-05
+15 *759:C *131:62 0.000108733
+16 *759:C *235:61 0.000107028
+17 *85:5 *131:31 3.96653e-05
+18 *85:5 *143:5 1.17409e-05
+19 *84:23 *85:5 0.000122331
+*RES
+1 *630:Y *85:4 1.6
+2 *85:4 *85:5 2.175
+3 *85:5 *743:B 11.315
+4 *85:5 *694:B 1.6
+5 *85:4 *759:C 8.345
+*END
+
+*D_NET *86 0.00759109
+*CONN
+*I *790:A1 I *D sky130_fd_sc_hd__o221a_2
+*I *782:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *692:A I *D sky130_fd_sc_hd__nor2_2
+*I *717:A I *D sky130_fd_sc_hd__xnor2_2
+*I *631:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *790:A1 0.000346468
+2 *782:A1 7.70286e-05
+3 *692:A 7.3394e-05
+4 *717:A 0.000201928
+5 *631:Y 0.000114704
+6 *86:40 0.000715584
+7 *86:13 0.000608698
+8 *86:8 0.000740167
+9 *692:A *692:B 3.21081e-06
+10 *692:A *772:B1 1.44551e-05
+11 *692:A *87:28 2.85364e-05
+12 *692:A *87:41 4.09813e-05
+13 *692:A *235:61 5.97296e-05
+14 *717:A *702:A 4.66108e-05
+15 *717:A *704:B1 8.35118e-05
+16 *717:A *716:A1 2.85623e-05
+17 *717:A *87:8 0.000187438
+18 *717:A *87:28 7.98497e-05
+19 *717:A *131:20 8.00029e-05
+20 *717:A *131:62 8.09021e-06
+21 *717:A *235:61 0.000110773
+22 *782:A1 *783:A1 0.0001947
+23 *782:A1 *150:32 0.0001947
+24 *790:A1 *777:A 4.66108e-05
+25 *790:A1 *783:A1 4.66108e-05
+26 *790:A1 *783:B1 0.000230702
+27 *790:A1 *783:B2 9.03508e-06
+28 *790:A1 *787:A2 1.17409e-05
+29 *790:A1 *788:C 3.93225e-05
+30 *790:A1 *790:A2 8.7152e-06
+31 *790:A1 *791:A 0.000385333
+32 *790:A1 *792:A 4.66108e-05
+33 *790:A1 *130:39 0.000119795
+34 *790:A1 *143:29 1.53053e-05
+35 *790:A1 *143:36 3.34183e-05
+36 *790:A1 *150:32 0.000358642
+37 *790:A1 *178:15 1.53053e-05
+38 *790:A1 *178:20 2.10214e-05
+39 *790:A1 *187:44 8.69406e-05
+40 *790:A1 *206:8 0.000112559
+41 *86:8 *700:B 8.69583e-05
+42 *86:13 *759:A 4.99619e-05
+43 *86:13 *772:A1 6.03947e-06
+44 *86:13 *772:A2 6.08882e-05
+45 *86:13 *772:B1 0.00012841
+46 *86:13 *773:B1 8.54402e-07
+47 *86:13 *130:94 4.77757e-05
+48 *86:40 *773:B1 0
+49 *86:40 *130:76 0.000486894
+50 *86:40 *142:47 0
+51 *382:DIODE *86:13 8.23457e-05
+52 *384:DIODE *790:A1 9.5432e-06
+53 *759:C *692:A 3.34054e-06
+54 *759:C *86:13 8.10303e-05
+55 *772:C1 *86:13 6.8725e-06
+56 *774:A2 *86:8 2.92496e-05
+57 *774:A2 *86:40 0.000211255
+58 *778:A1 *86:40 9.30717e-05
+59 *778:A2 *86:40 2.52762e-05
+60 *786:B1 *790:A1 4.8446e-05
+61 *5:761 *782:A1 3.2593e-05
+62 *18:5 *790:A1 6.33059e-05
+63 *84:23 *86:13 0.000337831
+64 *84:26 *86:8 7.23533e-05
+*RES
+1 *631:Y *86:8 5.52
+2 *86:8 *86:13 11.005
+3 *86:13 *717:A 8.415
+4 *86:13 *692:A 5.5275
+5 *86:8 *86:40 8.48
+6 *86:40 *782:A1 3.9925
+7 *86:40 *790:A1 16.52
+*END
+
+*D_NET *87 0.00679091
+*CONN
+*I *799:B I *D sky130_fd_sc_hd__or3_2
+*I *750:B I *D sky130_fd_sc_hd__or3_2
+*I *692:B I *D sky130_fd_sc_hd__nor2_2
+*I *702:A I *D sky130_fd_sc_hd__xnor2_2
+*I *716:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *632:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *799:B 2.9928e-05
+2 *750:B 0.000450342
+3 *692:B 4.34368e-05
+4 *702:A 0.000176572
+5 *716:A1 0.00014304
+6 *632:Y 0.000263574
+7 *87:41 0.000629759
+8 *87:28 0.000242802
+9 *87:8 0.000401055
+10 *87:7 0.000394894
+11 *692:B *779:B 2.18843e-05
+12 *702:A *704:B1 0.000184198
+13 *702:A *704:B2 0.000377268
+14 *702:A *131:20 0.000158552
+15 *702:A *131:31 0.00045628
+16 *702:A *152:5 5.08233e-05
+17 *716:A1 *704:A3 4.56221e-05
+18 *716:A1 *704:B1 4.87939e-05
+19 *716:A1 *716:A2 0.000220529
+20 *716:A1 *718:A 0
+21 *716:A1 *233:28 9.52419e-05
+22 *716:A1 *246:22 0.000222199
+23 *87:7 *235:52 0.000383657
+24 *87:8 *704:B1 0.000151963
+25 *87:8 *130:21 4.21314e-05
+26 *87:28 *130:21 8.89169e-05
+27 *87:28 *130:39 1.98158e-05
+28 *87:41 *742:C 4.22878e-05
+29 *87:41 *743:A 0
+30 *87:41 *130:39 0.000296508
+31 *87:41 *235:61 8.73147e-06
+32 *87:41 *278:12 1.88656e-05
+33 *382:DIODE *692:B 1.91597e-05
+34 *692:A *692:B 3.21081e-06
+35 *692:A *87:28 2.85364e-05
+36 *692:A *87:41 4.09813e-05
+37 *717:A *702:A 4.66108e-05
+38 *717:A *716:A1 2.85623e-05
+39 *717:A *87:8 0.000187438
+40 *717:A *87:28 7.98497e-05
+41 *786:B1 *87:41 4.4091e-05
+42 *83:20 *750:B 7.40446e-05
+43 *83:20 *799:B 4.66108e-05
+44 *83:25 *750:B 0.000482141
+*RES
+1 *632:Y *87:7 7.155
+2 *87:7 *87:8 2.4
+3 *87:8 *716:A1 8.3025
+4 *87:8 *702:A 10.62
+5 *87:7 *87:28 1.38
+6 *87:28 *692:B 4.41
+7 *87:28 *87:41 5.675
+8 *87:41 *750:B 7.225
+9 *87:41 *799:B 2.05
+*END
+
+*D_NET *88 0.0156492
+*CONN
+*I *737:S I *D sky130_fd_sc_hd__mux2_1
+*I *727:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *635:S I *D sky130_fd_sc_hd__mux2_1
+*I *636:S I *D sky130_fd_sc_hd__mux2_1
+*I *729:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *637:S I *D sky130_fd_sc_hd__mux2_1
+*I *736:B I *D sky130_fd_sc_hd__nand3_2
+*I *638:S I *D sky130_fd_sc_hd__mux2_1
+*I *639:S I *D sky130_fd_sc_hd__mux2_1
+*I *633:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *737:S 0
+2 *727:B1 2.08101e-05
+3 *635:S 0.000652606
+4 *636:S 0
+5 *729:C1 0
+6 *637:S 4.67455e-06
+7 *736:B 7.20786e-05
+8 *638:S 0
+9 *639:S 0.000895631
+10 *633:Y 0.000207321
+11 *88:82 0.00107335
+12 *88:77 0.000675857
+13 *88:65 0.000357309
+14 *88:39 0.00066122
+15 *88:35 0.000593816
+16 *88:21 0.00127388
+17 *88:19 0.00272527
+18 *88:5 0.00243133
+19 *635:S *642:A 0
+20 *635:S *657:A 0.000106973
+21 *635:S *659:C1 0
+22 *635:S *852:CLK 8.53244e-05
+23 *635:S *225:8 0
+24 *635:S *333:245 0
+25 *639:S *216:144 1.71013e-05
+26 *639:S *222:21 3.00381e-05
+27 *736:B *638:A1 3.66286e-05
+28 *736:B *736:A 4.82863e-05
+29 *736:B *333:27 0.000110563
+30 *88:5 *825:B 6.97118e-05
+31 *88:5 *232:11 1.83756e-05
+32 *88:19 *686:A 1.33293e-05
+33 *88:19 *733:A2 2.65814e-06
+34 *88:19 *733:B1 1.52184e-05
+35 *88:19 *737:A0 0.000272134
+36 *88:19 *738:A0 0.000119762
+37 *88:19 *832:CLK 3.40331e-05
+38 *88:19 *171:10 0
+39 *88:19 *171:12 0
+40 *88:19 *216:73 0
+41 *88:19 *216:79 0
+42 *88:19 *216:81 0
+43 *88:19 *232:11 2.26018e-05
+44 *88:39 *638:A1 4.99619e-05
+45 *88:39 *648:B 0
+46 *88:39 *649:B 0
+47 *88:39 *654:C1 6.02202e-05
+48 *88:39 *100:10 7.70938e-05
+49 *88:39 *102:10 1.44259e-05
+50 *88:39 *104:8 0
+51 *88:39 *219:23 0
+52 *88:39 *219:34 0
+53 *88:39 *223:17 0
+54 *88:39 *333:27 9.66106e-05
+55 *88:65 *647:A 3.89771e-05
+56 *88:65 *660:A 6.14728e-05
+57 *88:65 *729:B1 5.31158e-06
+58 *88:65 *219:34 2.0189e-05
+59 *88:77 *647:A 5.36975e-06
+60 *88:77 *727:A2 5.83121e-06
+61 *88:77 *729:B1 7.67375e-05
+62 *88:77 *851:CLK 5.24308e-05
+63 *88:77 *169:14 9.29751e-06
+64 *88:77 *216:46 0.000358951
+65 *88:77 *220:19 0
+66 *88:77 *220:20 1.48096e-05
+67 *88:77 *221:10 3.57846e-05
+68 *88:77 *221:14 7.63282e-05
+69 *88:82 *645:A 0.000115474
+70 *88:82 *662:A 0.000235335
+71 *88:82 *220:20 3.64998e-06
+72 clockp[1] *635:S 0
+73 *338:DIODE *639:S 0.000143694
+74 *405:DIODE *736:B 5.82176e-05
+75 *405:DIODE *88:39 6.90876e-05
+76 *832:D *88:19 9.4087e-05
+77 *833:D *88:19 0.000124895
+78 *836:D *88:19 9.76874e-05
+79 *848:RESET_B *88:5 0.000122331
+80 *850:RESET_B *88:39 8.47542e-05
+81 *851:D *88:65 4.57354e-05
+82 *853:D *635:S 0
+83 *853:RESET_B *635:S 9.29751e-06
+84 *5:180 *88:5 3.45679e-05
+85 *5:191 *88:5 4.36241e-05
+86 *5:604 *637:S 2.98807e-05
+87 *5:604 *88:39 3.72516e-05
+88 *5:611 *639:S 0.000181103
+89 *5:613 *639:S 1.70935e-05
+90 *11:8 *635:S 0.00014318
+91 *11:8 *639:S 0.000171549
+92 *11:8 *88:82 7.89689e-05
+*RES
+1 *633:Y *88:5 5.845
+2 *88:5 *88:19 20.5904
+3 *88:19 *88:21 0.504133
+4 *88:21 *639:S 11.2462
+5 *88:21 *88:35 0.5
+6 *88:35 *88:39 13.4075
+7 *88:39 *638:S 1.6
+8 *88:39 *736:B 3.79
+9 *88:35 *637:S 3.8325
+10 *88:19 *88:65 3.3275
+11 *88:65 *729:C1 3.6
+12 *88:65 *88:77 8.105
+13 *88:77 *88:82 9.26
+14 *88:82 *636:S 3.6
+15 *88:82 *635:S 9.86027
+16 *88:77 *727:B1 1.96
+17 *88:5 *737:S 1.6
+*END
+
+*D_NET *89 0.00538227
+*CONN
+*I *738:S I *D sky130_fd_sc_hd__mux2_1
+*I *735:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *733:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *686:C I *D sky130_fd_sc_hd__and3_2
+*I *739:B I *D sky130_fd_sc_hd__or2_2
+*I *634:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *738:S 0
+2 *735:B1 0
+3 *733:B1 0.000200215
+4 *686:C 0.000142757
+5 *739:B 0.000294585
+6 *634:X 0.000483739
+7 *89:34 0.000456216
+8 *89:7 0.000437342
+9 *89:5 0.000739741
+10 *686:C *686:B 0.000292053
+11 *686:C *333:64 8.40269e-05
+12 *733:B1 *732:A 0.000139776
+13 *733:B1 *732:B 4.37709e-05
+14 *733:B1 *733:A2 2.59835e-05
+15 *733:B1 *735:A2 4.18603e-06
+16 *733:B1 *171:12 0
+17 *733:B1 *216:81 0
+18 *733:B1 *216:131 0.000124384
+19 *739:B *686:B 4.14369e-05
+20 *739:B *739:A 0
+21 *739:B *216:88 5.05437e-05
+22 *739:B *230:8 9.30717e-05
+23 *739:B *333:76 0
+24 *89:5 *738:A0 7.14699e-05
+25 *89:5 *833:CLK 0.000204202
+26 *89:5 *232:11 0.000246215
+27 *89:34 *738:A0 0.000122325
+28 *89:34 *739:A 6.43122e-05
+29 *89:34 *216:131 0.000197822
+30 *424:DIODE *89:34 8.84166e-05
+31 *809:A *89:5 5.74791e-05
+32 *833:RESET_B *89:5 2.40101e-05
+33 *835:D *733:B1 2.75145e-05
+34 *5:140 *89:34 0.000111285
+35 *5:146 *739:B 0.000139933
+36 *5:561 *686:C 0.000287556
+37 *5:561 *739:B 7.06865e-05
+38 *88:19 *733:B1 1.52184e-05
+*RES
+1 *634:X *89:5 11.71
+2 *89:5 *89:7 2
+3 *89:7 *739:B 9.645
+4 *89:7 *686:C 7.815
+5 *89:5 *89:34 6.09
+6 *89:34 *733:B1 10.13
+7 *89:34 *735:B1 1.6
+8 *89:5 *738:S 1.6
+*END
+
+*D_NET *90 0.0030019
+*CONN
+*I *642:A I *D sky130_fd_sc_hd__and2_2
+*I *659:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *640:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *642:A 3.48955e-05
+2 *659:C1 0.000171111
+3 *640:Y 0.000916396
+4 *90:15 0.0011224
+5 *642:A *642:B 9.50342e-06
+6 *659:C1 *657:A 0
+7 *659:C1 *659:A2 2.03321e-05
+8 *659:C1 *659:B1 5.53249e-06
+9 *659:C1 *92:6 6.95492e-05
+10 *659:C1 *92:8 4.70256e-05
+11 *90:15 *635:A0 8.93224e-05
+12 *90:15 *635:A1 1.76876e-05
+13 *90:15 *640:B 0.000398967
+14 *90:15 *221:55 1.62814e-05
+15 *90:15 *225:8 4.77153e-05
+16 *635:S *642:A 0
+17 *635:S *659:C1 0
+18 *853:D *659:C1 3.51805e-05
+*RES
+1 *640:Y *90:15 16.02
+2 *90:15 *659:C1 7.275
+3 *90:15 *642:A 4.215
+*END
+
+*D_NET *91 0.00112704
+*CONN
+*I *642:B I *D sky130_fd_sc_hd__and2_2
+*I *641:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *642:B 0.0004644
+2 *641:X 0.0004644
+3 *642:B *635:A0 4.82919e-05
+4 *642:B *830:B 4.21973e-05
+5 *642:A *642:B 9.50342e-06
+6 *853:RESET_B *642:B 4.82863e-05
+7 *5:15 *642:B 4.99619e-05
+*RES
+1 *641:X *642:B 14.46
+*END
+
+*D_NET *92 0.00220808
+*CONN
+*I *657:A I *D sky130_fd_sc_hd__and2_2
+*I *656:A I *D sky130_fd_sc_hd__nor2_2
+*I *658:A I *D sky130_fd_sc_hd__nand2_2
+*I *642:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *657:A 0.00036193
+2 *656:A 0
+3 *658:A 7.81253e-05
+4 *642:X 0.000111103
+5 *92:8 0.00024839
+6 *92:6 0.000643298
+7 *657:A *657:B 5.53249e-06
+8 *657:A *659:A2 0
+9 *657:A *853:CLK 0.000173302
+10 *658:A *658:B 0.000163992
+11 *92:8 *658:B 9.50342e-06
+12 *92:8 *659:B1 0
+13 *92:8 *105:12 6.68849e-05
+14 clockp[1] *657:A 0
+15 *635:S *657:A 0.000106973
+16 *659:C1 *657:A 0
+17 *659:C1 *92:6 6.95492e-05
+18 *659:C1 *92:8 4.70256e-05
+19 *677:B1 *92:8 0.00010484
+20 *10:19 *92:8 1.7635e-05
+*RES
+1 *642:X *92:6 5.745
+2 *92:6 *92:8 3.675
+3 *92:8 *658:A 5.49
+4 *92:8 *656:A 3.6
+5 *92:6 *657:A 12.88
+*END
+
+*D_NET *93 0.00171132
+*CONN
+*I *662:A I *D sky130_fd_sc_hd__or2_2
+*I *644:A I *D sky130_fd_sc_hd__inv_2
+*I *643:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *662:A 0.000249234
+2 *644:A 2.08101e-05
+3 *643:Y 0.000101396
+4 *93:9 0.00037144
+5 *662:A *645:B 3.09164e-05
+6 *662:A *647:A 0
+7 *662:A *655:A1 0.000273352
+8 *662:A *655:B1 2.68464e-05
+9 *662:A *662:B 5.88561e-05
+10 *662:A *95:5 2.68526e-05
+11 *662:A *105:7 9.52646e-05
+12 *662:A *220:36 0
+13 *93:9 *667:A1 1.61831e-05
+14 *93:9 *667:A2 5.30673e-05
+15 *93:9 *828:B 0
+16 *93:9 *105:7 7.35813e-05
+17 clockp[1] *93:9 7.81876e-05
+18 *9:38 *644:A 0
+19 *88:82 *662:A 0.000235335
+*RES
+1 *643:Y *93:9 8.375
+2 *93:9 *644:A 1.96
+3 *93:9 *662:A 12.08
+*END
+
+*D_NET *94 0.000667947
+*CONN
+*I *655:A1 I *D sky130_fd_sc_hd__a31o_2
+*I *644:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *655:A1 0.000119102
+2 *644:Y 0.000119102
+3 *655:A1 *664:A2 0.00015639
+4 *662:A *655:A1 0.000273352
+*RES
+1 *644:Y *655:A1 6.335
+*END
+
+*D_NET *95 0.000364499
+*CONN
+*I *662:B I *D sky130_fd_sc_hd__or2_2
+*I *655:B1 I *D sky130_fd_sc_hd__a31o_2
+*I *645:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *662:B 7.52969e-05
+2 *655:B1 2.79308e-05
+3 *645:X 2.27443e-05
+4 *95:5 0.000125972
+5 *662:B *104:21 0
+6 *662:A *655:B1 2.68464e-05
+7 *662:A *662:B 5.88561e-05
+8 *662:A *95:5 2.68526e-05
+*RES
+1 *645:X *95:5 2.05
+2 *95:5 *655:B1 2.05
+3 *95:5 *662:B 6.98
+*END
+
+*D_NET *96 0.0014887
+*CONN
+*I *660:A I *D sky130_fd_sc_hd__nor2_2
+*I *646:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *660:A 0.000362519
+2 *646:Y 0.000362519
+3 *660:A *646:A 0.000194299
+4 *660:A *168:8 0
+5 *660:A *219:34 5.44838e-05
+6 *660:A *219:37 0.000121032
+7 *660:A *224:20 0.000156778
+8 *660:A *224:28 0.000111398
+9 *5:592 *660:A 3.64998e-06
+10 *5:604 *660:A 6.05513e-05
+11 *88:65 *660:A 6.14728e-05
+*RES
+1 *646:Y *660:A 14.46
+*END
+
+*D_NET *97 0.00143293
+*CONN
+*I *655:A2 I *D sky130_fd_sc_hd__a31o_2
+*I *663:A I *D sky130_fd_sc_hd__nand3_2
+*I *664:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *647:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *655:A2 4.93961e-05
+2 *663:A 0
+3 *664:A1 4.88752e-05
+4 *647:X 0.0002924
+5 *97:17 0.000161239
+6 *97:7 0.00045416
+7 *655:A2 *104:21 7.4027e-05
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 9.99383e-05
+10 *97:7 *664:B1 4.4587e-05
+11 *97:7 *728:A_N 0
+12 *97:7 *112:5 8.3329e-05
+13 *97:7 *129:17 2.66586e-05
+14 *97:17 *663:C 0
+15 *97:17 *664:B1 9.8321e-05
+*RES
+1 *647:X *97:7 5.515
+2 *97:7 *97:17 2.415
+3 *97:17 *664:A1 2.935
+4 *97:17 *663:A 1.6
+5 *97:7 *655:A2 6.47
+*END
+
+*D_NET *98 0.00110629
+*CONN
+*I *660:B I *D sky130_fd_sc_hd__nor2_2
+*I *654:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *648:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *660:B 7.73268e-05
+2 *654:B1 0.000118673
+3 *648:X 0
+4 *98:4 0.000196
+5 *654:B1 *648:A 7.35729e-05
+6 *654:B1 *661:B 0.000387003
+7 *660:B *661:B 0.000162311
+8 *344:DIODE *654:B1 9.14035e-05
+*RES
+1 *648:X *98:4 1.6
+2 *98:4 *654:B1 5.515
+3 *98:4 *660:B 3.445
+*END
+
+*D_NET *99 0.00173119
+*CONN
+*I *654:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *653:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *649:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *654:C1 6.50715e-05
+2 *653:B1 0.000384166
+3 *649:X 0.000170907
+4 *99:5 0.000620144
+5 *653:B1 *653:A1 0.00030891
+6 *653:B1 *100:11 6.4201e-05
+7 *653:B1 *120:7 2.20732e-05
+8 *654:C1 *654:A1 3.54966e-05
+9 *88:39 *654:C1 6.02202e-05
+*RES
+1 *649:X *99:5 3.43
+2 *99:5 *653:B1 6.805
+3 *99:5 *654:C1 6.98
+*END
+
+*D_NET *100 0.00217523
+*CONN
+*I *670:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *650:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *670:A 0
+2 *653:A1 7.53791e-05
+3 *654:A1 9.47383e-05
+4 *650:X 0.000206336
+5 *100:11 0.000207056
+6 *100:10 0.000243274
+7 *653:A1 *653:A2 0.00024401
+8 *653:A1 *102:11 1.43253e-05
+9 *653:A1 *120:7 1.61831e-05
+10 *654:A1 *654:A2 0.000150847
+11 *100:10 *102:10 0.000116853
+12 *100:10 *216:144 0.000235238
+13 *100:11 *102:11 8.52845e-05
+14 *653:B1 *653:A1 0.00030891
+15 *653:B1 *100:11 6.4201e-05
+16 *654:C1 *654:A1 3.54966e-05
+17 *88:39 *100:10 7.70938e-05
+*RES
+1 *650:X *100:10 9.41
+2 *100:10 *100:11 1.14
+3 *100:11 *654:A1 7.49
+4 *100:11 *653:A1 4.825
+5 *100:10 *670:A 1.6
+*END
+
+*D_NET *101 0.000488478
+*CONN
+*I *673:A I *D sky130_fd_sc_hd__nand2_2
+*I *651:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *673:A 0.000121933
+2 *651:Y 0.000121933
+3 *673:A *671:B 0
+4 clockp[1] *673:A 0.000139245
+5 *344:DIODE *673:A 1.71013e-05
+6 *671:A *673:A 0
+7 *826:A *673:A 8.8266e-05
+*RES
+1 *651:Y *673:A 9.63
+*END
+
+*D_NET *102 0.0015104
+*CONN
+*I *670:B I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *652:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *670:B 0
+2 *653:A2 0.000106766
+3 *654:A2 0.000125398
+4 *652:X 0.000100926
+5 *102:11 0.000293514
+6 *102:10 0.000162275
+7 *653:A2 *120:7 2.75145e-05
+8 *102:10 *216:144 4.77719e-05
+9 *102:10 *217:11 2.04923e-05
+10 *653:A1 *653:A2 0.00024401
+11 *653:A1 *102:11 1.43253e-05
+12 *654:A1 *654:A2 0.000150847
+13 *11:8 *654:A2 0
+14 *11:8 *102:10 0
+15 *88:39 *102:10 1.44259e-05
+16 *100:10 *102:10 0.000116853
+17 *100:11 *102:11 8.52845e-05
+*RES
+1 *652:X *102:10 7.94
+2 *102:10 *102:11 1.14
+3 *102:11 *654:A2 7.745
+4 *102:11 *653:A2 4.135
+5 *102:10 *670:B 1.6
+*END
+
+*D_NET *103 0.000310792
+*CONN
+*I *661:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *661:A 6.03033e-05
+2 *653:X 6.03033e-05
+3 clockp[1] *661:A 0
+4 *337:DIODE *661:A 5.16418e-05
+5 *5:611 *661:A 0.000138544
+*RES
+1 *653:X *661:A 8.835
+*END
+
+*D_NET *104 0.00209067
+*CONN
+*I *655:A3 I *D sky130_fd_sc_hd__a31o_2
+*I *664:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *663:B I *D sky130_fd_sc_hd__nand3_2
+*I *654:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *655:A3 0
+2 *664:A2 0.000139076
+3 *663:B 0
+4 *654:X 0.00032596
+5 *104:21 0.000232846
+6 *104:8 0.00041973
+7 *664:A2 *667:A2 5.39718e-05
+8 *664:A2 *828:B 0.00011852
+9 *104:8 *661:B 4.77757e-05
+10 clockp[1] *664:A2 0
+11 *344:DIODE *104:8 2.86975e-05
+12 *655:A1 *664:A2 0.00015639
+13 *655:A2 *104:21 7.4027e-05
+14 *662:B *104:21 0
+15 *851:RESET_B *104:8 8.32746e-05
+16 *5:604 *104:8 0
+17 *11:8 *104:8 0.000281515
+18 *11:8 *104:21 0.000128891
+19 *88:39 *104:8 0
+*RES
+1 *654:X *104:8 10.275
+2 *104:8 *663:B 3.6
+3 *104:8 *104:21 4.19
+4 *104:21 *664:A2 8.465
+5 *104:21 *655:A3 1.6
+*END
+
+*D_NET *105 0.00260256
+*CONN
+*I *657:B I *D sky130_fd_sc_hd__and2_2
+*I *656:B I *D sky130_fd_sc_hd__nor2_2
+*I *658:B I *D sky130_fd_sc_hd__nand2_2
+*I *655:X O *D sky130_fd_sc_hd__a31o_2
+*CAP
+1 *657:B 8.44694e-05
+2 *656:B 0
+3 *658:B 9.96214e-05
+4 *655:X 0.000357905
+5 *105:12 0.000478123
+6 *105:7 0.000820876
+7 *657:B *659:A2 0
+8 *657:B *109:16 0
+9 *658:B *659:B1 4.82919e-05
+10 *105:12 *659:B1 0
+11 *105:12 *677:A1 4.29107e-05
+12 clockp[1] *657:B 5.22058e-05
+13 *340:DIODE *105:7 3.16627e-06
+14 *340:DIODE *105:12 2.11084e-05
+15 *657:A *657:B 5.53249e-06
+16 *658:A *658:B 0.000163992
+17 *662:A *105:7 9.52646e-05
+18 *667:B1 *105:7 2.8185e-05
+19 *677:B1 *105:12 0.000134938
+20 *9:38 *105:7 1.59999e-05
+21 *92:8 *658:B 9.50342e-06
+22 *92:8 *105:12 6.68849e-05
+23 *93:9 *105:7 7.35813e-05
+*RES
+1 *655:X *105:7 6.205
+2 *105:7 *105:12 7.115
+3 *105:12 *658:B 5.94
+4 *105:12 *656:B 3.6
+5 *105:7 *657:B 7.235
+*END
+
+*D_NET *106 0.00116075
+*CONN
+*I *677:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *659:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *656:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *677:A1 6.31335e-05
+2 *659:A2 0.000179772
+3 *656:Y 4.40202e-05
+4 *106:5 0.000286926
+5 *659:A2 *659:B1 0.000202095
+6 *659:A2 *109:16 8.44437e-05
+7 *677:A1 *127:8 3.96653e-05
+8 *106:5 *127:8 2.75229e-05
+9 *657:A *659:A2 0
+10 *657:B *659:A2 0
+11 *659:A1 *659:A2 9.94888e-07
+12 *659:C1 *659:A2 2.03321e-05
+13 *677:B1 *677:A1 4.66108e-05
+14 *677:B1 *106:5 0.000122325
+15 *105:12 *677:A1 4.29107e-05
+*RES
+1 *656:Y *106:5 2.74
+2 *106:5 *659:A2 9.15125
+3 *106:5 *677:A1 3.085
+*END
+
+*D_NET *107 0.000642068
+*CONN
+*I *677:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *657:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *677:A2 0.000249515
+2 *657:X 0.000249515
+3 *677:A2 *109:16 0.000143037
+4 clockp[1] *677:A2 0
+*RES
+1 *657:X *677:A2 10.5
+*END
+
+*D_NET *108 0.00105873
+*CONN
+*I *659:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *658:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *659:B1 0.000340345
+2 *658:Y 0.000340345
+3 *659:B1 *689:A 2.77283e-05
+4 *659:B1 *109:16 8.83965e-05
+5 *658:B *659:B1 4.82919e-05
+6 *659:A1 *659:B1 5.9964e-06
+7 *659:A2 *659:B1 0.000202095
+8 *659:C1 *659:B1 5.53249e-06
+9 *92:8 *659:B1 0
+10 *105:12 *659:B1 0
+*RES
+1 *658:Y *659:B1 13.4775
+*END
+
+*D_NET *109 0.00207537
+*CONN
+*I *679:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *688:C_N I *D sky130_fd_sc_hd__or3b_2
+*I *659:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *679:B1 0
+2 *688:C_N 0.000132297
+3 *659:X 0.000384102
+4 *109:16 0.000516399
+5 *688:C_N *688:B 4.80285e-05
+6 *688:C_N *689:B 3.69913e-05
+7 *688:C_N *689:C 0.000130778
+8 *688:C_N *111:8 0
+9 *109:16 *669:A 0.00020766
+10 *109:16 *689:A 0.000101337
+11 *109:16 *689:B 4.55864e-06
+12 *109:16 *127:8 0.000166423
+13 clockp[1] *109:16 0
+14 *657:B *109:16 0
+15 *659:A2 *109:16 8.44437e-05
+16 *659:B1 *109:16 8.83965e-05
+17 *677:A2 *109:16 0.000143037
+18 *7:29 *688:C_N 3.09233e-05
+19 *9:10 *109:16 0
+20 *9:38 *109:16 0
+*RES
+1 *659:X *109:16 13.26
+2 *109:16 *688:C_N 6.54
+3 *109:16 *679:B1 3.6
+*END
+
+*D_NET *110 0.002373
+*CONN
+*I *661:B I *D sky130_fd_sc_hd__xnor2_2
+*I *660:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *661:B 0.000234028
+2 *660:Y 0.000234028
+3 *338:DIODE *661:B 0.000122331
+4 *344:DIODE *661:B 0.00011797
+5 *654:B1 *661:B 0.000387003
+6 *660:B *661:B 0.000162311
+7 *7:29 *661:B 0.00106756
+8 *104:8 *661:B 4.77757e-05
+*RES
+1 *660:Y *661:B 13.325
+*END
+
+*D_NET *111 0.00145959
+*CONN
+*I *665:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *668:B I *D sky130_fd_sc_hd__nor2_2
+*I *661:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *665:B2 7.29527e-05
+2 *668:B 8.57268e-05
+3 *661:Y 0.000148037
+4 *111:8 0.000306717
+5 *665:B2 *678:A 0.000103243
+6 *665:B2 *678:B 0.000110676
+7 *668:B *690:D 0.000131077
+8 *668:B *118:8 0.000228248
+9 *111:8 *678:A 2.98807e-05
+10 *111:8 *678:B 2.8531e-05
+11 *111:8 *689:B 0
+12 clockp[1] *111:8 8.89953e-05
+13 *344:DIODE *111:8 2.75229e-05
+14 *688:C_N *111:8 0
+15 *7:29 *111:8 8.65905e-05
+16 *9:15 *665:B2 2.65814e-06
+17 *9:55 *665:B2 8.73389e-06
+*RES
+1 *661:Y *111:8 6.795
+2 *111:8 *668:B 6.135
+3 *111:8 *665:B2 5.43
+*END
+
+*D_NET *112 0.0013087
+*CONN
+*I *663:C I *D sky130_fd_sc_hd__nand3_2
+*I *664:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *662:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *663:C 2.15973e-05
+2 *664:B1 0.000128377
+3 *662:X 3.42595e-05
+4 *112:5 0.000184234
+5 *664:B1 *666:A2 5.4155e-06
+6 *664:B1 *129:17 0.000418974
+7 *112:5 *129:17 0.000189664
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 9.99383e-05
+10 *97:7 *664:B1 4.4587e-05
+11 *97:7 *112:5 8.3329e-05
+12 *97:17 *663:C 0
+13 *97:17 *664:B1 9.8321e-05
+*RES
+1 *662:X *112:5 3.43
+2 *112:5 *664:B1 5.86
+3 *112:5 *663:C 1.96
+*END
+
+*D_NET *113 0.00194897
+*CONN
+*I *665:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *667:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *666:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *663:Y O *D sky130_fd_sc_hd__nand3_2
+*CAP
+1 *665:A2 0
+2 *667:A1 0.000114611
+3 *666:A1 0.000139448
+4 *663:Y 0.000143828
+5 *113:12 0.000348239
+6 *113:9 0.000238009
+7 *666:A1 *666:A2 8.65961e-05
+8 *666:A1 *114:15 9.80943e-06
+9 *666:A1 *129:17 1.40675e-05
+10 *667:A1 *667:A2 0.000166799
+11 *113:9 *828:B 0.000139361
+12 *113:12 *665:A3 0.000116947
+13 *113:12 *667:A2 6.38291e-05
+14 *113:12 *129:17 4.50017e-05
+15 clockp[1] *667:A1 0
+16 clockp[1] *113:12 0
+17 *665:B1 *113:9 8.64599e-05
+18 *666:B1 *666:A1 5.83121e-06
+19 *9:55 *113:12 7.45914e-05
+20 *11:8 *113:9 0.000139361
+21 *93:9 *667:A1 1.61831e-05
+*RES
+1 *663:Y *113:9 9.065
+2 *113:9 *113:12 4.4
+3 *113:12 *666:A1 5.88
+4 *113:12 *667:A1 5.775
+5 *113:9 *665:A2 1.6
+*END
+
+*D_NET *114 0.00137208
+*CONN
+*I *667:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *665:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *666:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *664:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *667:A2 0.000123661
+2 *665:A3 4.00966e-05
+3 *666:A2 7.28717e-05
+4 *664:X 0
+5 *114:15 0.000253971
+6 *114:4 0.000163085
+7 *665:A3 *828:B 4.52588e-05
+8 *667:A2 *828:B 3.26822e-05
+9 *114:15 *129:17 8.40213e-05
+10 *664:A2 *667:A2 5.39718e-05
+11 *664:B1 *666:A2 5.4155e-06
+12 *666:A1 *666:A2 8.65961e-05
+13 *666:A1 *114:15 9.80943e-06
+14 *667:A1 *667:A2 0.000166799
+15 *93:9 *667:A2 5.30673e-05
+16 *113:12 *665:A3 0.000116947
+17 *113:12 *667:A2 6.38291e-05
+*RES
+1 *664:X *114:4 1.6
+2 *114:4 *666:A2 3.01
+3 *114:4 *114:15 3.14
+4 *114:15 *665:A3 4.98
+5 *114:15 *667:A2 6.9
+*END
+
+*D_NET *115 0.0012182
+*CONN
+*I *678:A I *D sky130_fd_sc_hd__and2_2
+*I *676:B1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *669:A I *D sky130_fd_sc_hd__or3_2
+*I *665:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *678:A 6.40529e-05
+2 *676:B1 0
+3 *669:A 5.05983e-05
+4 *665:X 0
+5 *115:7 0.000198483
+6 *115:4 0.000211938
+7 *669:A *127:8 0.00020766
+8 *678:A *679:A1 0
+9 *678:A *118:8 3.14077e-05
+10 *115:7 *679:A1 4.66108e-05
+11 *665:B2 *678:A 0.000103243
+12 *9:15 *115:7 6.6664e-05
+13 *109:16 *669:A 0.00020766
+14 *111:8 *678:A 2.98807e-05
+*RES
+1 *665:X *115:4 1.6
+2 *115:4 *115:7 2.535
+3 *115:7 *669:A 8
+4 *115:7 *676:B1 1.6
+5 *115:4 *678:A 7.235
+*END
+
+*D_NET *116 0.000480401
+*CONN
+*I *669:B I *D sky130_fd_sc_hd__or3_2
+*I *676:C1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *666:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *669:B 0
+2 *676:C1 3.66884e-05
+3 *666:Y 7.806e-05
+4 *116:7 0.000114748
+5 *676:C1 *669:C 0.00011626
+6 *676:C1 *127:8 0.00011626
+7 *666:B1 *116:7 1.83847e-05
+*RES
+1 *666:Y *116:7 2.755
+2 *116:7 *676:C1 6.98
+3 *116:7 *669:B 1.6
+*END
+
+*D_NET *117 0.00132943
+*CONN
+*I *678:B I *D sky130_fd_sc_hd__and2_2
+*I *667:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *678:B 0.000193707
+2 *667:X 0.000193707
+3 *678:B *669:C 0
+4 clockp[1] *678:B 0.000481053
+5 *665:B2 *678:B 0.000110676
+6 *667:B1 *678:B 4.66108e-05
+7 *9:18 *678:B 0.000181369
+8 *9:38 *678:B 9.37731e-05
+9 *111:8 *678:B 2.8531e-05
+*RES
+1 *667:X *678:B 13.365
+*END
+
+*D_NET *118 0.00151155
+*CONN
+*I *669:C I *D sky130_fd_sc_hd__or3_2
+*I *676:D1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *668:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *669:C 0.000136214
+2 *676:D1 0
+3 *668:Y 0.000329593
+4 *118:8 0.000465807
+5 *669:C *127:8 4.78961e-05
+6 *118:8 *679:A1 7.03219e-05
+7 *118:8 *690:D 6.32751e-05
+8 *118:8 *127:8 2.25299e-05
+9 *668:B *118:8 0.000228248
+10 *676:C1 *669:C 0.00011626
+11 *678:A *118:8 3.14077e-05
+12 *678:B *669:C 0
+13 *9:18 *669:C 0
+*RES
+1 *668:Y *118:8 8.535
+2 *118:8 *676:D1 3.6
+3 *118:8 *669:C 6.45
+*END
+
+*D_NET *119 0.000751157
+*CONN
+*I *689:A I *D sky130_fd_sc_hd__or3_2
+*I *669:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *689:A 0.000205598
+2 *669:X 0.000205598
+3 *689:A *676:A2 0.000179664
+4 *689:A *689:C 3.12319e-05
+5 *659:B1 *689:A 2.77283e-05
+6 *9:10 *689:A 0
+7 *109:16 *689:A 0.000101337
+*RES
+1 *669:X *689:A 11.67
+*END
+
+*D_NET *120 0.00158091
+*CONN
+*I *671:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *670:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *671:B 8.86102e-05
+2 *674:A2 6.77118e-05
+3 *670:Y 0.000407675
+4 *120:7 0.000563997
+5 *671:B *222:10 0
+6 *120:7 *673:B 2.75145e-05
+7 *120:7 *123:5 1.61831e-05
+8 clockp[1] *671:B 0
+9 *653:A1 *120:7 1.61831e-05
+10 *653:A2 *120:7 2.75145e-05
+11 *653:B1 *120:7 2.20732e-05
+12 *671:A *671:B 8.97564e-05
+13 *673:A *671:B 0
+14 *6:34 *674:A2 9.04837e-05
+15 *6:34 *120:7 0.000163204
+*RES
+1 *670:Y *120:7 7.93
+2 *120:7 *674:A2 2.74
+3 *120:7 *671:B 7.49
+*END
+
+*D_NET *121 0.00159114
+*CONN
+*I *676:A1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:A I *D sky130_fd_sc_hd__nand2_2
+*I *671:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *676:A1 0.000177358
+2 *675:A 0
+3 *671:Y 0.000283917
+4 *121:12 0.000461276
+5 *676:A1 *676:A2 0.000287753
+6 *676:A1 *689:C 2.96297e-05
+7 *121:12 *675:B 0.000116182
+8 *121:12 *689:C 2.45182e-05
+9 *671:A *121:12 0
+10 *826:A *121:12 8.65961e-05
+11 *6:24 *121:12 0.000123909
+12 *7:8 *121:12 0
+13 *7:29 *121:12 0
+*RES
+1 *671:Y *121:12 9.225
+2 *121:12 *675:A 3.6
+3 *121:12 *676:A1 7.785
+*END
+
+*D_NET *122 0.00125257
+*CONN
+*I *673:B I *D sky130_fd_sc_hd__nand2_2
+*I *672:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *673:B 0.000238418
+2 *672:X 0.000238418
+3 *673:B *672:A 0.000337524
+4 clockp[1] *673:B 0.000187688
+5 *849:D *673:B 9.37731e-05
+6 *5:611 *673:B 8.34505e-05
+7 *5:613 *673:B 4.5783e-05
+8 *120:7 *673:B 2.75145e-05
+*RES
+1 *672:X *673:B 14.385
+*END
+
+*D_NET *123 0.000828735
+*CONN
+*I *687:B I *D sky130_fd_sc_hd__and2_2
+*I *674:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *673:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *687:B 1.90984e-05
+2 *674:B1 0.000167773
+3 *673:Y 6.36534e-05
+4 *123:5 0.000250525
+5 *6:24 *674:B1 3.25635e-05
+6 *6:34 *674:B1 0.000231398
+7 *6:34 *687:B 4.00541e-06
+8 *6:34 *123:5 4.35348e-05
+9 *120:7 *123:5 1.61831e-05
+*RES
+1 *673:Y *123:5 2.74
+2 *123:5 *674:B1 4.825
+3 *123:5 *687:B 1.96
+*END
+
+*D_NET *124 0.000343965
+*CONN
+*I *675:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *675:B 0.00010607
+2 *674:X 0.00010607
+3 *6:24 *675:B 1.56428e-05
+4 *121:12 *675:B 0.000116182
+*RES
+1 *674:X *675:B 9.03
+*END
+
+*D_NET *125 0.00139694
+*CONN
+*I *688:B I *D sky130_fd_sc_hd__or3b_2
+*I *676:A2 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *688:B 0.000182097
+2 *676:A2 0.000117015
+3 *675:Y 8.09932e-05
+4 *125:5 0.000380105
+5 *676:A2 *689:C 5.73518e-05
+6 *676:A1 *676:A2 0.000287753
+7 *688:C_N *688:B 4.80285e-05
+8 *689:A *676:A2 0.000179664
+9 *7:29 *688:B 4.82919e-05
+10 *9:15 *676:A2 1.56428e-05
+*RES
+1 *675:Y *125:5 2.395
+2 *125:5 *676:A2 9.47
+3 *125:5 *688:B 3.775
+*END
+
+*D_NET *126 0.000409694
+*CONN
+*I *679:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *676:Y O *D sky130_fd_sc_hd__a2111oi_2
+*CAP
+1 *679:A1 8.55477e-05
+2 *676:Y 8.55477e-05
+3 *679:A1 *689:B 8.7152e-06
+4 *679:A1 *127:8 0.000112951
+5 *678:A *679:A1 0
+6 *115:7 *679:A1 4.66108e-05
+7 *118:8 *679:A1 7.03219e-05
+*RES
+1 *676:Y *679:A1 9.03
+*END
+
+*D_NET *127 0.00179646
+*CONN
+*I *679:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *689:B I *D sky130_fd_sc_hd__or3_2
+*I *677:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *679:A2 0
+2 *689:B 0.000161026
+3 *677:X 0.000267581
+4 *127:8 0.000428607
+5 *689:B *679:A3 4.82863e-05
+6 *689:B *690:D 9.97835e-05
+7 *669:A *127:8 0.00020766
+8 *669:C *127:8 4.78961e-05
+9 *676:C1 *127:8 0.00011626
+10 *677:A1 *127:8 3.96653e-05
+11 *679:A1 *689:B 8.7152e-06
+12 *679:A1 *127:8 0.000112951
+13 *688:C_N *689:B 3.69913e-05
+14 *9:18 *127:8 0
+15 *9:38 *127:8 0
+16 *106:5 *127:8 2.75229e-05
+17 *109:16 *689:B 4.55864e-06
+18 *109:16 *127:8 0.000166423
+19 *111:8 *689:B 0
+20 *118:8 *127:8 2.25299e-05
+*RES
+1 *677:X *127:8 10.2
+2 *127:8 *689:B 5.955
+3 *127:8 *679:A2 3.6
+*END
+
+*D_NET *128 0.000864385
+*CONN
+*I *679:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *678:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *679:A3 9.63673e-05
+2 *678:X 9.63673e-05
+3 *679:A3 *690:D 0.000387008
+4 *679:A3 *129:17 0.000236355
+5 *689:B *679:A3 4.82863e-05
+*RES
+1 *678:X *679:A3 6.755
+*END
+
+*D_NET *129 0.0147836
+*CONN
+*I *721:A I *D sky130_fd_sc_hd__xnor2_2
+*I *704:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *702:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:A1 I *D sky130_fd_sc_hd__a21bo_2
+*I *701:B I *D sky130_fd_sc_hd__nor2_2
+*I *695:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *715:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *698:B I *D sky130_fd_sc_hd__and2_2
+*I *706:B I *D sky130_fd_sc_hd__xnor2_2
+*I *705:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *696:B I *D sky130_fd_sc_hd__xnor2_2
+*I *697:B I *D sky130_fd_sc_hd__nor2_2
+*I *685:C_N I *D sky130_fd_sc_hd__or4bb_2
+*I *679:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *721:A 0
+2 *704:B2 0.000104732
+3 *702:B 0
+4 *703:A1 4.43668e-05
+5 *701:B 0
+6 *695:A2 0.000268827
+7 *715:A1 0
+8 *698:B 0
+9 *706:B 9.83318e-05
+10 *705:B2 3.37585e-05
+11 *696:B 0
+12 *697:B 0.000248039
+13 *685:C_N 0.000419637
+14 *679:X 0.00123649
+15 *129:132 0.000188327
+16 *129:121 0.000208009
+17 *129:101 0.000366056
+18 *129:95 0.00058745
+19 *129:90 0.00063424
+20 *129:81 9.77591e-05
+21 *129:63 0.000343938
+22 *129:58 0.000336567
+23 *129:48 0.000202884
+24 *129:37 0.000514318
+25 *129:23 0.000730761
+26 *129:17 0.00139329
+27 *685:C_N *685:A 0.000162681
+28 *685:C_N *691:A 0
+29 *685:C_N *171:10 0
+30 *685:C_N *239:7 1.19928e-05
+31 *685:C_N *239:19 0
+32 *695:A2 *716:B1 8.18041e-05
+33 *695:A2 *145:7 8.78703e-05
+34 *695:A2 *236:10 7.47145e-05
+35 *695:A2 *236:19 0.000294421
+36 *697:B *684:A 2.71578e-05
+37 *697:B *684:B 0.0001319
+38 *697:B *699:A 8.8266e-05
+39 *697:B *134:7 2.75145e-05
+40 *697:B *149:13 0.000123492
+41 *703:A1 *246:22 4.60123e-05
+42 *704:B2 *770:B 0.000248364
+43 *704:B2 *131:31 1.05984e-05
+44 *704:B2 *152:5 0.000121032
+45 *704:B2 *234:47 2.68526e-05
+46 *706:B *705:B1 0
+47 *706:B *706:A 8.49205e-05
+48 *706:B *708:A2 9.49958e-05
+49 *706:B *709:B1 8.49205e-05
+50 *129:17 *647:A 4.65515e-05
+51 *129:17 *690:D 2.05056e-05
+52 *129:17 *728:A_N 4.65607e-05
+53 *129:17 *729:B1 4.82919e-05
+54 *129:17 *730:A 5.31584e-05
+55 *129:17 *730:B 9.13951e-05
+56 *129:17 *171:10 0.000117084
+57 *129:23 *721:B 5.05437e-05
+58 *129:23 *171:10 1.08758e-05
+59 *129:37 *720:A 0
+60 *129:37 *239:19 0
+61 *129:48 *720:A 0
+62 *129:48 *134:17 0
+63 *129:58 *698:A 0
+64 *129:58 *705:A1 4.7043e-05
+65 *129:58 *134:17 0
+66 *129:63 *705:A1 2.75538e-05
+67 *129:63 *708:A2 1.71013e-05
+68 *129:63 *148:5 1.16578e-05
+69 *129:63 *237:12 0.000124007
+70 *129:63 *333:235 0
+71 *129:81 *148:5 8.65905e-05
+72 *129:90 *134:17 0.00013521
+73 *129:90 *148:5 4.66108e-05
+74 *129:95 *705:A2 1.08758e-05
+75 *129:95 *710:A2 8.35106e-05
+76 *129:95 *713:B 7.54589e-05
+77 *129:95 *715:A2 1.6794e-05
+78 *129:95 *149:19 5.45002e-05
+79 *129:101 *715:B1 4.99619e-05
+80 *129:101 *716:B1 7.60965e-05
+81 *129:121 *703:A2 0.000108329
+82 *129:121 *713:B 0
+83 *129:121 *134:17 0.000116165
+84 *129:132 *713:B 0
+85 clockp[1] *129:17 0.000199887
+86 *337:DIODE *129:17 0.000175171
+87 *664:B1 *129:17 0.000418974
+88 *666:A1 *129:17 1.40675e-05
+89 *679:A3 *129:17 0.000236355
+90 *695:A1 *695:A2 0.000158076
+91 *702:A *704:B2 0.000377268
+92 *840:D *685:C_N 0.000115526
+93 *840:D *129:23 2.49909e-05
+94 *842:D *695:A2 0.000361313
+95 *845:D *706:B 6.55406e-05
+96 *845:D *129:63 0.000106736
+97 *5:66 *129:63 1.22676e-05
+98 *5:70 *685:C_N 0
+99 *5:70 *129:37 0
+100 *5:70 *129:48 0
+101 *5:70 *129:58 0
+102 *9:55 *129:17 0.000105805
+103 *84:26 *129:58 7.81954e-05
+104 *84:26 *129:90 0.000136884
+105 *84:26 *129:121 0.000240432
+106 *84:26 *129:132 0.000151068
+107 *97:7 *129:17 2.66586e-05
+108 *112:5 *129:17 0.000189664
+109 *113:12 *129:17 4.50017e-05
+110 *114:15 *129:17 8.40213e-05
+*RES
+1 *679:X *129:17 28.325
+2 *129:17 *129:23 4.88
+3 *129:23 *685:C_N 10.89
+4 *129:23 *129:37 2.4
+5 *129:37 *697:B 8.895
+6 *129:37 *129:48 1.89
+7 *129:48 *696:B 3.6
+8 *129:48 *129:58 4.25
+9 *129:58 *129:63 6.575
+10 *129:63 *705:B2 4.05
+11 *129:63 *706:B 6.54
+12 *129:58 *129:81 0.795
+13 *129:81 *698:B 1.6
+14 *129:81 *129:90 4.085
+15 *129:90 *129:95 8.15
+16 *129:95 *715:A1 1.6
+17 *129:95 *129:101 2.175
+18 *129:101 *695:A2 13.79
+19 *129:101 *701:B 1.6
+20 *129:90 *129:121 2.91
+21 *129:121 *703:A1 4.395
+22 *129:121 *129:132 3.89
+23 *129:132 *702:B 1.6
+24 *129:132 *704:B2 6.31
+25 *129:17 *721:A 1.6
+*END
+
+*D_NET *130 0.011063
+*CONN
+*I *787:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *772:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *700:A I *D sky130_fd_sc_hd__nand2_2
+*I *683:A I *D sky130_fd_sc_hd__nor2_2
+*I *755:A I *D sky130_fd_sc_hd__or2_2
+*I *763:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *760:A I *D sky130_fd_sc_hd__or2_2
+*I *779:B I *D sky130_fd_sc_hd__or2_2
+*I *704:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *680:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *787:B2 0
+2 *772:A2 3.30603e-05
+3 *700:A 0
+4 *683:A 1.56342e-05
+5 *755:A 0
+6 *763:A1 0
+7 *760:A 0.000156821
+8 *779:B 8.20423e-05
+9 *704:B1 0.000196147
+10 *680:X 2.68261e-05
+11 *130:94 0.000614767
+12 *130:76 0.000979692
+13 *130:53 0.0001921
+14 *130:52 0.00021022
+15 *130:45 0.00088807
+16 *130:39 0.000786853
+17 *130:21 0.000586431
+18 *130:7 0.000306138
+19 *683:A *683:B 8.8266e-05
+20 *683:A *741:B1 0.00012657
+21 *704:B1 *704:A2 4.77807e-05
+22 *704:B1 *704:A3 9.54132e-06
+23 *704:B1 *152:5 5.59335e-05
+24 *704:B1 *233:28 0.000171708
+25 *704:B1 *236:28 0
+26 *760:A *758:B2 2.49909e-05
+27 *760:A *762:B2 0.000293425
+28 *760:A *185:13 2.98587e-05
+29 *772:A2 *773:B1 0.000106593
+30 *779:B *796:B1 1.76403e-05
+31 *779:B *142:29 4.82919e-05
+32 *130:7 *693:A 1.91597e-05
+33 *130:7 *233:33 8.40269e-05
+34 *130:7 *235:52 0.0001249
+35 *130:21 *236:28 0
+36 *130:39 *750:A 1.89738e-05
+37 *130:39 *776:B 4.72631e-05
+38 *130:39 *791:A 1.34503e-05
+39 *130:39 *800:B2 1.63344e-05
+40 *130:39 *806:B1 0
+41 *130:39 *187:44 0
+42 *130:39 *206:8 8.57024e-05
+43 *130:45 *790:A2 0.000230715
+44 *130:45 *790:B1 0.000114691
+45 *130:45 *790:B2 5.09891e-05
+46 *130:45 *792:A 4.36282e-06
+47 *130:45 *142:62 0.000440774
+48 *130:45 *183:11 0
+49 *130:45 *197:8 9.50342e-06
+50 *130:45 *263:21 8.68997e-05
+51 *130:52 *785:A 5.91496e-05
+52 *130:52 *792:A 3.7376e-05
+53 *130:52 *142:62 0.000211425
+54 *130:52 *178:20 1.10951e-05
+55 *130:53 *763:B2 6.81928e-05
+56 *130:53 *178:32 4.12775e-05
+57 *130:53 *185:7 4.66108e-05
+58 *130:76 *750:A 1.67154e-05
+59 *130:76 *773:B1 1.30548e-05
+60 *130:76 *778:B2 0.000151841
+61 *130:76 *143:36 4.51682e-05
+62 *130:76 *176:14 2.82992e-05
+63 *130:76 *178:15 0
+64 *130:76 *178:20 2.19824e-05
+65 *130:94 *683:B 3.23412e-05
+66 *130:94 *771:B1 2.88705e-05
+67 *130:94 *771:B2 4.41506e-05
+68 *130:94 *773:A1 4.82863e-05
+69 *130:94 *773:A2 2.3192e-05
+70 *130:94 *773:B1 3.93162e-05
+71 *130:94 *774:B1 6.07575e-06
+72 *130:94 *150:8 7.23602e-05
+73 *130:94 *175:22 4.83324e-05
+74 *130:94 *249:8 0
+75 *378:DIODE *130:94 1.45272e-05
+76 *381:DIODE *130:39 2.0514e-05
+77 *382:DIODE *779:B 4.66108e-05
+78 *382:DIODE *130:21 2.73058e-05
+79 *382:DIODE *130:39 9.0209e-05
+80 *384:DIODE *130:39 3.34633e-05
+81 *692:B *779:B 2.18843e-05
+82 *702:A *704:B1 0.000184198
+83 *716:A1 *704:B1 4.87939e-05
+84 *717:A *704:B1 8.35118e-05
+85 *764:A2 *760:A 8.34904e-06
+86 *771:A1 *130:94 5.45302e-06
+87 *771:A2 *130:94 3.64998e-06
+88 *786:B1 *130:39 3.94127e-05
+89 *786:B2 *130:39 8.05852e-05
+90 *790:A1 *130:39 0.000119795
+91 *800:A2 *130:39 0
+92 *5:311 *779:B 1.33293e-05
+93 *5:409 *130:45 6.17688e-05
+94 *5:714 *683:A 2.30292e-05
+95 *16:5 *760:A 0.000335692
+96 *16:5 *130:52 4.3574e-05
+97 *84:23 *130:94 2.42043e-05
+98 *86:13 *772:A2 6.08882e-05
+99 *86:13 *130:94 4.77757e-05
+100 *86:40 *130:76 0.000486894
+101 *87:8 *704:B1 0.000151963
+102 *87:8 *130:21 4.21314e-05
+103 *87:28 *130:21 8.89169e-05
+104 *87:28 *130:39 1.98158e-05
+105 *87:41 *130:39 0.000296508
+*RES
+1 *680:X *130:7 4.74
+2 *130:7 *704:B1 9.06
+3 *130:7 *130:21 1.635
+4 *130:21 *779:B 5.355
+5 *130:21 *130:39 11.03
+6 *130:39 *130:45 4.97777
+7 *130:45 *130:52 3.82683
+8 *130:52 *130:53 1.14
+9 *130:53 *760:A 10.235
+10 *130:53 *763:A1 1.6
+11 *130:52 *755:A 1.6
+12 *130:45 *130:76 9.8725
+13 *130:76 *130:94 13
+14 *130:94 *683:A 2.74
+15 *130:94 *700:A 1.6
+16 *130:76 *772:A2 2.96125
+17 *130:39 *787:B2 1.6
+*END
+
+*D_NET *131 0.0104327
+*CONN
+*I *794:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *776:B I *D sky130_fd_sc_hd__or2_2
+*I *786:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *682:B I *D sky130_fd_sc_hd__or2_2
+*I *705:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *681:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *794:B1 0.000359938
+2 *776:B 0.000303485
+3 *786:A1 1.25661e-05
+4 *682:B 7.06787e-05
+5 *705:B1 0.000277005
+6 *681:X 8.87897e-05
+7 *131:62 0.000577234
+8 *131:31 0.000934962
+9 *131:20 0.00155877
+10 *131:7 0.00115903
+11 *682:B *685:D_N 4.77807e-05
+12 *682:B *771:B2 0
+13 *682:B *132:8 2.75229e-05
+14 *682:B *237:33 4.61482e-05
+15 *705:B1 *705:A1 3.25831e-05
+16 *705:B1 *705:A2 7.00889e-06
+17 *705:B1 *705:A3 3.26889e-05
+18 *705:B1 *708:A2 0
+19 *705:B1 *709:B2 0.000136755
+20 *705:B1 *237:12 7.18738e-05
+21 *705:B1 *237:33 5.4419e-05
+22 *776:B *743:A 4.09299e-05
+23 *776:B *806:B1 4.99402e-05
+24 *776:B *236:67 5.16418e-05
+25 *776:B *237:89 5.00373e-05
+26 *776:B *256:21 0.000286096
+27 *776:B *278:12 5.7059e-05
+28 *786:A1 *236:67 2.0198e-05
+29 *794:B1 *794:B2 5.84456e-05
+30 *794:B1 *796:A1 0.00013521
+31 *794:B1 *803:B1 2.23407e-05
+32 *794:B1 *804:C 0.000443553
+33 *794:B1 *865:A 2.11476e-05
+34 *794:B1 *866:A 1.08758e-05
+35 *794:B1 *235:49 0.000155996
+36 *794:B1 *263:21 0.000163455
+37 *131:7 *864:A 5.19006e-06
+38 *131:20 *681:A 0.000338904
+39 *131:20 *681:B 4.66108e-05
+40 *131:20 *704:A1 0.000131361
+41 *131:31 *704:A1 6.83966e-05
+42 *131:31 *770:B 0.000131834
+43 *131:31 *143:5 6.71882e-05
+44 *131:31 *234:47 0.000230663
+45 *131:62 *704:A1 5.25041e-05
+46 *131:62 *742:C 9.67081e-06
+47 *131:62 *235:61 6.31026e-05
+48 *131:62 *236:67 7.84044e-05
+49 *131:62 *237:89 0.000286647
+50 *131:62 *334:14 0.000285141
+51 *397:DIODE *131:20 4.66108e-05
+52 *702:A *131:20 0.000158552
+53 *702:A *131:31 0.00045628
+54 *704:B2 *131:31 1.05984e-05
+55 *706:B *705:B1 0
+56 *717:A *131:20 8.00029e-05
+57 *717:A *131:62 8.09021e-06
+58 *759:C *131:62 0.000108733
+59 *786:B1 *776:B 4.05985e-05
+60 *786:B2 *131:62 3.79221e-05
+61 *794:A1 *794:B1 2.71298e-05
+62 *794:A2 *794:B1 8.26048e-06
+63 *845:D *705:B1 3.50033e-05
+64 *84:10 *131:62 9.90014e-05
+65 *84:23 *131:31 4.82919e-05
+66 *84:23 *131:62 1.88958e-05
+67 *85:5 *131:31 3.96653e-05
+68 *130:39 *776:B 4.72631e-05
+*RES
+1 *681:X *131:7 2.755
+2 *131:7 *131:20 12.605
+3 *131:20 *131:31 17.435
+4 *131:31 *705:B1 9.27
+5 *131:31 *682:B 5.175
+6 *131:20 *131:62 5.78087
+7 *131:62 *786:A1 3.795
+8 *131:62 *776:B 8.25587
+9 *131:7 *794:B1 14.705
+*END
+
+*D_NET *132 0.00587652
+*CONN
+*I *750:C I *D sky130_fd_sc_hd__or3_2
+*I *782:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *766:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *745:B I *D sky130_fd_sc_hd__and2b_2
+*I *683:B I *D sky130_fd_sc_hd__nor2_2
+*I *682:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *750:C 0.00014251
+2 *782:A2 9.53277e-05
+3 *766:A2 6.28294e-05
+4 *745:B 4.74262e-05
+5 *683:B 0.000372619
+6 *682:X 0.000177075
+7 *132:48 0.000257068
+8 *132:30 0.000150875
+9 *132:29 0.000226901
+10 *132:8 0.000716746
+11 *683:B *700:B 0
+12 *683:B *741:B1 4.21973e-05
+13 *683:B *771:B1 1.17193e-05
+14 *683:B *771:B2 3.64998e-06
+15 *683:B *882:TE_B 0
+16 *683:B *150:8 0.000181575
+17 *683:B *249:8 4.29572e-05
+18 *683:B *271:12 0
+19 *745:B *778:B1 1.19928e-05
+20 *745:B *271:12 7.5128e-05
+21 *750:C *750:A 8.77867e-06
+22 *750:C *767:A 0.00015639
+23 *750:C *778:B1 5.47465e-05
+24 *750:C *176:14 0.000378835
+25 *750:C *271:12 1.60203e-05
+26 *766:A2 *175:22 0.000176746
+27 *782:A2 *756:C1 2.38784e-05
+28 *782:A2 *175:22 0
+29 *782:A2 *176:14 5.06809e-05
+30 *782:A2 *176:23 0.000103322
+31 *782:A2 *185:13 8.71732e-06
+32 *782:A2 *191:8 3.96111e-05
+33 *132:8 *685:D_N 0.000122896
+34 *132:8 *700:B 0.000421062
+35 *132:8 *740:B 3.00381e-05
+36 *132:8 *175:22 0.000224196
+37 *132:29 *175:22 0.000268376
+38 *132:29 *256:21 3.32631e-05
+39 *132:30 *778:B1 2.67275e-05
+40 *132:30 *271:12 6.00111e-05
+41 *132:48 *767:A 4.82863e-05
+42 *132:48 *778:B1 1.61831e-05
+43 *132:48 *271:12 4.21973e-05
+44 *682:B *132:8 2.75229e-05
+45 *683:A *683:B 8.8266e-05
+46 *740:A *132:8 4.9968e-05
+47 *745:A_N *745:B 4.66108e-05
+48 *745:A_N *132:30 4.66108e-05
+49 *771:A1 *683:B 6.45599e-06
+50 *771:A2 *683:B 6.8725e-06
+51 *778:A2 *750:C 1.37395e-05
+52 *5:714 *683:B 0.000160635
+53 *5:726 *132:8 0.000171873
+54 *5:726 *132:29 0.000112154
+55 *5:749 *766:A2 0.000176746
+56 *5:761 *782:A2 7.16048e-06
+57 *16:5 *132:29 0
+58 *130:94 *683:B 3.23412e-05
+*RES
+1 *682:X *132:8 10.155
+2 *132:8 *683:B 14.275
+3 *132:8 *132:29 5.87
+4 *132:29 *132:30 1.14
+5 *132:30 *745:B 2.755
+6 *132:30 *766:A2 7.745
+7 *132:29 *132:48 0.795
+8 *132:48 *782:A2 7.87625
+9 *132:48 *750:C 5.965
+*END
+
+*D_NET *133 0.00564389
+*CONN
+*I *685:D_N I *D sky130_fd_sc_hd__or4bb_2
+*I *740:B I *D sky130_fd_sc_hd__nor2_2
+*I *683:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *685:D_N 0.00119343
+2 *740:B 5.47047e-05
+3 *683:Y 0.00024353
+4 *133:5 0.00149166
+5 *685:D_N *631:A 2.64607e-05
+6 *685:D_N *684:B 9.92626e-05
+7 *685:D_N *694:C 0.000300405
+8 *685:D_N *697:A 0.000178496
+9 *685:D_N *700:B 3.61013e-05
+10 *685:D_N *718:A 0
+11 *685:D_N *770:B 0.000149952
+12 *685:D_N *141:8 0.000200281
+13 *685:D_N *141:17 0.000861491
+14 *685:D_N *143:5 7.20926e-05
+15 *685:D_N *143:9 0.000198765
+16 *685:D_N *145:53 0
+17 *685:D_N *238:28 2.92489e-05
+18 *740:B *700:B 2.3631e-05
+19 *133:5 *700:B 1.07593e-05
+20 *133:5 *741:B1 0.000272903
+21 *682:B *685:D_N 4.77807e-05
+22 *844:D *685:D_N 0
+23 *132:8 *685:D_N 0.000122896
+24 *132:8 *740:B 3.00381e-05
+*RES
+1 *683:Y *133:5 5.155
+2 *133:5 *740:B 3.22
+3 *133:5 *685:D_N 29.21
+*END
+
+*D_NET *134 0.0035562
+*CONN
+*I *703:A2 I *D sky130_fd_sc_hd__a21bo_2
+*I *715:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *720:A I *D sky130_fd_sc_hd__nand2_2
+*I *684:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *703:A2 6.30382e-05
+2 *715:A2 0.000368126
+3 *720:A 0.000267014
+4 *684:X 3.58965e-05
+5 *134:17 0.000746345
+6 *134:7 0.000618092
+7 *715:A2 *705:A3 0.000160314
+8 *715:A2 *713:B 0.000111239
+9 *720:A *721:B 0.000310693
+10 *720:A *722:A1 0.000161467
+11 *720:A *722:S 1.19928e-05
+12 *720:A *216:202 0.0001249
+13 *134:17 *710:A2 8.08024e-05
+14 *134:17 *149:19 6.94735e-06
+15 *697:B *134:7 2.75145e-05
+16 *84:26 *134:17 8.53177e-05
+17 *129:37 *720:A 0
+18 *129:48 *720:A 0
+19 *129:48 *134:17 0
+20 *129:58 *134:17 0
+21 *129:90 *134:17 0.00013521
+22 *129:95 *715:A2 1.6794e-05
+23 *129:121 *703:A2 0.000108329
+24 *129:121 *134:17 0.000116165
+*RES
+1 *684:X *134:7 4.05
+2 *134:7 *720:A 9.465
+3 *134:7 *134:17 6.225
+4 *134:17 *715:A2 8.46
+5 *134:17 *703:A2 4.98
+*END
+
+*D_NET *135 0.00012844
+*CONN
+*I *690:B I *D sky130_fd_sc_hd__and4_2
+*I *685:X O *D sky130_fd_sc_hd__or4bb_2
+*CAP
+1 *690:B 3.17875e-05
+2 *685:X 3.17875e-05
+3 *690:B *723:B 3.89366e-05
+4 *690:B *238:28 2.59285e-05
+*RES
+1 *685:X *690:B 8.07
+*END
+
+*D_NET *136 0.000254799
+*CONN
+*I *690:C I *D sky130_fd_sc_hd__and4_2
+*I *686:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *690:C 0.000122648
+2 *686:X 0.000122648
+3 *690:C *686:B 9.50342e-06
+4 *690:C *690:A 0
+5 *690:C *141:8 0
+6 *411:DIODE *690:C 0
+*RES
+1 *686:X *690:C 8.835
+*END
+
+*D_NET *137 0.000281478
+*CONN
+*I *688:A I *D sky130_fd_sc_hd__or3b_2
+*I *687:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *688:A 9.31239e-05
+2 *687:X 9.31239e-05
+3 clockp[1] *688:A 0
+4 *344:DIODE *688:A 0
+5 *7:8 *688:A 9.5799e-06
+6 *7:29 *688:A 8.56505e-05
+*RES
+1 *687:X *688:A 9.03
+*END
+
+*D_NET *138 0.000606246
+*CONN
+*I *689:C I *D sky130_fd_sc_hd__or3_2
+*I *688:X O *D sky130_fd_sc_hd__or3b_2
+*CAP
+1 *689:C 0.000152591
+2 *688:X 0.000152591
+3 *676:A1 *689:C 2.96297e-05
+4 *676:A2 *689:C 5.73518e-05
+5 *688:C_N *689:C 0.000130778
+6 *689:A *689:C 3.12319e-05
+7 *7:29 *689:C 2.75538e-05
+8 *121:12 *689:C 2.45182e-05
+*RES
+1 *688:X *689:C 10.905
+*END
+
+*D_NET *139 0.00692577
+*CONN
+*I *690:D I *D sky130_fd_sc_hd__and4_2
+*I *689:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *690:D 0.00198194
+2 *689:X 0.00198194
+3 *690:D *646:A 2.32597e-05
+4 *690:D *691:A 8.77516e-05
+5 *690:D *691:B 9.66106e-05
+6 *690:D *140:11 9.22715e-05
+7 *690:D *216:64 2.68801e-05
+8 *690:D *216:72 0.000111658
+9 *690:D *238:17 2.30292e-05
+10 *411:DIODE *690:D 0.000275033
+11 *668:B *690:D 0.000131077
+12 *679:A3 *690:D 0.000387008
+13 *689:B *690:D 9.97835e-05
+14 *828:A *690:D 0
+15 *837:D *690:D 0.000191243
+16 *5:590 *690:D 0.000414537
+17 *5:592 *690:D 3.74161e-05
+18 *5:604 *690:D 0.000648445
+19 *5:606 *690:D 7.40446e-05
+20 *5:611 *690:D 0.000158066
+21 *118:8 *690:D 6.32751e-05
+22 *129:17 *690:D 2.05056e-05
+*RES
+1 *689:X *690:D 35.585
+*END
+
+*D_NET *140 0.00285845
+*CONN
+*I *695:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *719:B2 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *724:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *690:X O *D sky130_fd_sc_hd__and4_2
+*CAP
+1 *695:B1 0.000217325
+2 *719:B2 7.03287e-05
+3 *724:A2 0
+4 *690:X 0.000170017
+5 *140:22 0.000604379
+6 *140:11 0.000486742
+7 *695:B1 *695:A3 9.50342e-06
+8 *719:B2 *842:CLK 4.41506e-05
+9 *140:11 *690:A 4.82863e-05
+10 *140:11 *724:A1 9.12671e-06
+11 *140:11 *238:15 1.60203e-05
+12 *140:11 *238:17 9.25102e-05
+13 *140:22 *724:B1 4.99619e-05
+14 *140:22 *841:CLK 1.41633e-05
+15 *140:22 *233:8 0.000298442
+16 *140:22 *233:15 7.47215e-05
+17 *140:22 *236:10 0.000300803
+18 *140:22 *238:15 0.000122817
+19 *690:D *140:11 9.22715e-05
+20 *842:D *695:B1 0.000136884
+21 *5:235 *140:22 0
+22 *5:266 *695:B1 0
+23 *29:8 *695:B1 0
+*RES
+1 *690:X *140:11 5.29
+2 *140:11 *724:A2 1.6
+3 *140:11 *140:22 11.875
+4 *140:22 *719:B2 2.395
+5 *140:22 *695:B1 8.72
+*END
+
+*D_NET *141 0.0041579
+*CONN
+*I *694:C I *D sky130_fd_sc_hd__or4_2
+*I *703:B1_N I *D sky130_fd_sc_hd__a21bo_2
+*I *720:B I *D sky130_fd_sc_hd__nand2_2
+*I *691:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:C 0.00018267
+2 *703:B1_N 5.15294e-05
+3 *720:B 2.61242e-05
+4 *691:Y 0.000311137
+5 *141:17 0.000573574
+6 *141:8 0.000676636
+7 *694:C *713:B 0.000129519
+8 *694:C *770:B 3.00279e-05
+9 *703:B1_N *153:5 0.000122325
+10 *703:B1_N *246:22 0.000198827
+11 *720:B *216:202 4.66108e-05
+12 *141:8 *216:202 0
+13 *141:17 *713:B 0.000158297
+14 *141:17 *714:A1 0.000100544
+15 *141:17 *149:13 0.000113632
+16 *411:DIODE *141:8 6.35048e-05
+17 *685:D_N *694:C 0.000300405
+18 *685:D_N *141:8 0.000200281
+19 *685:D_N *141:17 0.000861491
+20 *690:C *141:8 0
+21 *772:C1 *694:C 1.07655e-05
+*RES
+1 *691:Y *141:8 9
+2 *141:8 *720:B 4.05
+3 *141:8 *141:17 10.05
+4 *141:17 *703:B1_N 5.43375
+5 *141:17 *694:C 8.04
+*END
+
+*D_NET *142 0.00998049
+*CONN
+*I *780:A I *D sky130_fd_sc_hd__or2_2
+*I *777:A I *D sky130_fd_sc_hd__or2_2
+*I *767:A I *D sky130_fd_sc_hd__or2_2
+*I *773:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *766:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *692:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *780:A 0.000111828
+2 *777:A 0.000320612
+3 *767:A 5.66572e-05
+4 *773:A2 8.65749e-05
+5 *766:A3 0.000198201
+6 *692:Y 0.00084735
+7 *142:62 0.000807208
+8 *142:47 0.000571906
+9 *142:37 0.000227055
+10 *142:29 0.00104555
+11 *766:A3 *256:21 3.00381e-05
+12 *767:A *778:B1 0.000108031
+13 *773:A2 *774:B1 0.000120186
+14 *773:A2 *774:C1 0.000161742
+15 *773:A2 *237:54 2.11674e-05
+16 *777:A *790:B2 0.000155608
+17 *777:A *792:A 0.000122864
+18 *777:A *792:B 6.21365e-05
+19 *777:A *792:C 1.5379e-05
+20 *777:A *793:A1 8.35118e-05
+21 *777:A *208:7 0.000339031
+22 *780:A *143:63 0
+23 *780:A *183:11 7.89037e-05
+24 *780:A *187:47 0.000109495
+25 *780:A *292:13 8.7152e-06
+26 *142:29 *772:A1 0.000633597
+27 *142:29 *176:14 3.98456e-05
+28 *142:29 *178:15 5.83121e-06
+29 *142:29 *236:43 7.96104e-06
+30 *142:29 *237:54 9.20855e-06
+31 *142:29 *237:62 0.000116746
+32 *142:29 *278:12 0.000110136
+33 *142:29 *334:14 0.000662106
+34 *142:62 *783:A1 3.69766e-05
+35 *142:62 *785:A 3.86814e-05
+36 *142:62 *150:32 1.7635e-05
+37 *142:62 *183:11 8.59162e-06
+38 *142:62 *187:47 7.62991e-05
+39 *142:62 *263:21 0.000662337
+40 *379:DIODE *766:A3 0.0001249
+41 *382:DIODE *142:29 1.7635e-05
+42 *385:DIODE *777:A 0.000115339
+43 *396:DIODE *777:A 8.23457e-05
+44 *396:DIODE *780:A 0
+45 *396:DIODE *142:62 2.58731e-05
+46 *750:C *767:A 0.00015639
+47 *769:A1 *766:A3 5.83121e-06
+48 *774:A2 *773:A2 6.56448e-06
+49 *774:A2 *142:29 2.11199e-05
+50 *774:A2 *142:47 2.0851e-06
+51 *778:A2 *142:47 4.43871e-06
+52 *778:A2 *142:62 7.47089e-05
+53 *779:B *142:29 4.82919e-05
+54 *790:A1 *777:A 4.66108e-05
+55 *5:311 *142:29 1.56428e-05
+56 *5:409 *777:A 4.66108e-05
+57 *5:727 *766:A3 8.23457e-05
+58 *5:731 *766:A3 4.21973e-05
+59 *16:5 *773:A2 1.01152e-05
+60 *16:5 *142:47 8.03928e-05
+61 *16:5 *142:62 9.29867e-05
+62 *83:25 *142:29 1.98158e-05
+63 *83:30 *142:29 1.88656e-05
+64 *86:40 *142:47 0
+65 *130:45 *142:62 0.000440774
+66 *130:52 *142:62 0.000211425
+67 *130:94 *773:A2 2.3192e-05
+68 *132:48 *767:A 4.82863e-05
+*RES
+1 *692:Y *142:29 14.3706
+2 *142:29 *766:A3 5.155
+3 *142:29 *142:37 2
+4 *142:37 *773:A2 6.195
+5 *142:37 *142:47 2.145
+6 *142:47 *767:A 5.43
+7 *142:47 *142:62 5.80853
+8 *142:62 *777:A 11.535
+9 *142:62 *780:A 6.3
+*END
+
+*D_NET *143 0.00793832
+*CONN
+*I *694:D I *D sky130_fd_sc_hd__or4_2
+*I *790:B2 I *D sky130_fd_sc_hd__o221a_2
+*I *787:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *783:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *700:B I *D sky130_fd_sc_hd__nand2_2
+*I *693:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:D 0
+2 *790:B2 0.000156688
+3 *787:A1 0
+4 *783:B2 1.99436e-05
+5 *781:B1 3.41516e-05
+6 *763:B2 0.000143817
+7 *700:B 0.000306221
+8 *693:Y 0.000134659
+9 *143:63 0.000277559
+10 *143:36 0.000334989
+11 *143:29 0.000212523
+12 *143:28 0.000476688
+13 *143:9 0.000703953
+14 *143:5 0.000212132
+15 *700:B *631:A 2.49909e-05
+16 *700:B *741:B1 5.30673e-05
+17 *700:B *773:B1 4.89295e-05
+18 *763:B2 *763:B1 9.12671e-06
+19 *763:B2 *785:A 0.000194908
+20 *763:B2 *176:24 4.66108e-05
+21 *763:B2 *176:28 8.65905e-05
+22 *763:B2 *176:56 0.000343146
+23 *763:B2 *178:32 2.87822e-05
+24 *763:B2 *185:7 1.32102e-05
+25 *781:B1 *176:56 2.19463e-05
+26 *790:B2 *790:B1 1.49829e-05
+27 *790:B2 *792:A 4.00355e-05
+28 *790:B2 *187:47 0.000109859
+29 *143:5 *695:A3 0.000194295
+30 *143:5 *235:52 1.83756e-05
+31 *143:28 *773:B1 9.36937e-05
+32 *143:28 *178:15 0.000168135
+33 *143:29 *783:B1 8.35068e-05
+34 *143:29 *178:15 8.52845e-05
+35 *143:36 *176:56 2.92603e-05
+36 *143:36 *178:15 5.49246e-05
+37 *143:36 *178:20 0
+38 *143:63 *783:B1 0.000145515
+39 *143:63 *187:33 6.61538e-05
+40 *143:63 *187:44 4.82919e-05
+41 *347:DIODE *700:B 7.3151e-05
+42 *380:DIODE *143:28 0.00012115
+43 *384:DIODE *143:28 0.000156891
+44 *385:DIODE *790:B2 2.86767e-05
+45 *395:DIODE *143:63 2.75145e-05
+46 *396:DIODE *143:63 7.05863e-06
+47 *683:B *700:B 0
+48 *685:D_N *700:B 3.61013e-05
+49 *685:D_N *143:5 7.20926e-05
+50 *685:D_N *143:9 0.000198765
+51 *694:A *143:5 0.000122331
+52 *694:A *143:9 7.66083e-05
+53 *740:B *700:B 2.3631e-05
+54 *772:C1 *143:28 0.000153508
+55 *777:A *790:B2 0.000155608
+56 *780:A *143:63 0
+57 *790:A1 *783:B2 9.03508e-06
+58 *790:A1 *143:29 1.53053e-05
+59 *790:A1 *143:36 3.34183e-05
+60 *5:409 *790:B2 3.80608e-05
+61 *5:714 *700:B 0.00019315
+62 *17:9 *143:28 2.90124e-05
+63 *83:25 *143:28 0.000149977
+64 *83:30 *143:9 8.77516e-05
+65 *83:30 *143:28 0.000322174
+66 *84:23 *143:5 8.34281e-06
+67 *85:5 *143:5 1.17409e-05
+68 *86:8 *700:B 8.69583e-05
+69 *130:45 *790:B2 5.09891e-05
+70 *130:53 *763:B2 6.81928e-05
+71 *130:76 *143:36 4.51682e-05
+72 *131:31 *143:5 6.71882e-05
+73 *132:8 *700:B 0.000421062
+74 *133:5 *700:B 1.07593e-05
+*RES
+1 *693:Y *143:5 5.155
+2 *143:5 *143:9 2.7
+3 *143:9 *700:B 9.43
+4 *143:9 *143:28 12.805
+5 *143:28 *143:29 1.14
+6 *143:29 *143:36 4.535
+7 *143:36 *763:B2 8.46
+8 *143:36 *781:B1 4.215
+9 *143:29 *783:B2 1.96
+10 *143:28 *143:63 4.625
+11 *143:63 *787:A1 3.6
+12 *143:63 *790:B2 7.425
+13 *143:5 *694:D 1.6
+*END
+
+*D_NET *144 0.003006
+*CONN
+*I *695:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *694:X O *D sky130_fd_sc_hd__or4_2
+*CAP
+1 *695:A3 0.000729123
+2 *694:X 0.000729123
+3 *695:A3 *693:A 0.000119251
+4 *695:A3 *716:B1 0.000116165
+5 *695:A3 *716:C1 0.000475322
+6 *695:A3 *234:19 7.38779e-05
+7 *695:A3 *234:21 0.000110783
+8 *695:A3 *235:52 6.07575e-06
+9 *695:A3 *236:19 7.27704e-06
+10 *695:A3 *236:28 3.79514e-05
+11 *695:A3 *334:14 1.52491e-05
+12 *695:B1 *695:A3 9.50342e-06
+13 *842:D *695:A3 0.000382007
+14 *5:266 *695:A3 0
+15 *143:5 *695:A3 0.000194295
+*RES
+1 *694:X *695:A3 22.23
+*END
+
+*D_NET *145 0.00922341
+*CONN
+*I *716:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *712:S I *D sky130_fd_sc_hd__mux2_1
+*I *714:S I *D sky130_fd_sc_hd__mux2_1
+*I *708:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *709:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *722:S I *D sky130_fd_sc_hd__mux2_1
+*I *723:B I *D sky130_fd_sc_hd__nand2_2
+*I *719:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *695:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *716:C1 0.000157083
+2 *712:S 4.57162e-05
+3 *714:S 1.90984e-05
+4 *708:B1 8.71488e-05
+5 *709:A2 0
+6 *722:S 0.000432299
+7 *723:B 0.00045033
+8 *719:A1_N 0
+9 *695:X 7.3314e-05
+10 *145:58 0.00052276
+11 *145:53 0.000702024
+12 *145:24 0.000994948
+13 *145:15 0.000259394
+14 *145:11 0.000511647
+15 *145:7 0.000290365
+16 *145:5 0.000357789
+17 *708:B1 *770:B 0.00010946
+18 *708:B1 *234:47 0.000194295
+19 *708:B1 *237:33 0.00012633
+20 *712:S *712:A1 1.08758e-05
+21 *714:S *235:9 4.00541e-06
+22 *716:C1 *947:TE 9.16315e-05
+23 *722:S *216:202 0.000200165
+24 *722:S *216:212 4.57347e-05
+25 *723:B *841:CLK 6.20783e-05
+26 *723:B *238:15 0.000288796
+27 *723:B *238:17 4.89395e-05
+28 *145:7 *712:A0 8.49205e-05
+29 *145:11 *712:A0 4.66108e-05
+30 *145:11 *712:A1 0.000126575
+31 *145:15 *697:A 0
+32 *145:15 *843:CLK 0
+33 *145:24 *719:A2_N 2.49909e-05
+34 *145:24 *841:CLK 5.55344e-05
+35 *145:24 *216:222 7.00066e-05
+36 *145:53 *697:A 0
+37 *145:53 *714:A1 4.60584e-05
+38 *145:53 *719:A2_N 0
+39 *145:53 *843:CLK 0
+40 *145:53 *235:9 4.82863e-05
+41 *145:53 *235:13 9.27255e-06
+42 *145:58 *698:A 7.68414e-06
+43 *145:58 *710:B1 8.65961e-05
+44 *145:58 *714:A1 0.000111909
+45 *145:58 *148:5 0.000302001
+46 *145:58 *237:12 0.000337538
+47 *145:58 *237:33 5.16418e-05
+48 *685:D_N *145:53 0
+49 *690:B *723:B 3.89366e-05
+50 *695:A1 *712:S 2.16917e-05
+51 *695:A1 *145:5 1.61831e-05
+52 *695:A1 *145:7 2.39634e-05
+53 *695:A2 *145:7 8.78703e-05
+54 *695:A3 *716:C1 0.000475322
+55 *720:A *722:S 1.19928e-05
+56 *841:D *723:B 4.99527e-05
+57 *841:D *145:15 5.83121e-06
+58 *841:D *145:24 2.05661e-05
+59 *844:D *145:15 0.000141606
+60 *844:D *145:24 0.000183043
+61 *5:266 *716:C1 0.000127586
+62 *5:266 *145:5 5.83121e-06
+63 *84:26 *708:B1 0.000135833
+64 *84:26 *145:58 0.00038135
+*RES
+1 *695:X *145:5 2.74
+2 *145:5 *145:7 2.52
+3 *145:7 *145:11 4.175
+4 *145:11 *145:15 4.8725
+5 *145:15 *719:A1_N 1.6
+6 *145:15 *145:24 5.3375
+7 *145:24 *723:B 11.235
+8 *145:24 *722:S 9.15
+9 *145:11 *145:53 5.63
+10 *145:53 *145:58 12.065
+11 *145:58 *709:A2 3.6
+12 *145:58 *708:B1 7.065
+13 *145:53 *714:S 1.96
+14 *145:7 *712:S 2.77
+15 *145:5 *716:C1 11.42
+*END
+
+*D_NET *146 0.00184606
+*CONN
+*I *711:A I *D sky130_fd_sc_hd__xnor2_2
+*I *705:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *696:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *711:A 0.000369249
+2 *705:A1 0.000181904
+3 *696:Y 0.000108492
+4 *146:5 0.000659645
+5 *705:A1 *237:12 8.37669e-05
+6 *695:A1 *711:A 0.000150423
+7 *705:B1 *705:A1 3.25831e-05
+8 *845:D *705:A1 0.000185405
+9 *5:66 *705:A1 0
+10 *5:70 *705:A1 0
+11 *84:26 *705:A1 0
+12 *129:58 *705:A1 4.7043e-05
+13 *129:63 *705:A1 2.75538e-05
+*RES
+1 *696:Y *146:5 3.085
+2 *146:5 *705:A1 10.04
+3 *146:5 *711:A 7.24
+*END
+
+*D_NET *147 0.000197062
+*CONN
+*I *699:A I *D sky130_fd_sc_hd__nor2_2
+*I *697:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *699:A 1.02651e-05
+2 *697:Y 1.02651e-05
+3 *699:A *684:B 8.8266e-05
+4 *697:B *699:A 8.8266e-05
+*RES
+1 *697:Y *699:A 3.995
+*END
+
+*D_NET *148 0.00172383
+*CONN
+*I *710:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *699:B I *D sky130_fd_sc_hd__nor2_2
+*I *698:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *710:B1 1.25806e-05
+2 *699:B 0.000248372
+3 *698:X 9.4879e-05
+4 *148:5 0.000355832
+5 *699:B *711:B 0.00013375
+6 *699:B *714:A1 8.80293e-06
+7 *699:B *149:13 7.87888e-05
+8 *699:B *149:19 1.42807e-05
+9 *710:B1 *149:13 7.57202e-05
+10 *148:5 *698:A 3.54619e-05
+11 *148:5 *710:A2 4.77719e-05
+12 *148:5 *149:13 8.41307e-05
+13 *129:63 *148:5 1.16578e-05
+14 *129:81 *148:5 8.65905e-05
+15 *129:90 *148:5 4.66108e-05
+16 *145:58 *710:B1 8.65961e-05
+17 *145:58 *148:5 0.000302001
+*RES
+1 *698:X *148:5 4.81
+2 *148:5 *699:B 10.04
+3 *148:5 *710:B1 2.395
+*END
+
+*D_NET *149 0.00229371
+*CONN
+*I *710:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:A I *D sky130_fd_sc_hd__xor2_2
+*I *705:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *699:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *710:A1 0
+2 *713:A 0
+3 *705:A2 0.000154718
+4 *699:Y 0.000250894
+5 *149:19 0.000318238
+6 *149:13 0.000414414
+7 *705:A2 *705:A3 5.0704e-05
+8 *705:A2 *710:A2 0
+9 *149:13 *698:A 0.000110693
+10 *149:13 *711:B 0.000138551
+11 *149:19 *705:A3 8.81641e-05
+12 *149:19 *710:A2 0.000197957
+13 *697:B *149:13 0.000123492
+14 *699:B *149:13 7.87888e-05
+15 *699:B *149:19 1.42807e-05
+16 *705:B1 *705:A2 7.00889e-06
+17 *710:B1 *149:13 7.57202e-05
+18 *129:95 *705:A2 1.08758e-05
+19 *129:95 *149:19 5.45002e-05
+20 *134:17 *149:19 6.94735e-06
+21 *141:17 *149:13 0.000113632
+22 *148:5 *149:13 8.41307e-05
+*RES
+1 *699:Y *149:13 12.005
+2 *149:13 *149:19 7.63
+3 *149:19 *705:A2 4.15
+4 *149:19 *713:A 1.6
+5 *149:13 *710:A1 1.6
+*END
+
+*D_NET *150 0.00916437
+*CONN
+*I *783:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *791:A I *D sky130_fd_sc_hd__or2_2
+*I *704:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *756:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *700:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A1 8.28133e-05
+2 *791:A 0.000572838
+3 *704:A1 0.000408132
+4 *756:A1 1.02898e-05
+5 *700:Y 0.000331149
+6 *150:32 0.00137219
+7 *150:24 0.000679586
+8 *150:8 0.000546996
+9 *704:A1 *770:B 5.11148e-06
+10 *704:A1 *772:A1 0.000212898
+11 *704:A1 *773:B1 0.000287154
+12 *704:A1 *177:6 0.000151481
+13 *704:A1 *183:11 6.83447e-05
+14 *704:A1 *187:33 2.45773e-05
+15 *704:A1 *234:37 0.00011861
+16 *704:A1 *299:26 9.387e-06
+17 *756:A1 *756:A2 1.63194e-05
+18 *756:A1 *756:B1 1.69669e-05
+19 *783:A1 *785:A 4.82919e-05
+20 *783:A1 *178:20 0.000124895
+21 *791:A *792:C 9.14049e-05
+22 *791:A *234:115 2.49909e-05
+23 *791:A *299:26 4.66108e-05
+24 *150:8 *746:A 0.000136877
+25 *150:8 *766:B1 0.000346361
+26 *150:8 *771:B1 6.63219e-06
+27 *150:8 *175:22 0
+28 *150:8 *271:12 0
+29 *150:24 *263:21 0.000435262
+30 *150:24 *299:26 0.00012649
+31 *381:DIODE *791:A 4.40325e-06
+32 *384:DIODE *704:A1 2.62104e-05
+33 *683:B *150:8 0.000181575
+34 *743:B *704:A1 0.000452244
+35 *771:A1 *150:8 3.7729e-05
+36 *771:A2 *150:8 6.43553e-05
+37 *778:A1 *150:32 4.66108e-05
+38 *782:A1 *783:A1 0.0001947
+39 *782:A1 *150:32 0.0001947
+40 *790:A1 *783:A1 4.66108e-05
+41 *790:A1 *791:A 0.000385333
+42 *790:A1 *150:32 0.000358642
+43 *800:A2 *791:A 6.10243e-06
+44 *5:346 *791:A 9.30717e-05
+45 *5:714 *150:8 4.82863e-05
+46 *5:749 *150:8 7.1301e-06
+47 *5:761 *150:32 0.000122331
+48 *15:10 *150:8 0.000111939
+49 *16:5 *783:A1 3.0243e-05
+50 *16:5 *150:32 1.88602e-05
+51 *84:23 *704:A1 3.79555e-05
+52 *130:39 *791:A 1.34503e-05
+53 *130:94 *150:8 7.23602e-05
+54 *131:20 *704:A1 0.000131361
+55 *131:31 *704:A1 6.83966e-05
+56 *131:62 *704:A1 5.25041e-05
+57 *142:62 *783:A1 3.69766e-05
+58 *142:62 *150:32 1.7635e-05
+*RES
+1 *700:Y *150:8 11.55
+2 *150:8 *756:A1 3.89625
+3 *150:8 *150:24 1.67893
+4 *150:24 *150:32 8.3225
+5 *150:32 *704:A1 16.0643
+6 *150:32 *791:A 13.49
+7 *150:24 *783:A1 6.2625
+*END
+
+*D_NET *151 8.68287e-05
+*CONN
+*I *715:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *701:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *715:B1 1.84334e-05
+2 *701:Y 1.84334e-05
+3 *129:101 *715:B1 4.99619e-05
+*RES
+1 *701:Y *715:B1 3.65
+*END
+
+*D_NET *152 0.000967297
+*CONN
+*I *704:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *718:A I *D sky130_fd_sc_hd__xnor2_2
+*I *702:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *704:A2 1.78469e-05
+2 *718:A 0.000125528
+3 *702:Y 8.71115e-05
+4 *152:5 0.000230487
+5 *718:A *704:A3 6.47487e-05
+6 *718:A *770:B 9.23804e-06
+7 *152:5 *770:B 0.000156767
+8 *685:D_N *718:A 0
+9 *702:A *152:5 5.08233e-05
+10 *704:B1 *704:A2 4.77807e-05
+11 *704:B1 *152:5 5.59335e-05
+12 *704:B2 *152:5 0.000121032
+13 *716:A1 *718:A 0
+*RES
+1 *702:Y *152:5 4.12
+2 *152:5 *718:A 7.745
+3 *152:5 *704:A2 2.05
+*END
+
+*D_NET *153 0.00161427
+*CONN
+*I *716:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *704:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *718:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:X O *D sky130_fd_sc_hd__a21bo_2
+*CAP
+1 *716:A2 0.000192631
+2 *704:A3 7.97719e-05
+3 *718:B 0
+4 *703:X 0.000159248
+5 *153:11 0.000292957
+6 *153:5 0.000179802
+7 *716:A2 *246:22 9.10952e-05
+8 *153:5 *246:22 0.000132968
+9 *153:11 *246:22 2.30292e-05
+10 *703:B1_N *153:5 0.000122325
+11 *704:B1 *704:A3 9.54132e-06
+12 *716:A1 *704:A3 4.56221e-05
+13 *716:A1 *716:A2 0.000220529
+14 *718:A *704:A3 6.47487e-05
+*RES
+1 *703:X *153:5 4.81
+2 *153:5 *718:B 1.6
+3 *153:5 *153:11 0.45
+4 *153:11 *704:A3 7.595
+5 *153:11 *716:A2 5.515
+*END
+
+*D_NET *154 0.00231318
+*CONN
+*I *705:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *710:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:B I *D sky130_fd_sc_hd__xor2_2
+*I *704:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *705:A3 0.000186925
+2 *710:A2 0.000127907
+3 *713:B 0.00052391
+4 *704:X 0.000209079
+5 *710:A2 *698:A 4.89295e-05
+6 *694:C *713:B 0.000129519
+7 *705:A2 *705:A3 5.0704e-05
+8 *705:A2 *710:A2 0
+9 *705:B1 *705:A3 3.26889e-05
+10 *715:A2 *705:A3 0.000160314
+11 *715:A2 *713:B 0.000111239
+12 *129:95 *710:A2 8.35106e-05
+13 *129:95 *713:B 7.54589e-05
+14 *129:121 *713:B 0
+15 *129:132 *713:B 0
+16 *134:17 *710:A2 8.08024e-05
+17 *141:17 *713:B 0.000158297
+18 *148:5 *710:A2 4.77719e-05
+19 *149:19 *705:A3 8.81641e-05
+20 *149:19 *710:A2 0.000197957
+*RES
+1 *704:X *713:B 10.7975
+2 *713:B *710:A2 9.2975
+3 *713:B *705:A3 5.4775
+*END
+
+*D_NET *155 0.000873198
+*CONN
+*I *708:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *707:A I *D sky130_fd_sc_hd__and2_2
+*I *705:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *708:A1 0.000159052
+2 *707:A 3.98407e-05
+3 *705:X 0
+4 *155:4 0.000198892
+5 *707:A *708:A2 3.54536e-05
+6 *707:A *709:B1 1.16578e-05
+7 *708:A1 *708:A2 0.000309371
+8 *708:A1 *709:B1 0.000114492
+9 *708:A1 *859:TE 0
+10 *708:A1 *156:11 0
+11 *708:A1 *333:235 4.43871e-06
+*RES
+1 *705:X *155:4 1.6
+2 *155:4 *707:A 2.41
+3 *155:4 *708:A1 9.275
+*END
+
+*D_NET *156 0.00170133
+*CONN
+*I *707:B I *D sky130_fd_sc_hd__and2_2
+*I *708:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *706:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *707:B 0
+2 *708:A2 0.000265949
+3 *706:Y 0.000207506
+4 *156:11 0.000473455
+5 *708:A2 *709:B1 9.80943e-06
+6 *708:A2 *333:235 3.78933e-05
+7 *156:11 *709:B1 0
+8 *156:11 *246:22 8.65905e-05
+9 *156:11 *333:235 0.000163204
+10 *705:B1 *708:A2 0
+11 *706:B *708:A2 9.49958e-05
+12 *707:A *708:A2 3.54536e-05
+13 *708:A1 *708:A2 0.000309371
+14 *708:A1 *156:11 0
+15 *5:700 *156:11 0
+16 *129:63 *708:A2 1.71013e-05
+*RES
+1 *706:Y *156:11 9.26
+2 *156:11 *708:A2 11.885
+3 *156:11 *707:B 1.6
+*END
+
+*D_NET *157 0.00128028
+*CONN
+*I *709:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *707:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *709:B1 0.000250444
+2 *707:X 0.000250444
+3 *709:B1 *706:A 0.000126575
+4 *709:B1 *246:22 0.000307043
+5 *709:B1 *333:235 0.000124895
+6 *706:B *709:B1 8.49205e-05
+7 *707:A *709:B1 1.16578e-05
+8 *708:A1 *709:B1 0.000114492
+9 *708:A2 *709:B1 9.80943e-06
+10 *156:11 *709:B1 0
+*RES
+1 *707:X *709:B1 12.93
+*END
+
+*D_NET *158 0.000733306
+*CONN
+*I *709:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *708:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *709:B2 0.000149792
+2 *708:Y 0.000149792
+3 *709:B2 *770:B 0.000158552
+4 *709:B2 *237:12 3.25831e-05
+5 *709:B2 *237:33 0.000105831
+6 *705:B1 *709:B2 0.000136755
+*RES
+1 *708:Y *709:B2 10.32
+*END
+
+*D_NET *159 0.000356695
+*CONN
+*I *711:B I *D sky130_fd_sc_hd__xnor2_2
+*I *710:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *711:B 4.21969e-05
+2 *710:Y 4.21969e-05
+3 *699:B *711:B 0.00013375
+4 *149:13 *711:B 0.000138551
+*RES
+1 *710:Y *711:B 8.835
+*END
+
+*D_NET *160 0.00048492
+*CONN
+*I *712:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *711:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *712:A1 5.72351e-05
+2 *711:Y 5.72351e-05
+3 *695:A1 *712:A1 0.000232999
+4 *712:S *712:A1 1.08758e-05
+5 *145:11 *712:A1 0.000126575
+*RES
+1 *711:Y *712:A1 5.375
+*END
+
+*D_NET *161 0.000793816
+*CONN
+*I *714:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *713:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *714:A1 0.000182024
+2 *713:X 0.000182024
+3 *714:A1 *698:A 0.000111901
+4 *714:A1 *235:13 5.05521e-05
+5 *699:B *714:A1 8.80293e-06
+6 *141:17 *714:A1 0.000100544
+7 *145:53 *714:A1 4.60584e-05
+8 *145:58 *714:A1 0.000111909
+*RES
+1 *713:X *714:A1 11.865
+*END
+
+*D_NET *162 0.00111167
+*CONN
+*I *716:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *715:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *716:B1 0.00036072
+2 *715:Y 0.00036072
+3 *695:A2 *716:B1 8.18041e-05
+4 *695:A3 *716:B1 0.000116165
+5 *842:D *716:B1 0.000116165
+6 *129:101 *716:B1 7.60965e-05
+*RES
+1 *715:Y *716:B1 12.48
+*END
+
+*D_NET *163 0.000294167
+*CONN
+*I *717:B I *D sky130_fd_sc_hd__xnor2_2
+*I *716:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *717:B 3.74775e-05
+2 *716:X 3.74775e-05
+3 *717:B *233:28 0.000140233
+4 *717:B *236:19 7.8979e-05
+*RES
+1 *716:X *717:B 8.835
+*END
+
+*D_NET *164 0.00197258
+*CONN
+*I *719:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *718:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *719:A2_N 0.000408705
+2 *718:Y 0.000408705
+3 *719:A2_N *842:CLK 1.56428e-05
+4 *719:A2_N *843:CLK 0.000335177
+5 *719:A2_N *216:222 7.5416e-05
+6 *719:A2_N *233:8 5.4003e-05
+7 *719:A2_N *233:15 0.000541623
+8 *719:A2_N *233:28 0.000108322
+9 *145:24 *719:A2_N 2.49909e-05
+10 *145:53 *719:A2_N 0
+*RES
+1 *718:Y *719:A2_N 16.95
+*END
+
+*D_NET *165 0.00103517
+*CONN
+*I *721:B I *D sky130_fd_sc_hd__xnor2_2
+*I *720:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *721:B 0.000260966
+2 *720:Y 0.000260966
+3 *721:B *722:A0 8.52845e-05
+4 *721:B *722:A1 4.57009e-05
+5 *720:A *721:B 0.000310693
+6 *840:D *721:B 2.10134e-05
+7 *129:23 *721:B 5.05437e-05
+*RES
+1 *720:Y *721:B 8.495
+*END
+
+*D_NET *166 0.000402503
+*CONN
+*I *722:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *721:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *722:A1 8.26482e-05
+2 *721:Y 8.26482e-05
+3 *720:A *722:A1 0.000161467
+4 *721:B *722:A1 4.57009e-05
+5 *840:D *722:A1 3.00381e-05
+*RES
+1 *721:Y *722:A1 5.375
+*END
+
+*D_NET *167 0.000289414
+*CONN
+*I *724:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *723:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *724:B1 5.38214e-05
+2 *723:Y 5.38214e-05
+3 *724:B1 *238:15 0.000104286
+4 *839:D *724:B1 2.75229e-05
+5 *140:22 *724:B1 4.99619e-05
+*RES
+1 *723:Y *724:B1 4.685
+*END
+
+*D_NET *168 0.00386045
+*CONN
+*I *732:A I *D sky130_fd_sc_hd__or2_2
+*I *726:B I *D sky130_fd_sc_hd__and2_2
+*I *729:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *725:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *732:A 0.000556721
+2 *726:B 0.000181228
+3 *729:A2 6.93661e-05
+4 *725:X 3.27521e-05
+5 *168:8 0.000684385
+6 *168:5 0.00102326
+7 *726:B *169:14 1.26626e-05
+8 *726:B *216:64 0
+9 *726:B *220:19 0.000278678
+10 *726:B *333:219 0
+11 *729:A2 *729:A1 1.2912e-05
+12 *729:A2 *216:56 8.35118e-05
+13 *732:A *732:B 0.000244239
+14 *732:A *733:A2 3.00381e-05
+15 *732:A *832:CLK 0
+16 *732:A *218:11 0.00015639
+17 *732:A *219:18 1.1085e-05
+18 *168:5 *218:11 4.82863e-05
+19 *168:8 *217:64 5.16418e-05
+20 *168:8 *218:26 0
+21 *168:8 *219:23 0
+22 *168:8 *219:34 0
+23 *168:8 *220:8 0.000113222
+24 *168:8 *220:19 5.05981e-05
+25 *168:8 *333:50 0
+26 *168:8 *333:201 0
+27 *660:A *168:8 0
+28 *733:B1 *732:A 0.000139776
+29 *5:88 *168:8 7.96909e-05
+*RES
+1 *725:X *168:5 2.05
+2 *168:5 *168:8 9.245
+3 *168:8 *729:A2 5.01
+4 *168:8 *726:B 7.275
+5 *168:5 *732:A 9.685
+*END
+
+*D_NET *169 0.000997558
+*CONN
+*I *730:B I *D sky130_fd_sc_hd__nand2_2
+*I *728:B I *D sky130_fd_sc_hd__nand2b_2
+*I *727:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *726:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *730:B 3.96224e-05
+2 *728:B 0
+3 *727:A2 6.19526e-05
+4 *726:X 0
+5 *169:14 0.000102017
+6 *169:4 0.000124347
+7 *727:A2 *727:A1 4.82863e-05
+8 *727:A2 *220:20 3.96737e-05
+9 *730:B *730:A 0.000158066
+10 *169:14 *220:19 0.000137578
+11 *169:14 *221:10 2.92496e-05
+12 *169:14 *221:14 0.000137578
+13 *169:14 *333:219 0
+14 *726:B *169:14 1.26626e-05
+15 *88:77 *727:A2 5.83121e-06
+16 *88:77 *169:14 9.29751e-06
+17 *129:17 *730:B 9.13951e-05
+*RES
+1 *726:X *169:4 1.6
+2 *169:4 *727:A2 2.74
+3 *169:4 *169:14 6.145
+4 *169:14 *728:B 1.6
+5 *169:14 *730:B 3.085
+*END
+
+*D_NET *170 0.000377199
+*CONN
+*I *729:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *728:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *729:B1 8.60016e-05
+2 *728:Y 8.60016e-05
+3 *729:B1 *220:8 5.31158e-06
+4 *729:B1 *220:19 6.9543e-05
+5 *88:65 *729:B1 5.31158e-06
+6 *88:77 *729:B1 7.67375e-05
+7 *129:17 *729:B1 4.82919e-05
+*RES
+1 *728:Y *729:B1 9.285
+*END
+
+*D_NET *171 0.00394618
+*CONN
+*I *733:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *735:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *736:C I *D sky130_fd_sc_hd__nand3_2
+*I *730:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *733:A1 1.17375e-05
+2 *735:A1 0.000161958
+3 *736:C 0.000398785
+4 *730:Y 0.000488114
+5 *171:12 0.000728884
+6 *171:10 0.000667992
+7 *733:A1 *732:B 2.75229e-05
+8 *733:A1 *733:A2 4.82919e-05
+9 *735:A1 *735:A2 0
+10 *735:A1 *216:131 0.000138808
+11 *735:A1 *217:5 4.82919e-05
+12 *735:A1 *217:7 4.82863e-05
+13 *736:C *734:A 0.000139238
+14 *736:C *734:B 0.000163185
+15 *736:C *735:A2 0
+16 *736:C *812:B 0.000101647
+17 *736:C *333:27 2.26018e-05
+18 *736:C *333:41 0
+19 *736:C *333:50 0
+20 *171:10 *731:B1 2.4997e-05
+21 *171:10 *219:8 3.67779e-05
+22 *171:10 *333:50 0
+23 *171:12 *731:B1 1.89685e-05
+24 *171:12 *735:A2 0
+25 *171:12 *333:50 0
+26 *405:DIODE *736:C 0.000191823
+27 *685:C_N *171:10 0
+28 *733:B1 *171:12 0
+29 *837:D *171:10 0.000154409
+30 *840:D *171:10 0
+31 *5:70 *171:10 7.53989e-05
+32 *5:72 *171:10 7.27975e-05
+33 *5:77 *171:10 4.77013e-05
+34 *88:19 *171:10 0
+35 *88:19 *171:12 0
+36 *129:17 *171:10 0.000117084
+37 *129:23 *171:10 1.08758e-05
+*RES
+1 *730:Y *171:10 13.2
+2 *171:10 *171:12 2.5275
+3 *171:12 *736:C 11.8275
+4 *171:12 *735:A1 6.8175
+5 *171:10 *733:A1 4.05
+*END
+
+*D_NET *172 0.000718796
+*CONN
+*I *732:B I *D sky130_fd_sc_hd__or2_2
+*I *731:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *732:B 9.55071e-05
+2 *731:Y 9.55071e-05
+3 *732:B *733:A2 0.000194863
+4 *732:B *219:18 1.7386e-05
+5 *732:A *732:B 0.000244239
+6 *733:A1 *732:B 2.75229e-05
+7 *733:B1 *732:B 4.37709e-05
+*RES
+1 *731:Y *732:B 7.13
+*END
+
+*D_NET *173 0.000853068
+*CONN
+*I *733:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *732:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *733:A2 0.000155713
+2 *732:X 0.000155713
+3 *732:A *733:A2 3.00381e-05
+4 *732:B *733:A2 0.000194863
+5 *733:A1 *733:A2 4.82919e-05
+6 *733:B1 *733:A2 2.59835e-05
+7 *836:D *733:A2 0.000239807
+8 *88:19 *733:A2 2.65814e-06
+*RES
+1 *732:X *733:A2 7.46
+*END
+
+*D_NET *174 0.000681933
+*CONN
+*I *735:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *734:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *735:A2 0.000234989
+2 *734:Y 0.000234989
+3 *735:A2 *734:B 0.000119504
+4 *735:A2 *812:B 8.8266e-05
+5 *733:B1 *735:A2 4.18603e-06
+6 *735:A1 *735:A2 0
+7 *736:C *735:A2 0
+8 *835:D *735:A2 0
+9 *835:RESET_B *735:A2 0
+10 *171:12 *735:A2 0
+*RES
+1 *734:Y *735:A2 11.925
+*END
+
+*D_NET *175 0.00797757
+*CONN
+*I *784:A I *D sky130_fd_sc_hd__and3_2
+*I *758:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *741:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *740:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *784:A 0.000163415
+2 *758:B1 0.000347542
+3 *741:B1 0.000544142
+4 *740:Y 0
+5 *175:22 0.0012171
+6 *175:4 0.00125028
+7 *741:B1 *858:A 4.77719e-05
+8 *741:B1 *862:A 6.80964e-05
+9 *741:B1 *882:A 6.77417e-05
+10 *741:B1 *882:TE_B 0.000124007
+11 *741:B1 *267:8 0.000120655
+12 *758:B1 *758:B2 5.49637e-05
+13 *758:B1 *762:B1 0.000154305
+14 *758:B1 *762:B2 2.69153e-05
+15 *758:B1 *764:B1 0.000121039
+16 *758:B1 *914:TE 0.000561792
+17 *758:B1 *305:7 2.47904e-05
+18 *784:A *764:B1 1.10085e-05
+19 *784:A *325:7 2.76356e-05
+20 *175:22 *762:B1 3.89624e-05
+21 *175:22 *762:B2 0
+22 *175:22 *764:B2 0.000111037
+23 *175:22 *766:B1 1.29317e-05
+24 *175:22 *771:B1 1.79536e-05
+25 *175:22 *771:B2 1.5721e-05
+26 *175:22 *185:13 0
+27 *175:22 *191:8 0.000133397
+28 *175:22 *249:8 0
+29 *683:A *741:B1 0.00012657
+30 *683:B *741:B1 4.21973e-05
+31 *700:B *741:B1 5.30673e-05
+32 *740:A *175:22 1.07797e-05
+33 *741:A1 *741:B1 1.85674e-05
+34 *762:A2 *758:B1 7.27704e-06
+35 *764:A2 *758:B1 0.000110783
+36 *764:A2 *784:A 6.8725e-06
+37 *766:A2 *175:22 0.000176746
+38 *771:A1 *175:22 6.76985e-05
+39 *782:A2 *175:22 0
+40 *5:714 *741:B1 3.86988e-05
+41 *5:749 *175:22 2.17599e-05
+42 *5:758 *175:22 6.10014e-05
+43 *5:780 *175:22 9.79857e-05
+44 *5:801 *784:A 1.08105e-05
+45 *5:803 *784:A 4.87465e-06
+46 *5:806 *175:22 6.90381e-05
+47 *5:838 *784:A 0.000138783
+48 *15:10 *758:B1 1.56261e-05
+49 *37:10 *758:B1 0.000821424
+50 *130:94 *175:22 4.83324e-05
+51 *132:8 *175:22 0.000224196
+52 *132:29 *175:22 0.000268376
+53 *133:5 *741:B1 0.000272903
+54 *150:8 *175:22 0
+*RES
+1 *740:Y *175:4 1.6
+2 *175:4 *741:B1 13.135
+3 *175:4 *175:22 17.56
+4 *175:22 *758:B1 17.615
+5 *175:22 *784:A 4.75
+*END
+
+*D_NET *176 0.00795461
+*CONN
+*I *781:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *790:A2 I *D sky130_fd_sc_hd__o221a_2
+*I *763:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *753:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *746:A I *D sky130_fd_sc_hd__and3_2
+*I *767:B I *D sky130_fd_sc_hd__or2_2
+*I *742:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *781:A1 2.94146e-05
+2 *790:A2 0.000317682
+3 *763:A2 0
+4 *753:A2 0.000153736
+5 *746:A 0.000149912
+6 *767:B 0
+7 *742:X 0.000520057
+8 *176:56 0.000686324
+9 *176:28 0.0005582
+10 *176:24 0.000284481
+11 *176:23 0.000474379
+12 *176:14 0.00062528
+13 *746:A *766:B1 6.40332e-05
+14 *746:A *778:B1 8.23457e-05
+15 *746:A *271:12 6.58423e-05
+16 *753:A2 *753:A1 3.10885e-05
+17 *753:A2 *762:B1 0.000118081
+18 *753:A2 *766:B1 1.1411e-05
+19 *753:A2 *178:36 5.04042e-05
+20 *790:A2 *788:C 5.02126e-06
+21 *790:A2 *792:A 4.48421e-05
+22 *176:14 *778:B2 5.64053e-05
+23 *176:14 *178:15 8.97586e-05
+24 *176:14 *237:62 0.00026432
+25 *176:14 *271:12 0.000122331
+26 *176:23 *185:13 0.000113909
+27 *176:28 *762:B1 9.80943e-06
+28 *176:28 *178:32 3.52139e-06
+29 *176:28 *178:36 3.3814e-05
+30 *176:56 *785:A 3.93101e-05
+31 *176:56 *785:B 2.0851e-06
+32 *395:DIODE *790:A2 0.000425071
+33 *750:C *176:14 0.000378835
+34 *763:B2 *176:24 4.66108e-05
+35 *763:B2 *176:28 8.65905e-05
+36 *763:B2 *176:56 0.000343146
+37 *781:B1 *176:56 2.19463e-05
+38 *782:A2 *176:14 5.06809e-05
+39 *782:A2 *176:23 0.000103322
+40 *790:A1 *790:A2 8.7152e-06
+41 *5:409 *790:A2 0.000171352
+42 *5:780 *176:28 3.57349e-05
+43 *5:785 *753:A2 2.98587e-05
+44 *5:785 *176:28 4.82863e-05
+45 *15:10 *746:A 0.00026082
+46 *15:10 *753:A2 4.31647e-05
+47 *16:5 *176:14 7.00225e-05
+48 *16:5 *176:23 0.000262981
+49 *17:9 *781:A1 1.87674e-05
+50 *17:9 *176:56 2.55159e-05
+51 *18:5 *790:A2 1.88602e-05
+52 *83:25 *176:14 1.73226e-05
+53 *83:30 *176:14 1.42101e-05
+54 *130:45 *790:A2 0.000230715
+55 *130:76 *176:14 2.82992e-05
+56 *142:29 *176:14 3.98456e-05
+57 *143:36 *176:56 2.92603e-05
+58 *150:8 *746:A 0.000136877
+*RES
+1 *742:X *176:14 17.29
+2 *176:14 *767:B 3.6
+3 *176:14 *176:23 5.165
+4 *176:23 *176:24 0.45
+5 *176:24 *176:28 5.21
+6 *176:28 *746:A 7.905
+7 *176:28 *753:A2 6.75
+8 *176:24 *763:A2 1.6
+9 *176:23 *176:56 6.8975
+10 *176:56 *790:A2 5.80277
+11 *176:56 *781:A1 4.0875
+*END
+
+*D_NET *177 0.00477212
+*CONN
+*I *775:B I *D sky130_fd_sc_hd__nand2_2
+*I *744:B I *D sky130_fd_sc_hd__nand2_2
+*I *773:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *743:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *775:B 0.000667509
+2 *744:B 6.89805e-05
+3 *773:B1 0.000546866
+4 *743:Y 6.04007e-05
+5 *177:27 0.00073649
+6 *177:6 0.000607267
+7 *744:B *237:62 4.60061e-05
+8 *773:B1 *772:A1 8.02013e-05
+9 *773:B1 *774:B1 0.000119246
+10 *775:B *629:A 4.66108e-05
+11 *775:B *742:C 2.16833e-05
+12 *775:B *786:A2 4.58763e-05
+13 *775:B *786:A3 4.41506e-05
+14 *775:B *806:B2 7.47215e-05
+15 *775:B *181:18 5.83121e-06
+16 *775:B *196:15 1.32017e-05
+17 *775:B *236:67 8.82705e-05
+18 *775:B *237:62 5.74791e-05
+19 *775:B *237:75 2.75229e-05
+20 *775:B *237:100 5.53249e-06
+21 *775:B *256:21 3.09164e-05
+22 *384:DIODE *773:B1 0.000135905
+23 *384:DIODE *177:6 0.000143516
+24 *694:A *773:B1 1.34594e-05
+25 *700:B *773:B1 4.89295e-05
+26 *704:A1 *773:B1 0.000287154
+27 *704:A1 *177:6 0.000151481
+28 *742:B *775:B 2.75145e-05
+29 *743:B *773:B1 5.38986e-06
+30 *772:A2 *773:B1 0.000106593
+31 *772:C1 *773:B1 2.84757e-05
+32 *786:B1 *775:B 0.000106903
+33 *83:30 *773:B1 5.84832e-05
+34 *84:23 *773:B1 0.000116637
+35 *86:13 *773:B1 8.54402e-07
+36 *86:40 *773:B1 0
+37 *130:76 *773:B1 1.30548e-05
+38 *130:94 *773:B1 3.93162e-05
+39 *143:28 *773:B1 9.36937e-05
+*RES
+1 *743:Y *177:6 5.49
+2 *177:6 *773:B1 17.89
+3 *177:6 *177:27 2
+4 *177:27 *744:B 2.755
+5 *177:27 *775:B 15.335
+*END
+
+*D_NET *178 0.0101971
+*CONN
+*I *783:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *753:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *746:B I *D sky130_fd_sc_hd__and3_2
+*I *749:B I *D sky130_fd_sc_hd__or2_2
+*I *751:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *755:B I *D sky130_fd_sc_hd__or2_2
+*I *744:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A2 0
+2 *781:A2 0.000208694
+3 *763:B1 1.80269e-05
+4 *753:B1 0
+5 *756:A2 0.000245755
+6 *768:A1 0
+7 *746:B 0
+8 *749:B 0
+9 *751:B1 0.000566067
+10 *755:B 0
+11 *744:Y 0.000380115
+12 *178:76 0.000245755
+13 *178:49 0.000817572
+14 *178:43 0.000324744
+15 *178:39 0.000154528
+16 *178:36 0.000319036
+17 *178:32 0.000534813
+18 *178:29 0.000519506
+19 *178:20 0.000210041
+20 *178:15 0.000558384
+21 *751:B1 *747:B1 0.000585933
+22 *751:B1 *751:B2 0.000120562
+23 *751:B1 *879:TE 4.53881e-05
+24 *751:B1 *886:A 0.000496782
+25 *751:B1 *891:A 0.00022084
+26 *756:A2 *756:B1 9.19319e-05
+27 *756:A2 *756:C1 7.77126e-06
+28 *756:A2 *179:24 4.82919e-05
+29 *781:A2 *784:C 7.44252e-05
+30 *781:A2 *785:A 0.000120208
+31 *178:15 *750:A 4.04634e-05
+32 *178:15 *778:B2 1.274e-05
+33 *178:15 *237:62 1.8498e-05
+34 *178:20 *785:A 0.000127248
+35 *178:29 *784:C 2.85623e-05
+36 *178:32 *784:C 4.66108e-05
+37 *178:32 *185:7 8.23457e-05
+38 *178:36 *762:B1 0.000227078
+39 *178:39 *746:C 2.14129e-05
+40 *178:39 *179:19 1.52184e-05
+41 *178:39 *179:85 0.000111235
+42 *178:39 *180:49 0.000180859
+43 *178:39 *263:21 1.93765e-05
+44 *178:43 *746:C 7.23602e-05
+45 *178:43 *769:B1 4.43871e-06
+46 *178:43 *179:19 8.74755e-05
+47 *178:43 *180:6 3.4087e-05
+48 *178:43 *271:12 4.76263e-05
+49 *178:49 *753:A1 6.29104e-05
+50 *178:49 *757:B1 0.000268739
+51 *178:49 *883:A 0.000133541
+52 *178:49 *234:52 4.43871e-06
+53 *352:DIODE *751:B1 0
+54 *357:DIODE *751:B1 0
+55 *361:DIODE *751:B1 8.40269e-05
+56 *372:DIODE *178:36 4.77769e-05
+57 *376:DIODE *751:B1 0.000237238
+58 *751:A1 *751:B1 3.26889e-05
+59 *753:A2 *178:36 5.04042e-05
+60 *756:A1 *756:A2 1.63194e-05
+61 *763:B2 *763:B1 9.12671e-06
+62 *763:B2 *178:32 2.87822e-05
+63 *783:A1 *178:20 0.000124895
+64 *790:A1 *178:15 1.53053e-05
+65 *790:A1 *178:20 2.10214e-05
+66 *5:904 *751:B1 0.000354719
+67 *17:9 *178:15 8.73147e-06
+68 *83:25 *178:15 8.79963e-05
+69 *130:52 *178:20 1.10951e-05
+70 *130:53 *178:32 4.12775e-05
+71 *130:76 *178:15 0
+72 *130:76 *178:20 2.19824e-05
+73 *142:29 *178:15 5.83121e-06
+74 *143:28 *178:15 0.000168135
+75 *143:29 *178:15 8.52845e-05
+76 *143:36 *178:15 5.49246e-05
+77 *143:36 *178:20 0
+78 *176:14 *178:15 8.97586e-05
+79 *176:28 *178:32 3.52139e-06
+80 *176:28 *178:36 3.3814e-05
+*RES
+1 *744:Y *178:15 12.365
+2 *178:15 *178:20 5.375
+3 *178:20 *755:B 3.6
+4 *178:20 *178:29 2.615
+5 *178:29 *178:32 3.57
+6 *178:32 *178:36 4.26
+7 *178:36 *178:39 4.91
+8 *178:39 *178:43 4.145
+9 *178:43 *178:49 8.155
+10 *178:49 *751:B1 21.635
+11 *178:49 *749:B 1.6
+12 *178:43 *746:B 1.6
+13 *178:39 *178:76 2
+14 *178:76 *768:A1 1.6
+15 *178:76 *756:A2 4.64875
+16 *178:36 *753:B1 1.6
+17 *178:32 *763:B1 1.96
+18 *178:29 *781:A2 4.945
+19 *178:15 *783:A2 1.6
+*END
+
+*D_NET *179 0.00843861
+*CONN
+*I *762:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *753:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *746:C I *D sky130_fd_sc_hd__and3_2
+*I *752:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *769:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *745:X O *D sky130_fd_sc_hd__and2b_2
+*CAP
+1 *762:B1 0.00023046
+2 *753:C1 0
+3 *756:B1 0.000157195
+4 *768:A2 0
+5 *746:C 7.31019e-05
+6 *752:B1 9.30791e-05
+7 *751:B2 0.000187602
+8 *769:B1 0.000169954
+9 *745:X 8.8893e-05
+10 *179:85 0.000321795
+11 *179:32 0.00127946
+12 *179:24 0.0011051
+13 *179:23 0.000232927
+14 *179:19 0.000217408
+15 *179:7 0.000342402
+16 *746:C *180:6 9.50342e-06
+17 *746:C *180:49 9.30717e-05
+18 *746:C *271:12 1.56428e-05
+19 *751:B2 *891:A 8.8266e-05
+20 *751:B2 *286:6 2.87867e-05
+21 *752:B1 *284:5 4.66108e-05
+22 *752:B1 *286:6 2.03321e-05
+23 *752:B1 *286:15 6.62962e-05
+24 *756:B1 *756:C1 9.03508e-06
+25 *756:B1 *768:A3 0.000244798
+26 *756:B1 *263:21 7.00889e-06
+27 *762:B1 *764:B2 0.000111037
+28 *762:B1 *766:B1 0.000130905
+29 *762:B1 *914:TE 7.27402e-06
+30 *769:B1 *271:12 6.36087e-05
+31 *179:7 *757:B1 4.82919e-05
+32 *179:7 *271:12 4.21973e-05
+33 *179:19 *757:B1 9.31022e-05
+34 *179:19 *186:6 8.5242e-05
+35 *179:23 *180:49 0
+36 *179:24 *263:21 2.54522e-05
+37 *179:32 *907:TE 6.58339e-05
+38 *179:32 *909:A 0.000196357
+39 *179:32 *909:TE_B 0.000106078
+40 *179:32 *263:21 2.60713e-06
+41 *179:32 *297:8 7.67415e-06
+42 *179:85 *764:B1 0.000212181
+43 *179:85 *186:6 9.50342e-06
+44 *179:85 *263:21 1.79483e-05
+45 *357:DIODE *751:B2 0
+46 *361:DIODE *751:B2 1.15688e-05
+47 *373:DIODE *179:32 9.80943e-06
+48 *376:DIODE *769:B1 2.30292e-05
+49 *751:B1 *751:B2 0.000120562
+50 *752:A2 *752:B1 1.96485e-05
+51 *753:A2 *762:B1 0.000118081
+52 *756:A1 *756:B1 1.69669e-05
+53 *756:A2 *756:B1 9.19319e-05
+54 *756:A2 *179:24 4.82919e-05
+55 *758:B1 *762:B1 0.000154305
+56 *762:A2 *762:B1 5.31158e-06
+57 *768:B1 *179:32 4.68092e-05
+58 *768:B2 *179:32 0.00020655
+59 *769:A1 *769:B1 4.82863e-05
+60 *5:761 *756:B1 2.61207e-05
+61 *5:780 *762:B1 2.49909e-05
+62 *5:785 *762:B1 2.49909e-05
+63 *5:806 *762:B1 4.85286e-05
+64 *5:1001 *752:B1 4.66108e-05
+65 *15:10 *769:B1 7.23706e-05
+66 *15:10 *179:19 1.01851e-05
+67 *33:8 *751:B2 7.23533e-05
+68 *33:8 *752:B1 0.000249298
+69 *175:22 *762:B1 3.89624e-05
+70 *176:28 *762:B1 9.80943e-06
+71 *178:36 *762:B1 0.000227078
+72 *178:39 *746:C 2.14129e-05
+73 *178:39 *179:19 1.52184e-05
+74 *178:39 *179:85 0.000111235
+75 *178:43 *746:C 7.23602e-05
+76 *178:43 *769:B1 4.43871e-06
+77 *178:43 *179:19 8.74755e-05
+*RES
+1 *745:X *179:7 4.74
+2 *179:7 *769:B1 6.9
+3 *179:7 *179:19 2.4
+4 *179:19 *179:23 2.45
+5 *179:23 *179:24 0.795
+6 *179:24 *179:32 14.645
+7 *179:32 *751:B2 7.605
+8 *179:32 *752:B1 6.96
+9 *179:24 *746:C 7.175
+10 *179:23 *768:A2 1.6
+11 *179:23 *756:B1 6.0325
+12 *179:19 *179:85 4.655
+13 *179:85 *753:C1 1.6
+14 *179:85 *762:B1 11.96
+*END
+
+*D_NET *180 0.00918367
+*CONN
+*I *761:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:A I *D sky130_fd_sc_hd__and3_2
+*I *795:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *747:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *771:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *746:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *761:B1 0
+2 *788:A 0.000128953
+3 *795:B1 0
+4 *747:B1 0.000728964
+5 *771:B1 0.000457041
+6 *746:X 0
+7 *180:62 0.000449008
+8 *180:49 0.000861192
+9 *180:6 0.00126005
+10 *180:5 0.000615178
+11 *747:B1 *942:A 3.45703e-05
+12 *771:B1 *753:A1 2.4599e-05
+13 *771:B1 *771:B2 2.22475e-05
+14 *771:B1 *882:TE_B 1.88656e-05
+15 *771:B1 *234:52 7.88026e-05
+16 *771:B1 *271:12 0.000236525
+17 *771:B1 *278:12 7.80835e-05
+18 *788:A *920:A 0.000158072
+19 *788:A *922:A 0.000192217
+20 *180:6 *753:A1 6.14199e-05
+21 *180:6 *271:12 0.000111012
+22 *180:49 *753:A1 6.65804e-05
+23 *180:49 *764:B1 0.00011237
+24 *180:49 *913:A 0.000160641
+25 *180:49 *307:14 8.80001e-05
+26 *180:49 *307:24 0.000322438
+27 *180:49 *312:16 8.34281e-06
+28 *180:49 *314:11 0.000385333
+29 *180:62 *761:B2 1.71013e-05
+30 *180:62 *922:A 3.09088e-05
+31 *180:62 *922:TE 0.000160635
+32 *180:62 *312:16 0.000432975
+33 *180:62 *314:11 3.94429e-05
+34 *359:DIODE *747:B1 6.96175e-05
+35 *366:DIODE *180:49 4.60094e-05
+36 *376:DIODE *747:B1 0.000246215
+37 *683:B *771:B1 1.17193e-05
+38 *746:C *180:6 9.50342e-06
+39 *746:C *180:49 9.30717e-05
+40 *751:B1 *747:B1 0.000585933
+41 *761:A2 *180:49 0.000104697
+42 *762:A2 *180:62 1.1561e-05
+43 *771:A2 *771:B1 5.91159e-05
+44 *795:A1 *180:62 3.32994e-05
+45 *795:A2 *788:A 0.000105393
+46 *5:817 *180:62 3.09233e-05
+47 *15:10 *180:49 0.000166651
+48 *16:5 *180:62 0
+49 *130:94 *771:B1 2.88705e-05
+50 *150:8 *771:B1 6.63219e-06
+51 *175:22 *771:B1 1.79536e-05
+52 *178:39 *180:49 0.000180859
+53 *178:43 *180:6 3.4087e-05
+54 *179:23 *180:49 0
+*RES
+1 *746:X *180:5 3.6
+2 *180:5 *180:6 2.145
+3 *180:6 *771:B1 9.54643
+4 *180:6 *747:B1 17.89
+5 *180:5 *180:49 16.64
+6 *180:49 *180:62 14.615
+7 *180:62 *795:B1 1.6
+8 *180:62 *788:A 4.81
+9 *180:49 *761:B1 1.6
+*END
+
+*D_NET *181 0.00347902
+*CONN
+*I *794:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *803:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *786:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *794:B2 0.000248204
+2 *803:B1 0.000175943
+3 *786:A2 0.000145411
+4 *748:Y 0
+5 *181:18 0.00054692
+6 *181:4 0.000268183
+7 *786:A2 *786:A3 4.41506e-05
+8 *786:A2 *236:67 1.08758e-05
+9 *794:B2 *801:A 1.60991e-05
+10 *794:B2 *866:A 0.000117832
+11 *794:B2 *866:TE 2.42236e-05
+12 *794:B2 *263:21 0
+13 *803:B1 *804:C 0.000341552
+14 *803:B1 *866:A 0.000386052
+15 *803:B1 *335:5 9.10429e-05
+16 *181:18 *804:C 4.82919e-05
+17 *181:18 *237:100 8.23457e-05
+18 *181:18 *237:106 0.00015639
+19 *181:18 *256:21 0.000305256
+20 *775:B *786:A2 4.58763e-05
+21 *775:B *181:18 5.83121e-06
+22 *794:A2 *794:B2 1.79536e-05
+23 *794:B1 *794:B2 5.84456e-05
+24 *794:B1 *803:B1 2.23407e-05
+25 *803:A1 *803:B1 0.000161003
+26 *26:17 *794:B2 0
+27 *83:8 *786:A2 0.000132815
+28 *83:8 *181:18 2.59835e-05
+*RES
+1 *748:Y *181:4 1.6
+2 *181:4 *786:A2 4.495
+3 *181:4 *181:18 5.66
+4 *181:18 *803:B1 9.525
+5 *181:18 *794:B2 7.245
+*END
+
+*D_NET *182 9.2844e-05
+*CONN
+*I *769:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *749:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *769:B2 2.22788e-05
+2 *749:X 2.22788e-05
+3 *376:DIODE *769:B2 4.82863e-05
+*RES
+1 *749:X *769:B2 3.65
+*END
+
+*D_NET *183 0.00656899
+*CONN
+*I *798:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *758:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *750:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *798:A1 0.000175215
+2 *758:B2 0.00100759
+3 *750:X 0.000469104
+4 *183:11 0.00165191
+5 *758:B2 *762:B2 0.000223502
+6 *758:B2 *914:TE 0.000365219
+7 *758:B2 *917:TE_B 0
+8 *758:B2 *304:7 4.77769e-05
+9 *758:B2 *305:7 0.000111997
+10 *758:B2 *314:11 0.00034436
+11 *758:B2 *319:11 0.000562974
+12 *798:A1 *785:C 2.49909e-05
+13 *183:11 *785:C 4.6892e-05
+14 *183:11 *921:TE 0.000129438
+15 *183:11 *923:A 7.20926e-05
+16 *183:11 *187:33 1.88904e-05
+17 *183:11 *292:13 9.30637e-06
+18 *183:11 *314:11 0.000119251
+19 *183:11 *319:11 0.000180467
+20 *380:DIODE *183:11 0.000103124
+21 *384:DIODE *183:11 5.86739e-05
+22 *395:DIODE *183:11 0.000183367
+23 *396:DIODE *183:11 6.72196e-05
+24 *704:A1 *183:11 6.83447e-05
+25 *758:B1 *758:B2 5.49637e-05
+26 *760:A *758:B2 2.49909e-05
+27 *780:A *183:11 7.89037e-05
+28 *795:A1 *798:A1 0
+29 *798:B1 *183:11 0.000128213
+30 *17:9 *798:A1 0.000187722
+31 *17:9 *183:11 3.35621e-05
+32 *83:25 *183:11 1.03423e-05
+33 *130:45 *183:11 0
+34 *142:62 *183:11 8.59162e-06
+*RES
+1 *750:X *183:11 15.815
+2 *183:11 *758:B2 22.67
+3 *183:11 *798:A1 8.45
+*END
+
+*D_NET *184 0.0088974
+*CONN
+*I *792:A I *D sky130_fd_sc_hd__and3_2
+*I *754:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *753:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *792:A 0.0013285
+2 *754:B1 0.00115641
+3 *753:X 8.59372e-05
+4 *184:8 0.00257085
+5 *754:B1 *766:B1 0.0003819
+6 *754:B1 *892:A 4.31979e-05
+7 *754:B1 *894:A 8.81386e-06
+8 *754:B1 *894:Z 0.000111022
+9 *754:B1 *896:A 4.77769e-05
+10 *754:B1 *896:TE_B 7.70045e-05
+11 *754:B1 *897:A 2.93136e-05
+12 *754:B1 *898:A 0.000417642
+13 *754:B1 *293:5 4.40693e-06
+14 *792:A *760:B 4.83732e-06
+15 *792:A *790:B1 1.12643e-05
+16 *792:A *790:C1 0.000117686
+17 *792:A *263:21 0
+18 *792:A *292:13 0.00153731
+19 *792:A *307:14 0
+20 *184:8 *307:14 2.88357e-05
+21 *385:DIODE *792:A 0.000303303
+22 *395:DIODE *792:A 0.000165431
+23 *765:A_N *754:B1 7.08554e-05
+24 *777:A *792:A 0.000122864
+25 *790:A1 *792:A 4.66108e-05
+26 *790:A2 *792:A 4.48421e-05
+27 *790:B2 *792:A 4.00355e-05
+28 *14:7 *792:A 1.03284e-05
+29 *14:7 *184:8 3.32517e-05
+30 *18:5 *792:A 5.54282e-05
+31 *130:45 *792:A 4.36282e-06
+32 *130:52 *792:A 3.7376e-05
+*RES
+1 *753:X *184:8 5.175
+2 *184:8 *754:B1 24.07
+3 *184:8 *792:A 12.12
+*END
+
+*D_NET *185 0.00240516
+*CONN
+*I *762:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *782:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *755:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *762:B2 0.000292331
+2 *782:B1 0
+3 *756:C1 5.20742e-05
+4 *755:X 2.60334e-05
+5 *185:13 0.00022383
+6 *185:7 0.000490121
+7 *756:C1 *768:A3 7.41945e-05
+8 *185:13 *768:A3 2.19423e-05
+9 *756:A2 *756:C1 7.77126e-06
+10 *756:B1 *756:C1 9.03508e-06
+11 *758:B1 *762:B2 2.69153e-05
+12 *758:B2 *762:B2 0.000223502
+13 *760:A *762:B2 0.000293425
+14 *760:A *185:13 2.98587e-05
+15 *762:A1 *762:B2 4.10929e-05
+16 *762:A2 *762:B2 1.34584e-05
+17 *763:B2 *185:7 1.32102e-05
+18 *764:A2 *762:B2 0.000121256
+19 *782:A2 *756:C1 2.38784e-05
+20 *782:A2 *185:13 8.71732e-06
+21 *5:761 *756:C1 0.000122331
+22 *5:761 *185:13 9.80032e-06
+23 *5:806 *762:B2 7.27402e-06
+24 *16:5 *185:13 3.02383e-05
+25 *130:53 *185:7 4.66108e-05
+26 *175:22 *762:B2 0
+27 *175:22 *185:13 0
+28 *176:23 *185:13 0.000113909
+29 *178:32 *185:7 8.23457e-05
+*RES
+1 *755:X *185:7 4.395
+2 *185:7 *185:13 5.21
+3 *185:13 *756:C1 3.46
+4 *185:13 *782:B1 1.6
+5 *185:7 *762:B2 10.5
+*END
+
+*D_NET *186 0.00616534
+*CONN
+*I *764:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *778:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *757:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *756:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *764:B1 0.000246634
+2 *778:B1 0.000579219
+3 *757:B1 0.00059762
+4 *756:X 0
+5 *186:6 0.00120671
+6 *186:5 0.000276506
+7 *757:B1 *879:A 0.000239813
+8 *757:B1 *906:A 0.000615813
+9 *757:B1 *907:A 3.72916e-05
+10 *757:B1 *271:12 0.00015639
+11 *757:B1 *300:11 4.57009e-05
+12 *764:B1 *764:B2 4.66108e-05
+13 *764:B1 *766:B1 0.000157394
+14 *778:B1 *750:A 0
+15 *778:B1 *271:12 1.56459e-05
+16 *373:DIODE *757:B1 9.27255e-06
+17 *745:A_N *778:B1 4.80285e-05
+18 *745:B *778:B1 1.19928e-05
+19 *746:A *778:B1 8.23457e-05
+20 *750:C *778:B1 5.47465e-05
+21 *757:A1 *757:B1 3.17194e-05
+22 *758:B1 *764:B1 0.000121039
+23 *767:A *778:B1 0.000108031
+24 *778:A2 *778:B1 6.8725e-06
+25 *784:A *764:B1 1.10085e-05
+26 *5:801 *764:B1 2.03356e-05
+27 *5:894 *757:B1 1.08758e-05
+28 *5:902 *757:B1 5.4155e-06
+29 *15:10 *757:B1 9.14285e-05
+30 *15:10 *764:B1 0.000365464
+31 *15:10 *186:6 9.30787e-05
+32 *132:30 *778:B1 2.67275e-05
+33 *132:48 *778:B1 1.61831e-05
+34 *178:49 *757:B1 0.000268739
+35 *179:7 *757:B1 4.82919e-05
+36 *179:19 *757:B1 9.31022e-05
+37 *179:19 *186:6 8.5242e-05
+38 *179:85 *764:B1 0.000212181
+39 *179:85 *186:6 9.50342e-06
+40 *180:49 *764:B1 0.00011237
+*RES
+1 *756:X *186:5 3.6
+2 *186:5 *186:6 1.125
+3 *186:6 *757:B1 17.175
+4 *186:6 *778:B1 10.62
+5 *186:5 *764:B1 11.385
+*END
+
+*D_NET *187 0.00790776
+*CONN
+*I *787:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *760:B I *D sky130_fd_sc_hd__or2_2
+*I *780:B I *D sky130_fd_sc_hd__or2_2
+*I *783:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *770:B I *D sky130_fd_sc_hd__or2_2
+*I *759:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *787:A2 3.6315e-05
+2 *760:B 0.000509298
+3 *780:B 0
+4 *783:B1 5.46378e-05
+5 *770:B 0.00076067
+6 *759:X 4.46806e-05
+7 *187:47 0.000651332
+8 *187:44 0.000290577
+9 *187:33 0.000535239
+10 *187:11 0.00117372
+11 *760:B *784:B 2.67385e-05
+12 *760:B *790:C1 3.59548e-05
+13 *760:B *921:TE 5.09821e-05
+14 *760:B *922:Z 0.000271098
+15 *760:B *924:TE_B 4.77807e-05
+16 *760:B *292:13 8.14638e-05
+17 *760:B *312:16 6.15576e-06
+18 *760:B *314:11 0.000170587
+19 *770:B *759:A 6.81971e-05
+20 *770:B *771:B2 2.01737e-05
+21 *770:B *234:47 9.58603e-05
+22 *187:11 *772:B1 4.21155e-05
+23 *187:33 *743:A 9.59753e-05
+24 *187:33 *759:A 6.08203e-05
+25 *187:33 *197:8 0
+26 *187:33 *237:75 1.15399e-05
+27 *187:33 *299:26 5.98468e-05
+28 *187:47 *790:B1 0
+29 *187:47 *790:C1 7.36535e-05
+30 *187:47 *292:13 2.85364e-05
+31 *396:DIODE *187:33 1.52949e-05
+32 *396:DIODE *187:47 3.63701e-06
+33 *685:D_N *770:B 0.000149952
+34 *694:C *770:B 3.00279e-05
+35 *704:A1 *770:B 5.11148e-06
+36 *704:A1 *187:33 2.45773e-05
+37 *704:B2 *770:B 0.000248364
+38 *708:B1 *770:B 0.00010946
+39 *709:B2 *770:B 0.000158552
+40 *718:A *770:B 9.23804e-06
+41 *742:B *187:33 3.98852e-05
+42 *743:B *770:B 0.000167089
+43 *743:B *187:33 0.00024118
+44 *772:C1 *770:B 0
+45 *780:A *187:47 0.000109495
+46 *790:A1 *783:B1 0.000230702
+47 *790:A1 *787:A2 1.17409e-05
+48 *790:A1 *187:44 8.69406e-05
+49 *790:B2 *187:47 0.000109859
+50 *792:A *760:B 4.83732e-06
+51 *17:9 *760:B 1.79483e-05
+52 *18:5 *760:B 0
+53 *24:12 *760:B 5.17483e-05
+54 *84:10 *770:B 9.30637e-06
+55 *84:23 *770:B 4.16006e-05
+56 *130:39 *187:44 0
+57 *131:31 *770:B 0.000131834
+58 *142:62 *187:47 7.62991e-05
+59 *143:29 *783:B1 8.35068e-05
+60 *143:63 *783:B1 0.000145515
+61 *143:63 *187:33 6.61538e-05
+62 *143:63 *187:44 4.82919e-05
+63 *152:5 *770:B 0.000156767
+64 *183:11 *187:33 1.88904e-05
+*RES
+1 *759:X *187:11 4.485
+2 *187:11 *770:B 18.84
+3 *187:11 *187:33 9.26
+4 *187:33 *783:B1 3.775
+5 *187:33 *187:44 2.115
+6 *187:44 *187:47 5.42
+7 *187:47 *780:B 3.6
+8 *187:47 *760:B 11.1324
+9 *187:44 *787:A2 2.05
+*END
+
+*D_NET *188 0.00025113
+*CONN
+*I *761:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *760:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *761:B2 5.68102e-05
+2 *760:X 5.68102e-05
+3 *764:A2 *761:B2 1.67164e-05
+4 *5:817 *761:B2 2.58818e-05
+5 *16:5 *761:B2 7.78105e-05
+6 *180:62 *761:B2 1.71013e-05
+*RES
+1 *760:X *761:B2 8.835
+*END
+
+*D_NET *189 0.000408383
+*CONN
+*I *764:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *763:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *764:B2 5.7354e-05
+2 *763:X 5.7354e-05
+3 *762:B1 *764:B2 0.000111037
+4 *764:B1 *764:B2 4.66108e-05
+5 *5:801 *764:B2 2.49909e-05
+6 *175:22 *764:B2 0.000111037
+*RES
+1 *763:X *764:B2 9.03
+*END
+
+*D_NET *190 0.00334613
+*CONN
+*I *766:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *765:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *766:B1 0.000640954
+2 *765:Y 0.000640954
+3 *766:B1 *914:A 4.31915e-05
+4 *766:B1 *917:TE_B 8.64599e-05
+5 *766:B1 *307:24 0.000122821
+6 *746:A *766:B1 6.40332e-05
+7 *753:A2 *766:B1 1.1411e-05
+8 *754:B1 *766:B1 0.0003819
+9 *762:B1 *766:B1 0.000130905
+10 *764:B1 *766:B1 0.000157394
+11 *5:749 *766:B1 0.000200618
+12 *5:758 *766:B1 0.000106648
+13 *5:780 *766:B1 0.000186587
+14 *5:785 *766:B1 9.10613e-05
+15 *5:796 *766:B1 6.90254e-05
+16 *5:801 *766:B1 4.66108e-05
+17 *15:10 *766:B1 6.26239e-06
+18 *150:8 *766:B1 0.000346361
+19 *175:22 *766:B1 1.29317e-05
+*RES
+1 *765:Y *766:B1 23.25
+*END
+
+*D_NET *191 0.00120811
+*CONN
+*I *768:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *782:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *767:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *768:A3 0.00027539
+2 *782:C1 0
+3 *767:X 6.78538e-05
+4 *191:8 0.000343244
+5 *756:B1 *768:A3 0.000244798
+6 *756:C1 *768:A3 7.41945e-05
+7 *782:A2 *191:8 3.96111e-05
+8 *5:761 *768:A3 7.67415e-06
+9 *175:22 *191:8 0.000133397
+10 *185:13 *768:A3 2.19423e-05
+*RES
+1 *767:X *191:8 7.235
+2 *191:8 *782:C1 1.6
+3 *191:8 *768:A3 6.58
+*END
+
+*D_NET *192 0.00143168
+*CONN
+*I *771:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *770:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *771:B2 0.000468998
+2 *770:X 0.000468998
+3 *771:B2 *774:C1 0
+4 *771:B2 *234:47 0.000111946
+5 *771:B2 *234:52 8.52972e-05
+6 *771:B2 *237:33 0
+7 *771:B2 *237:40 0
+8 *378:DIODE *771:B2 3.64998e-06
+9 *682:B *771:B2 0
+10 *683:B *771:B2 3.64998e-06
+11 *740:A *771:B2 7.23533e-05
+12 *770:B *771:B2 2.01737e-05
+13 *771:B1 *771:B2 2.22475e-05
+14 *5:726 *771:B2 0.000114492
+15 *130:94 *771:B2 4.41506e-05
+16 *175:22 *771:B2 1.5721e-05
+*RES
+1 *770:X *771:B2 15.555
+*END
+
+*D_NET *193 0.000727385
+*CONN
+*I *774:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *772:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *774:B1 0.000182135
+2 *772:X 0.000182135
+3 *774:B1 *773:A1 1.83756e-05
+4 *774:B1 *237:54 7.23602e-05
+5 *773:A2 *774:B1 0.000120186
+6 *773:B1 *774:B1 0.000119246
+7 *774:A2 *774:B1 2.68721e-05
+8 *130:94 *774:B1 6.07575e-06
+*RES
+1 *772:X *774:B1 10.41
+*END
+
+*D_NET *194 0.000463104
+*CONN
+*I *774:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *774:C1 8.31746e-05
+2 *773:Y 8.31746e-05
+3 *774:C1 *237:40 1.43521e-05
+4 *774:C1 *237:54 4.47938e-06
+5 *771:B2 *774:C1 0
+6 *773:A2 *774:C1 0.000161742
+7 *5:726 *774:C1 0
+8 *16:5 *774:C1 0.000116182
+*RES
+1 *773:Y *774:C1 9.6
+*END
+
+*D_NET *195 0.000392519
+*CONN
+*I *778:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *774:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *778:B2 8.57664e-05
+2 *774:X 8.57664e-05
+3 *130:76 *778:B2 0.000151841
+4 *176:14 *778:B2 5.64053e-05
+5 *178:15 *778:B2 1.274e-05
+*RES
+1 *774:X *778:B2 9.09
+*END
+
+*D_NET *196 0.00183132
+*CONN
+*I *801:B I *D sky130_fd_sc_hd__or2_2
+*I *777:B I *D sky130_fd_sc_hd__or2_2
+*I *775:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *801:B 6.65047e-05
+2 *777:B 0
+3 *775:Y 0.000346713
+4 *196:15 0.000413218
+5 *801:B *802:A3 2.67275e-05
+6 *801:B *208:7 3.57349e-05
+7 *196:15 *792:C 0
+8 *196:15 *798:A3 3.78308e-05
+9 *196:15 *802:A1 4.98758e-05
+10 *196:15 *802:A3 0.000111011
+11 *196:15 *869:A 1.22505e-05
+12 *196:15 *208:7 4.66108e-05
+13 *196:15 *234:108 0.000172224
+14 *196:15 *234:115 2.81138e-05
+15 *196:15 *237:100 7.75271e-05
+16 *196:15 *237:106 3.57349e-05
+17 *196:15 *256:21 8.7949e-05
+18 *196:15 *320:10 0.000133395
+19 *395:DIODE *196:15 5.26748e-05
+20 *775:B *196:15 1.32017e-05
+21 *83:8 *196:15 8.40213e-05
+*RES
+1 *775:Y *196:15 14.135
+2 *196:15 *777:B 1.6
+3 *196:15 *801:B 2.74
+*END
+
+*D_NET *197 0.00178129
+*CONN
+*I *791:B I *D sky130_fd_sc_hd__or2_2
+*I *799:C I *D sky130_fd_sc_hd__or3_2
+*I *790:B1 I *D sky130_fd_sc_hd__o221a_2
+*I *787:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *776:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *791:B 4.31965e-05
+2 *799:C 0
+3 *790:B1 8.46982e-05
+4 *787:B1 0
+5 *776:X 0
+6 *197:26 7.12606e-05
+7 *197:8 0.000210653
+8 *197:4 0.000154019
+9 *790:B1 *790:C1 4.55864e-06
+10 *791:B *750:A 3.74161e-05
+11 *791:B *234:115 0.000198934
+12 *791:B *299:26 0.000218993
+13 *197:8 *750:A 2.0514e-05
+14 *197:8 *299:26 0.000122331
+15 *197:26 *750:A 4.82863e-05
+16 *197:26 *299:26 3.50845e-05
+17 *776:A *197:26 4.87465e-06
+18 *786:B1 *790:B1 7.3039e-05
+19 *786:B1 *197:8 0.000130819
+20 *790:B2 *790:B1 1.49829e-05
+21 *792:A *790:B1 1.12643e-05
+22 *5:409 *790:B1 1.66925e-05
+23 *18:5 *790:B1 0.000123648
+24 *83:20 *197:8 1.34594e-05
+25 *83:25 *197:8 1.83756e-05
+26 *130:45 *790:B1 0.000114691
+27 *130:45 *197:8 9.50342e-06
+28 *187:33 *197:8 0
+29 *187:47 *790:B1 0
+*RES
+1 *776:X *197:4 1.6
+2 *197:4 *197:8 4.775
+3 *197:8 *787:B1 3.6
+4 *197:8 *790:B1 6.10875
+5 *197:4 *197:26 0.81
+6 *197:26 *799:C 1.6
+7 *197:26 *791:B 3.775
+*END
+
+*D_NET *198 0.000430678
+*CONN
+*I *793:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *777:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *793:A1 7.65145e-05
+2 *777:X 7.65145e-05
+3 *793:A1 *792:C 7.45532e-05
+4 *793:A1 *793:A3 3.07854e-05
+5 *385:DIODE *793:A1 8.87986e-05
+6 *777:A *793:A1 8.35118e-05
+*RES
+1 *777:X *793:A1 9.12
+*END
+
+*D_NET *199 0.000555622
+*CONN
+*I *786:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *779:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *786:A3 0.000100453
+2 *779:X 0.000100453
+3 *786:A3 *806:B1 4.1351e-05
+4 *786:A3 *806:B2 1.09923e-05
+5 *775:B *786:A3 4.41506e-05
+6 *786:A2 *786:A3 4.41506e-05
+7 *5:331 *786:A3 0.000111061
+8 *5:346 *786:A3 2.14129e-05
+9 *83:8 *786:A3 5.06809e-05
+10 *83:20 *786:A3 3.09164e-05
+*RES
+1 *779:X *786:A3 9.885
+*END
+
+*D_NET *200 0.00413523
+*CONN
+*I *790:C1 I *D sky130_fd_sc_hd__o221a_2
+*I *784:B I *D sky130_fd_sc_hd__and3_2
+*I *788:B I *D sky130_fd_sc_hd__and3_2
+*I *795:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *780:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *790:C1 0.000250302
+2 *784:B 0.000349132
+3 *788:B 0.00026474
+4 *795:B2 0
+5 *780:X 0
+6 *200:8 0.000383767
+7 *200:7 0.000583379
+8 *200:4 0.000365521
+9 *784:B *292:13 0.000429469
+10 *784:B *313:8 1.88602e-05
+11 *788:B *920:A 9.50342e-06
+12 *788:B *312:16 0.000161529
+13 *790:C1 *325:7 0.00018226
+14 *200:7 *325:7 0.000156767
+15 *200:8 *920:A 0.000156483
+16 *760:B *784:B 2.67385e-05
+17 *760:B *790:C1 3.59548e-05
+18 *790:B1 *790:C1 4.55864e-06
+19 *792:A *790:C1 0.000117686
+20 *795:A2 *788:B 0.000113809
+21 *798:B1 *200:8 5.97618e-05
+22 *16:5 *784:B 1.12082e-05
+23 *17:9 *788:B 6.10324e-05
+24 *17:9 *200:8 0.000271833
+25 *18:5 *790:C1 3.03281e-05
+26 *24:12 *788:B 1.69508e-05
+27 *187:47 *790:C1 7.36535e-05
+*RES
+1 *780:X *200:4 1.6
+2 *200:4 *200:7 3.485
+3 *200:7 *200:8 3.42
+4 *200:8 *795:B2 3.6
+5 *200:8 *788:B 7.68
+6 *200:7 *784:B 5.51143
+7 *200:4 *790:C1 10.085
+*END
+
+*D_NET *201 0.000546014
+*CONN
+*I *784:C I *D sky130_fd_sc_hd__and3_2
+*I *781:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *784:C 0.00012579
+2 *781:X 0.00012579
+3 *784:C *785:A 6.81888e-05
+4 *781:A2 *784:C 7.44252e-05
+5 *5:838 *784:C 4.77769e-05
+6 *16:5 *784:C 2.88689e-05
+7 *178:29 *784:C 2.85623e-05
+8 *178:32 *784:C 4.66108e-05
+*RES
+1 *781:X *784:C 9.915
+*END
+
+*D_NET *202 0.00117318
+*CONN
+*I *785:A I *D sky130_fd_sc_hd__and3_2
+*I *782:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *785:A 0.00023287
+2 *782:X 0.00023287
+3 *763:B2 *785:A 0.000194908
+4 *781:A2 *785:A 0.000120208
+5 *783:A1 *785:A 4.82919e-05
+6 *784:C *785:A 6.81888e-05
+7 *16:5 *785:A 1.14559e-05
+8 *130:52 *785:A 5.91496e-05
+9 *142:62 *785:A 3.86814e-05
+10 *176:56 *785:A 3.93101e-05
+11 *178:20 *785:A 0.000127248
+*RES
+1 *782:X *785:A 13.11
+*END
+
+*D_NET *203 0.000267903
+*CONN
+*I *785:B I *D sky130_fd_sc_hd__and3_2
+*I *783:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *785:B 9.72432e-05
+2 *783:X 9.72432e-05
+3 *785:B *798:A2 4.11678e-06
+4 *17:9 *785:B 6.72143e-05
+5 *176:56 *785:B 2.0851e-06
+*RES
+1 *783:X *785:B 8.52
+*END
+
+*D_NET *204 0.000984789
+*CONN
+*I *785:C I *D sky130_fd_sc_hd__and3_2
+*I *784:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *785:C 0.000207569
+2 *784:X 0.000207569
+3 *785:C *798:A2 7.03276e-05
+4 *785:C *325:7 0.000124826
+5 *395:DIODE *785:C 3.93429e-05
+6 *798:A1 *785:C 2.49909e-05
+7 *798:B1 *785:C 8.89489e-05
+8 *798:B2 *785:C 4.77757e-05
+9 *5:838 *785:C 8.52972e-05
+10 *17:9 *785:C 4.12489e-05
+11 *183:11 *785:C 4.6892e-05
+*RES
+1 *784:X *785:C 12.255
+*END
+
+*D_NET *205 0.00043355
+*CONN
+*I *798:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *785:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *798:A2 0.000144488
+2 *785:X 0.000144488
+3 *785:B *798:A2 4.11678e-06
+4 *785:C *798:A2 7.03276e-05
+5 *17:9 *798:A2 7.01303e-05
+*RES
+1 *785:X *798:A2 9.21
+*END
+
+*D_NET *206 0.00239836
+*CONN
+*I *788:C I *D sky130_fd_sc_hd__and3_2
+*I *792:B I *D sky130_fd_sc_hd__and3_2
+*I *787:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *788:C 0.000378922
+2 *792:B 0.000124096
+3 *787:X 6.80089e-05
+4 *206:8 0.000571026
+5 *788:C *921:A 0.000281721
+6 *788:C *921:Z 1.28996e-05
+7 *788:C *926:A 0
+8 *792:B *208:7 0.000121659
+9 *381:DIODE *206:8 8.40213e-05
+10 *384:DIODE *788:C 0.0002098
+11 *384:DIODE *206:8 5.81236e-05
+12 *389:DIODE *788:C 0
+13 *777:A *792:B 6.21365e-05
+14 *790:A1 *788:C 3.93225e-05
+15 *790:A1 *206:8 0.000112559
+16 *790:A2 *788:C 5.02126e-06
+17 *795:A2 *788:C 0.000138799
+18 *18:5 *788:C 4.45419e-05
+19 *130:39 *206:8 8.57024e-05
+*RES
+1 *787:X *206:8 5.775
+2 *206:8 *792:B 5.79
+3 *206:8 *788:C 12
+*END
+
+*D_NET *207 0.00521495
+*CONN
+*I *789:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *800:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *806:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *789:B1 0.000170906
+2 *800:B1 0
+3 *806:B1 0.000565443
+4 *788:X 0.000303009
+5 *207:12 0.000854267
+6 *207:9 0.000762739
+7 *806:B1 *632:A 4.82919e-05
+8 *806:B1 *800:B2 4.94404e-05
+9 *806:B1 *806:B2 0.000140527
+10 *806:B1 *234:19 0.000192502
+11 *806:B1 *235:52 9.80943e-06
+12 *806:B1 *236:28 4.70668e-05
+13 *806:B1 *236:43 5.78676e-05
+14 *806:B1 *236:67 0.000171574
+15 *806:B1 *334:14 9.82856e-06
+16 *207:9 *921:Z 2.67255e-05
+17 *207:9 *923:A 1.32026e-05
+18 *207:9 *925:A 8.35118e-05
+19 *207:9 *312:16 0
+20 *207:12 *792:C 3.69489e-05
+21 *207:12 *800:B2 1.34361e-05
+22 *207:12 *802:A2 5.23093e-05
+23 *207:12 *926:A 7.06739e-05
+24 *384:DIODE *789:B1 7.26398e-05
+25 *384:DIODE *207:9 0.000119873
+26 *384:DIODE *207:12 5.63346e-05
+27 *385:DIODE *207:12 0.000137531
+28 *389:DIODE *207:12 4.91459e-05
+29 *776:B *806:B1 4.99402e-05
+30 *786:A3 *806:B1 4.1351e-05
+31 *800:A1 *207:12 1.15399e-05
+32 *806:A2 *806:B1 4.82863e-05
+33 *5:346 *806:B1 0.000169524
+34 *5:346 *207:12 2.10433e-05
+35 *5:393 *789:B1 2.06566e-05
+36 *5:398 *207:12 0.000195828
+37 *5:409 *207:12 0.000179281
+38 *18:5 *207:9 7.23602e-05
+39 *19:8 *789:B1 8.52972e-05
+40 *20:15 *207:12 2.3228e-05
+41 *83:8 *806:B1 5.19629e-05
+42 *83:20 *806:B1 0.000129047
+43 *130:39 *806:B1 0
+*RES
+1 *788:X *207:9 9.68
+2 *207:9 *207:12 9.245
+3 *207:12 *806:B1 15.84
+4 *207:12 *800:B1 3.6
+5 *207:9 *789:B1 4.045
+*END
+
+*D_NET *208 0.00269004
+*CONN
+*I *793:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *790:X O *D sky130_fd_sc_hd__o221a_2
+*CAP
+1 *793:A2 0.00013988
+2 *802:A1 0.000129355
+3 *790:X 0.000158242
+4 *208:7 0.000427477
+5 *793:A2 *798:A3 0.000174731
+6 *793:A2 *929:TE 4.66108e-05
+7 *793:A2 *931:TE_B 0.000122817
+8 *793:A2 *316:8 9.23804e-06
+9 *793:A2 *320:10 0.00016739
+10 *793:A2 *325:7 0.000235378
+11 *802:A1 *798:A3 0.000217094
+12 *802:A1 *320:10 0.000113164
+13 *208:7 *793:A3 4.41506e-05
+14 *208:7 *802:A3 6.33103e-05
+15 *395:DIODE *802:A1 4.82863e-05
+16 *777:A *208:7 0.000339031
+17 *792:B *208:7 0.000121659
+18 *801:B *208:7 3.57349e-05
+19 *196:15 *802:A1 4.98758e-05
+20 *196:15 *208:7 4.66108e-05
+*RES
+1 *790:X *208:7 7.845
+2 *208:7 *802:A1 6.705
+3 *208:7 *793:A2 8.175
+*END
+
+*D_NET *209 0.00275295
+*CONN
+*I *806:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *792:C I *D sky130_fd_sc_hd__and3_2
+*I *791:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *806:B2 0.000285672
+2 *792:C 0.000347592
+3 *791:X 0
+4 *209:5 0.000633264
+5 *792:C *793:A3 0.000111083
+6 *792:C *802:A2 9.28053e-05
+7 *792:C *256:21 1.12852e-05
+8 *806:B2 *632:A 4.82919e-05
+9 *806:B2 *797:A 2.63259e-05
+10 *806:B2 *235:52 0.000158072
+11 *806:B2 *237:100 1.42479e-05
+12 *806:B2 *256:21 3.4222e-05
+13 *806:B2 *334:14 0.000101746
+14 *385:DIODE *792:C 4.66108e-05
+15 *395:DIODE *792:C 7.44377e-05
+16 *775:B *806:B2 7.47215e-05
+17 *777:A *792:C 1.5379e-05
+18 *786:A3 *806:B2 1.09923e-05
+19 *791:A *792:C 9.14049e-05
+20 *793:A1 *792:C 7.45532e-05
+21 *800:A1 *792:C 1.00941e-05
+22 *806:B1 *806:B2 0.000140527
+23 *5:290 *806:B2 0
+24 *5:331 *806:B2 0.000111061
+25 *5:346 *792:C 9.08764e-05
+26 *5:346 *806:B2 0.000110735
+27 *196:15 *792:C 0
+28 *207:12 *792:C 3.69489e-05
+*RES
+1 *791:X *209:5 3.6
+2 *209:5 *792:C 10.65
+3 *209:5 *806:B2 10.905
+*END
+
+*D_NET *210 0.00132403
+*CONN
+*I *793:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *792:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *793:A3 0.000175356
+2 *802:A2 0.000130489
+3 *792:X 0
+4 *210:4 0.000305844
+5 *793:A3 *320:10 0
+6 *802:A2 *320:10 0.000119756
+7 *385:DIODE *793:A3 1.907e-05
+8 *395:DIODE *793:A3 0.000122516
+9 *792:C *793:A3 0.000111083
+10 *792:C *802:A2 9.28053e-05
+11 *793:A1 *793:A3 3.07854e-05
+12 *800:A2 *802:A2 0.000119869
+13 *207:12 *802:A2 5.23093e-05
+14 *208:7 *793:A3 4.41506e-05
+*RES
+1 *792:X *210:4 1.6
+2 *210:4 *802:A2 8.915
+3 *210:4 *793:A3 9.05
+*END
+
+*D_NET *211 0.00026073
+*CONN
+*I *797:B I *D sky130_fd_sc_hd__nand2_2
+*I *796:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *797:B 9.96284e-05
+2 *796:Y 9.96284e-05
+3 *797:B *796:A1 5.83121e-06
+4 *797:B *796:A2 6.07575e-06
+5 *797:B *796:B1 4.95661e-05
+*RES
+1 *796:Y *797:B 4.7
+*END
+
+*D_NET *212 0.00466125
+*CONN
+*I *798:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *797:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *798:A3 0.0011781
+2 *797:Y 0.0011781
+3 *798:A3 *796:A2 4.66108e-05
+4 *798:A3 *796:B1 8.23457e-05
+5 *798:A3 *801:A 6.04479e-05
+6 *798:A3 *869:A 1.88602e-05
+7 *798:A3 *921:TE 2.747e-05
+8 *798:A3 *929:TE 6.35071e-05
+9 *798:A3 *931:TE_B 9.12339e-05
+10 *798:A3 *234:108 0.000360398
+11 *798:A3 *234:115 5.38986e-06
+12 *798:A3 *263:21 0.000565229
+13 *798:A3 *292:13 0.000377725
+14 *798:A3 *325:7 7.10139e-05
+15 *793:A2 *798:A3 0.000174731
+16 *793:B1 *798:A3 3.04621e-05
+17 *793:B2 *798:A3 2.69153e-05
+18 *798:B1 *798:A3 4.77807e-05
+19 *802:A1 *798:A3 0.000217094
+20 *196:15 *798:A3 3.78308e-05
+*RES
+1 *797:Y *798:A3 29.805
+*END
+
+*D_NET *213 0.000356034
+*CONN
+*I *800:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *799:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *800:B2 8.20774e-05
+2 *799:X 8.20774e-05
+3 *800:B2 *299:26 4.99619e-05
+4 *384:DIODE *800:B2 6.2706e-05
+5 *806:B1 *800:B2 4.94404e-05
+6 *130:39 *800:B2 1.63344e-05
+7 *207:12 *800:B2 1.34361e-05
+*RES
+1 *799:X *800:B2 9.03
+*END
+
+*D_NET *214 0.000918866
+*CONN
+*I *802:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *801:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *802:A3 0.000302566
+2 *801:X 0.000302566
+3 *395:DIODE *802:A3 0.000112685
+4 *801:B *802:A3 2.67275e-05
+5 *196:15 *802:A3 0.000111011
+6 *208:7 *802:A3 6.33103e-05
+*RES
+1 *801:X *802:A3 11.445
+*END
+
+*D_NET *215 0.000829879
+*CONN
+*I *805:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *804:X O *D sky130_fd_sc_hd__and3b_2
+*CAP
+1 *805:B1 0.000317792
+2 *804:X 0.000317792
+3 *805:B1 *873:Z 0
+4 *805:B1 *875:TE_B 0.000120379
+5 *805:B1 *264:6 7.3916e-05
+*RES
+1 *804:X *805:B1 12.105
+*END
+
+*D_NET *216 0.0226492
+*CONN
+*I *845:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *840:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *843:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *842:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *841:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *844:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *839:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *836:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *832:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *850:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *849:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *854:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *834:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *831:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *835:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *847:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *833:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *848:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *846:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *837:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *851:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *838:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *852:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *853:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *941:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *845:CLK 0
+2 *840:CLK 0.000132124
+3 *843:CLK 0.000151282
+4 *842:CLK 7.04503e-05
+5 *841:CLK 0.000106912
+6 *844:CLK 0
+7 *839:CLK 0.000290302
+8 *836:CLK 0
+9 *832:CLK 9.04199e-05
+10 *850:CLK 0
+11 *849:CLK 0
+12 *854:A 0.00014922
+13 *834:CLK 0
+14 *831:CLK 0
+15 *835:CLK 2.62535e-05
+16 *847:CLK 0
+17 *833:CLK 0.000468226
+18 *848:CLK 0
+19 *846:CLK 0
+20 *837:CLK 0
+21 *851:CLK 1.19574e-05
+22 *838:CLK 0.000143577
+23 *852:CLK 7.37648e-05
+24 *853:CLK 0.000247472
+25 *941:Y 0
+26 *216:222 0.000278292
+27 *216:212 0.000349986
+28 *216:202 0.000580163
+29 *216:175 0.000323212
+30 *216:145 0.000226078
+31 *216:144 0.000508554
+32 *216:131 0.00100185
+33 *216:119 2.62535e-05
+34 *216:97 0.000580411
+35 *216:94 0.000433716
+36 *216:88 0.000650467
+37 *216:83 0.000458861
+38 *216:81 0.000856946
+39 *216:79 0.00040772
+40 *216:73 0.000336349
+41 *216:72 0.000586764
+42 *216:64 0.000678412
+43 *216:56 0.00110055
+44 *216:46 0.000617556
+45 *216:43 0.000452074
+46 *216:13 0.000645523
+47 *216:9 0.00057217
+48 *216:4 0.000290906
+49 *833:CLK *333:95 0
+50 *838:CLK *643:A 3.09774e-05
+51 *838:CLK *643:B 1.16578e-05
+52 *838:CLK *220:36 9.25387e-05
+53 *838:CLK *225:8 0
+54 *838:CLK *225:13 5.62481e-05
+55 *839:CLK *686:A 2.49909e-05
+56 *839:CLK *686:B 2.75229e-05
+57 *839:CLK *333:55 2.75145e-05
+58 *839:CLK *333:64 0.000109947
+59 *841:CLK *233:8 9.24049e-05
+60 *851:CLK *647:A 3.1491e-05
+61 *854:A *672:A 7.32968e-05
+62 *854:A *672:B 0.000100528
+63 *854:A *826:B 4.01778e-05
+64 *216:13 *829:B 2.49909e-05
+65 *216:13 *226:8 0.000124007
+66 *216:46 *647:A 8.42239e-05
+67 *216:46 *941:A 0
+68 *216:46 *220:36 0.000296081
+69 *216:46 *221:10 0
+70 *216:64 *691:A 0
+71 *216:64 *333:212 0.000112595
+72 *216:64 *333:219 6.34128e-05
+73 *216:94 *847:D 5.34747e-05
+74 *216:131 *217:7 0.000138174
+75 *216:131 *217:9 0.000108569
+76 *216:131 *217:11 3.64998e-06
+77 *216:144 *650:A 8.60799e-05
+78 *216:144 *650:B 1.19928e-05
+79 *216:144 *672:B 0.000137571
+80 *216:144 *217:11 0.000182071
+81 *216:175 *333:55 7.25558e-05
+82 *216:202 *691:A 0.000178439
+83 *216:202 *239:19 0.000112409
+84 *216:222 *233:8 4.5783e-05
+85 *359:DIODE *216:13 2.75229e-05
+86 *411:DIODE *839:CLK 1.93834e-05
+87 *425:DIODE *216:145 2.75229e-05
+88 *429:DIODE *216:94 0.00015896
+89 *635:S *852:CLK 8.53244e-05
+90 *639:S *216:144 1.71013e-05
+91 *657:A *853:CLK 0.000173302
+92 *690:D *216:64 2.68801e-05
+93 *690:D *216:72 0.000111658
+94 *719:A2_N *842:CLK 1.56428e-05
+95 *719:A2_N *843:CLK 0.000335177
+96 *719:A2_N *216:222 7.5416e-05
+97 *719:B2 *842:CLK 4.41506e-05
+98 *720:A *216:202 0.0001249
+99 *720:B *216:202 4.66108e-05
+100 *722:S *216:202 0.000200165
+101 *722:S *216:212 4.57347e-05
+102 *723:B *841:CLK 6.20783e-05
+103 *726:B *216:64 0
+104 *729:A2 *216:56 8.35118e-05
+105 *732:A *832:CLK 0
+106 *733:B1 *216:81 0
+107 *733:B1 *216:131 0.000124384
+108 *735:A1 *216:131 0.000138808
+109 *739:B *216:88 5.05437e-05
+110 *809:A *833:CLK 1.13438e-05
+111 *817:A *840:CLK 3.83194e-05
+112 *817:A *216:56 2.69153e-05
+113 *827:A *854:A 0.000189832
+114 *832:D *832:CLK 5.83121e-06
+115 *835:RESET_B *216:83 0
+116 *835:RESET_B *216:88 0
+117 *836:D *216:175 5.83121e-06
+118 *836:RESET_B *839:CLK 1.61831e-05
+119 *836:RESET_B *216:175 4.3731e-05
+120 *837:D *840:CLK 1.08105e-05
+121 *837:D *216:56 2.38055e-05
+122 *837:D *216:64 0.000131667
+123 *837:RESET_B *216:64 4.23389e-05
+124 *838:RESET_B *216:46 0.000187759
+125 *841:D *841:CLK 8.53011e-05
+126 *844:D *843:CLK 4.49328e-05
+127 *844:D *216:222 9.3062e-06
+128 *846:D *216:94 0.000343477
+129 *846:RESET_B *216:94 2.40101e-05
+130 *852:RESET_B *838:CLK 7.87426e-05
+131 *853:D *853:CLK 5.32595e-05
+132 *853:RESET_B *852:CLK 0.000156621
+133 *5:27 *216:9 8.40213e-05
+134 *5:27 *216:13 1.16578e-05
+135 *5:37 *216:9 2.10214e-05
+136 *5:43 *216:9 6.60042e-05
+137 *5:43 *216:43 0.000266614
+138 *5:51 *216:43 1.08758e-05
+139 *5:105 *835:CLK 1.77595e-05
+140 *5:108 *216:83 7.25517e-05
+141 *5:108 *216:88 0.000112907
+142 *5:109 *216:88 2.75229e-05
+143 *5:129 *216:83 6.05336e-05
+144 *5:175 *833:CLK 6.10014e-05
+145 *5:561 *839:CLK 0.000123225
+146 *5:590 *216:64 1.0063e-05
+147 *5:613 *216:144 0
+148 *11:8 *838:CLK 0.000160285
+149 *11:8 *854:A 0
+150 *11:8 *216:144 0.000204456
+151 *29:8 *833:CLK 4.24292e-06
+152 *29:8 *216:97 5.93844e-05
+153 *88:19 *832:CLK 3.40331e-05
+154 *88:19 *216:73 0
+155 *88:19 *216:79 0
+156 *88:19 *216:81 0
+157 *88:77 *851:CLK 5.24308e-05
+158 *88:77 *216:46 0.000358951
+159 *89:5 *833:CLK 0.000204202
+160 *89:34 *216:131 0.000197822
+161 *100:10 *216:144 0.000235238
+162 *102:10 *216:144 4.77719e-05
+163 *140:22 *841:CLK 1.41633e-05
+164 *141:8 *216:202 0
+165 *145:15 *843:CLK 0
+166 *145:24 *841:CLK 5.55344e-05
+167 *145:24 *216:222 7.00066e-05
+168 *145:53 *843:CLK 0
+*RES
+1 *941:Y *216:4 1.6
+2 *216:4 *216:9 2.64
+3 *216:9 *216:13 4.95
+4 *216:13 *853:CLK 6.535
+5 *216:13 *852:CLK 7.49
+6 *216:9 *838:CLK 9.695
+7 *216:4 *216:43 4.245
+8 *216:43 *216:46 9.755
+9 *216:46 *851:CLK 4.215
+10 *216:46 *216:56 5.9
+11 *216:56 *216:64 12.73
+12 *216:64 *837:CLK 1.6
+13 *216:64 *216:72 3.14
+14 *216:72 *216:73 2.145
+15 *216:73 *216:79 2.445
+16 *216:79 *216:81 2.4
+17 *216:81 *216:83 2.4
+18 *216:83 *216:88 7.445
+19 *216:88 *846:CLK 1.6
+20 *216:88 *216:94 7.695
+21 *216:94 *216:97 3.89
+22 *216:97 *848:CLK 3.6
+23 *216:97 *833:CLK 10.44
+24 *216:94 *847:CLK 1.6
+25 *216:83 *216:119 2
+26 *216:119 *835:CLK 2.05
+27 *216:119 *831:CLK 1.6
+28 *216:81 *216:131 13.145
+29 *216:131 *834:CLK 1.6
+30 *216:131 *216:144 13.765
+31 *216:144 *216:145 1.14
+32 *216:145 *854:A 9.485
+33 *216:145 *849:CLK 1.6
+34 *216:144 *850:CLK 1.6
+35 *216:79 *832:CLK 5.1
+36 *216:73 *216:175 3.14
+37 *216:175 *836:CLK 1.6
+38 *216:175 *839:CLK 11.21
+39 *216:72 *216:202 9.935
+40 *216:202 *844:CLK 1.6
+41 *216:202 *216:212 4.79
+42 *216:212 *841:CLK 6.795
+43 *216:212 *216:222 1.89
+44 *216:222 *842:CLK 4.74
+45 *216:222 *843:CLK 7.53
+46 *216:56 *840:CLK 3.355
+47 *216:43 *845:CLK 1.6
+*END
+
+*D_NET *217 0.00723181
+*CONN
+*I *734:B I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *725:C I *D sky130_fd_sc_hd__and3_2
+*I *736:A I *D sky130_fd_sc_hd__nand3_2
+*I *650:A I *D sky130_fd_sc_hd__and2_2
+*I *672:A I *D sky130_fd_sc_hd__or2_2
+*I *651:A I *D sky130_fd_sc_hd__nand2_2
+*I *639:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *834:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *734:B 0.000105713
+2 *731:A2 1.15248e-05
+3 *725:C 7.07564e-05
+4 *736:A 0.000144074
+5 *650:A 6.57991e-05
+6 *672:A 0.000116966
+7 *651:A 0.00013544
+8 *639:A0 0
+9 *834:Q 2.6834e-05
+10 *217:64 0.000201617
+11 *217:15 0.000173122
+12 *217:13 0.00036623
+13 *217:11 0.000744654
+14 *217:9 0.000802637
+15 *217:7 0.000626663
+16 *217:5 0.000448585
+17 *650:A *650:B 6.97461e-05
+18 *650:A *222:21 0
+19 *651:A *651:B 4.82863e-05
+20 *651:A *222:17 0.000148084
+21 *651:A *222:21 9.53428e-06
+22 *725:C *725:A 0
+23 *725:C *218:11 5.41594e-05
+24 *725:C *218:21 1.16578e-05
+25 *725:C *219:18 0.000162311
+26 *731:A2 *218:11 4.82919e-05
+27 *731:A2 *219:18 1.47347e-05
+28 *736:A *638:A0 0.000173183
+29 *217:13 *650:B 0.000108886
+30 *217:13 *222:21 0.00015896
+31 *217:15 *222:17 1.33285e-05
+32 *217:15 *222:21 1.08758e-05
+33 *217:64 *638:A0 0
+34 *217:64 *218:26 0
+35 *405:DIODE *736:A 4.99619e-05
+36 *673:B *672:A 0.000337524
+37 *735:A1 *217:5 4.82919e-05
+38 *735:A1 *217:7 4.82863e-05
+39 *735:A2 *734:B 0.000119504
+40 *736:B *736:A 4.82863e-05
+41 *736:C *734:B 0.000163185
+42 *826:A *651:A 3.23663e-05
+43 *827:A *672:A 0.000210144
+44 *834:D *736:A 0.000117428
+45 *834:D *217:64 0
+46 *834:RESET_B *217:64 3.09233e-05
+47 *849:D *651:A 0.000120655
+48 *854:A *672:A 7.32968e-05
+49 *5:88 *217:64 0.000105776
+50 *5:613 *672:A 6.28498e-05
+51 *102:10 *217:11 2.04923e-05
+52 *168:8 *217:64 5.16418e-05
+53 *216:131 *217:7 0.000138174
+54 *216:131 *217:9 0.000108569
+55 *216:131 *217:11 3.64998e-06
+56 *216:144 *650:A 8.60799e-05
+57 *216:144 *217:11 0.000182071
+*RES
+1 *834:Q *217:5 2.05
+2 *217:5 *217:7 3.9
+3 *217:7 *217:9 2.52
+4 *217:9 *217:11 5.97
+5 *217:11 *217:13 2.865
+6 *217:13 *217:15 0.45
+7 *217:15 *639:A0 1.6
+8 *217:15 *651:A 4.84
+9 *217:13 *672:A 9.98
+10 *217:11 *650:A 3.625
+11 *217:9 *736:A 9.725
+12 *217:7 *217:64 6.655
+13 *217:64 *725:C 3.7
+14 *217:64 *731:A2 2.05
+15 *217:5 *734:B 9.02
+*END
+
+*D_NET *218 0.00411991
+*CONN
+*I *734:A I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *649:A I *D sky130_fd_sc_hd__and2_2
+*I *652:A I *D sky130_fd_sc_hd__xor2_2
+*I *638:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *725:B I *D sky130_fd_sc_hd__and3_2
+*I *835:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *734:A 0.000155006
+2 *731:A1 1.16682e-05
+3 *649:A 0
+4 *652:A 0
+5 *638:A0 0.000258562
+6 *725:B 2.08101e-05
+7 *835:Q 0
+8 *218:26 0.000387752
+9 *218:21 0.000218572
+10 *218:11 0.000208065
+11 *218:8 0.000330646
+12 *218:4 0.000376111
+13 *638:A0 *638:A1 0.000250616
+14 *638:A0 *649:B 9.50342e-06
+15 *638:A0 *223:17 0.000116158
+16 *731:A1 *333:50 3.05373e-05
+17 *734:A *811:B 3.64998e-06
+18 *734:A *812:B 2.03578e-05
+19 *734:A *333:41 2.46089e-05
+20 *218:8 *811:B 3.1835e-05
+21 *218:8 *333:41 4.20983e-05
+22 *218:8 *333:50 0.000164221
+23 *218:11 *219:18 1.90662e-05
+24 *218:21 *219:18 3.90495e-05
+25 *218:21 *219:23 5.30673e-05
+26 *218:26 *649:B 0.000200648
+27 *218:26 *219:23 2.75229e-05
+28 *405:DIODE *638:A0 0.000163199
+29 *725:C *218:11 5.41594e-05
+30 *725:C *218:21 1.16578e-05
+31 *731:A2 *218:11 4.82919e-05
+32 *732:A *218:11 0.00015639
+33 *736:A *638:A0 0.000173183
+34 *736:C *734:A 0.000139238
+35 *834:D *638:A0 0
+36 *834:D *218:8 0
+37 *5:88 *731:A1 4.54719e-05
+38 *5:88 *218:8 0.000266612
+39 *5:105 *734:A 1.32843e-05
+40 *168:5 *218:11 4.82863e-05
+41 *168:8 *218:26 0
+42 *217:64 *638:A0 0
+43 *217:64 *218:26 0
+*RES
+1 *835:Q *218:4 1.6
+2 *218:4 *218:8 7.235
+3 *218:8 *218:11 4.52
+4 *218:11 *725:B 1.96
+5 *218:11 *218:21 2.1
+6 *218:21 *218:26 4.85
+7 *218:26 *638:A0 9.78
+8 *218:26 *652:A 3.6
+9 *218:21 *649:A 1.6
+10 *218:8 *731:A1 4.215
+11 *218:4 *734:A 8.375
+*END
+
+*D_NET *219 0.00416857
+*CONN
+*I *725:A I *D sky130_fd_sc_hd__and3_2
+*I *647:A I *D sky130_fd_sc_hd__or2_2
+*I *637:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *646:A I *D sky130_fd_sc_hd__nor2_2
+*I *648:A I *D sky130_fd_sc_hd__and2_2
+*I *731:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *836:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *725:A 2.08101e-05
+2 *647:A 0.000191194
+3 *637:A0 0
+4 *646:A 4.84625e-05
+5 *648:A 8.39386e-05
+6 *731:B1 1.98469e-05
+7 *836:Q 7.37304e-05
+8 *219:37 7.7493e-05
+9 *219:34 0.000326886
+10 *219:23 0.000357215
+11 *219:18 0.000551361
+12 *219:8 0.000457513
+13 *647:A *647:B 0.000311261
+14 *647:A *648:B 4.04632e-05
+15 *731:B1 *333:50 4.39656e-05
+16 *219:8 *333:50 3.4222e-05
+17 *219:8 *333:55 5.36384e-06
+18 *219:34 *648:B 0.000195346
+19 *654:B1 *648:A 7.35729e-05
+20 *660:A *646:A 0.000194299
+21 *660:A *219:34 5.44838e-05
+22 *660:A *219:37 0.000121032
+23 *662:A *647:A 0
+24 *690:D *646:A 2.32597e-05
+25 *725:C *725:A 0
+26 *725:C *219:18 0.000162311
+27 *731:A2 *219:18 1.47347e-05
+28 *732:A *219:18 1.1085e-05
+29 *732:B *219:18 1.7386e-05
+30 *851:CLK *647:A 3.1491e-05
+31 *851:D *647:A 7.03219e-05
+32 *5:604 *646:A 7.80666e-05
+33 *5:604 *219:37 6.26945e-05
+34 *88:39 *219:23 0
+35 *88:39 *219:34 0
+36 *88:65 *647:A 3.89771e-05
+37 *88:65 *219:34 2.0189e-05
+38 *88:77 *647:A 5.36975e-06
+39 *129:17 *647:A 4.65515e-05
+40 *168:8 *219:23 0
+41 *168:8 *219:34 0
+42 *171:10 *731:B1 2.4997e-05
+43 *171:10 *219:8 3.67779e-05
+44 *171:12 *731:B1 1.89685e-05
+45 *216:46 *647:A 8.42239e-05
+46 *218:11 *219:18 1.90662e-05
+47 *218:21 *219:18 3.90495e-05
+48 *218:21 *219:23 5.30673e-05
+49 *218:26 *219:23 2.75229e-05
+*RES
+1 *836:Q *219:8 4.92
+2 *219:8 *731:B1 4.47
+3 *219:8 *219:18 6.59
+4 *219:18 *219:23 4.265
+5 *219:23 *648:A 5.1
+6 *219:23 *219:34 2.655
+7 *219:34 *219:37 3.14
+8 *219:37 *646:A 3.43
+9 *219:37 *637:A0 1.6
+10 *219:34 *647:A 9.18
+11 *219:18 *725:A 1.96
+*END
+
+*D_NET *220 0.00488847
+*CONN
+*I *726:A I *D sky130_fd_sc_hd__and2_2
+*I *636:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *643:A I *D sky130_fd_sc_hd__nor2_2
+*I *645:A I *D sky130_fd_sc_hd__and2_2
+*I *729:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *837:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *726:A 8.24751e-05
+2 *636:A0 0
+3 *643:A 9.95998e-05
+4 *645:A 0.000158971
+5 *729:A1 1.497e-05
+6 *837:Q 0.000169956
+7 *220:36 0.000785362
+8 *220:20 0.000994573
+9 *220:19 0.000366505
+10 *220:8 0.000319116
+11 *643:A *643:B 0.000198939
+12 *220:8 *814:B 2.10841e-05
+13 *220:20 *727:A1 4.82863e-05
+14 *220:36 *643:B 9.80943e-06
+15 *220:36 *645:B 0
+16 *220:36 *225:8 0
+17 *220:36 *225:13 1.04544e-05
+18 *662:A *220:36 0
+19 *726:B *220:19 0.000278678
+20 *727:A2 *220:20 3.96737e-05
+21 *729:A2 *729:A1 1.2912e-05
+22 *729:B1 *220:8 5.31158e-06
+23 *729:B1 *220:19 6.9543e-05
+24 *837:RESET_B *220:8 0.000122821
+25 *838:CLK *643:A 3.09774e-05
+26 *838:CLK *220:36 9.25387e-05
+27 *838:D *220:36 0.000194467
+28 *852:D *643:A 3.00381e-05
+29 *88:77 *220:19 0
+30 *88:77 *220:20 1.48096e-05
+31 *88:82 *645:A 0.000115474
+32 *88:82 *220:20 3.64998e-06
+33 *168:8 *220:8 0.000113222
+34 *168:8 *220:19 5.05981e-05
+35 *169:14 *220:19 0.000137578
+36 *216:46 *220:36 0.000296081
+*RES
+1 *837:Q *220:8 6.465
+2 *220:8 *729:A1 3.8325
+3 *220:8 *220:19 5.93
+4 *220:19 *220:20 2.175
+5 *220:20 *645:A 4.48
+6 *220:20 *220:36 14.095
+7 *220:36 *643:A 4.045
+8 *220:36 *636:A0 1.6
+9 *220:19 *726:A 2.755
+*END
+
+*D_NET *221 0.00543587
+*CONN
+*I *640:A I *D sky130_fd_sc_hd__nand2_2
+*I *641:A I *D sky130_fd_sc_hd__or2_2
+*I *635:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *727:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *730:A I *D sky130_fd_sc_hd__nand2_2
+*I *728:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *838:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *640:A 0
+2 *641:A 2.08101e-05
+3 *635:A0 0.000229453
+4 *727:A1 9.73191e-06
+5 *730:A 0.000162008
+6 *728:A_N 9.54581e-05
+7 *838:Q 0
+8 *221:55 0.00078134
+9 *221:43 0.00101622
+10 *221:14 0.000303948
+11 *221:10 0.000255356
+12 *221:5 0.000684281
+13 *635:A0 *635:A1 0.000272973
+14 *221:10 *333:219 0
+15 *221:10 *333:235 0
+16 *221:43 *640:B 1.27656e-05
+17 *221:43 *333:235 3.51884e-05
+18 *221:43 *333:245 4.82863e-05
+19 *221:55 *640:B 0.000174696
+20 *221:55 *641:B 3.19349e-05
+21 *221:55 *830:B 7.06865e-05
+22 *221:55 *226:19 1.17036e-05
+23 clockp[1] *635:A0 9.30787e-05
+24 *359:DIODE *635:A0 3.18219e-05
+25 *413:DIODE *221:43 7.66083e-05
+26 *642:B *635:A0 4.82919e-05
+27 *727:A2 *727:A1 4.82863e-05
+28 *730:B *730:A 0.000158066
+29 *822:A *221:10 0
+30 *822:A *221:43 0
+31 *838:RESET_B *221:10 0
+32 *838:RESET_B *221:43 4.66108e-05
+33 *5:15 *635:A0 4.35348e-05
+34 *5:15 *221:55 9.02259e-05
+35 *5:688 *221:43 4.99619e-05
+36 *88:77 *221:10 3.57846e-05
+37 *88:77 *221:14 7.63282e-05
+38 *90:15 *635:A0 8.93224e-05
+39 *90:15 *221:55 1.62814e-05
+40 *97:7 *728:A_N 0
+41 *129:17 *728:A_N 4.65607e-05
+42 *129:17 *730:A 5.31584e-05
+43 *169:14 *221:10 2.92496e-05
+44 *169:14 *221:14 0.000137578
+45 *216:46 *221:10 0
+46 *220:20 *727:A1 4.82863e-05
+*RES
+1 *838:Q *221:5 3.6
+2 *221:5 *221:10 3.81
+3 *221:10 *221:14 3.635
+4 *221:14 *728:A_N 3.1
+5 *221:14 *730:A 4.48
+6 *221:10 *727:A1 4.05
+7 *221:5 *221:43 10.37
+8 *221:43 *221:55 11.665
+9 *221:55 *635:A0 10.73
+10 *221:55 *641:A 1.96
+11 *221:43 *640:A 1.6
+*END
+
+*D_NET *222 0.00297391
+*CONN
+*I *639:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *650:B I *D sky130_fd_sc_hd__and2_2
+*I *672:B I *D sky130_fd_sc_hd__or2_2
+*I *651:B I *D sky130_fd_sc_hd__nand2_2
+*I *849:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *639:A1 0
+2 *650:B 4.65818e-05
+3 *672:B 0.000164591
+4 *651:B 1.17533e-05
+5 *849:Q 0.000451806
+6 *222:21 0.000280423
+7 *222:17 0.000117316
+8 *222:10 0.000511626
+9 clockp[1] *222:10 0.000112123
+10 *405:DIODE *672:B 0
+11 *639:S *222:21 3.00381e-05
+12 *650:A *650:B 6.97461e-05
+13 *650:A *222:21 0
+14 *651:A *651:B 4.82863e-05
+15 *651:A *222:17 0.000148084
+16 *651:A *222:21 9.53428e-06
+17 *671:B *222:10 0
+18 *826:A *651:B 2.75145e-05
+19 *826:A *222:17 0.000111909
+20 *826:A *222:21 1.34503e-05
+21 *827:A *672:B 6.65339e-05
+22 *849:RESET_B *222:10 8.07672e-05
+23 *854:A *672:B 0.000100528
+24 *5:613 *672:B 3.18219e-05
+25 *11:8 *672:B 9.42145e-05
+26 *39:10 *672:B 3.64998e-06
+27 *216:144 *650:B 1.19928e-05
+28 *216:144 *672:B 0.000137571
+29 *217:13 *650:B 0.000108886
+30 *217:13 *222:21 0.00015896
+31 *217:15 *222:17 1.33285e-05
+32 *217:15 *222:21 1.08758e-05
+*RES
+1 *849:Q *222:10 11.225
+2 *222:10 *651:B 2.05
+3 *222:10 *222:17 1.83
+4 *222:17 *222:21 1.845
+5 *222:21 *672:B 10.085
+6 *222:21 *650:B 3.1
+7 *222:17 *639:A1 1.6
+*END
+
+*D_NET *223 0.00148362
+*CONN
+*I *649:B I *D sky130_fd_sc_hd__and2_2
+*I *652:B I *D sky130_fd_sc_hd__xor2_2
+*I *638:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *850:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *649:B 9.26685e-05
+2 *652:B 0
+3 *638:A1 0.000132878
+4 *850:Q 8.09894e-05
+5 *223:17 0.000152052
+6 *223:7 0.000273251
+7 *405:DIODE *638:A1 8.8266e-05
+8 *638:A0 *638:A1 0.000250616
+9 *638:A0 *649:B 9.50342e-06
+10 *638:A0 *223:17 0.000116158
+11 *736:B *638:A1 3.66286e-05
+12 *850:RESET_B *638:A1 0
+13 *88:39 *638:A1 4.99619e-05
+14 *88:39 *649:B 0
+15 *88:39 *223:17 0
+16 *218:26 *649:B 0.000200648
+*RES
+1 *850:Q *223:7 4.395
+2 *223:7 *638:A1 7.305
+3 *223:7 *223:17 1.38
+4 *223:17 *652:B 2
+5 *223:17 *649:B 6
+*END
+
+*D_NET *224 0.00260508
+*CONN
+*I *647:B I *D sky130_fd_sc_hd__or2_2
+*I *648:B I *D sky130_fd_sc_hd__and2_2
+*I *637:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *646:B I *D sky130_fd_sc_hd__nor2_2
+*I *851:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *647:B 0.000153796
+2 *648:B 0.000143332
+3 *637:A1 0
+4 *646:B 0
+5 *851:Q 0.000292211
+6 *224:28 0.000377142
+7 *224:20 0.000276103
+8 *224:8 0.000488301
+9 *647:A *647:B 0.000311261
+10 *647:A *648:B 4.04632e-05
+11 *660:A *224:20 0.000156778
+12 *660:A *224:28 0.000111398
+13 *851:RESET_B *224:8 4.05053e-05
+14 *5:604 *648:B 0
+15 *5:604 *224:20 1.84465e-05
+16 *88:39 *648:B 0
+17 *219:34 *648:B 0.000195346
+*RES
+1 *851:Q *224:8 7.5
+2 *224:8 *646:B 3.6
+3 *224:8 *224:20 4.895
+4 *224:20 *637:A1 1.6
+5 *224:20 *224:28 3.14
+6 *224:28 *648:B 6.51
+7 *224:28 *647:B 7.275
+*END
+
+*D_NET *225 0.00210963
+*CONN
+*I *645:B I *D sky130_fd_sc_hd__and2_2
+*I *636:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *643:B I *D sky130_fd_sc_hd__nor2_2
+*I *852:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *645:B 0.000131312
+2 *636:A1 0
+3 *643:B 0.000151918
+4 *852:Q 0.000316126
+5 *225:13 0.000405405
+6 *225:8 0.000700926
+7 *225:8 *941:A 0
+8 *635:S *225:8 0
+9 *643:A *643:B 0.000198939
+10 *662:A *645:B 3.09164e-05
+11 *838:CLK *643:B 1.16578e-05
+12 *838:CLK *225:8 0
+13 *838:CLK *225:13 5.62481e-05
+14 *838:D *645:B 0
+15 *838:D *225:8 0
+16 *838:D *225:13 5.83121e-06
+17 *852:D *643:B 3.23663e-05
+18 *11:8 *645:B 0
+19 *90:15 *225:8 4.77153e-05
+20 *220:36 *643:B 9.80943e-06
+21 *220:36 *645:B 0
+22 *220:36 *225:8 0
+23 *220:36 *225:13 1.04544e-05
+*RES
+1 *852:Q *225:8 8.235
+2 *225:8 *225:13 5.135
+3 *225:13 *643:B 4.825
+4 *225:13 *636:A1 1.6
+5 *225:8 *645:B 5.685
+*END
+
+*D_NET *226 0.0026815
+*CONN
+*I *641:B I *D sky130_fd_sc_hd__or2_2
+*I *635:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *640:B I *D sky130_fd_sc_hd__nand2_2
+*I *853:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *641:B 3.49344e-05
+2 *635:A1 0.000347918
+3 *640:B 0.00015496
+4 *853:Q 0.000144798
+5 *226:19 0.000404641
+6 *226:8 0.000321547
+7 *641:B *830:B 9.3062e-06
+8 *226:8 *333:246 3.83455e-05
+9 *226:19 *830:B 1.10631e-05
+10 *226:19 *333:246 1.73593e-05
+11 *359:DIODE *635:A1 1.88904e-05
+12 *359:DIODE *641:B 3.96119e-05
+13 *359:DIODE *226:8 5.93963e-05
+14 *359:DIODE *226:19 3.39944e-05
+15 *635:A0 *635:A1 0.000272973
+16 *90:15 *635:A1 1.76876e-05
+17 *90:15 *640:B 0.000398967
+18 *216:13 *226:8 0.000124007
+19 *221:43 *640:B 1.27656e-05
+20 *221:55 *640:B 0.000174696
+21 *221:55 *641:B 3.19349e-05
+22 *221:55 *226:19 1.17036e-05
+*RES
+1 *853:Q *226:8 6.12
+2 *226:8 *640:B 8.55
+3 *226:8 *226:19 0.9975
+4 *226:19 *635:A1 8.1825
+5 *226:19 *641:B 4.5975
+*END
+
+*D_NET *227 0.000461299
+*CONN
+*I *847:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *846:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *847:D 0.00018063
+2 *846:Q 0.00018063
+3 *429:DIODE *847:D 4.65641e-05
+4 *216:94 *847:D 5.34747e-05
+*RES
+1 *846:Q *847:D 5.735
+*END
+
+*D_NET *228 0.00203397
+*CONN
+*I *633:A I *D sky130_fd_sc_hd__xnor2_2
+*I *634:A I *D sky130_fd_sc_hd__xor2_2
+*I *848:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *847:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *633:A 0.00020257
+2 *634:A 0
+3 *848:D 0.000214395
+4 *847:Q 9.29457e-05
+5 *228:15 0.000304835
+6 *228:6 0.000409606
+7 *633:A *633:B 0.000226542
+8 *848:D *229:8 1.83756e-05
+9 *848:D *333:95 7.60965e-05
+10 *228:15 *633:B 9.50342e-06
+11 *228:15 *229:8 0.00011852
+12 *421:DIODE *848:D 0.000360581
+13 *847:RESET_B *228:6 0
+14 *847:RESET_B *228:15 0
+*RES
+1 *847:Q *228:6 4.98
+2 *228:6 *848:D 9.225
+3 *228:6 *228:15 2.145
+4 *228:15 *634:A 3.6
+5 *228:15 *633:A 7.59
+*END
+
+*D_NET *229 0.000804447
+*CONN
+*I *633:B I *D sky130_fd_sc_hd__xnor2_2
+*I *634:B I *D sky130_fd_sc_hd__xor2_2
+*I *848:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *633:B 0.000119634
+2 *634:B 0
+3 *848:Q 9.61194e-05
+4 *229:8 0.000215753
+5 *633:A *633:B 0.000226542
+6 *847:RESET_B *633:B 0
+7 *847:RESET_B *229:8 0
+8 *848:D *229:8 1.83756e-05
+9 *228:15 *633:B 9.50342e-06
+10 *228:15 *229:8 0.00011852
+*RES
+1 *848:Q *229:8 5.43
+2 *229:8 *634:B 2
+3 *229:8 *633:B 6.45
+*END
+
+*D_NET *230 0.00293981
+*CONN
+*I *686:B I *D sky130_fd_sc_hd__and3_2
+*I *738:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *739:A I *D sky130_fd_sc_hd__or2_2
+*I *831:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *686:B 0.000204723
+2 *738:A1 0
+3 *739:A 0.000244579
+4 *831:Q 0.000241654
+5 *230:11 0.000276161
+6 *230:8 0.000477959
+7 *686:B *690:A 1.67513e-05
+8 *686:B *738:A0 0.00018305
+9 *686:B *333:64 3.98865e-05
+10 *739:A *738:A0 4.99619e-05
+11 *230:11 *738:A0 0.000119756
+12 *411:DIODE *686:B 0
+13 *686:C *686:B 0.000292053
+14 *690:C *686:B 9.50342e-06
+15 *739:B *686:B 4.14369e-05
+16 *739:B *739:A 0
+17 *739:B *230:8 9.30717e-05
+18 *809:A *230:11 8.23457e-05
+19 *831:D *739:A 7.89689e-05
+20 *831:RESET_B *230:8 0.000118178
+21 *832:RESET_B *686:B 2.68721e-05
+22 *839:CLK *686:B 2.75229e-05
+23 *5:108 *739:A 0
+24 *5:140 *739:A 0.0001249
+25 *5:140 *230:11 3.66286e-05
+26 *5:146 *739:A 0
+27 *5:146 *230:8 6.71952e-05
+28 *5:561 *686:B 2.23407e-05
+29 *89:34 *739:A 6.43122e-05
+*RES
+1 *831:Q *230:8 7.245
+2 *230:8 *230:11 3.14
+3 *230:11 *739:A 10.67
+4 *230:11 *738:A1 1.6
+5 *230:8 *686:B 9.255
+*END
+
+*D_NET *231 0.00243988
+*CONN
+*I *737:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *690:A I *D sky130_fd_sc_hd__and4_2
+*I *738:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *832:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A0 0.000107572
+2 *690:A 0.000255923
+3 *738:A0 0.000153368
+4 *832:Q 0
+5 *231:4 0.000516863
+6 *690:A *686:A 0
+7 *690:A *238:10 0
+8 *690:A *333:64 0.000168998
+9 *737:A0 *686:A 2.11084e-05
+10 *738:A0 *333:64 2.23485e-05
+11 *686:B *690:A 1.67513e-05
+12 *686:B *738:A0 0.00018305
+13 *690:C *690:A 0
+14 *739:A *738:A0 4.99619e-05
+15 *809:A *738:A0 2.16917e-05
+16 *832:RESET_B *738:A0 0.000161313
+17 *839:D *690:A 7.1932e-06
+18 *88:19 *737:A0 0.000272134
+19 *88:19 *738:A0 0.000119762
+20 *89:5 *738:A0 7.14699e-05
+21 *89:34 *738:A0 0.000122325
+22 *140:11 *690:A 4.82863e-05
+23 *230:11 *738:A0 0.000119756
+*RES
+1 *832:Q *231:4 1.6
+2 *231:4 *738:A0 10.715
+3 *231:4 *690:A 10.535
+4 *231:4 *737:A0 4.48
+*END
+
+*D_NET *232 0.002085
+*CONN
+*I *737:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *686:A I *D sky130_fd_sc_hd__and3_2
+*I *833:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A1 0
+2 *686:A 0.000320442
+3 *833:Q 0.000498088
+4 *232:11 0.00081853
+5 *690:A *686:A 0
+6 *737:A0 *686:A 2.11084e-05
+7 *833:D *232:11 0
+8 *839:CLK *686:A 2.49909e-05
+9 *29:8 *686:A 0
+10 *29:8 *232:11 0.000101317
+11 *88:5 *232:11 1.83756e-05
+12 *88:19 *686:A 1.33293e-05
+13 *88:19 *232:11 2.26018e-05
+14 *89:5 *232:11 0.000246215
+*RES
+1 *833:Q *232:11 12.785
+2 *232:11 *686:A 10.04
+3 *232:11 *737:A1 1.6
+*END
+
+*D_NET *233 0.00515495
+*CONN
+*I *632:A I *D sky130_fd_sc_hd__inv_2
+*I *680:B I *D sky130_fd_sc_hd__or2_2
+*I *693:B I *D sky130_fd_sc_hd__nand2_2
+*I *701:A I *D sky130_fd_sc_hd__nor2_2
+*I *719:B1 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *841:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *632:A 2.48049e-05
+2 *680:B 0
+3 *693:B 0
+4 *701:A 0
+5 *719:B1 0
+6 *841:Q 0.000679892
+7 *233:33 7.87956e-05
+8 *233:28 0.00029011
+9 *233:15 0.000429849
+10 *233:8 0.000873621
+11 *632:A *234:19 5.36832e-05
+12 *632:A *235:52 4.21973e-05
+13 *233:15 *236:10 8.89019e-05
+14 *233:15 *236:19 0.00016876
+15 *233:28 *234:37 1.7635e-05
+16 *233:28 *236:19 0.000104919
+17 *233:28 *236:28 4.12134e-05
+18 *233:33 *693:A 4.66108e-05
+19 *233:33 *234:19 8.8266e-05
+20 *233:33 *234:21 0.000122337
+21 *233:33 *235:52 8.57321e-05
+22 *704:B1 *233:28 0.000171708
+23 *716:A1 *233:28 9.52419e-05
+24 *717:B *233:28 0.000140233
+25 *719:A2_N *233:8 5.4003e-05
+26 *719:A2_N *233:15 0.000541623
+27 *719:A2_N *233:28 0.000108322
+28 *806:B1 *632:A 4.82919e-05
+29 *806:B2 *632:A 4.82919e-05
+30 *841:CLK *233:8 9.24049e-05
+31 *841:D *233:8 9.09747e-05
+32 *841:RESET_B *233:8 2.35533e-05
+33 *130:7 *233:33 8.40269e-05
+34 *140:22 *233:8 0.000298442
+35 *140:22 *233:15 7.47215e-05
+36 *216:222 *233:8 4.5783e-05
+*RES
+1 *841:Q *233:8 13.68
+2 *233:8 *719:B1 3.6
+3 *233:8 *233:15 6.48
+4 *233:15 *701:A 3.6
+5 *233:15 *233:28 8.525
+6 *233:28 *693:B 1.6
+7 *233:28 *233:33 2.175
+8 *233:33 *680:B 1.6
+9 *233:33 *632:A 2.74
+*END
+
+*D_NET *234 0.0168948
+*CONN
+*I *801:A I *D sky130_fd_sc_hd__or2_2
+*I *799:A I *D sky130_fd_sc_hd__or3_2
+*I *750:A I *D sky130_fd_sc_hd__or3_2
+*I *796:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *680:A I *D sky130_fd_sc_hd__or2_2
+*I *631:A I *D sky130_fd_sc_hd__inv_2
+*I *770:A I *D sky130_fd_sc_hd__or2_2
+*I *753:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *749:A I *D sky130_fd_sc_hd__or2_2
+*I *693:A I *D sky130_fd_sc_hd__nand2_2
+*I *842:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *801:A 0.000288225
+2 *799:A 0
+3 *750:A 0.00038858
+4 *796:A2 0.000252587
+5 *680:A 0
+6 *631:A 3.12757e-05
+7 *770:A 4.46492e-05
+8 *753:A1 0.000433863
+9 *749:A 0
+10 *693:A 5.88633e-05
+11 *842:Q 0.000613654
+12 *234:115 0.000602086
+13 *234:108 0.000646861
+14 *234:97 0.000501456
+15 *234:52 0.00101182
+16 *234:47 0.00107838
+17 *234:37 0.00122129
+18 *234:21 0.000815352
+19 *234:19 0.000272681
+20 *234:8 0.000967822
+21 *693:A *630:A 4.87465e-06
+22 *693:A *235:52 1.19928e-05
+23 *750:A *256:21 0.000227047
+24 *750:A *263:21 3.98513e-06
+25 *750:A *299:26 0.000349409
+26 *753:A1 *883:A 2.03087e-05
+27 *753:A1 *908:TE 0
+28 *753:A1 *307:14 4.77807e-05
+29 *796:A2 *796:A1 2.49909e-05
+30 *796:A2 *796:B1 1.91597e-05
+31 *801:A *869:A 0.000173541
+32 *801:A *263:21 0.000104709
+33 *234:8 *804:C 0
+34 *234:8 *263:21 0.000660459
+35 *234:37 *772:A1 0.000209979
+36 *234:37 *236:28 7.96104e-06
+37 *234:37 *334:14 1.616e-05
+38 *234:52 *862:A 7.03219e-05
+39 *234:52 *877:A 0
+40 *234:52 *882:TE_B 0
+41 *234:52 *883:A 5.21545e-05
+42 *234:52 *267:8 8.98826e-05
+43 *234:97 *263:21 0.000183322
+44 *234:108 *797:A 2.44872e-05
+45 *234:108 *237:100 1.44947e-05
+46 *234:108 *263:21 1.07797e-05
+47 *234:115 *865:A 5.83121e-06
+48 *234:115 *299:26 7.7237e-05
+49 *384:DIODE *750:A 0.000240121
+50 *632:A *234:19 5.36832e-05
+51 *685:D_N *631:A 2.64607e-05
+52 *695:A3 *693:A 0.000119251
+53 *695:A3 *234:19 7.38779e-05
+54 *695:A3 *234:21 0.000110783
+55 *700:B *631:A 2.49909e-05
+56 *704:A1 *234:37 0.00011861
+57 *704:B2 *234:47 2.68526e-05
+58 *708:B1 *234:47 0.000194295
+59 *750:C *750:A 8.77867e-06
+60 *753:A2 *753:A1 3.10885e-05
+61 *770:B *234:47 9.58603e-05
+62 *771:B1 *753:A1 2.4599e-05
+63 *771:B1 *234:52 7.88026e-05
+64 *771:B2 *234:47 0.000111946
+65 *771:B2 *234:52 8.52972e-05
+66 *778:A2 *750:A 4.36516e-05
+67 *778:B1 *750:A 0
+68 *786:B1 *750:A 4.00257e-05
+69 *791:A *234:115 2.49909e-05
+70 *791:B *750:A 3.74161e-05
+71 *791:B *234:115 0.000198934
+72 *794:A2 *801:A 0.000194584
+73 *794:B2 *801:A 1.60991e-05
+74 *797:B *796:A2 6.07575e-06
+75 *798:A3 *796:A2 4.66108e-05
+76 *798:A3 *801:A 6.04479e-05
+77 *798:A3 *234:108 0.000360398
+78 *798:A3 *234:115 5.38986e-06
+79 *806:A2 *234:8 5.71835e-05
+80 *806:A2 *234:19 2.31255e-05
+81 *806:A2 *234:97 2.33387e-05
+82 *806:B1 *234:19 0.000192502
+83 *841:RESET_B *234:8 8.23457e-05
+84 *842:RESET_B *234:8 0.000107716
+85 *5:279 *234:97 2.93262e-05
+86 *5:307 *234:97 4.75134e-05
+87 *5:307 *234:108 0.000109016
+88 *5:377 *801:A 1.01661e-05
+89 *5:384 *801:A 4.93384e-05
+90 *5:700 *234:52 3.72081e-05
+91 *5:714 *234:52 5.09395e-05
+92 *14:7 *753:A1 0
+93 *29:8 *234:8 0.000526403
+94 *83:20 *750:A 0.000124007
+95 *83:20 *234:115 0.000188957
+96 *84:26 *631:A 5.08304e-06
+97 *84:26 *234:47 6.51383e-05
+98 *130:7 *693:A 1.91597e-05
+99 *130:39 *750:A 1.89738e-05
+100 *130:76 *750:A 1.67154e-05
+101 *131:31 *234:47 0.000230663
+102 *178:15 *750:A 4.04634e-05
+103 *178:49 *753:A1 6.29104e-05
+104 *178:49 *234:52 4.43871e-06
+105 *180:6 *753:A1 6.14199e-05
+106 *180:49 *753:A1 6.65804e-05
+107 *196:15 *234:108 0.000172224
+108 *196:15 *234:115 2.81138e-05
+109 *197:8 *750:A 2.0514e-05
+110 *197:26 *750:A 4.82863e-05
+111 *233:28 *234:37 1.7635e-05
+112 *233:33 *693:A 4.66108e-05
+113 *233:33 *234:19 8.8266e-05
+114 *233:33 *234:21 0.000122337
+*RES
+1 *842:Q *234:8 18.3375
+2 *234:8 *234:19 6.3575
+3 *234:19 *234:21 1.14
+4 *234:21 *693:A 3.1
+5 *234:21 *234:37 4.55237
+6 *234:37 *234:47 10.3925
+7 *234:47 *234:52 11.36
+8 *234:52 *749:A 3.6
+9 *234:52 *753:A1 11.55
+10 *234:47 *770:A 6.215
+11 *234:37 *631:A 4.2825
+12 *234:19 *680:A 1.6
+13 *234:8 *234:97 2.8275
+14 *234:97 *796:A2 6.645
+15 *234:97 *234:108 4.5675
+16 *234:108 *234:115 6.9275
+17 *234:115 *750:A 10.3003
+18 *234:115 *799:A 1.6
+19 *234:108 *801:A 9.43857
+*END
+
+*D_NET *235 0.00920648
+*CONN
+*I *796:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *742:C I *D sky130_fd_sc_hd__or3_2
+*I *772:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *630:A I *D sky130_fd_sc_hd__inv_2
+*I *681:B I *D sky130_fd_sc_hd__or2_2
+*I *714:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *697:A I *D sky130_fd_sc_hd__nor2_2
+*I *698:A I *D sky130_fd_sc_hd__and2_2
+*I *843:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *796:A1 7.0221e-05
+2 *742:C 0.000141855
+3 *772:B1 0.000340716
+4 *630:A 3.45015e-05
+5 *681:B 1.36534e-05
+6 *714:A0 0
+7 *697:A 0.000164369
+8 *698:A 0.000386244
+9 *843:Q 4.02085e-05
+10 *235:61 0.000577869
+11 *235:52 0.000374813
+12 *235:49 0.000373018
+13 *235:40 0.000234392
+14 *235:13 0.000665081
+15 *235:9 0.0006676
+16 *235:5 0.000756296
+17 *681:B *681:A 4.66108e-05
+18 *742:C *629:A 4.82919e-05
+19 *742:C *742:A 1.31519e-05
+20 *742:C *236:67 7.49327e-05
+21 *742:C *237:75 9.53428e-06
+22 *772:B1 *759:A 4.82919e-05
+23 *796:A1 *263:21 0.000126679
+24 *235:5 *263:21 4.66108e-05
+25 *235:40 *804:C 0.000308446
+26 *235:40 *263:21 0.000555385
+27 *235:49 *804:C 1.0397e-05
+28 *235:49 *263:21 0.000167897
+29 *402:DIODE *235:52 4.66108e-05
+30 *632:A *235:52 4.21973e-05
+31 *685:D_N *697:A 0.000178496
+32 *692:A *772:B1 1.44551e-05
+33 *692:A *235:61 5.97296e-05
+34 *693:A *630:A 4.87465e-06
+35 *693:A *235:52 1.19928e-05
+36 *695:A3 *235:52 6.07575e-06
+37 *710:A2 *698:A 4.89295e-05
+38 *714:A1 *698:A 0.000111901
+39 *714:A1 *235:13 5.05521e-05
+40 *714:S *235:9 4.00541e-06
+41 *717:A *235:61 0.000110773
+42 *742:B *742:C 8.68097e-06
+43 *759:C *772:B1 4.004e-05
+44 *759:C *235:61 0.000107028
+45 *772:C1 *772:B1 1.2818e-05
+46 *775:B *742:C 2.16833e-05
+47 *794:B1 *796:A1 0.00013521
+48 *794:B1 *235:49 0.000155996
+49 *796:A2 *796:A1 2.49909e-05
+50 *797:B *796:A1 5.83121e-06
+51 *806:A2 *235:52 6.58339e-05
+52 *806:B1 *235:52 9.80943e-06
+53 *806:B2 *235:52 0.000158072
+54 *843:D *235:9 5.70959e-05
+55 *843:RESET_B *235:5 1.60203e-05
+56 *843:RESET_B *235:9 2.65337e-05
+57 *5:290 *235:52 0.000145515
+58 *84:7 *742:C 4.82919e-05
+59 *84:10 *742:C 1.43749e-05
+60 *86:13 *772:B1 0.00012841
+61 *87:7 *235:52 0.000383657
+62 *87:41 *742:C 4.22878e-05
+63 *87:41 *235:61 8.73147e-06
+64 *129:58 *698:A 0
+65 *130:7 *235:52 0.0001249
+66 *131:20 *681:B 4.66108e-05
+67 *131:62 *742:C 9.67081e-06
+68 *131:62 *235:61 6.31026e-05
+69 *143:5 *235:52 1.83756e-05
+70 *145:15 *697:A 0
+71 *145:53 *697:A 0
+72 *145:53 *235:9 4.82863e-05
+73 *145:53 *235:13 9.27255e-06
+74 *145:58 *698:A 7.68414e-06
+75 *148:5 *698:A 3.54619e-05
+76 *149:13 *698:A 0.000110693
+77 *187:11 *772:B1 4.21155e-05
+78 *233:33 *235:52 8.57321e-05
+*RES
+1 *843:Q *235:5 2.395
+2 *235:5 *235:9 8.055
+3 *235:9 *235:13 1.755
+4 *235:13 *698:A 7.015
+5 *235:13 *697:A 9.275
+6 *235:9 *714:A0 1.6
+7 *235:5 *235:40 8.48
+8 *235:40 *681:B 4.05
+9 *235:40 *235:49 2.145
+10 *235:49 *235:52 9.005
+11 *235:52 *630:A 2.215
+12 *235:52 *235:61 4.4
+13 *235:61 *772:B1 9.39
+14 *235:61 *742:C 6.57
+15 *235:49 *796:A1 5.685
+*END
+
+*D_NET *236 0.0107401
+*CONN
+*I *804:B I *D sky130_fd_sc_hd__and3b_2
+*I *743:A I *D sky130_fd_sc_hd__nor2_2
+*I *629:A I *D sky130_fd_sc_hd__inv_2
+*I *779:A I *D sky130_fd_sc_hd__or2_2
+*I *796:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *772:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *681:A I *D sky130_fd_sc_hd__or2_2
+*I *712:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *844:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *804:B 0.000285944
+2 *743:A 0.000185257
+3 *629:A 1.16861e-05
+4 *779:A 0
+5 *796:B1 0.000237365
+6 *772:A1 0.000386212
+7 *681:A 0.000211236
+8 *712:A0 9.04832e-05
+9 *844:Q 2.08101e-05
+10 *236:67 0.000427164
+11 *236:46 0.000260834
+12 *236:43 0.000311052
+13 *236:28 0.000623943
+14 *236:19 0.000630478
+15 *236:10 0.00081113
+16 *236:5 0.000788528
+17 *743:A *256:21 8.28117e-05
+18 *743:A *278:12 9.97912e-05
+19 *743:A *299:26 4.66108e-05
+20 *772:A1 *334:14 8.43374e-05
+21 *804:B *804:C 4.46939e-06
+22 *236:28 *334:14 0
+23 *382:DIODE *236:43 1.42048e-05
+24 *382:DIODE *236:67 1.29665e-05
+25 *403:DIODE *681:A 4.66108e-05
+26 *681:B *681:A 4.66108e-05
+27 *695:A2 *236:10 7.47145e-05
+28 *695:A2 *236:19 0.000294421
+29 *695:A3 *236:19 7.27704e-06
+30 *695:A3 *236:28 3.79514e-05
+31 *704:A1 *772:A1 0.000212898
+32 *704:B1 *236:28 0
+33 *717:B *236:19 7.8979e-05
+34 *742:B *743:A 6.86726e-05
+35 *742:C *629:A 4.82919e-05
+36 *742:C *236:67 7.49327e-05
+37 *773:B1 *772:A1 8.02013e-05
+38 *775:B *629:A 4.66108e-05
+39 *775:B *236:67 8.82705e-05
+40 *776:B *743:A 4.09299e-05
+41 *776:B *236:67 5.16418e-05
+42 *779:B *796:B1 1.76403e-05
+43 *786:A1 *236:67 2.0198e-05
+44 *786:A2 *236:67 1.08758e-05
+45 *786:B1 *743:A 3.00448e-05
+46 *786:B1 *236:67 0.000189719
+47 *786:B2 *236:67 8.48776e-05
+48 *796:A2 *796:B1 1.91597e-05
+49 *797:B *796:B1 4.95661e-05
+50 *798:A3 *796:B1 8.23457e-05
+51 *806:B1 *236:28 4.70668e-05
+52 *806:B1 *236:43 5.78676e-05
+53 *806:B1 *236:67 0.000171574
+54 *842:D *681:A 0.000121036
+55 *842:D *236:10 8.34426e-05
+56 *842:D *236:19 0.000191111
+57 *844:RESET_B *804:B 6.42013e-05
+58 *844:RESET_B *236:10 6.0272e-05
+59 *5:235 *804:B 1.17409e-05
+60 *5:235 *236:10 0
+61 *5:241 *804:B 1.33293e-05
+62 *5:252 *804:B 3.0807e-05
+63 *5:307 *796:B1 6.98204e-05
+64 *5:311 *796:B1 4.29198e-05
+65 *5:311 *236:46 2.49909e-05
+66 *29:8 *236:10 0
+67 *83:25 *743:A 2.49909e-05
+68 *83:30 *772:A1 7.92039e-05
+69 *86:13 *772:A1 6.03947e-06
+70 *87:41 *743:A 0
+71 *130:21 *236:28 0
+72 *131:20 *681:A 0.000338904
+73 *131:62 *236:67 7.84044e-05
+74 *140:22 *236:10 0.000300803
+75 *142:29 *772:A1 0.000633597
+76 *142:29 *236:43 7.96104e-06
+77 *145:7 *712:A0 8.49205e-05
+78 *145:11 *712:A0 4.66108e-05
+79 *187:33 *743:A 9.59753e-05
+80 *233:15 *236:10 8.89019e-05
+81 *233:15 *236:19 0.00016876
+82 *233:28 *236:19 0.000104919
+83 *233:28 *236:28 4.12134e-05
+84 *234:37 *772:A1 0.000209979
+85 *234:37 *236:28 7.96104e-06
+*RES
+1 *844:Q *236:5 1.96
+2 *236:5 *236:10 10.67
+3 *236:10 *712:A0 4.74
+4 *236:10 *236:19 7.755
+5 *236:19 *681:A 8.535
+6 *236:19 *236:28 3.2925
+7 *236:28 *772:A1 6.96785
+8 *236:28 *236:43 0.9975
+9 *236:43 *236:46 2.45
+10 *236:46 *796:B1 6.22
+11 *236:46 *779:A 1.6
+12 *236:43 *236:67 7.715
+13 *236:67 *629:A 2.05
+14 *236:67 *743:A 9.70953
+15 *236:5 *804:B 5.155
+*END
+
+*D_NET *237 0.0114512
+*CONN
+*I *804:C I *D sky130_fd_sc_hd__and3b_2
+*I *628:A I *D sky130_fd_sc_hd__inv_2
+*I *775:A I *D sky130_fd_sc_hd__nand2_2
+*I *797:A I *D sky130_fd_sc_hd__nand2_2
+*I *759:A I *D sky130_fd_sc_hd__or3_2
+*I *742:A I *D sky130_fd_sc_hd__or3_2
+*I *774:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *682:A I *D sky130_fd_sc_hd__or2_2
+*I *709:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *706:A I *D sky130_fd_sc_hd__xnor2_2
+*I *845:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *804:C 0.000757036
+2 *628:A 0
+3 *775:A 0
+4 *797:A 5.13681e-05
+5 *759:A 6.79124e-05
+6 *742:A 1.40723e-05
+7 *774:A1 1.70323e-05
+8 *773:A1 1.3091e-05
+9 *682:A 0
+10 *709:A1 4.74814e-05
+11 *706:A 0.00028992
+12 *845:Q 0.000208314
+13 *237:106 0.000807613
+14 *237:100 0.000103579
+15 *237:89 0.000472269
+16 *237:75 0.000483695
+17 *237:62 0.000301795
+18 *237:54 0.000379703
+19 *237:40 0.000233379
+20 *237:33 0.000271897
+21 *237:14 0.000337401
+22 *237:12 0.000382755
+23 *706:A *246:22 3.92607e-05
+24 *709:A1 *246:22 4.41506e-05
+25 *797:A *334:14 1.92979e-05
+26 *804:C *866:A 5.57429e-05
+27 *804:C *867:TE_B 9.53428e-06
+28 *804:C *945:A 8.70923e-05
+29 *804:C *947:A 6.91596e-05
+30 *804:C *263:21 2.40018e-05
+31 *804:C *333:144 1.34361e-05
+32 *804:C *333:155 0.000112971
+33 *804:C *333:162 5.11148e-06
+34 *804:C *333:177 7.4645e-05
+35 *237:89 *256:21 5.60739e-05
+36 *237:89 *278:12 0.000136621
+37 *237:89 *334:14 0.000253853
+38 *682:B *237:33 4.61482e-05
+39 *705:A1 *237:12 8.37669e-05
+40 *705:B1 *237:12 7.18738e-05
+41 *705:B1 *237:33 5.4419e-05
+42 *706:B *706:A 8.49205e-05
+43 *708:B1 *237:33 0.00012633
+44 *709:B1 *706:A 0.000126575
+45 *709:B2 *237:12 3.25831e-05
+46 *709:B2 *237:33 0.000105831
+47 *742:C *742:A 1.31519e-05
+48 *742:C *237:75 9.53428e-06
+49 *744:B *237:62 4.60061e-05
+50 *770:B *759:A 6.81971e-05
+51 *771:B2 *237:33 0
+52 *771:B2 *237:40 0
+53 *772:B1 *759:A 4.82919e-05
+54 *773:A2 *237:54 2.11674e-05
+55 *774:A2 *774:A1 1.32373e-05
+56 *774:A2 *237:40 4.21314e-05
+57 *774:A2 *237:54 0.000137529
+58 *774:B1 *773:A1 1.83756e-05
+59 *774:B1 *237:54 7.23602e-05
+60 *774:C1 *237:40 1.43521e-05
+61 *774:C1 *237:54 4.47938e-06
+62 *775:B *237:62 5.74791e-05
+63 *775:B *237:75 2.75229e-05
+64 *775:B *237:100 5.53249e-06
+65 *776:B *237:89 5.00373e-05
+66 *794:B1 *804:C 0.000443553
+67 *803:B1 *804:C 0.000341552
+68 *804:B *804:C 4.46939e-06
+69 *806:B2 *797:A 2.63259e-05
+70 *806:B2 *237:100 1.42479e-05
+71 *841:RESET_B *804:C 9.30787e-05
+72 *842:RESET_B *804:C 0.000107716
+73 *843:RESET_B *804:C 0.000114492
+74 *845:D *237:12 7.00889e-06
+75 *5:241 *804:C 1.16578e-05
+76 *5:252 *804:C 0.000119756
+77 *5:307 *797:A 5.39961e-05
+78 *5:351 *237:89 0
+79 *29:8 *804:C 0
+80 *83:8 *237:100 2.0514e-05
+81 *83:8 *237:106 4.08514e-05
+82 *84:10 *759:A 6.11558e-05
+83 *84:10 *237:75 9.58678e-06
+84 *84:26 *237:12 9.78543e-06
+85 *84:26 *237:33 7.24397e-05
+86 *84:26 *237:40 0.000138551
+87 *86:13 *759:A 4.99619e-05
+88 *129:63 *237:12 0.000124007
+89 *130:94 *773:A1 4.82863e-05
+90 *131:62 *237:89 0.000286647
+91 *142:29 *237:54 9.20855e-06
+92 *142:29 *237:62 0.000116746
+93 *145:58 *237:12 0.000337538
+94 *145:58 *237:33 5.16418e-05
+95 *176:14 *237:62 0.00026432
+96 *178:15 *237:62 1.8498e-05
+97 *181:18 *804:C 4.82919e-05
+98 *181:18 *237:100 8.23457e-05
+99 *181:18 *237:106 0.00015639
+100 *187:33 *759:A 6.08203e-05
+101 *187:33 *237:75 1.15399e-05
+102 *196:15 *237:100 7.75271e-05
+103 *196:15 *237:106 3.57349e-05
+104 *234:8 *804:C 0
+105 *234:108 *797:A 2.44872e-05
+106 *234:108 *237:100 1.44947e-05
+107 *235:40 *804:C 0.000308446
+108 *235:49 *804:C 1.0397e-05
+*RES
+1 *845:Q *237:12 9.48
+2 *237:12 *237:14 2
+3 *237:14 *706:A 6.475
+4 *237:14 *709:A1 2.395
+5 *237:12 *237:33 4.38
+6 *237:33 *682:A 3.6
+7 *237:33 *237:40 2.145
+8 *237:40 *773:A1 4.05
+9 *237:40 *237:54 4.5725
+10 *237:54 *774:A1 1.96
+11 *237:54 *237:62 4.8075
+12 *237:62 *742:A 1.96
+13 *237:62 *237:75 3.0425
+14 *237:75 *759:A 5.5575
+15 *237:75 *237:89 2.20333
+16 *237:89 *797:A 4.8525
+17 *237:89 *237:100 3.5375
+18 *237:100 *775:A 1.6
+19 *237:100 *237:106 1.485
+20 *237:106 *628:A 1.6
+21 *237:106 *804:C 25.3738
+*END
+
+*D_NET *238 0.00408426
+*CONN
+*I *723:A I *D sky130_fd_sc_hd__nand2_2
+*I *724:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *684:B I *D sky130_fd_sc_hd__or2_2
+*I *685:B I *D sky130_fd_sc_hd__or4bb_2
+*I *691:B I *D sky130_fd_sc_hd__nand2_2
+*I *839:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *723:A 0
+2 *724:A1 1.44619e-05
+3 *684:B 0.000369632
+4 *685:B 3.59976e-05
+5 *691:B 0.000103804
+6 *839:Q 0.000461086
+7 *238:28 0.000539829
+8 *238:17 0.000340273
+9 *238:15 0.000207809
+10 *238:10 0.000552163
+11 *684:B *684:A 0.00012208
+12 *685:B *685:A 2.69217e-05
+13 *691:B *691:A 0
+14 *685:D_N *684:B 9.92626e-05
+15 *685:D_N *238:28 2.92489e-05
+16 *690:A *238:10 0
+17 *690:B *238:28 2.59285e-05
+18 *690:D *691:B 9.66106e-05
+19 *690:D *238:17 2.30292e-05
+20 *697:B *684:B 0.0001319
+21 *699:A *684:B 8.8266e-05
+22 *723:B *238:15 0.000288796
+23 *723:B *238:17 4.89395e-05
+24 *724:B1 *238:15 0.000104286
+25 *839:D *238:10 0
+26 *839:RESET_B *238:10 2.56899e-05
+27 *841:D *684:B 9.62357e-05
+28 *841:D *238:28 1.15383e-05
+29 *844:D *684:B 0
+30 *29:8 *238:10 0
+31 *140:11 *724:A1 9.12671e-06
+32 *140:11 *238:15 1.60203e-05
+33 *140:11 *238:17 9.25102e-05
+34 *140:22 *238:15 0.000122817
+*RES
+1 *839:Q *238:10 11.135
+2 *238:10 *238:15 3.675
+3 *238:15 *238:17 2.175
+4 *238:17 *691:B 3.445
+5 *238:17 *238:28 4.4
+6 *238:28 *685:B 4.05
+7 *238:28 *684:B 11.01
+8 *238:15 *724:A1 1.96
+9 *238:10 *723:A 1.6
+*END
+
+*D_NET *239 0.00258886
+*CONN
+*I *685:A I *D sky130_fd_sc_hd__or4bb_2
+*I *684:A I *D sky130_fd_sc_hd__or2_2
+*I *722:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *691:A I *D sky130_fd_sc_hd__nand2_2
+*I *840:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *685:A 0.000232329
+2 *684:A 0.000228534
+3 *722:A0 5.85644e-05
+4 *691:A 0.000180395
+5 *840:Q 0
+6 *239:19 0.000434286
+7 *239:7 0.000367643
+8 *239:4 0.000272389
+9 *684:B *684:A 0.00012208
+10 *685:B *685:A 2.69217e-05
+11 *685:C_N *685:A 0.000162681
+12 *685:C_N *691:A 0
+13 *685:C_N *239:7 1.19928e-05
+14 *685:C_N *239:19 0
+15 *690:D *691:A 8.77516e-05
+16 *691:B *691:A 0
+17 *697:B *684:A 2.71578e-05
+18 *721:B *722:A0 8.52845e-05
+19 *129:37 *239:19 0
+20 *216:64 *691:A 0
+21 *216:202 *691:A 0.000178439
+22 *216:202 *239:19 0.000112409
+*RES
+1 *840:Q *239:4 1.6
+2 *239:4 *239:7 2.45
+3 *239:7 *691:A 7.155
+4 *239:7 *239:19 2.145
+5 *239:19 *722:A0 4.395
+6 *239:19 *684:A 6.66
+7 *239:4 *685:A 5.785
+*END
+
+*D_NET *240 0.000219887
+*CONN
+*I *941:A I *D sky130_fd_sc_hd__clkinv_8
+*I *940:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *941:A 0.000109944
+2 *940:Y 0.000109944
+3 *838:RESET_B *941:A 0
+4 *5:685 *941:A 0
+5 *216:46 *941:A 0
+6 *225:8 *941:A 0
+*RES
+1 *940:Y *941:A 8.835
+*END
+
+*D_NET *241 0.000470291
+*CONN
+*I *943:A I *D sky130_fd_sc_hd__clkinv_8
+*I *942:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *943:A 0.00020777
+2 *942:Y 0.00020777
+3 *943:A *855:A 5.47515e-05
+*RES
+1 *942:Y *943:A 10.875
+*END
+
+*D_NET *242 0.000205034
+*CONN
+*I *855:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *943:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *855:A 7.51414e-05
+2 *943:Y 7.51414e-05
+3 *943:A *855:A 5.47515e-05
+*RES
+1 *943:Y *855:A 8.835
+*END
+
+*D_NET *243 0.000135847
+*CONN
+*I *859:A I *D sky130_fd_sc_hd__einvp_2
+*I *857:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *859:A 5.47964e-05
+2 *857:X 5.47964e-05
+3 *859:A *857:A 5.062e-06
+4 *859:A *858:Z 2.11921e-05
+*RES
+1 *857:X *859:A 4.01
+*END
+
+*D_NET *244 0.00146852
+*CONN
+*I *862:A I *D sky130_fd_sc_hd__clkinv_1
+*I *859:Z O *D sky130_fd_sc_hd__einvp_2
+*I *861:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *862:A 0.000284158
+2 *859:Z 0.000127582
+3 *861:Z 0
+4 *244:5 0.00041174
+5 *859:Z *857:A 4.99619e-05
+6 *859:Z *858:Z 0
+7 *859:Z *940:A 3.64998e-06
+8 *859:Z *250:5 7.49327e-05
+9 *859:Z *250:18 7.4763e-05
+10 *862:A *858:A 5.83121e-06
+11 *862:A *882:A 0.000197243
+12 *862:A *267:8 2.21074e-05
+13 *741:B1 *862:A 6.80964e-05
+14 *5:700 *859:Z 6.90085e-05
+15 *5:700 *862:A 9.12857e-06
+16 *234:52 *862:A 7.03219e-05
+*RES
+1 *861:Z *244:5 3.6
+2 *244:5 *859:Z 6.885
+3 *244:5 *862:A 7.935
+*END
+
+*D_NET *245 0.000670945
+*CONN
+*I *858:A I *D sky130_fd_sc_hd__einvp_2
+*I *862:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *858:A 0.000293652
+2 *862:Y 0.000293652
+3 *858:A *858:Z 3.00381e-05
+4 *858:A *877:A 0
+5 *741:B1 *858:A 4.77719e-05
+6 *862:A *858:A 5.83121e-06
+7 *5:888 *858:A 0
+*RES
+1 *862:Y *858:A 12.03
+*END
+
+*D_NET *246 0.00662396
+*CONN
+*I *949:Z O *D sky130_fd_sc_hd__einvn_8
+*I *856:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *940:A I *D sky130_fd_sc_hd__clkinv_2
+*I *947:Z O *D sky130_fd_sc_hd__einvp_2
+*I *952:Z O *D sky130_fd_sc_hd__einvp_1
+*CAP
+1 *949:Z 0.000312784
+2 *856:A 0
+3 *940:A 0.000173621
+4 *947:Z 2.95242e-05
+5 *952:Z 0.000184263
+6 *246:22 0.00177696
+7 *246:17 0.00196708
+8 *246:7 0.00026474
+9 *940:A *859:TE 4.92062e-05
+10 *940:A *250:5 2.10134e-05
+11 *940:A *333:245 1.92979e-05
+12 *947:Z *945:A 1.01661e-05
+13 *947:Z *947:A 1.71013e-05
+14 *246:7 *947:A 4.77757e-05
+15 *246:7 *952:A 4.9253e-06
+16 *246:7 *952:TE 1.52352e-05
+17 *246:17 *947:A 3.31204e-05
+18 *246:22 *859:TE 7.60965e-05
+19 *246:22 *945:A 0.0001947
+20 *246:22 *945:B 0.000119762
+21 *703:A1 *246:22 4.60123e-05
+22 *703:B1_N *246:22 0.000198827
+23 *706:A *246:22 3.92607e-05
+24 *709:A1 *246:22 4.41506e-05
+25 *709:B1 *246:22 0.000307043
+26 *716:A1 *246:22 0.000222199
+27 *716:A2 *246:22 9.10952e-05
+28 *803:A2 *949:Z 6.61178e-05
+29 *859:Z *940:A 3.64998e-06
+30 *5:700 *940:A 4.56432e-05
+31 *153:5 *246:22 0.000132968
+32 *153:11 *246:22 2.30292e-05
+33 *156:11 *246:22 8.65905e-05
+*RES
+1 *952:Z *246:7 5.775
+2 *246:7 *947:Z 4.215
+3 *246:7 *246:17 2.87
+4 *246:17 *246:22 24.72
+5 *246:22 *940:A 8.72
+6 *246:22 *856:A 1.6
+7 *246:17 *949:Z 6.31
+*END
+
+*D_NET *247 0.00157533
+*CONN
+*I *877:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *858:Z O *D sky130_fd_sc_hd__einvp_2
+*I *860:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *877:A 0.000283096
+2 *858:Z 0.000259709
+3 *860:Z 5.03395e-05
+4 *247:7 0.000593144
+5 *858:Z *857:A 3.61308e-05
+6 *858:Z *250:18 5.26669e-05
+7 *877:A *882:A 0.00012476
+8 *877:A *272:6 7.53115e-05
+9 *247:7 *860:A 4.89395e-05
+10 *858:A *858:Z 3.00381e-05
+11 *858:A *877:A 0
+12 *859:A *858:Z 2.11921e-05
+13 *859:Z *858:Z 0
+14 *5:700 *858:Z 0
+15 *234:52 *877:A 0
+*RES
+1 *860:Z *247:7 4.395
+2 *247:7 *858:Z 8.1
+3 *247:7 *877:A 9.06
+*END
+
+*D_NET *248 0.00111169
+*CONN
+*I *860:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *858:TE I *D sky130_fd_sc_hd__einvp_2
+*I *741:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *860:TE_B 0.000178747
+2 *858:TE 0.000186146
+3 *741:X 8.37174e-05
+4 *248:6 0.000448611
+5 *5:876 *858:TE 0.000164073
+6 *5:876 *248:6 4.74267e-05
+7 *5:888 *248:6 2.97004e-06
+*RES
+1 *741:X *248:6 5.235
+2 *248:6 *858:TE 7.095
+3 *248:6 *860:TE_B 6.12
+*END
+
+*D_NET *249 0.0013712
+*CONN
+*I *861:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *859:TE I *D sky130_fd_sc_hd__einvp_2
+*I *771:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *861:TE_B 0
+2 *859:TE 0.000228905
+3 *771:X 0.000307491
+4 *249:8 0.000536397
+5 *859:TE *250:5 7.22354e-05
+6 *249:8 *878:A 3.64998e-06
+7 *249:8 *882:TE_B 5.42646e-05
+8 *683:B *249:8 4.29572e-05
+9 *708:A1 *859:TE 0
+10 *940:A *859:TE 4.92062e-05
+11 *5:700 *859:TE 0
+12 *5:714 *249:8 0
+13 *130:94 *249:8 0
+14 *175:22 *249:8 0
+15 *246:22 *859:TE 7.60965e-05
+*RES
+1 *771:X *249:8 8.16
+2 *249:8 *859:TE 8.13
+3 *249:8 *861:TE_B 3.6
+*END
+
+*D_NET *250 0.00139905
+*CONN
+*I *861:A I *D sky130_fd_sc_hd__einvn_4
+*I *860:A I *D sky130_fd_sc_hd__einvn_8
+*I *857:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *856:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *861:A 0
+2 *860:A 4.41583e-05
+3 *857:A 0.000192061
+4 *856:X 0.000190969
+5 *250:18 9.86398e-05
+6 *250:5 0.000437512
+7 *858:Z *857:A 3.61308e-05
+8 *858:Z *250:18 5.26669e-05
+9 *859:A *857:A 5.062e-06
+10 *859:TE *250:5 7.22354e-05
+11 *859:Z *857:A 4.99619e-05
+12 *859:Z *250:5 7.49327e-05
+13 *859:Z *250:18 7.4763e-05
+14 *940:A *250:5 2.10134e-05
+15 *247:7 *860:A 4.89395e-05
+*RES
+1 *856:X *250:5 4.12
+2 *250:5 *857:A 4.3
+3 *250:5 *250:18 5.635
+4 *250:18 *860:A 2.395
+5 *250:18 *861:A 1.6
+*END
+
+*D_NET *251 0.00242779
+*CONN
+*I *866:A I *D sky130_fd_sc_hd__einvp_2
+*I *864:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *866:A 0.000571313
+2 *864:X 0.000571313
+3 *866:A *864:A 8.64488e-05
+4 *866:A *865:A 5.23617e-05
+5 *866:A *866:TE 0.000355034
+6 *866:A *866:Z 4.77719e-05
+7 *866:A *950:TE_B 0
+8 *866:A *257:6 0.000143266
+9 *794:A1 *866:A 6.07575e-06
+10 *794:B1 *866:A 1.08758e-05
+11 *794:B2 *866:A 0.000117832
+12 *803:B1 *866:A 0.000386052
+13 *804:C *866:A 5.57429e-05
+14 *26:17 *866:A 2.37035e-05
+*RES
+1 *864:X *866:A 23.365
+*END
+
+*D_NET *252 0.00251591
+*CONN
+*I *866:Z O *D sky130_fd_sc_hd__einvp_2
+*I *869:A I *D sky130_fd_sc_hd__clkinv_1
+*I *868:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *866:Z 2.23356e-05
+2 *869:A 0.000534807
+3 *868:Z 0.000241378
+4 *252:9 0.000798521
+5 *866:Z *865:A 2.49909e-05
+6 *866:Z *258:8 1.79536e-05
+7 *252:9 *865:TE 0.00013994
+8 *252:9 *870:A 0
+9 *252:9 *258:8 0.000213488
+10 *252:9 *258:10 0.000141599
+11 *798:A3 *869:A 1.88602e-05
+12 *801:A *869:A 0.000173541
+13 *866:A *866:Z 4.77719e-05
+14 *5:351 *869:A 0.000109175
+15 *26:17 *866:Z 1.92979e-05
+16 *196:15 *869:A 1.22505e-05
+*RES
+1 *868:Z *252:9 8.31203
+2 *252:9 *869:A 5.18623
+3 *252:9 *866:Z 4.7825
+*END
+
+*D_NET *253 0.0018411
+*CONN
+*I *865:A I *D sky130_fd_sc_hd__einvp_2
+*I *869:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *865:A 0.000704975
+2 *869:Y 0.000704975
+3 *865:A *299:26 0.000152664
+4 *794:A1 *865:A 0.000167284
+5 *794:A2 *865:A 6.8725e-06
+6 *794:B1 *865:A 2.11476e-05
+7 *866:A *865:A 5.23617e-05
+8 *866:Z *865:A 2.49909e-05
+9 *234:115 *865:A 5.83121e-06
+*RES
+1 *869:Y *865:A 12.41
+*END
+
+*D_NET *254 0.000881063
+*CONN
+*I *935:Z O *D sky130_fd_sc_hd__einvp_2
+*I *863:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *937:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *935:Z 0
+2 *863:A 7.86152e-05
+3 *937:Z 0.000135285
+4 *254:8 0.0002139
+5 *863:A *939:A 4.28112e-06
+6 *863:A *323:8 0.000112591
+7 *254:8 *935:A 0.000114518
+8 *254:8 *939:A 1.89469e-05
+9 *383:DIODE *254:8 9.24881e-05
+10 *794:A2 *863:A 9.09611e-05
+11 *794:A2 *254:8 1.28996e-05
+12 *25:10 *863:A 6.57653e-06
+*RES
+1 *937:Z *254:8 6.375
+2 *254:8 *863:A 6
+3 *254:8 *935:Z 3.6
+*END
+
+*D_NET *255 0.000499285
+*CONN
+*I *865:Z O *D sky130_fd_sc_hd__einvp_2
+*I *870:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *867:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *865:Z 8.29187e-05
+2 *870:A 0.000166724
+3 *867:Z 0
+4 *255:5 0.000249643
+5 *865:Z *865:TE 0
+6 *252:9 *870:A 0
+*RES
+1 *867:Z *255:5 3.6
+2 *255:5 *870:A 6.195
+3 *255:5 *865:Z 4.98
+*END
+
+*D_NET *256 0.00603079
+*CONN
+*I *865:TE I *D sky130_fd_sc_hd__einvp_2
+*I *867:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *766:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *865:TE 0.000373169
+2 *867:TE_B 3.76511e-05
+3 *766:X 0.00117932
+4 *256:21 0.00159013
+5 *865:TE *867:A 2.49909e-05
+6 *256:21 *263:21 2.9393e-05
+7 *256:21 *278:12 0.000487747
+8 *256:21 *299:26 0.000826263
+9 *384:DIODE *256:21 6.58583e-05
+10 *743:A *256:21 8.28117e-05
+11 *750:A *256:21 0.000227047
+12 *766:A3 *256:21 3.00381e-05
+13 *775:B *256:21 3.09164e-05
+14 *776:B *256:21 0.000286096
+15 *792:C *256:21 1.12852e-05
+16 *804:C *867:TE_B 9.53428e-06
+17 *806:B2 *256:21 3.4222e-05
+18 *865:Z *865:TE 0
+19 *5:351 *256:21 6.34192e-05
+20 *16:5 *256:21 1.84195e-05
+21 *132:29 *256:21 3.32631e-05
+22 *181:18 *256:21 0.000305256
+23 *196:15 *256:21 8.7949e-05
+24 *237:89 *256:21 5.60739e-05
+25 *252:9 *865:TE 0.00013994
+*RES
+1 *766:X *256:21 15.1573
+2 *256:21 *867:TE_B 2.215
+3 *256:21 *865:TE 11.135
+*END
+
+*D_NET *257 0.00116052
+*CONN
+*I *866:TE I *D sky130_fd_sc_hd__einvp_2
+*I *868:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *803:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *866:TE 0.00019212
+2 *868:TE_B 3.59272e-05
+3 *803:X 6.65218e-05
+4 *257:6 0.000294569
+5 *794:A1 *866:TE 4.8854e-05
+6 *794:B2 *866:TE 2.42236e-05
+7 *866:A *866:TE 0.000355034
+8 *866:A *257:6 0.000143266
+9 *26:17 *866:TE 0
+10 *26:17 *257:6 0
+*RES
+1 *803:X *257:6 5.235
+2 *257:6 *868:TE_B 4.05
+3 *257:6 *866:TE 8.325
+*END
+
+*D_NET *258 0.00293136
+*CONN
+*I *867:A I *D sky130_fd_sc_hd__einvn_8
+*I *868:A I *D sky130_fd_sc_hd__einvn_4
+*I *864:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *863:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *867:A 2.89741e-05
+2 *868:A 0.000102407
+3 *864:A 0.000309338
+4 *863:X 0.000265178
+5 *258:10 0.000456752
+6 *258:8 0.000339159
+7 *864:A *946:A 0
+8 *864:A *947:A 0.000109331
+9 *864:A *265:6 0
+10 *258:8 *323:8 0.00012657
+11 *800:A2 *258:8 2.96426e-05
+12 *803:A2 *864:A 5.7969e-05
+13 *865:TE *867:A 2.49909e-05
+14 *866:A *864:A 8.64488e-05
+15 *866:Z *258:8 1.79536e-05
+16 *26:17 *864:A 0.000253665
+17 *26:17 *258:8 0.000211996
+18 *26:17 *258:10 0.000150706
+19 *131:7 *864:A 5.19006e-06
+20 *252:9 *258:8 0.000213488
+21 *252:9 *258:10 0.000141599
+*RES
+1 *863:X *258:8 9.105
+2 *258:8 *258:10 1.89
+3 *258:10 *864:A 10.41
+4 *258:10 *868:A 5.085
+5 *258:8 *867:A 4.05
+*END
+
+*D_NET *259 0.000120513
+*CONN
+*I *873:A I *D sky130_fd_sc_hd__einvp_2
+*I *871:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *873:A 6.02567e-05
+2 *871:X 6.02567e-05
+*RES
+1 *871:X *873:A 4.01
+*END
+
+*D_NET *260 0.000487835
+*CONN
+*I *876:A I *D sky130_fd_sc_hd__clkinv_1
+*I *873:Z O *D sky130_fd_sc_hd__einvp_2
+*I *875:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *876:A 7.43135e-05
+2 *873:Z 0.000133419
+3 *875:Z 3.61853e-05
+4 *260:7 0.000243918
+5 *873:Z *871:A 0
+6 *873:Z *265:12 0
+7 *876:A *265:12 0
+8 *805:B1 *873:Z 0
+*RES
+1 *875:Z *260:7 4.05
+2 *260:7 *873:Z 5.745
+3 *260:7 *876:A 4.725
+*END
+
+*D_NET *261 0.00019606
+*CONN
+*I *872:A I *D sky130_fd_sc_hd__einvp_2
+*I *876:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *872:A 6.64715e-05
+2 *876:Y 6.64715e-05
+3 *872:A *265:12 6.31166e-05
+*RES
+1 *876:Y *872:A 8.58
+*END
+
+*D_NET *262 0.0016383
+*CONN
+*I *946:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *950:A I *D sky130_fd_sc_hd__einvn_4
+*I *949:A I *D sky130_fd_sc_hd__einvn_8
+*I *872:Z O *D sky130_fd_sc_hd__einvp_2
+*I *874:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *946:A 0.000144445
+2 *950:A 0
+3 *949:A 0
+4 *872:Z 0.000124068
+5 *874:Z 0
+6 *262:22 0.000253955
+7 *262:15 0.000270118
+8 *262:5 0.000284676
+9 *872:Z *265:6 2.68721e-05
+10 *872:Z *265:12 7.89767e-05
+11 *946:A *948:A 5.83121e-06
+12 *946:A *948:Z 5.9844e-05
+13 *946:A *265:6 8.12236e-05
+14 *262:15 *265:6 0.000180379
+15 *262:22 *265:6 0.000113523
+16 *418:DIODE *872:Z 1.4389e-05
+17 *803:A2 *262:22 0
+18 *864:A *946:A 0
+*RES
+1 *874:Z *262:5 3.6
+2 *262:5 *872:Z 6.195
+3 *262:5 *262:15 3.675
+4 *262:15 *949:A 3.6
+5 *262:15 *262:22 2.4
+6 *262:22 *950:A 3.6
+7 *262:22 *946:A 6.285
+*END
+
+*D_NET *263 0.0100232
+*CONN
+*I *872:TE I *D sky130_fd_sc_hd__einvp_2
+*I *874:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *768:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *872:TE 0.000280115
+2 *874:TE_B 0
+3 *768:X 0.00193482
+4 *263:21 0.00221494
+5 *872:TE *333:162 2.24336e-05
+6 *872:TE *333:177 4.49498e-05
+7 *263:21 *299:26 5.86082e-05
+8 *384:DIODE *263:21 0.000321141
+9 *395:DIODE *263:21 4.95963e-05
+10 *418:DIODE *872:TE 5.011e-05
+11 *750:A *263:21 3.98513e-06
+12 *756:B1 *263:21 7.00889e-06
+13 *768:B1 *263:21 0.00011568
+14 *778:A2 *263:21 0.000387001
+15 *792:A *263:21 0
+16 *794:B1 *263:21 0.000163455
+17 *794:B2 *263:21 0
+18 *796:A1 *263:21 0.000126679
+19 *798:A3 *263:21 0.000565229
+20 *801:A *263:21 0.000104709
+21 *804:C *263:21 2.40018e-05
+22 *843:RESET_B *263:21 8.40213e-05
+23 *5:351 *263:21 0.000403124
+24 *5:409 *263:21 0.00013912
+25 *5:487 *872:TE 1.87038e-05
+26 *130:45 *263:21 8.68997e-05
+27 *142:62 *263:21 0.000662337
+28 *150:24 *263:21 0.000435262
+29 *178:39 *263:21 1.93765e-05
+30 *179:24 *263:21 2.54522e-05
+31 *179:32 *263:21 2.60713e-06
+32 *179:85 *263:21 1.79483e-05
+33 *234:8 *263:21 0.000660459
+34 *234:97 *263:21 0.000183322
+35 *234:108 *263:21 1.07797e-05
+36 *235:5 *263:21 4.66108e-05
+37 *235:40 *263:21 0.000555385
+38 *235:49 *263:21 0.000167897
+39 *256:21 *263:21 2.9393e-05
+*RES
+1 *768:X *263:21 31.2407
+2 *263:21 *874:TE_B 1.6
+3 *263:21 *872:TE 10.46
+*END
+
+*D_NET *264 0.000775737
+*CONN
+*I *875:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *873:TE I *D sky130_fd_sc_hd__einvp_2
+*I *805:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *875:TE_B 0.000152177
+2 *873:TE 6.08149e-05
+3 *805:X 7.77284e-05
+4 *264:6 0.000290721
+5 *875:TE_B *333:144 0
+6 *805:B1 *875:TE_B 0.000120379
+7 *805:B1 *264:6 7.3916e-05
+*RES
+1 *805:X *264:6 5.235
+2 *264:6 *873:TE 4.395
+3 *264:6 *875:TE_B 6.555
+*END
+
+*D_NET *265 0.00281164
+*CONN
+*I *874:A I *D sky130_fd_sc_hd__einvn_8
+*I *875:A I *D sky130_fd_sc_hd__einvn_4
+*I *871:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *870:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *874:A 0
+2 *875:A 0
+3 *871:A 0.000142455
+4 *870:X 0.000382344
+5 *265:12 0.000432489
+6 *265:6 0.000672379
+7 *864:A *265:6 0
+8 *872:A *265:12 6.31166e-05
+9 *872:Z *265:6 2.68721e-05
+10 *872:Z *265:12 7.89767e-05
+11 *873:Z *871:A 0
+12 *873:Z *265:12 0
+13 *876:A *265:12 0
+14 *946:A *265:6 8.12236e-05
+15 *27:11 *265:6 0.00043692
+16 *27:11 *265:12 0.000200966
+17 *262:15 *265:6 0.000180379
+18 *262:22 *265:6 0.000113523
+*RES
+1 *870:X *265:6 13.65
+2 *265:6 *265:12 6.525
+3 *265:12 *871:A 6
+4 *265:12 *875:A 3.6
+5 *265:6 *874:A 3.6
+*END
+
+*D_NET *266 0.000517672
+*CONN
+*I *880:A I *D sky130_fd_sc_hd__einvp_2
+*I *878:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *880:A 0.000152705
+2 *878:X 0.000152705
+3 *880:A *878:A 0.000212262
+*RES
+1 *878:X *880:A 6.185
+*END
+
+*D_NET *267 0.00163879
+*CONN
+*I *883:A I *D sky130_fd_sc_hd__clkinv_1
+*I *880:Z O *D sky130_fd_sc_hd__einvp_2
+*I *882:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *883:A 0.000173299
+2 *880:Z 4.23827e-05
+3 *882:Z 0.000115676
+4 *267:8 0.000331358
+5 *880:Z *878:A 8.65961e-05
+6 *883:A *879:A 0
+7 *883:A *908:TE 4.18603e-06
+8 *883:A *272:6 0.000110683
+9 *883:A *299:26 1.32974e-05
+10 *267:8 *882:A 0.000291738
+11 *267:8 *272:6 3.09233e-05
+12 *741:B1 *267:8 0.000120655
+13 *753:A1 *883:A 2.03087e-05
+14 *862:A *267:8 2.21074e-05
+15 *178:49 *883:A 0.000133541
+16 *234:52 *883:A 5.21545e-05
+17 *234:52 *267:8 8.98826e-05
+*RES
+1 *882:Z *267:8 7.395
+2 *267:8 *880:Z 4.395
+3 *267:8 *883:A 7.53
+*END
+
+*D_NET *268 0.000774413
+*CONN
+*I *879:A I *D sky130_fd_sc_hd__einvp_2
+*I *883:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *879:A 0.0002673
+2 *883:Y 0.0002673
+3 *757:B1 *879:A 0.000239813
+4 *883:A *879:A 0
+*RES
+1 *883:Y *879:A 10.755
+*END
+
+*D_NET *269 0.00117591
+*CONN
+*I *879:Z O *D sky130_fd_sc_hd__einvp_2
+*I *884:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *881:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *879:Z 8.98324e-05
+2 *884:A 0.000307942
+3 *881:Z 0.000190181
+4 *269:8 0.000587955
+5 *5:888 *879:Z 0
+6 *5:888 *269:8 0
+*RES
+1 *881:Z *269:8 6.3
+2 *269:8 *884:A 7.5
+3 *269:8 *879:Z 4.98
+*END
+
+*D_NET *270 0.000785916
+*CONN
+*I *879:TE I *D sky130_fd_sc_hd__einvp_2
+*I *881:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *747:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *879:TE 3.91357e-05
+2 *881:TE_B 8.00806e-05
+3 *747:X 1.8095e-05
+4 *270:5 0.000137311
+5 *879:TE *886:A 8.65961e-05
+6 *879:TE *890:A 3.74161e-05
+7 *881:TE_B *942:A 8.44359e-05
+8 *270:5 *886:A 8.49205e-05
+9 *270:5 *890:A 8.49205e-05
+10 *751:B1 *879:TE 4.53881e-05
+11 *5:888 *879:TE 4.35348e-05
+12 *5:888 *881:TE_B 4.40812e-05
+*RES
+1 *747:X *270:5 2.395
+2 *270:5 *881:TE_B 7.49
+3 *270:5 *879:TE 3.085
+*END
+
+*D_NET *271 0.0029557
+*CONN
+*I *880:TE I *D sky130_fd_sc_hd__einvp_2
+*I *882:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *778:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *880:TE 0
+2 *882:TE_B 0.000137654
+3 *778:X 0.000668194
+4 *271:12 0.000805848
+5 *683:B *882:TE_B 0
+6 *683:B *271:12 0
+7 *741:B1 *882:TE_B 0.000124007
+8 *745:B *271:12 7.5128e-05
+9 *746:A *271:12 6.58423e-05
+10 *746:C *271:12 1.56428e-05
+11 *750:C *271:12 1.60203e-05
+12 *757:B1 *271:12 0.00015639
+13 *769:B1 *271:12 6.36087e-05
+14 *771:B1 *882:TE_B 1.88656e-05
+15 *771:B1 *271:12 0.000236525
+16 *778:B1 *271:12 1.56459e-05
+17 *5:714 *882:TE_B 7.66908e-05
+18 *132:30 *271:12 6.00111e-05
+19 *132:48 *271:12 4.21973e-05
+20 *150:8 *271:12 0
+21 *176:14 *271:12 0.000122331
+22 *178:43 *271:12 4.76263e-05
+23 *179:7 *271:12 4.21973e-05
+24 *180:6 *271:12 0.000111012
+25 *234:52 *882:TE_B 0
+26 *249:8 *882:TE_B 5.42646e-05
+*RES
+1 *778:X *271:12 15.165
+2 *271:12 *882:TE_B 6.885
+3 *271:12 *880:TE 3.6
+*END
+
+*D_NET *272 0.00190174
+*CONN
+*I *878:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *881:A I *D sky130_fd_sc_hd__einvn_8
+*I *882:A I *D sky130_fd_sc_hd__einvn_4
+*I *877:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *878:A 0.000202428
+2 *881:A 0
+3 *882:A 0.000111376
+4 *877:X 3.66108e-05
+5 *272:17 0.000202428
+6 *272:6 0.000147987
+7 *741:B1 *882:A 6.77417e-05
+8 *862:A *882:A 0.000197243
+9 *877:A *882:A 0.00012476
+10 *877:A *272:6 7.53115e-05
+11 *880:A *878:A 0.000212262
+12 *880:Z *878:A 8.65961e-05
+13 *883:A *272:6 0.000110683
+14 *249:8 *878:A 3.64998e-06
+15 *267:8 *882:A 0.000291738
+16 *267:8 *272:6 3.09233e-05
+*RES
+1 *877:X *272:6 5.235
+2 *272:6 *882:A 8.085
+3 *272:6 *272:17 2
+4 *272:17 *881:A 1.6
+5 *272:17 *878:A 5.5
+*END
+
+*D_NET *273 0.000938134
+*CONN
+*I *887:A I *D sky130_fd_sc_hd__einvp_2
+*I *885:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *887:A 0.000206125
+2 *885:X 0.000206125
+3 *887:A *942:A 0.00016063
+4 *887:A *274:10 0.000272313
+5 *887:A *279:16 5.72004e-05
+6 *359:DIODE *887:A 1.86404e-05
+7 *5:891 *887:A 1.71013e-05
+*RES
+1 *885:X *887:A 11.85
+*END
+
+*D_NET *274 0.00177382
+*CONN
+*I *890:A I *D sky130_fd_sc_hd__clkinv_1
+*I *887:Z O *D sky130_fd_sc_hd__einvp_2
+*I *889:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *890:A 0.000112026
+2 *887:Z 0
+3 *889:Z 0.000146616
+4 *274:10 0.000258642
+5 *890:A *886:A 0.000218355
+6 *274:10 *889:TE_B 6.02447e-05
+7 *274:10 *891:A 3.09233e-05
+8 *274:10 *276:8 0.000183731
+9 *274:10 *279:16 0
+10 *741:A1 *274:10 4.82919e-05
+11 *747:A2 *890:A 5.84124e-05
+12 *879:TE *890:A 3.74161e-05
+13 *887:A *274:10 0.000272313
+14 *5:888 *890:A 0.000253845
+15 *5:891 *274:10 8.08153e-06
+16 *270:5 *890:A 8.49205e-05
+*RES
+1 *889:Z *274:10 10.235
+2 *274:10 *887:Z 1.6
+3 *274:10 *890:A 5.62
+*END
+
+*D_NET *275 0.00168084
+*CONN
+*I *886:A I *D sky130_fd_sc_hd__einvp_2
+*I *890:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *886:A 0.000205207
+2 *890:Y 0.000205207
+3 *886:A *886:Z 0.000139949
+4 *361:DIODE *886:A 0.000214965
+5 *747:A2 *886:A 2.88568e-05
+6 *751:B1 *886:A 0.000496782
+7 *879:TE *886:A 8.65961e-05
+8 *890:A *886:A 0.000218355
+9 *270:5 *886:A 8.49205e-05
+*RES
+1 *890:Y *886:A 11.735
+*END
+
+*D_NET *276 0.00171412
+*CONN
+*I *891:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *886:Z O *D sky130_fd_sc_hd__einvp_2
+*I *888:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *891:A 0.000141358
+2 *886:Z 4.71906e-05
+3 *888:Z 0.000111259
+4 *276:8 0.000299808
+5 *891:A *889:TE_B 0
+6 *891:A *278:12 0
+7 *276:8 *889:TE_B 5.3349e-05
+8 *361:DIODE *891:A 0.00013521
+9 *747:A2 *886:Z 0.000237243
+10 *751:B1 *891:A 0.00022084
+11 *751:B2 *891:A 8.8266e-05
+12 *757:A2 *891:A 5.83121e-06
+13 *886:A *886:Z 0.000139949
+14 *5:891 *891:A 0
+15 *5:904 *891:A 1.91597e-05
+16 *274:10 *891:A 3.09233e-05
+17 *274:10 *276:8 0.000183731
+*RES
+1 *888:Z *276:8 6.195
+2 *276:8 *886:Z 5.775
+3 *276:8 *891:A 7.395
+*END
+
+*D_NET *277 0.0009321
+*CONN
+*I *886:TE I *D sky130_fd_sc_hd__einvp_2
+*I *888:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *751:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *886:TE 7.88883e-05
+2 *888:TE_B 0.000122559
+3 *751:X 4.10651e-05
+4 *277:6 0.000242513
+5 *354:DIODE *277:6 7.4027e-05
+6 *355:DIODE *888:TE_B 0.000180395
+7 *355:DIODE *277:6 0.000118527
+8 *357:DIODE *888:TE_B 4.66108e-05
+9 *361:DIODE *886:TE 2.75145e-05
+*RES
+1 *751:X *277:6 4.98
+2 *277:6 *888:TE_B 6.195
+3 *277:6 *886:TE 4.74
+*END
+
+*D_NET *278 0.00750504
+*CONN
+*I *887:TE I *D sky130_fd_sc_hd__einvp_2
+*I *889:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *786:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *887:TE 0
+2 *889:TE_B 0.000308638
+3 *786:X 0.00246375
+4 *278:12 0.00277239
+5 *889:TE_B *942:A 7.35729e-05
+6 *278:12 *299:26 0.000367532
+7 *278:12 *334:14 0.000130158
+8 *352:DIODE *889:TE_B 2.03578e-05
+9 *352:DIODE *278:12 1.37812e-05
+10 *357:DIODE *889:TE_B 0
+11 *741:A1 *889:TE_B 7.30505e-05
+12 *743:A *278:12 9.97912e-05
+13 *771:A2 *278:12 0.000142191
+14 *771:B1 *278:12 7.80835e-05
+15 *776:B *278:12 5.7059e-05
+16 *786:B1 *278:12 1.88656e-05
+17 *891:A *889:TE_B 0
+18 *891:A *278:12 0
+19 *23:8 *889:TE_B 1.88595e-05
+20 *87:41 *278:12 1.88656e-05
+21 *142:29 *278:12 0.000110136
+22 *237:89 *278:12 0.000136621
+23 *256:21 *278:12 0.000487747
+24 *274:10 *889:TE_B 6.02447e-05
+25 *276:8 *889:TE_B 5.3349e-05
+*RES
+1 *786:X *278:12 10.0776
+2 *278:12 *889:TE_B 9.825
+3 *278:12 *887:TE 3.6
+*END
+
+*D_NET *279 0.000838403
+*CONN
+*I *885:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *889:A I *D sky130_fd_sc_hd__einvn_4
+*I *888:A I *D sky130_fd_sc_hd__einvn_8
+*I *884:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *885:A 5.43303e-05
+2 *889:A 0
+3 *888:A 5.66458e-05
+4 *884:X 3.33784e-05
+5 *279:16 0.000138368
+6 *279:5 0.000174062
+7 *359:DIODE *279:16 0.000202088
+8 *741:A1 *885:A 0.000122331
+9 *887:A *279:16 5.72004e-05
+10 *274:10 *279:16 0
+*RES
+1 *884:X *279:5 2.05
+2 *279:5 *888:A 2.395
+3 *279:5 *279:16 6.4
+4 *279:16 *889:A 1.6
+5 *279:16 *885:A 2.74
+*END
+
+*D_NET *280 0.000823823
+*CONN
+*I *894:A I *D sky130_fd_sc_hd__einvp_2
+*I *892:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *894:A 0.000197159
+2 *892:X 0.000197159
+3 *894:A *892:A 4.7072e-06
+4 *894:A *894:Z 0.000266764
+5 *894:A *896:A 1.08036e-05
+6 *894:A *900:TE 0.000138416
+7 *754:B1 *894:A 8.81386e-06
+*RES
+1 *892:X *894:A 11.505
+*END
+
+*D_NET *281 0.00111772
+*CONN
+*I *894:Z O *D sky130_fd_sc_hd__einvp_2
+*I *897:A I *D sky130_fd_sc_hd__clkinv_1
+*I *896:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *894:Z 0.000134666
+2 *897:A 0.000158656
+3 *896:Z 0
+4 *281:5 0.000293322
+5 *894:Z *896:TE_B 0
+6 *754:B1 *894:Z 0.000111022
+7 *754:B1 *897:A 2.93136e-05
+8 *894:A *894:Z 0.000266764
+9 *5:1003 *897:A 0.00012398
+*RES
+1 *896:Z *281:5 3.6
+2 *281:5 *897:A 6.12
+3 *281:5 *894:Z 7.53
+*END
+
+*D_NET *282 0.000126863
+*CONN
+*I *893:A I *D sky130_fd_sc_hd__einvp_2
+*I *897:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *893:A 3.79599e-05
+2 *897:Y 3.79599e-05
+3 *5:1003 *893:A 5.09431e-05
+*RES
+1 *897:Y *893:A 3.995
+*END
+
+*D_NET *283 0.00214684
+*CONN
+*I *898:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *893:Z O *D sky130_fd_sc_hd__einvp_2
+*I *895:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *898:A 0.000226631
+2 *893:Z 0.000205372
+3 *895:Z 3.93155e-05
+4 *283:6 0.000471318
+5 *893:Z *895:TE_B 8.41384e-05
+6 *893:Z *284:5 0.000182253
+7 *898:A *903:A 0.000120417
+8 *754:B1 *898:A 0.000417642
+9 *5:928 *283:6 3.89528e-05
+10 *5:1001 *898:A 2.48641e-05
+11 *5:1001 *283:6 7.60904e-06
+12 *5:1003 *893:Z 4.51928e-05
+13 *33:8 *898:A 0.000164959
+14 *33:8 *283:6 0.000118176
+*RES
+1 *895:Z *283:6 4.98
+2 *283:6 *893:Z 7.155
+3 *283:6 *898:A 9.645
+*END
+
+*D_NET *284 0.00139706
+*CONN
+*I *893:TE I *D sky130_fd_sc_hd__einvp_2
+*I *895:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *752:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *893:TE 0
+2 *895:TE_B 0.000348785
+3 *752:X 7.04341e-05
+4 *284:5 0.000419219
+5 *895:TE_B *286:6 4.43871e-06
+6 *895:TE_B *286:15 9.33846e-05
+7 *357:DIODE *895:TE_B 0
+8 *752:B1 *284:5 4.66108e-05
+9 *893:Z *895:TE_B 8.41384e-05
+10 *893:Z *284:5 0.000182253
+11 *5:1001 *284:5 5.4606e-05
+12 *5:1003 *895:TE_B 4.71087e-05
+13 *5:1003 *284:5 4.60814e-05
+*RES
+1 *752:X *284:5 3.775
+2 *284:5 *895:TE_B 11.75
+3 *284:5 *893:TE 1.6
+*END
+
+*D_NET *285 0.0081247
+*CONN
+*I *894:TE I *D sky130_fd_sc_hd__einvp_2
+*I *896:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *789:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *894:TE 0
+2 *896:TE_B 0.00024058
+3 *789:X 0.00252394
+4 *285:18 0.000319042
+5 *285:17 0.0026024
+6 *896:TE_B *900:TE 0
+7 *285:17 *306:12 0.000746481
+8 *285:17 *316:8 0
+9 *285:17 *319:11 4.77769e-05
+10 *285:17 *321:16 0
+11 *285:18 *900:TE 0
+12 *754:B1 *896:TE_B 7.70045e-05
+13 *761:A2 *285:17 0.0012748
+14 *761:A2 *285:18 0
+15 *894:Z *896:TE_B 0
+16 *5:1008 *896:TE_B 6.3309e-05
+17 *19:8 *285:17 0
+18 *20:15 *285:17 0
+19 *32:11 *896:TE_B 0.000103865
+20 *34:10 *285:18 3.51805e-05
+21 *35:10 *285:18 0
+22 *36:10 *285:18 9.03291e-05
+*RES
+1 *789:X *285:17 49.795
+2 *285:17 *285:18 1.635
+3 *285:18 *896:TE_B 8.58
+4 *285:18 *894:TE 3.6
+*END
+
+*D_NET *286 0.000996072
+*CONN
+*I *892:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *896:A I *D sky130_fd_sc_hd__einvn_4
+*I *895:A I *D sky130_fd_sc_hd__einvn_8
+*I *891:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *892:A 5.15266e-05
+2 *896:A 1.21898e-05
+3 *895:A 0
+4 *891:X 6.39821e-05
+5 *286:15 0.000254703
+6 *286:6 0.000254969
+7 *357:DIODE *286:6 0
+8 *751:B2 *286:6 2.87867e-05
+9 *752:A2 *286:15 3.89775e-05
+10 *752:B1 *286:6 2.03321e-05
+11 *752:B1 *286:15 6.62962e-05
+12 *754:B1 *892:A 4.31979e-05
+13 *754:B1 *896:A 4.77769e-05
+14 *894:A *892:A 4.7072e-06
+15 *894:A *896:A 1.08036e-05
+16 *895:TE_B *286:6 4.43871e-06
+17 *895:TE_B *286:15 9.33846e-05
+*RES
+1 *891:X *286:6 4.98
+2 *286:6 *895:A 3.6
+3 *286:6 *286:15 5.93
+4 *286:15 *896:A 2.05
+5 *286:15 *892:A 2.755
+*END
+
+*D_NET *287 0.000235342
+*CONN
+*I *901:A I *D sky130_fd_sc_hd__einvp_2
+*I *899:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *901:A 7.16413e-05
+2 *899:X 7.16413e-05
+3 *901:A *904:A 9.20597e-05
+*RES
+1 *899:X *901:A 4.355
+*END
+
+*D_NET *288 0.00114963
+*CONN
+*I *904:A I *D sky130_fd_sc_hd__clkinv_1
+*I *901:Z O *D sky130_fd_sc_hd__einvp_2
+*I *903:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *904:A 0.000116464
+2 *901:Z 0
+3 *903:Z 0.000185408
+4 *288:9 0.000301872
+5 *904:A *899:A 4.66108e-05
+6 *904:A *900:A 0.000145129
+7 *288:9 *899:A 0
+8 *288:9 *900:A 2.0514e-05
+9 *288:9 *900:Z 0
+10 *359:DIODE *288:9 0.000121209
+11 *901:A *904:A 9.20597e-05
+12 *5:949 *288:9 8.34999e-05
+13 *37:10 *288:9 3.68682e-05
+*RES
+1 *903:Z *288:9 9.98
+2 *288:9 *901:Z 1.6
+3 *288:9 *904:A 4.6
+*END
+
+*D_NET *289 0.00102517
+*CONN
+*I *900:A I *D sky130_fd_sc_hd__einvp_2
+*I *904:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *900:A 0.000130778
+2 *904:Y 0.000130778
+3 *900:A *899:A 4.66108e-05
+4 *900:A *901:TE 4.99619e-05
+5 *904:A *900:A 0.000145129
+6 *36:10 *900:A 0.000501399
+7 *288:9 *900:A 2.0514e-05
+*RES
+1 *904:Y *900:A 7.79
+*END
+
+*D_NET *290 0.00167287
+*CONN
+*I *905:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *900:Z O *D sky130_fd_sc_hd__einvp_2
+*I *902:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *905:A 0.000209973
+2 *900:Z 0.000226658
+3 *902:Z 0
+4 *290:4 0.000436631
+5 *905:A *902:A 4.6075e-05
+6 *905:A *293:16 9.23578e-05
+7 *359:DIODE *900:Z 6.2053e-05
+8 *370:DIODE *905:A 3.54125e-05
+9 *5:949 *905:A 0.000278188
+10 *13:9 *905:A 1.91767e-05
+11 *33:8 *900:Z 0.000184034
+12 *37:10 *900:Z 7.04131e-05
+13 *37:10 *905:A 1.19028e-05
+14 *288:9 *900:Z 0
+*RES
+1 *902:Z *290:4 1.6
+2 *290:4 *900:Z 10.265
+3 *290:4 *905:A 10.16
+*END
+
+*D_NET *291 0.000633637
+*CONN
+*I *902:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *900:TE I *D sky130_fd_sc_hd__einvp_2
+*I *754:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *902:TE_B 0
+2 *900:TE 0.000128512
+3 *754:X 4.22652e-05
+4 *291:5 0.000170777
+5 *900:TE *901:TE 0
+6 *359:DIODE *291:5 8.23457e-05
+7 *894:A *900:TE 0.000138416
+8 *896:TE_B *900:TE 0
+9 *35:10 *900:TE 4.82919e-05
+10 *36:10 *900:TE 2.30292e-05
+11 *285:18 *900:TE 0
+*RES
+1 *754:X *291:5 2.395
+2 *291:5 *900:TE 8.285
+3 *291:5 *902:TE_B 1.6
+*END
+
+*D_NET *292 0.00789979
+*CONN
+*I *901:TE I *D sky130_fd_sc_hd__einvp_2
+*I *903:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *793:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *901:TE 0.000237422
+2 *903:TE_B 2.14188e-05
+3 *793:X 0.00213484
+4 *292:13 0.00239368
+5 *292:13 *921:TE 5.40425e-05
+6 *292:13 *325:7 0.000109869
+7 *760:B *292:13 8.14638e-05
+8 *780:A *292:13 8.7152e-06
+9 *784:B *292:13 0.000429469
+10 *792:A *292:13 0.00153731
+11 *798:A3 *292:13 0.000377725
+12 *900:A *901:TE 4.99619e-05
+13 *900:TE *901:TE 0
+14 *33:8 *901:TE 0.000322153
+15 *33:8 *903:TE_B 4.11685e-05
+16 *36:10 *901:TE 6.27029e-05
+17 *183:11 *292:13 9.30637e-06
+18 *187:47 *292:13 2.85364e-05
+*RES
+1 *793:X *292:13 13.0724
+2 *292:13 *903:TE_B 4.0875
+3 *292:13 *901:TE 8.8875
+*END
+
+*D_NET *293 0.00140574
+*CONN
+*I *899:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *902:A I *D sky130_fd_sc_hd__einvn_8
+*I *903:A I *D sky130_fd_sc_hd__einvn_4
+*I *898:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *899:A 9.66752e-05
+2 *902:A 4.24195e-05
+3 *903:A 0.000104402
+4 *898:X 3.71144e-05
+5 *293:16 0.000190045
+6 *293:5 0.000192467
+7 *754:B1 *293:5 4.40693e-06
+8 *898:A *903:A 0.000120417
+9 *900:A *899:A 4.66108e-05
+10 *904:A *899:A 4.66108e-05
+11 *905:A *902:A 4.6075e-05
+12 *905:A *293:16 9.23578e-05
+13 *5:949 *899:A 1.0397e-05
+14 *5:949 *902:A 8.41384e-05
+15 *5:949 *293:16 2.3228e-05
+16 *13:9 *899:A 0.000155106
+17 *13:9 *293:16 9.21848e-05
+18 *37:10 *899:A 0
+19 *37:10 *902:A 2.10841e-05
+20 *288:9 *899:A 0
+*RES
+1 *898:X *293:5 2.05
+2 *293:5 *903:A 3.61
+3 *293:5 *293:16 3.635
+4 *293:16 *902:A 4.74
+5 *293:16 *899:A 5.94
+*END
+
+*D_NET *294 0.00152571
+*CONN
+*I *908:A I *D sky130_fd_sc_hd__einvp_2
+*I *906:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *908:A 0.000386288
+2 *906:X 0.000386288
+3 *908:A *906:A 0.000109845
+4 *908:A *908:TE 3.90266e-05
+5 *908:A *908:Z 0.000386016
+6 *765:B *908:A 4.24771e-05
+7 *768:B2 *908:A 0.00013521
+8 *5:969 *908:A 4.05602e-05
+*RES
+1 *906:X *908:A 14.97
+*END
+
+*D_NET *295 0.0015017
+*CONN
+*I *908:Z O *D sky130_fd_sc_hd__einvp_2
+*I *911:A I *D sky130_fd_sc_hd__clkinv_1
+*I *910:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *908:Z 0.000190414
+2 *911:A 2.54673e-05
+3 *910:Z 0
+4 *295:4 0.000215881
+5 *908:Z *906:A 6.66768e-05
+6 *908:Z *908:TE 0
+7 *908:Z *300:6 0
+8 *908:Z *300:11 0
+9 *911:A *906:A 6.60042e-05
+10 *911:A *300:11 4.94457e-05
+11 *373:DIODE *908:Z 0.00021761
+12 *373:DIODE *911:A 0.000161984
+13 *908:A *908:Z 0.000386016
+14 *5:969 *908:Z 0.000122199
+*RES
+1 *910:Z *295:4 1.6
+2 *295:4 *911:A 3.085
+3 *295:4 *908:Z 11.3
+*END
+
+*D_NET *296 0.000402113
+*CONN
+*I *907:A I *D sky130_fd_sc_hd__einvp_2
+*I *911:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *907:A 7.17207e-05
+2 *911:Y 7.17207e-05
+3 *907:A *942:A 0.000117825
+4 *907:A *297:8 9.50342e-06
+5 *907:A *300:11 4.40899e-05
+6 *373:DIODE *907:A 4.99619e-05
+7 *757:B1 *907:A 3.72916e-05
+*RES
+1 *911:Y *907:A 9.375
+*END
+
+*D_NET *297 0.00414211
+*CONN
+*I *912:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *907:Z O *D sky130_fd_sc_hd__einvp_2
+*I *942:A I *D sky130_fd_sc_hd__clkinv_2
+*I *909:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *912:A 8.09466e-05
+2 *907:Z 0
+3 *942:A 0.000649665
+4 *909:Z 0
+5 *297:8 0.00102993
+6 *297:4 0.000461215
+7 *912:A *908:TE 0.000138612
+8 *942:A *298:8 6.47516e-05
+9 *942:A *300:11 0
+10 *297:8 *909:A 0.000152207
+11 *297:8 *909:TE_B 7.35813e-05
+12 *297:8 *298:8 1.1561e-05
+13 *297:8 *300:6 0
+14 *297:8 *300:11 0
+15 *359:DIODE *942:A 0.000207766
+16 *359:DIODE *297:8 1.94723e-05
+17 *373:DIODE *297:8 0.000229541
+18 *741:A1 *942:A 0.000365527
+19 *747:B1 *942:A 3.45703e-05
+20 *765:B *912:A 8.34904e-06
+21 *881:TE_B *942:A 8.44359e-05
+22 *887:A *942:A 0.00016063
+23 *889:TE_B *942:A 7.35729e-05
+24 *907:A *942:A 0.000117825
+25 *907:A *297:8 9.50342e-06
+26 *5:888 *942:A 0
+27 *14:7 *912:A 0.000160772
+28 *179:32 *297:8 7.67415e-06
+*RES
+1 *909:Z *297:4 1.6
+2 *297:4 *297:8 8.84
+3 *297:8 *942:A 17.685
+4 *297:8 *907:Z 3.6
+5 *297:4 *912:A 7.94
+*END
+
+*D_NET *298 0.000811278
+*CONN
+*I *909:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *907:TE I *D sky130_fd_sc_hd__einvp_2
+*I *757:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *909:TE_B 6.39503e-05
+2 *907:TE 6.62322e-05
+3 *757:X 4.49346e-05
+4 *298:8 0.000175117
+5 *359:DIODE *298:8 0.000139238
+6 *942:A *298:8 6.47516e-05
+7 *179:32 *907:TE 6.58339e-05
+8 *179:32 *909:TE_B 0.000106078
+9 *297:8 *909:TE_B 7.35813e-05
+10 *297:8 *298:8 1.1561e-05
+*RES
+1 *757:X *298:8 7.235
+2 *298:8 *907:TE 2.74
+3 *298:8 *909:TE_B 3.43
+*END
+
+*D_NET *299 0.00698368
+*CONN
+*I *908:TE I *D sky130_fd_sc_hd__einvp_2
+*I *910:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *794:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *908:TE 0.000226435
+2 *910:TE_B 0
+3 *794:X 0.00171697
+4 *299:26 0.0019434
+5 *375:DIODE *908:TE 2.75229e-05
+6 *380:DIODE *299:26 8.40269e-05
+7 *704:A1 *299:26 9.387e-06
+8 *743:A *299:26 4.66108e-05
+9 *743:B *299:26 4.0031e-05
+10 *750:A *299:26 0.000349409
+11 *753:A1 *908:TE 0
+12 *765:B *908:TE 2.78177e-05
+13 *768:B2 *908:TE 7.17811e-05
+14 *778:A2 *299:26 4.14847e-05
+15 *791:A *299:26 4.66108e-05
+16 *791:B *299:26 0.000218993
+17 *800:B2 *299:26 4.99619e-05
+18 *865:A *299:26 0.000152664
+19 *883:A *908:TE 4.18603e-06
+20 *883:A *299:26 1.32974e-05
+21 *908:A *908:TE 3.90266e-05
+22 *908:Z *908:TE 0
+23 *912:A *908:TE 0.000138612
+24 *5:969 *908:TE 5.83121e-06
+25 *14:7 *908:TE 1.07797e-05
+26 *83:25 *299:26 4.54556e-05
+27 *150:24 *299:26 0.00012649
+28 *187:33 *299:26 5.98468e-05
+29 *197:8 *299:26 0.000122331
+30 *197:26 *299:26 3.50845e-05
+31 *234:115 *299:26 7.7237e-05
+32 *256:21 *299:26 0.000826263
+33 *263:21 *299:26 5.86082e-05
+34 *278:12 *299:26 0.000367532
+*RES
+1 *794:X *299:26 18.9545
+2 *299:26 *910:TE_B 3.6
+3 *299:26 *908:TE 8.325
+*END
+
+*D_NET *300 0.00243977
+*CONN
+*I *909:A I *D sky130_fd_sc_hd__einvn_8
+*I *910:A I *D sky130_fd_sc_hd__einvn_4
+*I *906:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *905:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *909:A 8.73125e-05
+2 *910:A 0
+3 *906:A 0.000177845
+4 *905:X 0.000105471
+5 *300:11 0.000302602
+6 *300:6 0.00031754
+7 *359:DIODE *300:6 0
+8 *373:DIODE *909:A 8.23457e-05
+9 *373:DIODE *300:6 0
+10 *373:DIODE *300:11 2.0514e-05
+11 *757:B1 *906:A 0.000615813
+12 *757:B1 *300:11 4.57009e-05
+13 *907:A *300:11 4.40899e-05
+14 *908:A *906:A 0.000109845
+15 *908:Z *906:A 6.66768e-05
+16 *908:Z *300:6 0
+17 *908:Z *300:11 0
+18 *911:A *906:A 6.60042e-05
+19 *911:A *300:11 4.94457e-05
+20 *942:A *300:11 0
+21 *179:32 *909:A 0.000196357
+22 *297:8 *909:A 0.000152207
+23 *297:8 *300:6 0
+24 *297:8 *300:11 0
+*RES
+1 *905:X *300:6 5.235
+2 *300:6 *300:11 4.685
+3 *300:11 *906:A 7.945
+4 *300:11 *910:A 1.6
+5 *300:6 *909:A 6.81
+*END
+
+*D_NET *301 0.000730689
+*CONN
+*I *915:A I *D sky130_fd_sc_hd__einvp_2
+*I *913:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *915:A 7.5647e-05
+2 *913:X 7.5647e-05
+3 *915:A *914:A 2.65814e-06
+4 *915:A *916:A 2.0514e-05
+5 *366:DIODE *915:A 0.000239807
+6 *762:A2 *915:A 0.000316415
+*RES
+1 *913:X *915:A 6.425
+*END
+
+*D_NET *302 0.00111029
+*CONN
+*I *915:Z O *D sky130_fd_sc_hd__einvp_2
+*I *918:A I *D sky130_fd_sc_hd__clkinv_1
+*I *917:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *915:Z 0
+2 *918:A 6.35295e-05
+3 *917:Z 0.000191352
+4 *302:8 0.000254881
+5 *918:A *914:A 0.000234674
+6 *302:8 *914:A 0.000280225
+7 *302:8 *916:A 1.07797e-05
+8 *302:8 *307:14 2.99518e-06
+9 *762:A2 *918:A 7.18547e-05
+10 *14:7 *302:8 0
+*RES
+1 *917:Z *302:8 9.53
+2 *302:8 *918:A 3.775
+3 *302:8 *915:Z 1.6
+*END
+
+*D_NET *303 0.00155537
+*CONN
+*I *914:A I *D sky130_fd_sc_hd__einvp_2
+*I *918:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *914:A 0.000413494
+2 *918:Y 0.000413494
+3 *914:A *914:Z 0
+4 *914:A *916:A 0.000112598
+5 *914:A *307:24 4.77807e-05
+6 *762:A2 *914:A 7.25761e-06
+7 *766:B1 *914:A 4.31915e-05
+8 *915:A *914:A 2.65814e-06
+9 *918:A *914:A 0.000234674
+10 *302:8 *914:A 0.000280225
+*RES
+1 *918:Y *914:A 14.625
+*END
+
+*D_NET *304 0.000731374
+*CONN
+*I *919:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *914:Z O *D sky130_fd_sc_hd__einvp_2
+*I *916:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *919:A 7.21829e-05
+2 *914:Z 6.46785e-05
+3 *916:Z 9.89864e-06
+4 *304:7 0.00014676
+5 *914:Z *307:24 0.000112017
+6 *919:A *916:A 5.19608e-05
+7 *919:A *307:24 0.000178322
+8 *304:7 *914:TE 4.77769e-05
+9 *758:B2 *304:7 4.77769e-05
+10 *914:A *914:Z 0
+*RES
+1 *916:Z *304:7 4.05
+2 *304:7 *914:Z 4.98
+3 *304:7 *919:A 5.745
+*END
+
+*D_NET *305 0.00220406
+*CONN
+*I *914:TE I *D sky130_fd_sc_hd__einvp_2
+*I *916:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *758:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *914:TE 0.00027594
+2 *916:TE_B 0
+3 *758:X 0.000158728
+4 *305:7 0.000434668
+5 *758:A2 *305:7 1.4417e-05
+6 *758:B1 *914:TE 0.000561792
+7 *758:B1 *305:7 2.47904e-05
+8 *758:B2 *914:TE 0.000365219
+9 *758:B2 *305:7 0.000111997
+10 *762:B1 *914:TE 7.27402e-06
+11 *5:949 *305:7 3.81062e-05
+12 *15:10 *914:TE 0.000155219
+13 *37:10 *305:7 8.12896e-06
+14 *304:7 *914:TE 4.77769e-05
+*RES
+1 *758:X *305:7 4.735
+2 *305:7 *916:TE_B 1.6
+3 *305:7 *914:TE 14.96
+*END
+
+*D_NET *306 0.00378592
+*CONN
+*I *915:TE I *D sky130_fd_sc_hd__einvp_2
+*I *917:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *795:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *915:TE 0
+2 *917:TE_B 0.000241441
+3 *795:X 0.000991629
+4 *306:12 0.00123307
+5 *758:B2 *917:TE_B 0
+6 *765:B *917:TE_B 3.75882e-06
+7 *766:B1 *917:TE_B 8.64599e-05
+8 *14:7 *917:TE_B 0.00036693
+9 *14:7 *306:12 0.000116151
+10 *16:5 *306:12 0
+11 *17:9 *306:12 0
+12 *285:17 *306:12 0.000746481
+*RES
+1 *795:X *306:12 21.595
+2 *306:12 *917:TE_B 8.835
+3 *306:12 *915:TE 3.6
+*END
+
+*D_NET *307 0.00287803
+*CONN
+*I *913:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *916:A I *D sky130_fd_sc_hd__einvn_8
+*I *917:A I *D sky130_fd_sc_hd__einvn_4
+*I *912:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *913:A 3.64709e-05
+2 *916:A 0.000140652
+3 *917:A 0
+4 *912:X 0.000269926
+5 *307:24 0.000339805
+6 *307:14 0.000432608
+7 *913:A *314:11 1.33285e-05
+8 *916:A *314:11 5.83121e-06
+9 *366:DIODE *913:A 0.000198945
+10 *366:DIODE *916:A 0.000124895
+11 *753:A1 *307:14 4.77807e-05
+12 *766:B1 *307:24 0.000122821
+13 *792:A *307:14 0
+14 *914:A *916:A 0.000112598
+15 *914:A *307:24 4.77807e-05
+16 *914:Z *307:24 0.000112017
+17 *915:A *916:A 2.0514e-05
+18 *919:A *916:A 5.19608e-05
+19 *919:A *307:24 0.000178322
+20 *14:7 *916:A 0
+21 *15:10 *307:24 8.08153e-06
+22 *180:49 *913:A 0.000160641
+23 *180:49 *307:14 8.80001e-05
+24 *180:49 *307:24 0.000322438
+25 *184:8 *307:14 2.88357e-05
+26 *302:8 *916:A 1.07797e-05
+27 *302:8 *307:14 2.99518e-06
+*RES
+1 *912:X *307:14 10.04
+2 *307:14 *917:A 1.6
+3 *307:14 *307:24 9.325
+4 *307:24 *916:A 8.975
+5 *307:24 *913:A 3.43
+*END
+
+*D_NET *308 0.00100853
+*CONN
+*I *922:A I *D sky130_fd_sc_hd__einvp_2
+*I *920:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *922:A 0.000326673
+2 *920:X 0.000326673
+3 *788:A *922:A 0.000192217
+4 *795:A1 *922:A 0.000122083
+5 *795:A2 *922:A 9.97536e-06
+6 *180:62 *922:A 3.09088e-05
+*RES
+1 *920:X *922:A 7.46
+*END
+
+*D_NET *309 0.00211301
+*CONN
+*I *925:A I *D sky130_fd_sc_hd__clkinv_1
+*I *922:Z O *D sky130_fd_sc_hd__einvp_2
+*I *924:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *925:A 0.000296221
+2 *922:Z 0.000265336
+3 *924:Z 0
+4 *309:4 0.000561556
+5 *922:Z *922:TE 9.71067e-05
+6 *922:Z *314:11 9.47267e-06
+7 *925:A *921:A 9.27434e-05
+8 *925:A *923:A 0.000260268
+9 *925:A *314:11 4.60814e-05
+10 *760:B *922:Z 0.000271098
+11 *795:A1 *922:Z 3.68682e-05
+12 *18:5 *925:A 9.27434e-05
+13 *207:9 *925:A 8.35118e-05
+*RES
+1 *924:Z *309:4 1.6
+2 *309:4 *922:Z 9.95
+3 *309:4 *925:A 11.66
+*END
+
+*D_NET *310 0.00075143
+*CONN
+*I *921:A I *D sky130_fd_sc_hd__einvp_2
+*I *925:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *921:A 0.000107051
+2 *925:Y 0.000107051
+3 *921:A *921:Z 0.000132821
+4 *788:C *921:A 0.000281721
+5 *925:A *921:A 9.27434e-05
+6 *18:5 *921:A 3.0042e-05
+*RES
+1 *925:Y *921:A 10.62
+*END
+
+*D_NET *311 0.00164385
+*CONN
+*I *926:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *921:Z O *D sky130_fd_sc_hd__einvp_2
+*I *923:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *926:A 0.00013068
+2 *921:Z 0.000156326
+3 *923:Z 0
+4 *311:4 0.000287005
+5 *921:Z *923:A 4.66108e-05
+6 *921:Z *319:11 0.000301511
+7 *926:A *928:A 7.10578e-05
+8 *926:A *932:A 8.65905e-05
+9 *384:DIODE *921:Z 2.747e-05
+10 *389:DIODE *926:A 3.4222e-05
+11 *788:C *921:Z 1.28996e-05
+12 *788:C *926:A 0
+13 *921:A *921:Z 0.000132821
+14 *5:393 *921:Z 5.19006e-06
+15 *5:397 *921:Z 4.60814e-05
+16 *18:5 *921:Z 0.000156284
+17 *18:5 *926:A 0
+18 *19:8 *926:A 5.17009e-05
+19 *207:9 *921:Z 2.67255e-05
+20 *207:12 *926:A 7.06739e-05
+*RES
+1 *923:Z *311:4 1.6
+2 *311:4 *921:Z 10.715
+3 *311:4 *926:A 8.885
+*END
+
+*D_NET *312 0.0031072
+*CONN
+*I *923:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *921:TE I *D sky130_fd_sc_hd__einvp_2
+*I *761:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *923:TE_B 0
+2 *921:TE 0.000136403
+3 *761:X 0.000606691
+4 *312:16 0.000743094
+5 *921:TE *325:7 0.000156778
+6 *366:DIODE *312:16 0.000124007
+7 *760:B *921:TE 5.09821e-05
+8 *760:B *312:16 6.15576e-06
+9 *762:A2 *312:16 8.65905e-05
+10 *788:B *312:16 0.000161529
+11 *795:A1 *312:16 6.47727e-06
+12 *795:A2 *312:16 0.000260546
+13 *798:A3 *921:TE 2.747e-05
+14 *798:B1 *921:TE 2.12787e-05
+15 *18:5 *312:16 0
+16 *24:12 *921:TE 4.55864e-06
+17 *24:12 *312:16 8.98405e-05
+18 *180:49 *312:16 8.34281e-06
+19 *180:62 *312:16 0.000432975
+20 *183:11 *921:TE 0.000129438
+21 *207:9 *312:16 0
+22 *292:13 *921:TE 5.40425e-05
+*RES
+1 *761:X *312:16 15.66
+2 *312:16 *921:TE 7.23
+3 *312:16 *923:TE_B 3.6
+*END
+
+*D_NET *313 0.0013353
+*CONN
+*I *922:TE I *D sky130_fd_sc_hd__einvp_2
+*I *924:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *798:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *922:TE 0.000110865
+2 *924:TE_B 9.88613e-06
+3 *798:X 0.000173682
+4 *313:8 0.000294433
+5 *922:TE *314:11 0.000175546
+6 *924:TE_B *314:11 4.77807e-05
+7 *313:8 *314:11 2.98644e-05
+8 *313:8 *325:7 0.00012398
+9 *760:B *924:TE_B 4.77807e-05
+10 *784:B *313:8 1.88602e-05
+11 *795:A1 *922:TE 0
+12 *795:A1 *313:8 0
+13 *922:Z *922:TE 9.71067e-05
+14 *16:5 *922:TE 1.73877e-05
+15 *16:5 *313:8 2.74929e-05
+16 *180:62 *922:TE 0.000160635
+*RES
+1 *798:X *313:8 6.375
+2 *313:8 *924:TE_B 4.05
+3 *313:8 *922:TE 6.975
+*END
+
+*D_NET *314 0.00444151
+*CONN
+*I *923:A I *D sky130_fd_sc_hd__einvn_8
+*I *924:A I *D sky130_fd_sc_hd__einvn_4
+*I *920:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *919:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *923:A 0.000148061
+2 *924:A 0
+3 *920:A 9.4388e-05
+4 *919:X 0.000609987
+5 *314:13 0.000115506
+6 *314:11 0.000779166
+7 *923:A *319:11 0.000203473
+8 *758:B2 *314:11 0.00034436
+9 *760:B *314:11 0.000170587
+10 *788:A *920:A 0.000158072
+11 *788:B *920:A 9.50342e-06
+12 *795:A2 *920:A 0.000158072
+13 *798:B1 *920:A 8.7152e-06
+14 *913:A *314:11 1.33285e-05
+15 *916:A *314:11 5.83121e-06
+16 *921:Z *923:A 4.66108e-05
+17 *922:TE *314:11 0.000175546
+18 *922:Z *314:11 9.47267e-06
+19 *924:TE_B *314:11 4.77807e-05
+20 *925:A *923:A 0.000260268
+21 *925:A *314:11 4.60814e-05
+22 *16:5 *314:11 7.49399e-05
+23 *24:12 *920:A 0.000146094
+24 *180:49 *314:11 0.000385333
+25 *180:62 *314:11 3.94429e-05
+26 *183:11 *923:A 7.20926e-05
+27 *183:11 *314:11 0.000119251
+28 *200:8 *920:A 0.000156483
+29 *207:9 *923:A 1.32026e-05
+30 *313:8 *314:11 2.98644e-05
+*RES
+1 *919:X *314:11 17.45
+2 *314:11 *314:13 0.36
+3 *314:13 *920:A 8.975
+4 *314:13 *924:A 1.6
+5 *314:11 *923:A 6.55
+*END
+
+*D_NET *315 0.000218401
+*CONN
+*I *929:A I *D sky130_fd_sc_hd__einvp_2
+*I *927:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *929:A 7.28673e-05
+2 *927:X 7.28673e-05
+3 *929:A *932:A 7.2666e-05
+*RES
+1 *927:X *929:A 4.355
+*END
+
+*D_NET *316 0.00135072
+*CONN
+*I *932:A I *D sky130_fd_sc_hd__clkinv_1
+*I *929:Z O *D sky130_fd_sc_hd__einvp_2
+*I *931:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *932:A 0.000187835
+2 *929:Z 0
+3 *931:Z 0.000204891
+4 *316:8 0.000392726
+5 *932:A *928:A 2.59926e-05
+6 *932:A *321:5 4.99619e-05
+7 *932:A *321:16 0.000167174
+8 *316:8 *931:A 1.48927e-05
+9 *316:8 *321:16 4.46307e-05
+10 *793:A2 *316:8 9.23804e-06
+11 *926:A *932:A 8.65905e-05
+12 *929:A *932:A 7.2666e-05
+13 *5:393 *316:8 9.41223e-05
+14 *285:17 *316:8 0
+*RES
+1 *931:Z *316:8 9.53
+2 *316:8 *929:Z 1.6
+3 *316:8 *932:A 5.98
+*END
+
+*D_NET *317 0.00176098
+*CONN
+*I *928:A I *D sky130_fd_sc_hd__einvp_2
+*I *932:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *928:A 0.000250802
+2 *932:Y 0.000250802
+3 *928:A *321:5 2.30292e-05
+4 *928:A *321:16 0.000313852
+5 *926:A *928:A 7.10578e-05
+6 *932:A *928:A 2.59926e-05
+7 *22:8 *928:A 0.000825443
+*RES
+1 *932:Y *928:A 11.705
+*END
+
+*D_NET *318 0.000668512
+*CONN
+*I *933:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *928:Z O *D sky130_fd_sc_hd__einvp_2
+*I *930:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *933:A 8.58465e-05
+2 *928:Z 0.000218729
+3 *930:Z 0
+4 *318:4 0.000304576
+5 *928:Z *936:A 3.17252e-05
+6 *928:Z *327:7 1.56428e-05
+7 *933:A *327:7 1.19928e-05
+*RES
+1 *930:Z *318:4 1.6
+2 *318:4 *928:Z 8.81
+3 *318:4 *933:A 2.74
+*END
+
+*D_NET *319 0.00521366
+*CONN
+*I *928:TE I *D sky130_fd_sc_hd__einvp_2
+*I *930:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *762:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *928:TE 0.000142915
+2 *930:TE_B 6.90989e-05
+3 *762:X 0.00134127
+4 *319:11 0.00155328
+5 *928:TE *929:TE 0.000161496
+6 *928:TE *930:A 0.000118081
+7 *930:TE_B *930:A 1.08758e-05
+8 *758:B2 *319:11 0.000562974
+9 *921:Z *319:11 0.000301511
+10 *923:A *319:11 0.000203473
+11 *5:393 *930:TE_B 0.000121039
+12 *5:393 *319:11 0.000373185
+13 *5:397 *319:11 2.62258e-05
+14 *183:11 *319:11 0.000180467
+15 *285:17 *319:11 4.77769e-05
+*RES
+1 *762:X *319:11 20.725
+2 *319:11 *930:TE_B 3.085
+3 *319:11 *928:TE 8.63
+*END
+
+*D_NET *320 0.00276649
+*CONN
+*I *929:TE I *D sky130_fd_sc_hd__einvp_2
+*I *931:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *800:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *929:TE 0.000356481
+2 *931:TE_B 2.20415e-05
+3 *800:X 0.000238001
+4 *320:10 0.000616523
+5 *929:TE *931:A 3.54221e-05
+6 *929:TE *935:TE 4.77769e-05
+7 *929:TE *325:7 9.88962e-05
+8 *395:DIODE *320:10 1.93321e-05
+9 *793:A2 *929:TE 4.66108e-05
+10 *793:A2 *931:TE_B 0.000122817
+11 *793:A2 *320:10 0.00016739
+12 *793:A3 *320:10 0
+13 *798:A3 *929:TE 6.35071e-05
+14 *798:A3 *931:TE_B 9.12339e-05
+15 *800:A2 *320:10 1.68183e-05
+16 *802:A1 *320:10 0.000113164
+17 *802:A2 *320:10 0.000119756
+18 *928:TE *929:TE 0.000161496
+19 *5:393 *929:TE 7.28465e-06
+20 *20:15 *929:TE 0.000288548
+21 *196:15 *320:10 0.000133395
+*RES
+1 *800:X *320:10 10.505
+2 *320:10 *931:TE_B 2.74
+3 *320:10 *929:TE 13.715
+*END
+
+*D_NET *321 0.00215736
+*CONN
+*I *930:A I *D sky130_fd_sc_hd__einvn_8
+*I *931:A I *D sky130_fd_sc_hd__einvn_4
+*I *927:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *926:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *930:A 0.000181788
+2 *931:A 0.000100896
+3 *927:A 0
+4 *926:X 6.72493e-06
+5 *321:16 0.000437757
+6 *321:5 0.000161797
+7 *928:A *321:5 2.30292e-05
+8 *928:A *321:16 0.000313852
+9 *928:TE *930:A 0.000118081
+10 *929:TE *931:A 3.54221e-05
+11 *930:TE_B *930:A 1.08758e-05
+12 *932:A *321:5 4.99619e-05
+13 *932:A *321:16 0.000167174
+14 *5:393 *931:A 0.000175213
+15 *20:15 *931:A 0.00015356
+16 *20:15 *321:16 0.000161705
+17 *285:17 *321:16 0
+18 *316:8 *931:A 1.48927e-05
+19 *316:8 *321:16 4.46307e-05
+*RES
+1 *926:X *321:5 2.05
+2 *321:5 *927:A 1.6
+3 *321:5 *321:16 7.115
+4 *321:16 *931:A 6.255
+5 *321:16 *930:A 6.135
+*END
+
+*D_NET *322 0.00116277
+*CONN
+*I *936:A I *D sky130_fd_sc_hd__einvp_2
+*I *934:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *936:A 0.000195772
+2 *934:X 0.000195772
+3 *936:A *934:A 0.000151829
+4 *936:A *935:TE 0.000133453
+5 *936:A *936:Z 8.46818e-05
+6 *936:A *938:A 0.00013488
+7 *936:A *327:8 0.000151141
+8 *383:DIODE *936:A 8.35118e-05
+9 *928:Z *936:A 3.17252e-05
+*RES
+1 *934:X *936:A 13.2
+*END
+
+*D_NET *323 0.00229599
+*CONN
+*I *939:A I *D sky130_fd_sc_hd__clkinv_1
+*I *936:Z O *D sky130_fd_sc_hd__einvp_2
+*I *938:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *939:A 0.000167898
+2 *936:Z 8.86792e-05
+3 *938:Z 0.000101111
+4 *323:8 0.000357688
+5 *939:A *934:A 0.000165364
+6 *939:A *938:A 0.000148586
+7 *939:A *327:8 0.000158839
+8 *323:8 *938:A 0.000203876
+9 *383:DIODE *936:Z 6.13872e-05
+10 *383:DIODE *939:A 0.000419723
+11 *800:A2 *323:8 7.35729e-05
+12 *863:A *939:A 4.28112e-06
+13 *863:A *323:8 0.000112591
+14 *936:A *936:Z 8.46818e-05
+15 *22:8 *939:A 2.19562e-06
+16 *254:8 *939:A 1.89469e-05
+17 *258:8 *323:8 0.00012657
+*RES
+1 *938:Z *323:8 7.395
+2 *323:8 *936:Z 5.43
+3 *323:8 *939:A 9.51
+*END
+
+*D_NET *324 0.00103275
+*CONN
+*I *935:A I *D sky130_fd_sc_hd__einvp_2
+*I *939:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *935:A 0.000132676
+2 *939:Y 0.000132676
+3 *383:DIODE *935:A 0.00019078
+4 *794:A2 *935:A 3.12855e-05
+5 *21:7 *935:A 0.000430817
+6 *254:8 *935:A 0.000114518
+*RES
+1 *939:Y *935:A 12.66
+*END
+
+*D_NET *325 0.00448865
+*CONN
+*I *935:TE I *D sky130_fd_sc_hd__einvp_2
+*I *937:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *764:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *935:TE 0.000307421
+2 *937:TE_B 0
+3 *764:X 0.000768888
+4 *325:7 0.00107631
+5 *935:TE *938:A 2.25226e-05
+6 *784:A *325:7 2.76356e-05
+7 *785:C *325:7 0.000124826
+8 *790:C1 *325:7 0.00018226
+9 *793:A2 *325:7 0.000235378
+10 *793:B1 *325:7 5.471e-05
+11 *793:B2 *325:7 2.69153e-05
+12 *798:A3 *325:7 7.10139e-05
+13 *798:B1 *325:7 0.000163997
+14 *921:TE *325:7 0.000156778
+15 *929:TE *935:TE 4.77769e-05
+16 *929:TE *325:7 9.88962e-05
+17 *936:A *935:TE 0.000133453
+18 *5:838 *325:7 6.9054e-05
+19 *20:15 *325:7 0.000530203
+20 *200:7 *325:7 0.000156767
+21 *292:13 *325:7 0.000109869
+22 *313:8 *325:7 0.00012398
+*RES
+1 *764:X *325:7 19.915
+2 *325:7 *937:TE_B 1.6
+3 *325:7 *935:TE 10.955
+*END
+
+*D_NET *326 0.000770102
+*CONN
+*I *938:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *936:TE I *D sky130_fd_sc_hd__einvp_2
+*I *802:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *938:TE_B 0
+2 *936:TE 0.000126037
+3 *802:X 2.81882e-05
+4 *326:5 0.000154225
+5 *936:TE *938:A 0
+6 *383:DIODE *936:TE 1.41165e-05
+7 *794:A1 *326:5 4.82919e-05
+8 *800:A2 *326:5 0.000120655
+9 *802:B2 *326:5 8.49205e-05
+10 *5:377 *936:TE 7.13993e-05
+11 *5:384 *936:TE 0.000118618
+12 *5:446 *936:TE 3.64998e-06
+*RES
+1 *802:X *326:5 2.74
+2 *326:5 *936:TE 8.195
+3 *326:5 *938:TE_B 1.6
+*END
+
+*D_NET *327 0.00186064
+*CONN
+*I *934:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *937:A I *D sky130_fd_sc_hd__einvn_8
+*I *938:A I *D sky130_fd_sc_hd__einvn_4
+*I *933:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *934:A 8.67855e-05
+2 *937:A 0
+3 *938:A 0.000143137
+4 *933:X 5.94452e-05
+5 *327:8 0.000180062
+6 *327:7 0.000183156
+7 *383:DIODE *934:A 2.4997e-05
+8 *928:Z *327:7 1.56428e-05
+9 *933:A *327:7 1.19928e-05
+10 *935:TE *938:A 2.25226e-05
+11 *936:A *934:A 0.000151829
+12 *936:A *938:A 0.00013488
+13 *936:A *327:8 0.000151141
+14 *936:TE *938:A 0
+15 *939:A *934:A 0.000165364
+16 *939:A *938:A 0.000148586
+17 *939:A *327:8 0.000158839
+18 *22:8 *934:A 1.83847e-05
+19 *323:8 *938:A 0.000203876
+*RES
+1 *933:X *327:7 4.395
+2 *327:7 *327:8 1.89
+3 *327:8 *938:A 7.785
+4 *327:8 *937:A 3.6
+5 *327:7 *934:A 6.45
+*END
+
+*D_NET *328 9.07095e-05
+*CONN
+*I *949:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *945:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *949:TE_B 2.12116e-05
+2 *945:X 2.12116e-05
+3 *949:TE_B *945:A 4.82863e-05
+*RES
+1 *945:X *949:TE_B 3.65
+*END
+
+*D_NET *329 0.000132938
+*CONN
+*I *948:A I *D sky130_fd_sc_hd__einvp_2
+*I *946:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *948:A 6.35533e-05
+2 *946:X 6.35533e-05
+3 *946:A *948:A 5.83121e-06
+*RES
+1 *946:X *948:A 4.01
+*END
+
+*D_NET *330 0.000409728
+*CONN
+*I *951:A I *D sky130_fd_sc_hd__clkinv_1
+*I *948:Z O *D sky130_fd_sc_hd__einvp_2
+*I *950:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *951:A 7.00057e-05
+2 *948:Z 5.12314e-05
+3 *950:Z 0
+4 *330:4 0.000121237
+5 *951:A *947:A 4.76437e-05
+6 *803:A2 *948:Z 5.97661e-05
+7 *946:A *948:Z 5.9844e-05
+*RES
+1 *950:Z *330:4 1.6
+2 *330:4 *948:Z 6.98
+3 *330:4 *951:A 2.74
+*END
+
+*D_NET *331 0.00158535
+*CONN
+*I *947:A I *D sky130_fd_sc_hd__einvp_2
+*I *951:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *947:A 0.000537107
+2 *951:Y 0.000537107
+3 *947:A *945:A 0.000116151
+4 *947:A *952:TE 7.08554e-05
+5 *803:A2 *947:A 0
+6 *804:C *947:A 6.91596e-05
+7 *864:A *947:A 0.000109331
+8 *947:Z *947:A 1.71013e-05
+9 *951:A *947:A 4.76437e-05
+10 *246:7 *947:A 4.77757e-05
+11 *246:17 *947:A 3.31204e-05
+*RES
+1 *951:Y *947:A 17.04
+*END
+
+*D_NET *332 6.02676e-05
+*CONN
+*I *952:A I *D sky130_fd_sc_hd__einvp_1
+*I *944:HI O *D sky130_fd_sc_hd__conb_1
+*CAP
+1 *952:A 2.76712e-05
+2 *944:HI 2.76712e-05
+3 *246:7 *952:A 4.9253e-06
+*RES
+1 *944:HI *952:A 3.65
+*END
+
+*D_NET *333 0.0278613
+*CONN
+*I *815:B I *D sky130_fd_sc_hd__nor2_2
+*I *830:B I *D sky130_fd_sc_hd__nor2_2
+*I *829:B I *D sky130_fd_sc_hd__nor2_2
+*I *828:B I *D sky130_fd_sc_hd__nor2_2
+*I *822:B I *D sky130_fd_sc_hd__nor2_2
+*I *817:B I *D sky130_fd_sc_hd__nor2_2
+*I *814:B I *D sky130_fd_sc_hd__nor2_2
+*I *813:B I *D sky130_fd_sc_hd__nor2_2
+*I *952:TE I *D sky130_fd_sc_hd__einvp_1
+*I *945:A I *D sky130_fd_sc_hd__or2_2
+*I *819:B I *D sky130_fd_sc_hd__nor2_2
+*I *820:B I *D sky130_fd_sc_hd__nor2_2
+*I *818:B I *D sky130_fd_sc_hd__nor2_2
+*I *816:B I *D sky130_fd_sc_hd__nor2_2
+*I *821:B I *D sky130_fd_sc_hd__nor2_2
+*I *810:B I *D sky130_fd_sc_hd__nor2_2
+*I *824:B I *D sky130_fd_sc_hd__nor2_2
+*I *825:B I *D sky130_fd_sc_hd__nor2_2
+*I *823:B I *D sky130_fd_sc_hd__nor2_2
+*I *808:B I *D sky130_fd_sc_hd__nor2_2
+*I *809:B I *D sky130_fd_sc_hd__nor2_2
+*I *811:B I *D sky130_fd_sc_hd__nor2_2
+*I *812:B I *D sky130_fd_sc_hd__nor2_2
+*I *827:B I *D sky130_fd_sc_hd__nor2_2
+*I *826:B I *D sky130_fd_sc_hd__nor2_2
+*I *807:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *815:B 0
+2 *830:B 0.000263681
+3 *829:B 2.70895e-05
+4 *828:B 0.000546386
+5 *822:B 5.45686e-05
+6 *817:B 0
+7 *814:B 8.25228e-05
+8 *813:B 0
+9 *952:TE 7.42322e-05
+10 *945:A 0.000157398
+11 *819:B 0.000149694
+12 *820:B 0
+13 *818:B 7.48646e-05
+14 *816:B 0
+15 *821:B 0.000157422
+16 *810:B 0
+17 *824:B 0.000149997
+18 *825:B 5.66965e-05
+19 *823:B 1.11992e-05
+20 *808:B 0
+21 *809:B 0
+22 *811:B 9.89379e-05
+23 *812:B 0.000332237
+24 *827:B 3.80149e-05
+25 *826:B 0.000948398
+26 *807:Y 0
+27 *333:246 0.000679503
+28 *333:245 0.00106766
+29 *333:235 0.0011616
+30 *333:219 0.000828304
+31 *333:212 0.00036938
+32 *333:201 0.000222979
+33 *333:177 0.000377032
+34 *333:162 0.000314102
+35 *333:155 0.000135582
+36 *333:144 0.000475848
+37 *333:125 0.000157422
+38 *333:123 0.000411733
+39 *333:108 0.000149997
+40 *333:106 0.000217799
+41 *333:95 0.000582003
+42 *333:84 0.000559009
+43 *333:76 0.000371903
+44 *333:64 0.000607686
+45 *333:55 0.00116598
+46 *333:50 0.00119007
+47 *333:41 0.00047036
+48 *333:27 0.00107708
+49 *333:15 0.000816938
+50 *333:4 0.00106221
+51 clockp[1] *826:B 0.00010189
+52 clockp[1] *828:B 0
+53 *346:DIODE *826:B 6.06593e-05
+54 *359:DIODE *830:B 0
+55 *359:DIODE *333:246 0
+56 *405:DIODE *333:15 4.11718e-05
+57 *405:DIODE *333:27 0.000239196
+58 *413:DIODE *333:235 8.8266e-05
+59 *421:DIODE *333:95 5.80043e-05
+60 *635:S *333:245 0
+61 *641:B *830:B 9.3062e-06
+62 *642:B *830:B 4.21973e-05
+63 *664:A2 *828:B 0.00011852
+64 *665:A3 *828:B 4.52588e-05
+65 *667:A2 *828:B 3.26822e-05
+66 *671:A *826:B 0
+67 *686:B *333:64 3.98865e-05
+68 *686:C *333:64 8.40269e-05
+69 *690:A *333:64 0.000168998
+70 *708:A1 *333:235 4.43871e-06
+71 *708:A2 *333:235 3.78933e-05
+72 *709:B1 *333:235 0.000124895
+73 *726:B *333:219 0
+74 *731:A1 *333:50 3.05373e-05
+75 *731:B1 *333:50 4.39656e-05
+76 *734:A *811:B 3.64998e-06
+77 *734:A *812:B 2.03578e-05
+78 *734:A *333:41 2.46089e-05
+79 *735:A2 *812:B 8.8266e-05
+80 *736:B *333:27 0.000110563
+81 *736:C *812:B 0.000101647
+82 *736:C *333:27 2.26018e-05
+83 *736:C *333:41 0
+84 *736:C *333:50 0
+85 *738:A0 *333:64 2.23485e-05
+86 *739:B *333:76 0
+87 *804:C *945:A 8.70923e-05
+88 *804:C *333:144 1.34361e-05
+89 *804:C *333:155 0.000112971
+90 *804:C *333:162 5.11148e-06
+91 *804:C *333:177 7.4645e-05
+92 *808:A *823:B 0
+93 *808:A *333:84 9.53428e-06
+94 *811:A *811:B 0.000164874
+95 *819:A *819:B 9.53428e-06
+96 *821:A *821:B 8.75786e-06
+97 *822:A *333:235 3.65039e-05
+98 *824:A *824:B 8.64421e-05
+99 *825:A *825:B 1.37328e-05
+100 *827:A *826:B 0.000268745
+101 *827:A *827:B 2.61042e-05
+102 *827:A *333:15 7.32549e-05
+103 *828:A *828:B 9.12671e-06
+104 *831:RESET_B *333:76 0.000163204
+105 *832:RESET_B *333:64 0.000183043
+106 *833:CLK *333:95 0
+107 *833:D *333:64 0
+108 *833:D *333:76 0
+109 *833:RESET_B *333:95 0.000133672
+110 *833:RESET_B *333:106 6.34101e-06
+111 *834:D *812:B 0
+112 *834:D *333:41 0
+113 *836:D *333:55 3.40331e-05
+114 *836:RESET_B *333:55 2.11079e-05
+115 *836:RESET_B *333:64 1.16578e-05
+116 *837:RESET_B *814:B 0.000197862
+117 *839:CLK *333:55 2.75145e-05
+118 *839:CLK *333:64 0.000109947
+119 *839:RESET_B *821:B 0.000123225
+120 *841:RESET_B *818:B 0.000119246
+121 *841:RESET_B *333:144 0.000351043
+122 *843:RESET_B *819:B 4.82919e-05
+123 *843:RESET_B *333:162 1.52184e-05
+124 *843:RESET_B *333:177 4.5783e-05
+125 *845:RESET_B *333:235 5.39718e-05
+126 *847:RESET_B *333:95 0
+127 *847:RESET_B *333:106 0
+128 *848:D *333:95 7.60965e-05
+129 *848:RESET_B *333:95 0
+130 *849:RESET_B *826:B 6.74079e-05
+131 *850:RESET_B *827:B 3.76877e-05
+132 *852:D *828:B 0
+133 *854:A *826:B 4.01778e-05
+134 *872:TE *333:162 2.24336e-05
+135 *872:TE *333:177 4.49498e-05
+136 *875:TE_B *333:144 0
+137 *940:A *333:245 1.92979e-05
+138 *947:A *945:A 0.000116151
+139 *947:A *952:TE 7.08554e-05
+140 *947:Z *945:A 1.01661e-05
+141 *949:TE_B *945:A 4.82863e-05
+142 *5:15 *830:B 0.000312182
+143 *5:27 *830:B 8.01855e-05
+144 *5:27 *333:246 6.60888e-05
+145 *5:66 *333:235 8.68724e-05
+146 *5:70 *333:219 0.000281827
+147 *5:70 *333:235 3.05373e-05
+148 *5:72 *333:201 4.24457e-05
+149 *5:72 *333:212 0.00015255
+150 *5:72 *333:219 2.98587e-05
+151 *5:77 *333:50 5.23362e-05
+152 *5:77 *333:55 2.49909e-05
+153 *5:77 *333:201 9.044e-05
+154 *5:88 *333:50 7.03934e-05
+155 *5:105 *811:B 6.27029e-05
+156 *5:146 *333:76 0.000241483
+157 *5:146 *333:84 2.30292e-05
+158 *5:154 *333:84 9.91257e-05
+159 *5:154 *333:95 1.46744e-05
+160 *5:164 *823:B 9.53428e-06
+161 *5:175 *333:95 4.66108e-05
+162 *5:191 *825:B 8.57024e-05
+163 *5:191 *333:106 0.000116165
+164 *5:191 *333:123 2.14129e-05
+165 *5:194 *824:B 4.21579e-05
+166 *5:196 *824:B 5.4155e-06
+167 *5:208 *824:B 4.40028e-05
+168 *5:222 *333:123 0.000182401
+169 *5:222 *333:144 2.07338e-05
+170 *5:227 *821:B 1.47256e-05
+171 *5:252 *333:144 0.000122483
+172 *5:257 *333:144 1.03592e-05
+173 *5:257 *333:155 0.000141606
+174 *5:261 *819:B 3.03754e-05
+175 *5:266 *819:B 2.75145e-05
+176 *5:504 *818:B 2.20014e-05
+177 *5:504 *333:155 0
+178 *5:534 *821:B 1.71828e-05
+179 *5:544 *821:B 6.35836e-06
+180 *5:561 *333:64 0.000124895
+181 *5:590 *814:B 0.000141323
+182 *5:604 *828:B 1.83756e-05
+183 *5:606 *828:B 3.31103e-05
+184 *5:611 *828:B 2.11084e-05
+185 *5:685 *333:245 7.9642e-06
+186 *5:688 *333:235 4.82919e-05
+187 *5:700 *333:245 4.82172e-06
+188 *5:876 *830:B 1.07797e-05
+189 *6:24 *826:B 6.44676e-05
+190 *8:26 *828:B 5.05524e-05
+191 *11:8 *828:B 0.000369327
+192 *11:8 *333:245 2.231e-05
+193 *11:8 *333:246 8.35222e-05
+194 *88:5 *825:B 6.97118e-05
+195 *88:39 *333:27 9.66106e-05
+196 *93:9 *828:B 0
+197 *113:9 *828:B 0.000139361
+198 *129:63 *333:235 0
+199 *156:11 *333:235 0.000163204
+200 *168:8 *333:50 0
+201 *168:8 *333:201 0
+202 *169:14 *333:219 0
+203 *171:10 *333:50 0
+204 *171:12 *333:50 0
+205 *216:13 *829:B 2.49909e-05
+206 *216:64 *333:212 0.000112595
+207 *216:64 *333:219 6.34128e-05
+208 *216:175 *333:55 7.25558e-05
+209 *218:8 *811:B 3.1835e-05
+210 *218:8 *333:41 4.20983e-05
+211 *218:8 *333:50 0.000164221
+212 *219:8 *333:50 3.4222e-05
+213 *219:8 *333:55 5.36384e-06
+214 *220:8 *814:B 2.10841e-05
+215 *221:10 *333:219 0
+216 *221:10 *333:235 0
+217 *221:43 *333:235 3.51884e-05
+218 *221:43 *333:245 4.82863e-05
+219 *221:55 *830:B 7.06865e-05
+220 *226:8 *333:246 3.83455e-05
+221 *226:19 *830:B 1.10631e-05
+222 *226:19 *333:246 1.73593e-05
+223 *246:7 *952:TE 1.52352e-05
+224 *246:22 *945:A 0.0001947
+*RES
+1 *807:Y *333:4 1.6
+2 *333:4 *826:B 18.095
+3 *333:4 *333:15 1.485
+4 *333:15 *827:B 6.47
+5 *333:15 *333:27 10.73
+6 *333:27 *812:B 9.615
+7 *333:27 *333:41 1.635
+8 *333:41 *811:B 6.12
+9 *333:41 *333:50 6.735
+10 *333:50 *333:55 11.6
+11 *333:55 *333:64 9.95
+12 *333:64 *809:B 3.6
+13 *333:64 *333:76 6.86
+14 *333:76 *808:B 1.6
+15 *333:76 *333:84 2.19
+16 *333:84 *823:B 1.96
+17 *333:84 *333:95 9.74
+18 *333:95 *825:B 5.1
+19 *333:95 *333:106 1.635
+20 *333:106 *333:108 2
+21 *333:108 *824:B 4.495
+22 *333:108 *810:B 1.6
+23 *333:106 *333:123 2.4
+24 *333:123 *333:125 2
+25 *333:125 *821:B 4.66
+26 *333:125 *816:B 1.6
+27 *333:123 *333:144 6.525
+28 *333:144 *818:B 5.085
+29 *333:144 *333:155 2.85
+30 *333:155 *820:B 3.6
+31 *333:155 *333:162 0.615
+32 *333:162 *819:B 5.91
+33 *333:162 *333:177 3.42
+34 *333:177 *945:A 7.77
+35 *333:177 *952:TE 5.085
+36 *333:55 *813:B 1.6
+37 *333:50 *333:201 1.635
+38 *333:201 *814:B 6.225
+39 *333:201 *333:212 1.89
+40 *333:212 *817:B 3.6
+41 *333:212 *333:219 6.225
+42 *333:219 *822:B 4.41
+43 *333:219 *333:235 11.3
+44 *333:235 *333:245 4.82757
+45 *333:245 *333:246 2.5275
+46 *333:246 *828:B 15.69
+47 *333:246 *829:B 4.05
+48 *333:245 *830:B 9.5325
+49 *333:235 *815:B 1.6
+*END
+
+*D_NET *334 0.00674129
+*CONN
+*I *945:B I *D sky130_fd_sc_hd__or2_2
+*I *947:TE I *D sky130_fd_sc_hd__einvp_2
+*I *769:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *945:B 5.98277e-05
+2 *947:TE 0.000274109
+3 *769:X 0.00155243
+4 *334:14 0.00188636
+5 *379:DIODE *334:14 1.91597e-05
+6 *695:A3 *334:14 1.52491e-05
+7 *716:C1 *947:TE 9.16315e-05
+8 *769:A1 *334:14 0.000153557
+9 *771:A2 *334:14 0.000143103
+10 *772:A1 *334:14 8.43374e-05
+11 *797:A *334:14 1.92979e-05
+12 *806:B1 *334:14 9.82856e-06
+13 *806:B2 *334:14 0.000101746
+14 *5:266 *947:TE 0.000160658
+15 *5:266 *334:14 0.000245929
+16 *5:279 *334:14 0.000153495
+17 *5:307 *334:14 6.64348e-05
+18 *5:726 *334:14 0.000103631
+19 *5:727 *334:14 3.83194e-05
+20 *5:731 *334:14 7.40446e-05
+21 *16:5 *334:14 2.09578e-05
+22 *131:62 *334:14 0.000285141
+23 *142:29 *334:14 0.000662106
+24 *234:37 *334:14 1.616e-05
+25 *236:28 *334:14 0
+26 *237:89 *334:14 0.000253853
+27 *246:22 *945:B 0.000119762
+28 *278:12 *334:14 0.000130158
+*RES
+1 *769:X *334:14 18.0914
+2 *334:14 *947:TE 8.355
+3 *334:14 *945:B 4.74
+*END
+
+*D_NET *335 0.000944447
+*CONN
+*I *948:TE I *D sky130_fd_sc_hd__einvp_2
+*I *950:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *806:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *948:TE 9.43849e-05
+2 *950:TE_B 0.000147948
+3 *806:X 0.000136109
+4 *335:5 0.000378442
+5 *803:A1 *948:TE 1.41165e-05
+6 *803:A1 *335:5 2.65821e-05
+7 *803:A2 *948:TE 1.77595e-05
+8 *803:B1 *335:5 9.10429e-05
+9 *866:A *950:TE_B 0
+10 *26:17 *950:TE_B 3.80629e-05
+*RES
+1 *806:X *335:5 3.775
+2 *335:5 *950:TE_B 8
+3 *335:5 *948:TE 3.1
+*END
diff --git a/signoff/digital_pll/openlane-signoff/spef/digital_pll.nom.spef b/signoff/digital_pll/openlane-signoff/spef/digital_pll.nom.spef
new file mode 100644
index 00000000..beb79618
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/spef/digital_pll.nom.spef
@@ -0,0 +1,12969 @@
+*SPEF "ieee 1481-1999"
+*DESIGN "digital_pll"
+*DATE "11:11:11 Fri 11 11, 1111"
+*VENDOR "OpenRCX"
+*PROGRAM "Parallel Extraction"
+*VERSION "1.0"
+*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
+*DIVIDER /
+*DELIMITER :
+*BUS_DELIMITER []
+*T_UNIT 1 NS
+*C_UNIT 1 PF
+*R_UNIT 1 OHM
+*L_UNIT 1 HENRY
+
+*NAME_MAP
+*3 clockp[0]
+*4 clockp[1]
+*5 dco
+*6 div[0]
+*7 div[1]
+*8 div[2]
+*9 div[3]
+*10 div[4]
+*11 enable
+*12 ext_trim[0]
+*13 ext_trim[10]
+*14 ext_trim[11]
+*15 ext_trim[12]
+*16 ext_trim[13]
+*17 ext_trim[14]
+*18 ext_trim[15]
+*19 ext_trim[16]
+*20 ext_trim[17]
+*21 ext_trim[18]
+*22 ext_trim[19]
+*23 ext_trim[1]
+*24 ext_trim[20]
+*25 ext_trim[21]
+*26 ext_trim[22]
+*27 ext_trim[23]
+*28 ext_trim[24]
+*29 ext_trim[25]
+*30 ext_trim[2]
+*31 ext_trim[3]
+*32 ext_trim[4]
+*33 ext_trim[5]
+*34 ext_trim[6]
+*35 ext_trim[7]
+*36 ext_trim[8]
+*37 ext_trim[9]
+*38 osc
+*39 resetb
+*40 _000_
+*41 _001_
+*42 _002_
+*43 _003_
+*44 _004_
+*45 _005_
+*46 _006_
+*47 _007_
+*48 _008_
+*49 _009_
+*50 _010_
+*51 _011_
+*52 _012_
+*53 _013_
+*54 _014_
+*55 _015_
+*56 _016_
+*57 _017_
+*58 _018_
+*59 _019_
+*60 _020_
+*61 _021_
+*62 _022_
+*63 _023_
+*64 _024_
+*65 _025_
+*66 _026_
+*67 _027_
+*68 _028_
+*69 _029_
+*70 _030_
+*71 _031_
+*72 _032_
+*73 _033_
+*74 _034_
+*75 _035_
+*76 _036_
+*77 _037_
+*78 _038_
+*79 _039_
+*80 _040_
+*81 _041_
+*82 _042_
+*83 _043_
+*84 _044_
+*85 _045_
+*86 _046_
+*87 _047_
+*88 _048_
+*89 _049_
+*90 _050_
+*91 _051_
+*92 _052_
+*93 _053_
+*94 _054_
+*95 _055_
+*96 _056_
+*97 _057_
+*98 _058_
+*99 _059_
+*100 _060_
+*101 _061_
+*102 _062_
+*103 _063_
+*104 _064_
+*105 _065_
+*106 _066_
+*107 _067_
+*108 _068_
+*109 _069_
+*110 _070_
+*111 _071_
+*112 _072_
+*113 _073_
+*114 _074_
+*115 _075_
+*116 _076_
+*117 _077_
+*118 _078_
+*119 _079_
+*120 _080_
+*121 _081_
+*122 _082_
+*123 _083_
+*124 _084_
+*125 _085_
+*126 _086_
+*127 _087_
+*128 _088_
+*129 _089_
+*130 _090_
+*131 _091_
+*132 _092_
+*133 _093_
+*134 _094_
+*135 _095_
+*136 _096_
+*137 _097_
+*138 _098_
+*139 _099_
+*140 _100_
+*141 _101_
+*142 _102_
+*143 _103_
+*144 _104_
+*145 _105_
+*146 _106_
+*147 _107_
+*148 _108_
+*149 _109_
+*150 _110_
+*151 _111_
+*152 _112_
+*153 _113_
+*154 _114_
+*155 _115_
+*156 _116_
+*157 _117_
+*158 _118_
+*159 _119_
+*160 _120_
+*161 _121_
+*162 _122_
+*163 _123_
+*164 _124_
+*165 _125_
+*166 _126_
+*167 _127_
+*168 _128_
+*169 _129_
+*170 _130_
+*171 _131_
+*172 _132_
+*173 _133_
+*174 _134_
+*175 _135_
+*176 _136_
+*177 _137_
+*178 _138_
+*179 _139_
+*180 _140_
+*181 _141_
+*182 _142_
+*183 _143_
+*184 _144_
+*185 _145_
+*186 _146_
+*187 _147_
+*188 _148_
+*189 _149_
+*190 _150_
+*191 _151_
+*192 _152_
+*193 _153_
+*194 _154_
+*195 _155_
+*196 _156_
+*197 _157_
+*198 _158_
+*199 _159_
+*200 _160_
+*201 _161_
+*202 _162_
+*203 _163_
+*204 _164_
+*205 _165_
+*206 _166_
+*207 _167_
+*208 _168_
+*209 _169_
+*210 _170_
+*211 _171_
+*212 _172_
+*213 _173_
+*214 _174_
+*215 _175_
+*216 pll_control\.clock
+*217 pll_control\.count0\[0\]
+*218 pll_control\.count0\[1\]
+*219 pll_control\.count0\[2\]
+*220 pll_control\.count0\[3\]
+*221 pll_control\.count0\[4\]
+*222 pll_control\.count1\[0\]
+*223 pll_control\.count1\[1\]
+*224 pll_control\.count1\[2\]
+*225 pll_control\.count1\[3\]
+*226 pll_control\.count1\[4\]
+*227 pll_control\.oscbuf\[0\]
+*228 pll_control\.oscbuf\[1\]
+*229 pll_control\.oscbuf\[2\]
+*230 pll_control\.prep\[0\]
+*231 pll_control\.prep\[1\]
+*232 pll_control\.prep\[2\]
+*233 pll_control\.tint\[0\]
+*234 pll_control\.tint\[1\]
+*235 pll_control\.tint\[2\]
+*236 pll_control\.tint\[3\]
+*237 pll_control\.tint\[4\]
+*238 pll_control\.tval\[0\]
+*239 pll_control\.tval\[1\]
+*240 ringosc\.c\[0\]
+*241 ringosc\.c\[1\]
+*242 ringosc\.clockp\[1\]
+*243 ringosc\.dstage\[0\]\.id\.d0
+*244 ringosc\.dstage\[0\]\.id\.d1
+*245 ringosc\.dstage\[0\]\.id\.d2
+*246 ringosc\.dstage\[0\]\.id\.in
+*247 ringosc\.dstage\[0\]\.id\.out
+*248 ringosc\.dstage\[0\]\.id\.trim\[0\]
+*249 ringosc\.dstage\[0\]\.id\.trim\[1\]
+*250 ringosc\.dstage\[0\]\.id\.ts
+*251 ringosc\.dstage\[10\]\.id\.d0
+*252 ringosc\.dstage\[10\]\.id\.d1
+*253 ringosc\.dstage\[10\]\.id\.d2
+*254 ringosc\.dstage\[10\]\.id\.in
+*255 ringosc\.dstage\[10\]\.id\.out
+*256 ringosc\.dstage\[10\]\.id\.trim\[0\]
+*257 ringosc\.dstage\[10\]\.id\.trim\[1\]
+*258 ringosc\.dstage\[10\]\.id\.ts
+*259 ringosc\.dstage\[11\]\.id\.d0
+*260 ringosc\.dstage\[11\]\.id\.d1
+*261 ringosc\.dstage\[11\]\.id\.d2
+*262 ringosc\.dstage\[11\]\.id\.out
+*263 ringosc\.dstage\[11\]\.id\.trim\[0\]
+*264 ringosc\.dstage\[11\]\.id\.trim\[1\]
+*265 ringosc\.dstage\[11\]\.id\.ts
+*266 ringosc\.dstage\[1\]\.id\.d0
+*267 ringosc\.dstage\[1\]\.id\.d1
+*268 ringosc\.dstage\[1\]\.id\.d2
+*269 ringosc\.dstage\[1\]\.id\.out
+*270 ringosc\.dstage\[1\]\.id\.trim\[0\]
+*271 ringosc\.dstage\[1\]\.id\.trim\[1\]
+*272 ringosc\.dstage\[1\]\.id\.ts
+*273 ringosc\.dstage\[2\]\.id\.d0
+*274 ringosc\.dstage\[2\]\.id\.d1
+*275 ringosc\.dstage\[2\]\.id\.d2
+*276 ringosc\.dstage\[2\]\.id\.out
+*277 ringosc\.dstage\[2\]\.id\.trim\[0\]
+*278 ringosc\.dstage\[2\]\.id\.trim\[1\]
+*279 ringosc\.dstage\[2\]\.id\.ts
+*280 ringosc\.dstage\[3\]\.id\.d0
+*281 ringosc\.dstage\[3\]\.id\.d1
+*282 ringosc\.dstage\[3\]\.id\.d2
+*283 ringosc\.dstage\[3\]\.id\.out
+*284 ringosc\.dstage\[3\]\.id\.trim\[0\]
+*285 ringosc\.dstage\[3\]\.id\.trim\[1\]
+*286 ringosc\.dstage\[3\]\.id\.ts
+*287 ringosc\.dstage\[4\]\.id\.d0
+*288 ringosc\.dstage\[4\]\.id\.d1
+*289 ringosc\.dstage\[4\]\.id\.d2
+*290 ringosc\.dstage\[4\]\.id\.out
+*291 ringosc\.dstage\[4\]\.id\.trim\[0\]
+*292 ringosc\.dstage\[4\]\.id\.trim\[1\]
+*293 ringosc\.dstage\[4\]\.id\.ts
+*294 ringosc\.dstage\[5\]\.id\.d0
+*295 ringosc\.dstage\[5\]\.id\.d1
+*296 ringosc\.dstage\[5\]\.id\.d2
+*297 ringosc\.dstage\[5\]\.id\.out
+*298 ringosc\.dstage\[5\]\.id\.trim\[0\]
+*299 ringosc\.dstage\[5\]\.id\.trim\[1\]
+*300 ringosc\.dstage\[5\]\.id\.ts
+*301 ringosc\.dstage\[6\]\.id\.d0
+*302 ringosc\.dstage\[6\]\.id\.d1
+*303 ringosc\.dstage\[6\]\.id\.d2
+*304 ringosc\.dstage\[6\]\.id\.out
+*305 ringosc\.dstage\[6\]\.id\.trim\[0\]
+*306 ringosc\.dstage\[6\]\.id\.trim\[1\]
+*307 ringosc\.dstage\[6\]\.id\.ts
+*308 ringosc\.dstage\[7\]\.id\.d0
+*309 ringosc\.dstage\[7\]\.id\.d1
+*310 ringosc\.dstage\[7\]\.id\.d2
+*311 ringosc\.dstage\[7\]\.id\.out
+*312 ringosc\.dstage\[7\]\.id\.trim\[0\]
+*313 ringosc\.dstage\[7\]\.id\.trim\[1\]
+*314 ringosc\.dstage\[7\]\.id\.ts
+*315 ringosc\.dstage\[8\]\.id\.d0
+*316 ringosc\.dstage\[8\]\.id\.d1
+*317 ringosc\.dstage\[8\]\.id\.d2
+*318 ringosc\.dstage\[8\]\.id\.out
+*319 ringosc\.dstage\[8\]\.id\.trim\[0\]
+*320 ringosc\.dstage\[8\]\.id\.trim\[1\]
+*321 ringosc\.dstage\[8\]\.id\.ts
+*322 ringosc\.dstage\[9\]\.id\.d0
+*323 ringosc\.dstage\[9\]\.id\.d1
+*324 ringosc\.dstage\[9\]\.id\.d2
+*325 ringosc\.dstage\[9\]\.id\.trim\[0\]
+*326 ringosc\.dstage\[9\]\.id\.trim\[1\]
+*327 ringosc\.dstage\[9\]\.id\.ts
+*328 ringosc\.iss\.ctrl0
+*329 ringosc\.iss\.d0
+*330 ringosc\.iss\.d1
+*331 ringosc\.iss\.d2
+*332 ringosc\.iss\.one
+*333 ringosc\.iss\.reset
+*334 ringosc\.iss\.trim\[0\]
+*335 ringosc\.iss\.trim\[1\]
+*336 ANTENNA__207__A1
+*337 ANTENNA__213__A1
+*338 ANTENNA__213__B1
+*339 ANTENNA__214__B1
+*340 ANTENNA__215__B1
+*341 ANTENNA__216__A
+*342 ANTENNA__219__A
+*343 ANTENNA__222__A1
+*344 ANTENNA__222__B2
+*345 ANTENNA__225__B1
+*346 ANTENNA__235__A
+*347 ANTENNA__288__A
+*348 ANTENNA__289__A1
+*349 ANTENNA__289__A2
+*350 ANTENNA__293__A_N
+*351 ANTENNA__295__A1
+*352 ANTENNA__295__A2
+*353 ANTENNA__296__B
+*354 ANTENNA__299__A1
+*355 ANTENNA__299__A2
+*356 ANTENNA__300__A1
+*357 ANTENNA__300__A2
+*358 ANTENNA__302__A1
+*359 ANTENNA__302__A2
+*360 ANTENNA__305__A1
+*361 ANTENNA__305__A2
+*362 ANTENNA__306__A1
+*363 ANTENNA__306__A2
+*364 ANTENNA__309__A1
+*365 ANTENNA__309__A2
+*366 ANTENNA__310__A1
+*367 ANTENNA__310__A2
+*368 ANTENNA__312__A1
+*369 ANTENNA__312__A2
+*370 ANTENNA__313__A_N
+*371 ANTENNA__313__B
+*372 ANTENNA__314__A1
+*373 ANTENNA__316__B1
+*374 ANTENNA__316__B2
+*375 ANTENNA__317__A1
+*376 ANTENNA__317__A2
+*377 ANTENNA__319__A1
+*378 ANTENNA__319__A2
+*379 ANTENNA__326__A1
+*380 ANTENNA__326__A2
+*381 ANTENNA__334__B1
+*382 ANTENNA__334__B2
+*383 ANTENNA__337__A1
+*384 ANTENNA__337__A2
+*385 ANTENNA__341__B1
+*386 ANTENNA__341__B2
+*387 ANTENNA__342__A1
+*388 ANTENNA__342__A2
+*389 ANTENNA__343__A1
+*390 ANTENNA__343__A2
+*391 ANTENNA__346__B1
+*392 ANTENNA__346__B2
+*393 ANTENNA__348__A1
+*394 ANTENNA__348__A2
+*395 ANTENNA__350__B1
+*396 ANTENNA__350__B2
+*397 ANTENNA__351__A1
+*398 ANTENNA__351__A2
+*399 ANTENNA__352__A_N
+*400 ANTENNA__353__A1
+*401 ANTENNA__353__A2
+*402 ANTENNA__354__A1
+*403 ANTENNA__354__A2
+*404 ANTENNA__355__A
+*405 ANTENNA__355__B
+*406 ANTENNA__356__A
+*407 ANTENNA__357__A
+*408 ANTENNA__358__A
+*409 ANTENNA__359__A
+*410 ANTENNA__360__A
+*411 ANTENNA__361__A
+*412 ANTENNA__362__A
+*413 ANTENNA__363__A
+*414 ANTENNA__364__A
+*415 ANTENNA__365__A
+*416 ANTENNA__366__A
+*417 ANTENNA__367__A
+*418 ANTENNA__368__A
+*419 ANTENNA__369__A
+*420 ANTENNA__370__A
+*421 ANTENNA__371__A
+*422 ANTENNA__372__A
+*423 ANTENNA__373__A
+*424 ANTENNA__374__A
+*425 ANTENNA__375__A
+*426 ANTENNA__376__A
+*427 ANTENNA__377__A
+*428 ANTENNA__378__A
+*429 ANTENNA__394__D
+*430 FILLER_0_81
+*431 FILLER_0_90
+*432 FILLER_10_27
+*433 FILLER_10_3
+*434 FILLER_10_70
+*435 FILLER_10_85
+*436 FILLER_11_100
+*437 FILLER_11_113
+*438 FILLER_11_131
+*439 FILLER_11_135
+*440 FILLER_11_55
+*441 FILLER_11_85
+*442 FILLER_12_107
+*443 FILLER_12_16
+*444 FILLER_12_27
+*445 FILLER_12_75
+*446 FILLER_12_85
+*447 FILLER_13_118
+*448 FILLER_13_24
+*449 FILLER_13_3
+*450 FILLER_13_69
+*451 FILLER_13_94
+*452 FILLER_14_10
+*453 FILLER_14_135
+*454 FILLER_14_27
+*455 FILLER_14_5
+*456 FILLER_14_69
+*457 FILLER_14_79
+*458 FILLER_14_83
+*459 FILLER_15_135
+*460 FILLER_15_23
+*461 FILLER_15_67
+*462 FILLER_15_75
+*463 FILLER_15_99
+*464 FILLER_16_116
+*465 FILLER_16_135
+*466 FILLER_16_45
+*467 FILLER_16_67
+*468 FILLER_16_78
+*469 FILLER_17_108
+*470 FILLER_17_135
+*471 FILLER_17_26
+*472 FILLER_17_49
+*473 FILLER_17_69
+*474 FILLER_17_84
+*475 FILLER_18_72
+*476 FILLER_18_75
+*477 FILLER_18_97
+*478 FILLER_19_111
+*479 FILLER_19_47
+*480 FILLER_1_3
+*481 FILLER_1_50
+*482 FILLER_1_59
+*483 FILLER_20_33
+*484 FILLER_20_97
+*485 FILLER_21_111
+*486 FILLER_21_135
+*487 FILLER_21_72
+*488 FILLER_22_127
+*489 FILLER_22_35
+*490 FILLER_22_83
+*491 FILLER_22_88
+*492 FILLER_2_134
+*493 FILLER_2_3
+*494 FILLER_2_35
+*495 FILLER_2_79
+*496 FILLER_2_99
+*497 FILLER_3_109
+*498 FILLER_3_118
+*499 FILLER_3_132
+*500 FILLER_3_3
+*501 FILLER_3_49
+*502 FILLER_3_76
+*503 FILLER_3_99
+*504 FILLER_4_132
+*505 FILLER_4_31
+*506 FILLER_4_55
+*507 FILLER_4_62
+*508 FILLER_4_90
+*509 FILLER_5_134
+*510 FILLER_5_78
+*511 FILLER_5_87
+*512 FILLER_6_120
+*513 FILLER_6_95
+*514 FILLER_7_113
+*515 FILLER_7_124
+*516 FILLER_7_41
+*517 FILLER_7_47
+*518 FILLER_7_55
+*519 FILLER_7_89
+*520 FILLER_8_128
+*521 FILLER_8_134
+*522 FILLER_8_24
+*523 FILLER_8_42
+*524 FILLER_8_58
+*525 FILLER_8_70
+*526 FILLER_8_90
+*527 FILLER_9_111
+*528 FILLER_9_125
+*529 FILLER_9_135
+*530 FILLER_9_57
+*531 FILLER_9_78
+*532 PHY_0
+*533 PHY_1
+*534 PHY_10
+*535 PHY_11
+*536 PHY_12
+*537 PHY_13
+*538 PHY_14
+*539 PHY_15
+*540 PHY_16
+*541 PHY_17
+*542 PHY_18
+*543 PHY_19
+*544 PHY_2
+*545 PHY_20
+*546 PHY_21
+*547 PHY_22
+*548 PHY_23
+*549 PHY_24
+*550 PHY_25
+*551 PHY_26
+*552 PHY_27
+*553 PHY_28
+*554 PHY_29
+*555 PHY_3
+*556 PHY_30
+*557 PHY_31
+*558 PHY_32
+*559 PHY_33
+*560 PHY_34
+*561 PHY_35
+*562 PHY_36
+*563 PHY_37
+*564 PHY_38
+*565 PHY_39
+*566 PHY_4
+*567 PHY_40
+*568 PHY_41
+*569 PHY_42
+*570 PHY_43
+*571 PHY_44
+*572 PHY_45
+*573 PHY_5
+*574 PHY_6
+*575 PHY_7
+*576 PHY_8
+*577 PHY_9
+*578 TAP_46
+*579 TAP_47
+*580 TAP_48
+*581 TAP_49
+*582 TAP_50
+*583 TAP_51
+*584 TAP_52
+*585 TAP_53
+*586 TAP_54
+*587 TAP_55
+*588 TAP_56
+*589 TAP_57
+*590 TAP_58
+*591 TAP_59
+*592 TAP_60
+*593 TAP_61
+*594 TAP_62
+*595 TAP_63
+*596 TAP_64
+*597 TAP_65
+*598 TAP_66
+*599 TAP_67
+*600 TAP_68
+*601 TAP_69
+*602 TAP_70
+*603 TAP_71
+*604 TAP_72
+*605 TAP_73
+*606 TAP_74
+*607 TAP_75
+*608 TAP_76
+*609 TAP_77
+*610 TAP_78
+*611 TAP_79
+*612 TAP_80
+*613 TAP_81
+*614 TAP_82
+*615 TAP_83
+*616 TAP_84
+*617 TAP_85
+*618 TAP_86
+*619 TAP_87
+*620 TAP_88
+*621 TAP_89
+*622 TAP_90
+*623 TAP_91
+*624 TAP_92
+*625 TAP_93
+*626 TAP_94
+*627 TAP_95
+*628 _176_
+*629 _177_
+*630 _178_
+*631 _179_
+*632 _180_
+*633 _181_
+*634 _182_
+*635 _183_
+*636 _184_
+*637 _185_
+*638 _186_
+*639 _187_
+*640 _188_
+*641 _189_
+*642 _190_
+*643 _191_
+*644 _192_
+*645 _193_
+*646 _194_
+*647 _195_
+*648 _196_
+*649 _197_
+*650 _198_
+*651 _199_
+*652 _200_
+*653 _201_
+*654 _202_
+*655 _203_
+*656 _204_
+*657 _205_
+*658 _206_
+*659 _207_
+*660 _208_
+*661 _209_
+*662 _210_
+*663 _211_
+*664 _212_
+*665 _213_
+*666 _214_
+*667 _215_
+*668 _216_
+*669 _217_
+*670 _218_
+*671 _219_
+*672 _220_
+*673 _221_
+*674 _222_
+*675 _223_
+*676 _224_
+*677 _225_
+*678 _226_
+*679 _227_
+*680 _228_
+*681 _229_
+*682 _230_
+*683 _231_
+*684 _232_
+*685 _233_
+*686 _234_
+*687 _235_
+*688 _236_
+*689 _237_
+*690 _238_
+*691 _239_
+*692 _240_
+*693 _241_
+*694 _242_
+*695 _243_
+*696 _244_
+*697 _245_
+*698 _246_
+*699 _247_
+*700 _248_
+*701 _249_
+*702 _250_
+*703 _251_
+*704 _252_
+*705 _253_
+*706 _254_
+*707 _255_
+*708 _256_
+*709 _257_
+*710 _258_
+*711 _259_
+*712 _260_
+*713 _261_
+*714 _262_
+*715 _263_
+*716 _264_
+*717 _265_
+*718 _266_
+*719 _267_
+*720 _268_
+*721 _269_
+*722 _270_
+*723 _271_
+*724 _272_
+*725 _273_
+*726 _274_
+*727 _275_
+*728 _276_
+*729 _277_
+*730 _278_
+*731 _279_
+*732 _280_
+*733 _281_
+*734 _282_
+*735 _283_
+*736 _284_
+*737 _285_
+*738 _286_
+*739 _287_
+*740 _288_
+*741 _289_
+*742 _290_
+*743 _291_
+*744 _292_
+*745 _293_
+*746 _294_
+*747 _295_
+*748 _296_
+*749 _297_
+*750 _298_
+*751 _299_
+*752 _300_
+*753 _301_
+*754 _302_
+*755 _303_
+*756 _304_
+*757 _305_
+*758 _306_
+*759 _307_
+*760 _308_
+*761 _309_
+*762 _310_
+*763 _311_
+*764 _312_
+*765 _313_
+*766 _314_
+*767 _315_
+*768 _316_
+*769 _317_
+*770 _318_
+*771 _319_
+*772 _320_
+*773 _321_
+*774 _322_
+*775 _323_
+*776 _324_
+*777 _325_
+*778 _326_
+*779 _327_
+*780 _328_
+*781 _329_
+*782 _330_
+*783 _331_
+*784 _332_
+*785 _333_
+*786 _334_
+*787 _335_
+*788 _336_
+*789 _337_
+*790 _338_
+*791 _339_
+*792 _340_
+*793 _341_
+*794 _342_
+*795 _343_
+*796 _344_
+*797 _345_
+*798 _346_
+*799 _347_
+*800 _348_
+*801 _349_
+*802 _350_
+*803 _351_
+*804 _352_
+*805 _353_
+*806 _354_
+*807 _355_
+*808 _356_
+*809 _357_
+*810 _358_
+*811 _359_
+*812 _360_
+*813 _361_
+*814 _362_
+*815 _363_
+*816 _364_
+*817 _365_
+*818 _366_
+*819 _367_
+*820 _368_
+*821 _369_
+*822 _370_
+*823 _371_
+*824 _372_
+*825 _373_
+*826 _374_
+*827 _375_
+*828 _376_
+*829 _377_
+*830 _378_
+*831 _379_
+*832 _380_
+*833 _381_
+*834 _382_
+*835 _383_
+*836 _384_
+*837 _385_
+*838 _386_
+*839 _387_
+*840 _388_
+*841 _389_
+*842 _390_
+*843 _391_
+*844 _392_
+*845 _393_
+*846 _394_
+*847 _395_
+*848 _396_
+*849 _397_
+*850 _398_
+*851 _399_
+*852 _400_
+*853 _401_
+*854 clockp_buffer_0
+*855 clockp_buffer_1
+*856 ringosc\.dstage\[0\]\.id\.delaybuf0
+*857 ringosc\.dstage\[0\]\.id\.delaybuf1
+*858 ringosc\.dstage\[0\]\.id\.delayen0
+*859 ringosc\.dstage\[0\]\.id\.delayen1
+*860 ringosc\.dstage\[0\]\.id\.delayenb0
+*861 ringosc\.dstage\[0\]\.id\.delayenb1
+*862 ringosc\.dstage\[0\]\.id\.delayint0
+*863 ringosc\.dstage\[10\]\.id\.delaybuf0
+*864 ringosc\.dstage\[10\]\.id\.delaybuf1
+*865 ringosc\.dstage\[10\]\.id\.delayen0
+*866 ringosc\.dstage\[10\]\.id\.delayen1
+*867 ringosc\.dstage\[10\]\.id\.delayenb0
+*868 ringosc\.dstage\[10\]\.id\.delayenb1
+*869 ringosc\.dstage\[10\]\.id\.delayint0
+*870 ringosc\.dstage\[11\]\.id\.delaybuf0
+*871 ringosc\.dstage\[11\]\.id\.delaybuf1
+*872 ringosc\.dstage\[11\]\.id\.delayen0
+*873 ringosc\.dstage\[11\]\.id\.delayen1
+*874 ringosc\.dstage\[11\]\.id\.delayenb0
+*875 ringosc\.dstage\[11\]\.id\.delayenb1
+*876 ringosc\.dstage\[11\]\.id\.delayint0
+*877 ringosc\.dstage\[1\]\.id\.delaybuf0
+*878 ringosc\.dstage\[1\]\.id\.delaybuf1
+*879 ringosc\.dstage\[1\]\.id\.delayen0
+*880 ringosc\.dstage\[1\]\.id\.delayen1
+*881 ringosc\.dstage\[1\]\.id\.delayenb0
+*882 ringosc\.dstage\[1\]\.id\.delayenb1
+*883 ringosc\.dstage\[1\]\.id\.delayint0
+*884 ringosc\.dstage\[2\]\.id\.delaybuf0
+*885 ringosc\.dstage\[2\]\.id\.delaybuf1
+*886 ringosc\.dstage\[2\]\.id\.delayen0
+*887 ringosc\.dstage\[2\]\.id\.delayen1
+*888 ringosc\.dstage\[2\]\.id\.delayenb0
+*889 ringosc\.dstage\[2\]\.id\.delayenb1
+*890 ringosc\.dstage\[2\]\.id\.delayint0
+*891 ringosc\.dstage\[3\]\.id\.delaybuf0
+*892 ringosc\.dstage\[3\]\.id\.delaybuf1
+*893 ringosc\.dstage\[3\]\.id\.delayen0
+*894 ringosc\.dstage\[3\]\.id\.delayen1
+*895 ringosc\.dstage\[3\]\.id\.delayenb0
+*896 ringosc\.dstage\[3\]\.id\.delayenb1
+*897 ringosc\.dstage\[3\]\.id\.delayint0
+*898 ringosc\.dstage\[4\]\.id\.delaybuf0
+*899 ringosc\.dstage\[4\]\.id\.delaybuf1
+*900 ringosc\.dstage\[4\]\.id\.delayen0
+*901 ringosc\.dstage\[4\]\.id\.delayen1
+*902 ringosc\.dstage\[4\]\.id\.delayenb0
+*903 ringosc\.dstage\[4\]\.id\.delayenb1
+*904 ringosc\.dstage\[4\]\.id\.delayint0
+*905 ringosc\.dstage\[5\]\.id\.delaybuf0
+*906 ringosc\.dstage\[5\]\.id\.delaybuf1
+*907 ringosc\.dstage\[5\]\.id\.delayen0
+*908 ringosc\.dstage\[5\]\.id\.delayen1
+*909 ringosc\.dstage\[5\]\.id\.delayenb0
+*910 ringosc\.dstage\[5\]\.id\.delayenb1
+*911 ringosc\.dstage\[5\]\.id\.delayint0
+*912 ringosc\.dstage\[6\]\.id\.delaybuf0
+*913 ringosc\.dstage\[6\]\.id\.delaybuf1
+*914 ringosc\.dstage\[6\]\.id\.delayen0
+*915 ringosc\.dstage\[6\]\.id\.delayen1
+*916 ringosc\.dstage\[6\]\.id\.delayenb0
+*917 ringosc\.dstage\[6\]\.id\.delayenb1
+*918 ringosc\.dstage\[6\]\.id\.delayint0
+*919 ringosc\.dstage\[7\]\.id\.delaybuf0
+*920 ringosc\.dstage\[7\]\.id\.delaybuf1
+*921 ringosc\.dstage\[7\]\.id\.delayen0
+*922 ringosc\.dstage\[7\]\.id\.delayen1
+*923 ringosc\.dstage\[7\]\.id\.delayenb0
+*924 ringosc\.dstage\[7\]\.id\.delayenb1
+*925 ringosc\.dstage\[7\]\.id\.delayint0
+*926 ringosc\.dstage\[8\]\.id\.delaybuf0
+*927 ringosc\.dstage\[8\]\.id\.delaybuf1
+*928 ringosc\.dstage\[8\]\.id\.delayen0
+*929 ringosc\.dstage\[8\]\.id\.delayen1
+*930 ringosc\.dstage\[8\]\.id\.delayenb0
+*931 ringosc\.dstage\[8\]\.id\.delayenb1
+*932 ringosc\.dstage\[8\]\.id\.delayint0
+*933 ringosc\.dstage\[9\]\.id\.delaybuf0
+*934 ringosc\.dstage\[9\]\.id\.delaybuf1
+*935 ringosc\.dstage\[9\]\.id\.delayen0
+*936 ringosc\.dstage\[9\]\.id\.delayen1
+*937 ringosc\.dstage\[9\]\.id\.delayenb0
+*938 ringosc\.dstage\[9\]\.id\.delayenb1
+*939 ringosc\.dstage\[9\]\.id\.delayint0
+*940 ringosc\.ibufp00
+*941 ringosc\.ibufp01
+*942 ringosc\.ibufp10
+*943 ringosc\.ibufp11
+*944 ringosc\.iss\.const1
+*945 ringosc\.iss\.ctrlen0
+*946 ringosc\.iss\.delaybuf0
+*947 ringosc\.iss\.delayen0
+*948 ringosc\.iss\.delayen1
+*949 ringosc\.iss\.delayenb0
+*950 ringosc\.iss\.delayenb1
+*951 ringosc\.iss\.delayint0
+*952 ringosc\.iss\.reseten0
+
+*PORTS
+clockp[0] O
+clockp[1] O
+dco I
+div[0] I
+div[1] I
+div[2] I
+div[3] I
+div[4] I
+enable I
+ext_trim[0] I
+ext_trim[10] I
+ext_trim[11] I
+ext_trim[12] I
+ext_trim[13] I
+ext_trim[14] I
+ext_trim[15] I
+ext_trim[16] I
+ext_trim[17] I
+ext_trim[18] I
+ext_trim[19] I
+ext_trim[1] I
+ext_trim[20] I
+ext_trim[21] I
+ext_trim[22] I
+ext_trim[23] I
+ext_trim[24] I
+ext_trim[25] I
+ext_trim[2] I
+ext_trim[3] I
+ext_trim[4] I
+ext_trim[5] I
+ext_trim[6] I
+ext_trim[7] I
+ext_trim[8] I
+ext_trim[9] I
+osc I
+resetb I
+
+*D_NET *3 0.000843927
+*CONN
+*P clockp[0] O
+*I *854:X O *D sky130_fd_sc_hd__clkbuf_16
+*CAP
+1 clockp[0] 0.000421963
+2 *854:X 0.000421963
+3 clockp[0] clockp[1] 0
+*RES
+1 *854:X clockp[0] 22.0693
+*END
+
+*D_NET *4 0.00615831
+*CONN
+*P clockp[1] O
+*I *855:X O *D sky130_fd_sc_hd__clkbuf_16
+*CAP
+1 clockp[1] 0.000569779
+2 *855:X 0.00121015
+3 *4:8 0.00177993
+4 clockp[1] *826:B 0.000104613
+5 clockp[1] *6:7 0
+6 *4:8 *337:DIODE 6.66939e-05
+7 *4:8 *344:DIODE 4.84853e-05
+8 *4:8 *349:DIODE 0.000105256
+9 *4:8 *635:A0 0.000100831
+10 *4:8 *635:S 0
+11 *4:8 *657:A 0
+12 *4:8 *657:B 5.03801e-05
+13 *4:8 *661:A 0
+14 *4:8 *664:A2 0
+15 *4:8 *667:A1 0
+16 *4:8 *671:B 0
+17 *4:8 *673:A 0.000150625
+18 *4:8 *673:B 0.000182565
+19 *4:8 *677:A2 0
+20 *4:8 *678:B 0.000524053
+21 *4:8 *688:A 0
+22 *4:8 *828:B 0
+23 *4:8 *849:D 5.33786e-05
+24 *4:8 *852:D 0.000316031
+25 *4:8 *853:RESET_B 0.000334344
+26 *4:8 *5:10 4.32258e-05
+27 *4:8 *5:611 0
+28 *4:8 *7:29 0
+29 *4:8 *9:38 2.16719e-05
+30 *4:8 *32:27 0
+31 *4:8 *93:9 8.06331e-05
+32 *4:8 *109:16 0
+33 *4:8 *111:8 9.00741e-05
+34 *4:8 *113:12 0
+35 *4:8 *129:17 0.000204023
+36 *4:8 *222:10 0.000121573
+37 clockp[0] clockp[1] 0
+*RES
+1 *855:X *4:8 48.7546
+2 *4:8 clockp[1] 1.8612
+*END
+
+*D_NET *5 0.0870251
+*CONN
+*P dco I
+*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *747:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *752:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *362:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *374:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *765:B I *D sky130_fd_sc_hd__nand2b_2
+*I *768:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *758:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *741:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *766:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *795:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *764:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *762:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *778:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *392:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *745:A_N I *D sky130_fd_sc_hd__and2b_2
+*I *771:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *769:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *740:A I *D sky130_fd_sc_hd__nor2_2
+*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *413:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *815:A I *D sky130_fd_sc_hd__nor2_2
+*I *420:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *817:A I *D sky130_fd_sc_hd__nor2_2
+*I *814:A I *D sky130_fd_sc_hd__nor2_2
+*I *412:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *828:A I *D sky130_fd_sc_hd__nor2_2
+*I *426:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *826:A I *D sky130_fd_sc_hd__nor2_2
+*I *425:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *827:A I *D sky130_fd_sc_hd__nor2_2
+*I *809:A I *D sky130_fd_sc_hd__nor2_2
+*I *813:A I *D sky130_fd_sc_hd__nor2_2
+*I *411:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *400:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *805:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *816:A I *D sky130_fd_sc_hd__nor2_2
+*I *821:A I *D sky130_fd_sc_hd__nor2_2
+*I *419:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *414:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *416:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *818:A I *D sky130_fd_sc_hd__nor2_2
+*I *418:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *417:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *820:A I *D sky130_fd_sc_hd__nor2_2
+*I *819:A I *D sky130_fd_sc_hd__nor2_2
+*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *383:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *387:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *789:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *389:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *793:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *386:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *396:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *393:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *802:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:B I *D sky130_fd_sc_hd__nor2_2
+*I *786:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *382:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *806:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *402:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *397:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *804:A_N I *D sky130_fd_sc_hd__and3b_2
+*I *399:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *422:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *408:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *824:A I *D sky130_fd_sc_hd__nor2_2
+*I *810:A I *D sky130_fd_sc_hd__nor2_2
+*I *825:A I *D sky130_fd_sc_hd__nor2_2
+*I *423:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *823:A I *D sky130_fd_sc_hd__nor2_2
+*I *421:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *808:A I *D sky130_fd_sc_hd__nor2_2
+*I *407:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *424:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *406:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *410:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *812:A I *D sky130_fd_sc_hd__nor2_2
+*I *409:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *811:A I *D sky130_fd_sc_hd__nor2_2
+*I *415:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *822:A I *D sky130_fd_sc_hd__nor2_2
+*I *427:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *829:A I *D sky130_fd_sc_hd__nor2_2
+*I *428:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *830:A I *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 dco 0.000645185
+2 *349:DIODE 0.00021008
+3 *358:DIODE 0
+4 *747:A1 0
+5 *752:A1 0
+6 *356:DIODE 0
+7 *754:A1 0.000112517
+8 *362:DIODE 0
+9 *374:DIODE 0
+10 *375:DIODE 3.34544e-05
+11 *765:B 0.000165699
+12 *768:B2 0.000143084
+13 *758:A1 0
+14 *364:DIODE 0.000152434
+15 *371:DIODE 0
+16 *360:DIODE 0
+17 *757:A1 2.62853e-05
+18 *751:A1 3.00142e-05
+19 *354:DIODE 0.000191073
+20 *351:DIODE 6.72891e-05
+21 *741:A2 5.67612e-05
+22 *347:DIODE 7.06727e-05
+23 *379:DIODE 4.7032e-05
+24 *766:A1 0
+25 *350:DIODE 0
+26 *798:B2 2.23151e-05
+27 *795:A1 0.000370592
+28 *764:A1 0
+29 *366:DIODE 0.000129358
+30 *761:A1 0
+31 *762:A1 2.54275e-05
+32 *368:DIODE 0
+33 *372:DIODE 3.92662e-05
+34 *778:A1 8.39598e-05
+35 *392:DIODE 0
+36 *745:A_N 3.23748e-05
+37 *771:A1 8.399e-05
+38 *769:A1 0.000113602
+39 *740:A 3.28322e-05
+40 *377:DIODE 0
+41 *413:DIODE 1.03866e-05
+42 *815:A 0
+43 *420:DIODE 0
+44 *817:A 7.47441e-05
+45 *814:A 3.93936e-05
+46 *412:DIODE 0
+47 *828:A 3.6848e-05
+48 *426:DIODE 0
+49 *826:A 0.000516927
+50 *425:DIODE 8.15745e-05
+51 *827:A 0.000196709
+52 *809:A 0.000215435
+53 *813:A 0
+54 *411:DIODE 0.000275922
+55 *400:DIODE 4.05326e-05
+56 *805:A1 1.64954e-05
+57 *816:A 0
+58 *821:A 1.26964e-05
+59 *419:DIODE 0
+60 *414:DIODE 0
+61 *416:DIODE 7.35679e-05
+62 *818:A 3.80696e-05
+63 *418:DIODE 0.000340172
+64 *417:DIODE 0
+65 *820:A 1.11423e-05
+66 *819:A 1.99857e-05
+67 *353:DIODE 0
+68 *800:A1 5.81347e-06
+69 *383:DIODE 0.000676379
+70 *387:DIODE 0
+71 *789:A1 0
+72 *389:DIODE 7.35457e-05
+73 *793:B2 1.67369e-05
+74 *386:DIODE 0
+75 *396:DIODE 0.000228607
+76 *393:DIODE 0
+77 *794:A1 0.000200163
+78 *802:B2 4.40563e-05
+79 *748:B 0
+80 *786:B2 0.000116251
+81 *382:DIODE 0.000260619
+82 *803:A1 0.000189446
+83 *806:A1 5.8453e-05
+84 *402:DIODE 4.19004e-05
+85 *397:DIODE 4.36033e-05
+86 *804:A_N 2.23854e-05
+87 *399:DIODE 0
+88 *422:DIODE 5.282e-05
+89 *408:DIODE 0
+90 *824:A 9.82021e-06
+91 *810:A 0
+92 *825:A 3.21587e-05
+93 *423:DIODE 0
+94 *823:A 0
+95 *421:DIODE 0.000780344
+96 *808:A 1.99857e-05
+97 *407:DIODE 0
+98 *424:DIODE 0.000143909
+99 *406:DIODE 0
+100 *410:DIODE 0
+101 *812:A 0.00011639
+102 *409:DIODE 0
+103 *811:A 0.000130051
+104 *415:DIODE 2.61224e-05
+105 *822:A 0.000130508
+106 *427:DIODE 0
+107 *829:A 5.71454e-05
+108 *428:DIODE 0
+109 *830:A 0
+110 *5:1008 0.000345576
+111 *5:1003 0.000468659
+112 *5:1001 0.000434324
+113 *5:971 0.000308783
+114 *5:969 0.000328766
+115 *5:965 0.000384535
+116 *5:949 0.000453914
+117 *5:943 0.000457678
+118 *5:935 0.000222297
+119 *5:930 0.000155323
+120 *5:928 0.000376012
+121 *5:904 0.000476096
+122 *5:902 0.000301638
+123 *5:894 0.000160572
+124 *5:891 0.000362713
+125 *5:888 0.000663247
+126 *5:876 0.000803718
+127 *5:838 0.000707727
+128 *5:817 0.000200493
+129 *5:806 0.000239467
+130 *5:803 0.000159822
+131 *5:801 0.00051905
+132 *5:796 0.000220493
+133 *5:785 0.000131446
+134 *5:780 0.000184349
+135 *5:761 0.000483293
+136 *5:758 0.000576516
+137 *5:749 0.000173662
+138 *5:739 0.000173443
+139 *5:731 0.000158509
+140 *5:727 7.92189e-05
+141 *5:726 0.000210681
+142 *5:716 0.000162169
+143 *5:714 0.000484374
+144 *5:700 0.000739045
+145 *5:688 1.93371e-05
+146 *5:685 0.000477041
+147 *5:613 0.00037928
+148 *5:611 0.000920188
+149 *5:606 0.000327422
+150 *5:604 0.000424429
+151 *5:592 0.00038209
+152 *5:590 0.000177201
+153 *5:561 0.000564439
+154 *5:544 0.000259513
+155 *5:534 0.000311716
+156 *5:504 0.000305545
+157 *5:487 0.000410506
+158 *5:446 0.000728634
+159 *5:409 0.000611667
+160 *5:398 0.000482399
+161 *5:397 0.000203158
+162 *5:393 0.000428349
+163 *5:384 0.00051947
+164 *5:377 0.000140943
+165 *5:356 0.00024422
+166 *5:351 0.000400929
+167 *5:346 0.000566972
+168 *5:331 0.000271341
+169 *5:311 0.000627209
+170 *5:307 0.000551962
+171 *5:290 0.000490049
+172 *5:281 0.000284049
+173 *5:279 0.000376507
+174 *5:266 0.00065133
+175 *5:261 0.000591532
+176 *5:259 0.000141456
+177 *5:257 0.000260933
+178 *5:252 0.000472318
+179 *5:241 0.00016705
+180 *5:235 0.000350468
+181 *5:229 0.000377721
+182 *5:227 0.000225533
+183 *5:223 0.000238561
+184 *5:222 0.000258716
+185 *5:208 0.000197509
+186 *5:196 3.00782e-05
+187 *5:194 0.000239961
+188 *5:191 0.000380947
+189 *5:180 0.0003092
+190 *5:175 0.000312162
+191 *5:164 0.000252497
+192 *5:154 0.000880109
+193 *5:146 0.000444653
+194 *5:142 0.000625476
+195 *5:140 0.000478262
+196 *5:129 0.000458929
+197 *5:109 0.000195199
+198 *5:108 0.000166548
+199 *5:105 0.000994033
+200 *5:88 0.00119309
+201 *5:77 0.000398106
+202 *5:72 0.000391877
+203 *5:70 0.000557744
+204 *5:66 0.000561396
+205 *5:51 0.000551078
+206 *5:43 0.000822522
+207 *5:37 0.000487848
+208 *5:27 0.000344455
+209 *5:23 0.000443951
+210 *5:21 3.33486e-05
+211 *5:15 0.000148766
+212 *5:10 0.000141101
+213 *5:7 0.000880949
+214 *347:DIODE *700:B 8.36572e-05
+215 *349:DIODE *32:27 3.52206e-05
+216 *354:DIODE *355:DIODE 1.17968e-05
+217 *354:DIODE *751:A2 1.51021e-05
+218 *354:DIODE *277:6 8.00806e-05
+219 *364:DIODE *758:A2 4.63699e-05
+220 *364:DIODE *36:10 2.42122e-05
+221 *366:DIODE *762:A2 8.41815e-05
+222 *366:DIODE *913:A 0.000227539
+223 *366:DIODE *915:A 0.000274288
+224 *366:DIODE *916:A 0.00014285
+225 *366:DIODE *35:26 0.000117836
+226 *366:DIODE *180:49 4.81186e-05
+227 *366:DIODE *312:16 0.00014183
+228 *372:DIODE *178:36 5.49995e-05
+229 *375:DIODE *908:TE 2.89114e-05
+230 *379:DIODE *766:A3 0.000142856
+231 *379:DIODE *334:14 1.98839e-05
+232 *382:DIODE *692:B 1.98839e-05
+233 *382:DIODE *779:B 5.33005e-05
+234 *382:DIODE *86:13 9.41642e-05
+235 *382:DIODE *130:21 2.95642e-05
+236 *382:DIODE *130:39 9.84057e-05
+237 *382:DIODE *142:29 1.90936e-05
+238 *382:DIODE *236:43 1.30999e-05
+239 *382:DIODE *236:67 1.21317e-05
+240 *383:DIODE *934:A 2.58997e-05
+241 *383:DIODE *935:A 0.00019625
+242 *383:DIODE *936:A 9.58632e-05
+243 *383:DIODE *936:TE 1.46231e-05
+244 *383:DIODE *936:Z 6.48792e-05
+245 *383:DIODE *939:A 0.000460989
+246 *383:DIODE *21:7 7.79781e-06
+247 *383:DIODE *22:8 5.06983e-05
+248 *383:DIODE *254:8 0.000101915
+249 *389:DIODE *384:DIODE 1.12578e-05
+250 *389:DIODE *788:C 0
+251 *389:DIODE *926:A 3.48235e-05
+252 *389:DIODE *207:12 5.38072e-05
+253 *396:DIODE *777:A 9.41642e-05
+254 *396:DIODE *780:A 0
+255 *396:DIODE *142:62 2.51133e-05
+256 *396:DIODE *143:63 7.39203e-06
+257 *396:DIODE *183:11 7.29712e-05
+258 *396:DIODE *187:33 1.53058e-05
+259 *396:DIODE *187:47 3.43988e-06
+260 *397:DIODE *131:20 5.33005e-05
+261 *400:DIODE *805:A2 5.71472e-05
+262 *402:DIODE *235:52 5.33005e-05
+263 *411:DIODE *686:B 0
+264 *411:DIODE *690:C 0
+265 *411:DIODE *839:CLK 2.09897e-05
+266 *411:DIODE *139:19 0.000314883
+267 *411:DIODE *141:8 7.05216e-05
+268 *413:DIODE *221:43 8.76257e-05
+269 *413:DIODE *333:235 0.00010096
+270 *415:DIODE *7:29 2.89016e-05
+271 *418:DIODE *872:TE 5.25578e-05
+272 *418:DIODE *872:Z 1.40306e-05
+273 *421:DIODE *848:D 0.000382923
+274 *421:DIODE *333:95 5.7256e-05
+275 *424:DIODE *89:34 9.33671e-05
+276 *425:DIODE *216:145 2.89114e-05
+277 *740:A *771:B2 7.83587e-05
+278 *740:A *132:8 5.41794e-05
+279 *740:A *175:22 1.08359e-05
+280 *741:A2 *741:A1 3.18676e-05
+281 *745:A_N *745:B 5.33005e-05
+282 *745:A_N *778:B1 5.03772e-05
+283 *745:A_N *132:30 5.33005e-05
+284 *751:A1 *751:B1 3.57366e-05
+285 *754:A1 *32:11 0.000134304
+286 *754:A1 *32:27 4.25684e-05
+287 *757:A1 *757:B1 3.37729e-05
+288 *762:A1 *762:A2 1.46576e-05
+289 *762:A1 *762:B2 4.38186e-05
+290 *762:A1 *764:A2 9.58632e-05
+291 *765:B *908:A 4.67437e-05
+292 *765:B *908:TE 2.84274e-05
+293 *765:B *912:A 8.08608e-06
+294 *765:B *917:TE_B 3.67279e-06
+295 *768:B2 *373:DIODE 0.000183726
+296 *768:B2 *768:B1 0.000216121
+297 *768:B2 *908:A 0.000146474
+298 *768:B2 *908:TE 7.2754e-05
+299 *768:B2 *179:32 0.000218246
+300 *769:A1 *376:DIODE 7.89682e-05
+301 *769:A1 *766:A3 6.05161e-06
+302 *769:A1 *769:B1 5.52238e-05
+303 *769:A1 *15:10 6.28619e-05
+304 *769:A1 *334:14 0.000175624
+305 *771:A1 *683:B 6.7e-06
+306 *771:A1 *771:A2 6.60111e-05
+307 *771:A1 *130:94 5.87491e-06
+308 *771:A1 *150:8 3.79258e-05
+309 *771:A1 *175:22 6.79842e-05
+310 *778:A1 *778:A2 0.000100823
+311 *778:A1 *86:40 0.000100823
+312 *778:A1 *150:32 5.33005e-05
+313 *786:B2 *130:39 8.76232e-05
+314 *786:B2 *131:62 3.74338e-05
+315 *786:B2 *236:67 9.64653e-05
+316 *793:B2 *212:23 2.85234e-05
+317 *793:B2 *325:7 2.85234e-05
+318 *794:A1 *794:A2 0
+319 *794:A1 *794:B1 2.89543e-05
+320 *794:A1 *800:A2 4.51317e-05
+321 *794:A1 *865:A 0.000191289
+322 *794:A1 *866:TE 5.20751e-05
+323 *794:A1 *26:17 0.000153836
+324 *794:A1 *251:19 5.74499e-06
+325 *794:A1 *326:5 5.52302e-05
+326 *795:A1 *795:A2 3.9228e-05
+327 *795:A1 *798:A1 0
+328 *795:A1 *922:A 0.000140719
+329 *795:A1 *922:TE 0
+330 *795:A1 *922:Z 3.5707e-05
+331 *795:A1 *16:5 0
+332 *795:A1 *180:62 3.5046e-05
+333 *795:A1 *312:16 6.22558e-06
+334 *795:A1 *313:8 0
+335 *798:B2 *785:C 5.49544e-05
+336 *800:A1 *792:C 1.01912e-05
+337 *800:A1 *207:12 1.24469e-05
+338 *802:B2 *800:A2 4.27338e-05
+339 *802:B2 *26:17 0.000182694
+340 *802:B2 *326:5 9.71197e-05
+341 *803:A1 *803:B1 0.000184944
+342 *803:A1 *948:TE 1.46231e-05
+343 *803:A1 *335:5 2.74389e-05
+344 *806:A1 *806:A2 5.55213e-05
+345 *808:A *823:B 0
+346 *808:A *333:84 1.04232e-05
+347 *809:A *738:A0 2.28598e-05
+348 *809:A *833:CLK 1.10956e-05
+349 *809:A *89:5 6.14317e-05
+350 *809:A *230:11 9.41642e-05
+351 *811:A *811:B 0.000188586
+352 *811:A *834:RESET_B 0.000144773
+353 *817:A *837:D 6.82912e-05
+354 *817:A *840:CLK 3.97677e-05
+355 *817:A *216:56 2.85234e-05
+356 *819:A *819:B 1.04232e-05
+357 *821:A *821:B 8.95839e-06
+358 *822:A *845:RESET_B 0.000104974
+359 *822:A *221:10 0
+360 *822:A *221:43 0
+361 *822:A *333:235 3.568e-05
+362 *824:A *824:B 9.99853e-05
+363 *825:A *825:B 1.3701e-05
+364 *826:A *651:A 3.48705e-05
+365 *826:A *651:B 2.89016e-05
+366 *826:A *673:A 0.00010096
+367 *826:A *121:12 9.90431e-05
+368 *826:A *222:17 0.000117553
+369 *826:A *222:21 1.39726e-05
+370 *827:A *405:DIODE 9.66977e-05
+371 *827:A *672:A 0.000227014
+372 *827:A *672:B 7.30592e-05
+373 *827:A *826:B 0.000307349
+374 *827:A *827:B 2.62951e-05
+375 *827:A *850:D 2.62951e-05
+376 *827:A *854:A 0.000204413
+377 *827:A *39:10 4.05356e-05
+378 *827:A *333:15 8.42609e-05
+379 *828:A *828:B 1.02301e-05
+380 *828:A *139:19 0
+381 *829:A *852:RESET_B 7.92378e-05
+382 *5:7 *11:8 0
+383 *5:7 *12:10 0
+384 *5:10 *32:27 1.08601e-05
+385 *5:15 *635:A0 4.58976e-05
+386 *5:15 *642:B 5.71472e-05
+387 *5:15 *830:B 0.000357054
+388 *5:15 *221:55 9.47028e-05
+389 *5:27 *830:B 8.18594e-05
+390 *5:27 *852:RESET_B 0.000123714
+391 *5:27 *11:8 2.06112e-05
+392 *5:27 *216:9 9.60875e-05
+393 *5:27 *216:13 1.33343e-05
+394 *5:27 *333:246 6.68298e-05
+395 *5:37 *216:9 2.23592e-05
+396 *5:43 *216:9 7.09928e-05
+397 *5:43 *216:43 0.000282025
+398 *5:51 *845:D 0.000149975
+399 *5:51 *216:43 1.24368e-05
+400 *5:66 *705:A1 0
+401 *5:66 *845:D 0.000119135
+402 *5:66 *129:63 1.19309e-05
+403 *5:66 *333:235 8.98897e-05
+404 *5:70 *685:C_N 0
+405 *5:70 *705:A1 0
+406 *5:70 *129:37 0
+407 *5:70 *129:48 0
+408 *5:70 *129:58 0
+409 *5:70 *171:10 7.72563e-05
+410 *5:70 *333:219 0.000290956
+411 *5:70 *333:235 3.17163e-05
+412 *5:72 *171:10 6.93556e-05
+413 *5:72 *333:201 4.65855e-05
+414 *5:72 *333:212 0.000167904
+415 *5:72 *333:219 3.2923e-05
+416 *5:77 *343:DIODE 4.72917e-05
+417 *5:77 *7:29 6.05161e-06
+418 *5:77 *171:10 4.56089e-05
+419 *5:77 *333:50 5.66157e-05
+420 *5:77 *333:55 2.59355e-05
+421 *5:77 *333:201 9.80405e-05
+422 *5:88 *731:A1 4.90803e-05
+423 *5:88 *834:RESET_B 0.000100831
+424 *5:88 *168:8 7.76554e-05
+425 *5:88 *217:64 0.000108295
+426 *5:88 *218:8 0.000288557
+427 *5:88 *333:50 6.8551e-05
+428 *5:105 *734:A 1.34703e-05
+429 *5:105 *811:B 6.57914e-05
+430 *5:105 *835:CLK 1.83053e-05
+431 *5:105 *835:D 5.49544e-05
+432 *5:105 *835:RESET_B 9.23695e-05
+433 *5:108 *739:A 0
+434 *5:108 *831:D 4.57445e-05
+435 *5:108 *216:83 7.98318e-05
+436 *5:108 *216:88 0.000124083
+437 *5:109 *216:88 2.89114e-05
+438 *5:129 *216:83 6.63763e-05
+439 *5:140 *739:A 0.000142856
+440 *5:140 *89:34 0.000117621
+441 *5:140 *230:11 4.18895e-05
+442 *5:146 *739:A 0
+443 *5:146 *739:B 0.000151333
+444 *5:146 *831:RESET_B 2.0714e-05
+445 *5:146 *230:8 7.23941e-05
+446 *5:146 *333:76 0.000276211
+447 *5:146 *333:84 2.44318e-05
+448 *5:154 *333:84 0.000105163
+449 *5:154 *333:95 1.54142e-05
+450 *5:164 *823:B 1.04232e-05
+451 *5:175 *833:CLK 6.19181e-05
+452 *5:175 *833:RESET_B 0
+453 *5:175 *29:8 0.000434797
+454 *5:175 *333:95 5.33005e-05
+455 *5:180 *88:5 3.67257e-05
+456 *5:191 *825:B 9.80173e-05
+457 *5:191 *833:RESET_B 0.000101545
+458 *5:191 *839:RESET_B 1.91512e-05
+459 *5:191 *88:5 4.69993e-05
+460 *5:191 *333:106 0.000125731
+461 *5:191 *333:123 2.31791e-05
+462 *5:194 *824:B 4.4873e-05
+463 *5:194 *833:RESET_B 6.05161e-06
+464 *5:196 *824:B 5.7661e-06
+465 *5:208 *824:B 4.73286e-05
+466 *5:222 *839:RESET_B 5.53406e-05
+467 *5:222 *29:8 0
+468 *5:222 *333:123 0.000197714
+469 *5:222 *333:144 2.28343e-05
+470 *5:223 *839:RESET_B 4.58976e-05
+471 *5:227 *821:B 1.55885e-05
+472 *5:227 *839:RESET_B 2.89114e-05
+473 *5:235 *804:B 1.15281e-05
+474 *5:235 *844:RESET_B 0.000225609
+475 *5:235 *29:8 0.000360601
+476 *5:235 *140:22 0
+477 *5:235 *236:10 0
+478 *5:241 *804:B 1.32552e-05
+479 *5:241 *804:C 1.33343e-05
+480 *5:252 *804:B 2.97829e-05
+481 *5:252 *804:C 0.000136951
+482 *5:252 *333:144 0.000132806
+483 *5:257 *333:144 1.12323e-05
+484 *5:257 *333:155 0.000153054
+485 *5:261 *819:B 3.19453e-05
+486 *5:261 *843:RESET_B 2.89016e-05
+487 *5:266 *695:A3 0
+488 *5:266 *695:B1 0
+489 *5:266 *716:C1 0.000124689
+490 *5:266 *806:A2 6.67257e-05
+491 *5:266 *819:B 2.89016e-05
+492 *5:266 *947:TE 0.000173804
+493 *5:266 *29:8 0.000770605
+494 *5:266 *145:5 6.05161e-06
+495 *5:266 *334:14 0.00026881
+496 *5:279 *806:A2 0.000130791
+497 *5:279 *234:97 2.91193e-05
+498 *5:279 *334:14 0.0001663
+499 *5:290 *806:A2 6.59012e-07
+500 *5:290 *806:B2 0
+501 *5:290 *235:52 0.00016641
+502 *5:307 *796:B1 7.31177e-05
+503 *5:307 *797:A 5.83233e-05
+504 *5:307 *234:97 4.92942e-05
+505 *5:307 *234:108 0.000117903
+506 *5:307 *334:14 6.81682e-05
+507 *5:311 *779:B 1.32552e-05
+508 *5:311 *796:B1 4.51726e-05
+509 *5:311 *142:29 1.58163e-05
+510 *5:311 *236:46 2.59355e-05
+511 *5:331 *786:A3 0.000120267
+512 *5:331 *806:B2 0.000120267
+513 *5:346 *786:A3 2.31791e-05
+514 *5:346 *791:A 0.000100823
+515 *5:346 *792:C 9.57659e-05
+516 *5:346 *806:B1 0.000182235
+517 *5:346 *806:B2 0.000118048
+518 *5:346 *83:20 9.30381e-05
+519 *5:346 *207:12 2.26973e-05
+520 *5:351 *869:A 0.000113074
+521 *5:351 *237:89 0
+522 *5:351 *256:21 6.45293e-05
+523 *5:351 *263:18 0.000476424
+524 *5:377 *794:A2 3.29037e-05
+525 *5:377 *801:A 1.00375e-05
+526 *5:377 *936:TE 7.73022e-05
+527 *5:384 *801:A 4.76908e-05
+528 *5:384 *936:TE 0.000129198
+529 *5:393 *789:B1 2.16663e-05
+530 *5:393 *921:Z 5.46383e-06
+531 *5:393 *929:TE 6.94441e-06
+532 *5:393 *930:TE_B 0.000139338
+533 *5:393 *931:A 0.000192997
+534 *5:393 *19:8 2.38501e-05
+535 *5:393 *316:8 9.56362e-05
+536 *5:393 *319:11 0.00042563
+537 *5:397 *384:DIODE 0.000136733
+538 *5:397 *921:Z 4.84159e-05
+539 *5:397 *19:8 4.34677e-05
+540 *5:397 *319:11 2.74737e-05
+541 *5:398 *384:DIODE 0.000105708
+542 *5:398 *207:12 0.000215701
+543 *5:409 *384:DIODE 9.1627e-05
+544 *5:409 *395:DIODE 0.000130945
+545 *5:409 *777:A 5.33005e-05
+546 *5:409 *790:A2 0.00020409
+547 *5:409 *790:B1 1.61405e-05
+548 *5:409 *790:B2 4.16148e-05
+549 *5:409 *130:45 7.33171e-05
+550 *5:409 *207:12 0.000196198
+551 *5:409 *263:18 0.000144243
+552 *5:446 *936:TE 3.69047e-06
+553 *5:487 *872:TE 1.93007e-05
+554 *5:504 *818:B 2.36643e-05
+555 *5:504 *841:RESET_B 5.49544e-05
+556 *5:504 *333:155 0
+557 *5:534 *821:B 1.75897e-05
+558 *5:544 *821:B 6.45471e-06
+559 *5:561 *686:B 2.26327e-05
+560 *5:561 *686:C 0.000310781
+561 *5:561 *739:B 7.6644e-05
+562 *5:561 *832:D 0
+563 *5:561 *839:CLK 0.000140933
+564 *5:561 *333:64 0.00014285
+565 *5:590 *814:B 0.000149489
+566 *5:590 *837:RESET_B 1.21273e-05
+567 *5:590 *139:19 0.000474126
+568 *5:590 *216:64 9.51514e-06
+569 *5:592 *660:A 3.69047e-06
+570 *5:592 *139:19 4.27935e-05
+571 *5:604 *637:S 3.27359e-05
+572 *5:604 *646:A 8.22448e-05
+573 *5:604 *648:B 0
+574 *5:604 *660:A 6.4443e-05
+575 *5:604 *828:B 1.92789e-05
+576 *5:604 *88:39 4.06365e-05
+577 *5:604 *104:8 0
+578 *5:604 *139:19 0.000743786
+579 *5:604 *219:37 6.57815e-05
+580 *5:604 *224:20 2.02794e-05
+581 *5:606 *828:B 3.4879e-05
+582 *5:606 *139:19 8.46829e-05
+583 *5:611 *337:DIODE 8.89385e-05
+584 *5:611 *338:DIODE 0.000145753
+585 *5:611 *639:S 0.000186407
+586 *5:611 *661:A 0.000149904
+587 *5:611 *673:B 8.56812e-05
+588 *5:611 *828:B 2.27416e-05
+589 *5:611 *139:19 0.00018077
+590 *5:613 *639:S 1.76039e-05
+591 *5:613 *672:A 6.81083e-05
+592 *5:613 *672:B 3.0285e-05
+593 *5:613 *673:B 4.66203e-05
+594 *5:613 *216:144 0
+595 *5:685 *838:RESET_B 0.000101545
+596 *5:685 *941:A 0
+597 *5:685 *333:245 8.6229e-06
+598 *5:688 *221:43 5.71472e-05
+599 *5:688 *333:235 5.52302e-05
+600 *5:700 *858:Z 0
+601 *5:700 *859:TE 0
+602 *5:700 *859:Z 6.66878e-05
+603 *5:700 *862:A 8.91963e-06
+604 *5:700 *940:A 4.41318e-05
+605 *5:700 *156:11 0
+606 *5:700 *234:52 3.75959e-05
+607 *5:700 *333:245 4.75956e-06
+608 *5:714 *683:A 2.44318e-05
+609 *5:714 *683:B 0.00018372
+610 *5:714 *700:B 0.000219045
+611 *5:714 *741:B1 4.16929e-05
+612 *5:714 *882:TE_B 7.97875e-05
+613 *5:714 *150:8 5.52238e-05
+614 *5:714 *234:52 5.29711e-05
+615 *5:714 *249:8 0
+616 *5:726 *771:B2 0.00012401
+617 *5:726 *774:C1 0
+618 *5:726 *16:5 0
+619 *5:726 *132:8 0.000185944
+620 *5:726 *132:29 0.000121423
+621 *5:726 *334:14 0.000112414
+622 *5:727 *766:A3 9.41642e-05
+623 *5:727 *334:14 3.97677e-05
+624 *5:731 *766:A3 4.43256e-05
+625 *5:731 *334:14 8.46829e-05
+626 *5:749 *766:A2 0.000191841
+627 *5:749 *766:B1 0.000217893
+628 *5:749 *150:8 7.34455e-06
+629 *5:749 *175:22 2.19551e-05
+630 *5:758 *766:B1 0.000115467
+631 *5:758 *175:22 6.19181e-05
+632 *5:761 *756:B1 2.73936e-05
+633 *5:761 *756:C1 0.000139907
+634 *5:761 *768:A3 7.48301e-06
+635 *5:761 *782:A1 3.40111e-05
+636 *5:761 *782:A2 7.77116e-06
+637 *5:761 *150:32 0.000139907
+638 *5:761 *185:13 1.02821e-05
+639 *5:780 *762:B1 2.59355e-05
+640 *5:780 *766:B1 0.000202811
+641 *5:780 *175:22 9.81026e-05
+642 *5:780 *176:28 4.08637e-05
+643 *5:785 *753:A2 3.2923e-05
+644 *5:785 *762:B1 2.59355e-05
+645 *5:785 *766:B1 0.000100248
+646 *5:785 *15:10 3.33175e-05
+647 *5:785 *176:28 5.52238e-05
+648 *5:796 *766:B1 7.58841e-05
+649 *5:796 *15:10 3.41848e-05
+650 *5:801 *764:B1 2.05612e-05
+651 *5:801 *764:B2 2.59355e-05
+652 *5:801 *766:B1 5.33005e-05
+653 *5:801 *784:A 1.0484e-05
+654 *5:803 *784:A 5.04841e-06
+655 *5:806 *762:A2 3.14003e-05
+656 *5:806 *762:B1 4.63317e-05
+657 *5:806 *762:B2 6.87975e-06
+658 *5:806 *764:A2 0.000236143
+659 *5:806 *175:22 7.52112e-05
+660 *5:817 *761:B2 2.46318e-05
+661 *5:817 *762:A2 0.000136452
+662 *5:817 *764:A2 3.15653e-05
+663 *5:817 *180:62 3.34366e-05
+664 *5:838 *764:A2 8.55493e-06
+665 *5:838 *784:A 0.000155855
+666 *5:838 *784:C 5.49995e-05
+667 *5:838 *785:C 9.84673e-05
+668 *5:838 *325:7 7.17469e-05
+669 *5:876 *830:B 1.08359e-05
+670 *5:876 *858:TE 0.000177451
+671 *5:876 *32:27 0
+672 *5:876 *248:6 4.56474e-05
+673 *5:888 *858:A 0
+674 *5:888 *879:TE 4.58976e-05
+675 *5:888 *879:Z 0
+676 *5:888 *881:TE_B 4.25716e-05
+677 *5:888 *890:A 0.000288293
+678 *5:888 *942:A 0
+679 *5:888 *32:27 0
+680 *5:888 *248:6 2.8266e-06
+681 *5:888 *269:8 0
+682 *5:891 *361:DIODE 2.34204e-05
+683 *5:891 *887:A 1.76135e-05
+684 *5:891 *891:A 0
+685 *5:891 *32:27 0.000190805
+686 *5:891 *274:10 7.79781e-06
+687 *5:894 *757:B1 1.24368e-05
+688 *5:902 *757:B1 5.7661e-06
+689 *5:904 *751:B1 0.000405727
+690 *5:904 *757:A2 8.25843e-06
+691 *5:904 *891:A 1.98839e-05
+692 *5:928 *361:DIODE 4.38511e-05
+693 *5:928 *32:27 0.000388139
+694 *5:928 *33:8 0
+695 *5:928 *283:6 3.79467e-05
+696 *5:935 *370:DIODE 5.19842e-06
+697 *5:943 *370:DIODE 2.46444e-05
+698 *5:949 *758:A2 3.04297e-05
+699 *5:949 *899:A 1.03904e-05
+700 *5:949 *902:A 9.67754e-05
+701 *5:949 *905:A 0.00030604
+702 *5:949 *37:10 0.000262764
+703 *5:949 *288:9 8.03955e-05
+704 *5:949 *293:16 2.37944e-05
+705 *5:949 *305:7 3.97326e-05
+706 *5:965 *370:DIODE 4.77904e-05
+707 *5:969 *908:A 4.26999e-05
+708 *5:969 *908:TE 6.05161e-06
+709 *5:969 *908:Z 0.000140882
+710 *5:1001 *752:B1 5.33005e-05
+711 *5:1001 *898:A 2.37005e-05
+712 *5:1001 *32:27 0.000104996
+713 *5:1001 *283:6 7.29128e-06
+714 *5:1001 *284:5 5.75774e-05
+715 *5:1003 *893:A 5.4959e-05
+716 *5:1003 *893:Z 4.71921e-05
+717 *5:1003 *895:TE_B 4.97967e-05
+718 *5:1003 *897:A 0.000143633
+719 *5:1003 *284:5 4.84159e-05
+720 *5:1008 *896:TE_B 6.47925e-05
+721 *5:1008 *32:11 2.06178e-05
+722 *4:8 *349:DIODE 0.000105256
+723 *4:8 *5:10 4.32258e-05
+724 *4:8 *5:611 0
+*RES
+1 dco *5:7 5.34327
+2 *5:7 *5:10 5.08036
+3 *5:10 *830:A 9.3
+4 *5:10 *5:15 3.82143
+5 *5:15 *428:DIODE 9.3
+6 *5:15 *5:21 0.535714
+7 *5:21 *5:23 4.5
+8 *5:23 *5:27 9.51786
+9 *5:27 *829:A 19.3357
+10 *5:27 *5:37 0.535714
+11 *5:37 *427:DIODE 9.3
+12 *5:37 *5:43 6.28571
+13 *5:43 *5:51 4.80357
+14 *5:51 *822:A 21.1839
+15 *5:51 *5:66 8.92857
+16 *5:66 *5:70 8.25
+17 *5:70 *5:72 3.16071
+18 *5:72 *5:77 8.21429
+19 *5:77 *415:DIODE 9.83571
+20 *5:77 *5:88 15.8036
+21 *5:88 *811:A 12.7464
+22 *5:88 *409:DIODE 9.3
+23 *5:88 *5:105 14.4821
+24 *5:105 *5:108 7.05357
+25 *5:108 *5:109 0.946429
+26 *5:109 *812:A 11.2821
+27 *5:109 *410:DIODE 9.3
+28 *5:108 *406:DIODE 9.3
+29 *5:105 *5:129 5.53571
+30 *5:129 *424:DIODE 11.0679
+31 *5:129 *407:DIODE 9.3
+32 *5:129 *5:140 3.82143
+33 *5:140 *5:142 4.5
+34 *5:142 *5:146 12.3214
+35 *5:146 *808:A 9.72857
+36 *5:146 *5:154 2.17857
+37 *5:154 *421:DIODE 20.0143
+38 *5:154 *5:164 0.428571
+39 *5:164 *823:A 9.3
+40 *5:164 *5:175 15.125
+41 *5:175 *423:DIODE 9.3
+42 *5:175 *5:180 0.946429
+43 *5:180 *825:A 10.0321
+44 *5:180 *5:191 8.625
+45 *5:191 *5:194 5.85714
+46 *5:194 *5:196 0.428571
+47 *5:196 *810:A 9.3
+48 *5:196 *824:A 10.2464
+49 *5:194 *5:208 3
+50 *5:208 *408:DIODE 9.3
+51 *5:208 *422:DIODE 10.2464
+52 *5:191 *5:222 7.35714
+53 *5:222 *5:223 0.946429
+54 *5:223 *5:227 3.01786
+55 *5:227 *5:229 0.535714
+56 *5:229 *5:235 16.2679
+57 *5:235 *399:DIODE 9.3
+58 *5:235 *5:241 0.535714
+59 *5:241 *804:A_N 9.72857
+60 *5:241 *5:252 7.65179
+61 *5:252 *5:257 7.54464
+62 *5:257 *5:259 0.732143
+63 *5:259 *5:261 2.17857
+64 *5:261 *5:266 18.25
+65 *5:266 *397:DIODE 14.3357
+66 *5:266 *5:279 3.21429
+67 *5:279 *5:281 4.5
+68 *5:281 *402:DIODE 9.83571
+69 *5:281 *5:290 3.51786
+70 *5:290 *806:A1 10.2464
+71 *5:290 *803:A1 12.7107
+72 *5:279 *5:307 9.23214
+73 *5:307 *5:311 7.5
+74 *5:311 *382:DIODE 17.6214
+75 *5:311 *786:B2 16.0857
+76 *5:307 *5:331 6.14286
+77 *5:331 *748:B 13.8
+78 *5:331 *5:346 6.15179
+79 *5:346 *5:351 7.948
+80 *5:351 *5:356 4.5
+81 *5:356 *802:B2 11.0679
+82 *5:356 *794:A1 23.4964
+83 *5:351 *5:377 1.33929
+84 *5:377 *393:DIODE 13.8
+85 *5:377 *5:384 2.55357
+86 *5:384 *5:393 14.5893
+87 *5:393 *5:397 6.26786
+88 *5:397 *5:398 2.85714
+89 *5:398 *5:409 15.9091
+90 *5:409 *396:DIODE 21.6393
+91 *5:409 *386:DIODE 9.3
+92 *5:398 *793:B2 14.3357
+93 *5:397 *389:DIODE 15.4429
+94 *5:393 *789:A1 9.3
+95 *5:384 *5:446 5.03571
+96 *5:446 *387:DIODE 9.3
+97 *5:446 *383:DIODE 31.2107
+98 *5:346 *800:A1 14.0768
+99 *5:307 *353:DIODE 9.3
+100 *5:261 *819:A 9.72857
+101 *5:259 *820:A 9.72857
+102 *5:257 *5:487 0.946429
+103 *5:487 *417:DIODE 9.3
+104 *5:487 *418:DIODE 13.5321
+105 *5:252 *5:504 7.38393
+106 *5:504 *818:A 10.0321
+107 *5:504 *416:DIODE 10.2464
+108 *5:229 *414:DIODE 9.3
+109 *5:227 *419:DIODE 9.3
+110 *5:223 *821:A 9.72857
+111 *5:222 *5:534 1.78571
+112 *5:534 *816:A 9.3
+113 *5:534 *5:544 3.55357
+114 *5:544 *805:A1 9.72857
+115 *5:544 *400:DIODE 10.2464
+116 *5:142 *5:561 11.4464
+117 *5:561 *411:DIODE 23.55
+118 *5:561 *813:A 9.3
+119 *5:140 *809:A 12.8714
+120 *5:72 *5:590 9.14286
+121 *5:590 *5:592 0.535714
+122 *5:592 *5:604 18.9464
+123 *5:604 *5:606 0.946429
+124 *5:606 *5:611 12.7679
+125 *5:611 *5:613 2.25
+126 *5:613 *827:A 30.2107
+127 *5:613 *425:DIODE 14.7464
+128 *5:611 *826:A 23.1214
+129 *5:606 *426:DIODE 9.3
+130 *5:604 *828:A 10.0321
+131 *5:592 *412:DIODE 9.3
+132 *5:590 *814:A 10.0321
+133 *5:70 *817:A 15.5857
+134 *5:66 *420:DIODE 13.8
+135 *5:43 *5:685 6.98214
+136 *5:685 *5:688 5.03571
+137 *5:688 *815:A 9.3
+138 *5:688 *413:DIODE 10.2464
+139 *5:685 *5:700 6.5
+140 *5:700 *377:DIODE 13.8
+141 *5:700 *5:714 12.6786
+142 *5:714 *5:716 4.5
+143 *5:716 *740:A 14.8357
+144 *5:716 *5:726 8.57143
+145 *5:726 *5:727 0.946429
+146 *5:727 *5:731 1.375
+147 *5:731 *769:A1 12.3
+148 *5:731 *5:739 4.5
+149 *5:739 *771:A1 15.8848
+150 *5:739 *5:749 3.16071
+151 *5:749 *745:A_N 14.7464
+152 *5:749 *5:758 1.64286
+153 *5:758 *5:761 9.55357
+154 *5:761 *392:DIODE 9.3
+155 *5:761 *778:A1 20.175
+156 *5:758 *5:780 7.89286
+157 *5:780 *5:785 6.375
+158 *5:785 *372:DIODE 14.3357
+159 *5:785 *5:796 5.53571
+160 *5:796 *368:DIODE 9.3
+161 *5:796 *5:801 2.17857
+162 *5:801 *5:803 0.428571
+163 *5:803 *5:806 7.96429
+164 *5:806 *762:A1 14.7464
+165 *5:806 *5:817 6.44643
+166 *5:817 *761:A1 9.3
+167 *5:817 *366:DIODE 15.1929
+168 *5:803 *764:A1 9.3
+169 *5:801 *5:838 5.60714
+170 *5:838 *795:A1 24.6393
+171 *5:838 *798:B2 9.83571
+172 *5:780 *350:DIODE 9.3
+173 *5:727 *766:A1 9.3
+174 *5:726 *379:DIODE 10.6571
+175 *5:714 *347:DIODE 10.2464
+176 *5:23 *5:876 4.67857
+177 *5:876 *741:A2 14.7464
+178 *5:876 *5:888 13
+179 *5:888 *5:891 7.05357
+180 *5:891 *5:894 5.44643
+181 *5:894 *351:DIODE 10.2464
+182 *5:894 *5:902 0.428571
+183 *5:902 *5:904 5.46429
+184 *5:904 *354:DIODE 21.4071
+185 *5:904 *751:A1 10.0321
+186 *5:902 *757:A1 10.0321
+187 *5:891 *5:928 4.98214
+188 *5:928 *5:930 4.5
+189 *5:930 *360:DIODE 9.3
+190 *5:930 *5:935 1.76786
+191 *5:935 *371:DIODE 9.3
+192 *5:935 *5:943 1.375
+193 *5:943 *5:949 17.4821
+194 *5:949 *364:DIODE 20.5857
+195 *5:949 *758:A1 9.3
+196 *5:943 *5:965 1.76786
+197 *5:965 *5:969 3.83929
+198 *5:969 *5:971 4.5
+199 *5:971 *768:B2 19.5679
+200 *5:971 *765:B 16.9607
+201 *5:969 *375:DIODE 9.83571
+202 *5:965 *374:DIODE 9.3
+203 *5:928 *5:1001 8.42857
+204 *5:1001 *5:1003 5.05357
+205 *5:1003 *5:1008 8.80357
+206 *5:1008 *362:DIODE 13.8
+207 *5:1008 *754:A1 16.1929
+208 *5:1003 *356:DIODE 9.3
+209 *5:1001 *752:A1 9.3
+210 *5:888 *747:A1 9.3
+211 *5:21 *358:DIODE 9.3
+212 *5:7 *349:DIODE 17.0589
+*END
+
+*D_NET *6 0.00460185
+*CONN
+*P div[0] I
+*I *687:A I *D sky130_fd_sc_hd__and2_2
+*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[0] 0.000259808
+2 *687:A 0
+3 *344:DIODE 0.000500461
+4 *674:B2 0
+5 *346:DIODE 4.39925e-05
+6 *6:34 0.000597114
+7 *6:24 0.000414772
+8 *6:7 0.000621919
+9 *344:DIODE *337:DIODE 0.000733927
+10 *344:DIODE *338:DIODE 7.61932e-05
+11 *344:DIODE *654:B1 9.6087e-05
+12 *344:DIODE *661:B 0.000122917
+13 *344:DIODE *671:A 0
+14 *344:DIODE *673:A 1.76135e-05
+15 *344:DIODE *688:A 0
+16 *344:DIODE *7:8 0
+17 *344:DIODE *7:29 9.62864e-05
+18 *344:DIODE *104:8 3.08664e-05
+19 *344:DIODE *111:8 2.89114e-05
+20 *346:DIODE *826:B 6.56976e-05
+21 *6:7 *7:7 0
+22 *6:24 *671:A 0
+23 *6:24 *674:B1 3.4855e-05
+24 *6:24 *675:B 1.58163e-05
+25 *6:24 *826:B 6.99087e-05
+26 *6:24 *121:12 0.000131174
+27 *6:34 *674:A2 9.95494e-05
+28 *6:34 *674:B1 0.000258938
+29 *6:34 *687:B 3.99614e-06
+30 *6:34 *120:7 0.000186669
+31 *6:34 *123:5 4.58976e-05
+32 clockp[1] *6:7 0
+33 *4:8 *344:DIODE 4.84853e-05
+*RES
+1 div[0] *6:7 4.1902
+2 *6:7 *346:DIODE 14.6839
+3 *6:7 *6:24 10.6696
+4 *6:24 *674:B2 9.3
+5 *6:24 *6:34 4.375
+6 *6:34 *344:DIODE 30.9429
+7 *6:34 *687:A 9.3
+*END
+
+*D_NET *7 0.00617662
+*CONN
+*P div[1] I
+*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *671:A I *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 div[1] 0.000480236
+2 *343:DIODE 6.49489e-05
+3 *342:DIODE 0
+4 *674:A1 0
+5 *671:A 0.000121788
+6 *7:29 0.00150287
+7 *7:8 0.000201819
+8 *7:7 0.00199818
+9 *671:A *671:B 9.24395e-05
+10 *671:A *673:A 0
+11 *671:A *826:B 0
+12 *671:A *121:12 0
+13 *7:7 *8:7 0
+14 *7:8 *688:A 9.59532e-06
+15 *7:8 *121:12 0
+16 *7:29 *661:B 0.00122293
+17 *7:29 *688:A 8.86355e-05
+18 *7:29 *688:B 5.52302e-05
+19 *7:29 *688:C_N 3.34366e-05
+20 *7:29 *689:C 2.6949e-05
+21 *7:29 *111:8 9.90367e-05
+22 *7:29 *121:12 0
+23 *344:DIODE *671:A 0
+24 *344:DIODE *7:8 0
+25 *344:DIODE *7:29 9.62864e-05
+26 *415:DIODE *7:29 2.89016e-05
+27 *4:8 *7:29 0
+28 *5:77 *343:DIODE 4.72917e-05
+29 *5:77 *7:29 6.05161e-06
+30 *6:7 *7:7 0
+31 *6:24 *671:A 0
+*RES
+1 div[1] *7:7 4.6226
+2 *7:7 *7:8 1.1875
+3 *7:8 *671:A 16.3536
+4 *7:8 *674:A1 13.8
+5 *7:7 *7:29 26.5804
+6 *7:29 *342:DIODE 9.3
+7 *7:29 *343:DIODE 10.2464
+*END
+
+*D_NET *8 0.00354614
+*CONN
+*P div[2] I
+*I *665:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *668:A I *D sky130_fd_sc_hd__nor2_2
+*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[2] 0.00105392
+2 *665:B1 0.000152634
+3 *341:DIODE 0
+4 *668:A 0
+5 *338:DIODE 0.000119565
+6 *8:26 0.00022016
+7 *8:8 0.000132158
+8 *8:7 0.00113404
+9 *338:DIODE *337:DIODE 1.46824e-05
+10 *338:DIODE *639:S 0.000155777
+11 *338:DIODE *661:B 0.000139907
+12 *338:DIODE *11:8 0
+13 *665:B1 *9:55 1.45849e-05
+14 *665:B1 *113:9 0.000100165
+15 *8:7 *9:10 0
+16 *8:8 *337:DIODE 2.95076e-06
+17 *8:26 *337:DIODE 2.839e-05
+18 *8:26 *828:B 5.15946e-05
+19 *8:26 *9:55 3.67279e-06
+20 *344:DIODE *338:DIODE 7.61932e-05
+21 *5:611 *338:DIODE 0.000145753
+22 *7:7 *8:7 0
+*RES
+1 div[2] *8:7 5.7036
+2 *8:7 *8:8 0.276786
+3 *8:8 *338:DIODE 17.7107
+4 *8:8 *668:A 13.8
+5 *8:7 *8:26 5.99107
+6 *8:26 *341:DIODE 9.3
+7 *8:26 *665:B1 12.1393
+*END
+
+*D_NET *9 0.00658989
+*CONN
+*P div[3] I
+*I *665:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *667:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *666:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 div[3] 0.000296566
+2 *665:A1 0
+3 *337:DIODE 0.000716049
+4 *667:B1 4.60403e-05
+5 *340:DIODE 3.11495e-05
+6 *666:B1 0.000166443
+7 *339:DIODE 0
+8 *9:55 0.000961639
+9 *9:38 0.000215262
+10 *9:18 0.000425804
+11 *9:15 0.000920031
+12 *9:10 0.000849718
+13 *337:DIODE *661:A 5.59013e-05
+14 *337:DIODE *129:17 0.000191258
+15 *340:DIODE *105:7 3.41124e-06
+16 *340:DIODE *105:12 2.27416e-05
+17 *666:B1 *666:A1 6.05161e-06
+18 *666:B1 *116:7 1.92905e-05
+19 *667:B1 *678:B 5.33005e-05
+20 *667:B1 *105:7 2.95726e-05
+21 *9:10 *689:A 0
+22 *9:10 *10:7 0
+23 *9:10 *109:16 0
+24 *9:15 *665:B2 2.51343e-06
+25 *9:15 *676:A2 1.58163e-05
+26 *9:15 *115:7 6.44986e-05
+27 *9:18 *669:C 0
+28 *9:18 *678:B 0.000196262
+29 *9:18 *127:8 0
+30 *9:38 *644:A 0
+31 *9:38 *678:B 0.000101545
+32 *9:38 *105:7 1.66856e-05
+33 *9:38 *109:16 0
+34 *9:38 *127:8 0
+35 *9:55 *665:B2 8.25843e-06
+36 *9:55 *113:12 8.21558e-05
+37 *9:55 *129:17 0.000116413
+38 *338:DIODE *337:DIODE 1.46824e-05
+39 *344:DIODE *337:DIODE 0.000733927
+40 *665:B1 *9:55 1.45849e-05
+41 *4:8 *337:DIODE 6.66939e-05
+42 *4:8 *9:38 2.16719e-05
+43 *5:611 *337:DIODE 8.89385e-05
+44 *8:7 *9:10 0
+45 *8:8 *337:DIODE 2.95076e-06
+46 *8:26 *337:DIODE 2.839e-05
+47 *8:26 *9:55 3.67279e-06
+*RES
+1 div[3] *9:10 10.0371
+2 *9:10 *339:DIODE 9.3
+3 *9:10 *9:15 6.28571
+4 *9:15 *9:18 7.05357
+5 *9:18 *666:B1 16.55
+6 *9:18 *9:38 7.20536
+7 *9:38 *340:DIODE 10.0411
+8 *9:38 *667:B1 10.4518
+9 *9:15 *9:55 8.32143
+10 *9:55 *337:DIODE 25.8893
+11 *9:55 *665:A1 13.8
+*END
+
+*D_NET *10 0.00209728
+*CONN
+*P div[4] I
+*I *659:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *677:B1 I *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 div[4] 0.000204293
+2 *659:A1 0.000279497
+3 *336:DIODE 0
+4 *345:DIODE 0
+5 *677:B1 0.000216823
+6 *10:24 0.000372612
+7 *10:19 0.000110685
+8 *10:7 0.000438686
+9 *659:A1 *659:A2 9.67334e-07
+10 *659:A1 *659:B1 6.06291e-06
+11 *677:B1 *677:A1 5.33005e-05
+12 *677:B1 *92:8 0.000113377
+13 *677:B1 *105:12 0.000141985
+14 *677:B1 *106:5 0.0001399
+15 *10:7 *11:8 0
+16 *10:19 *92:8 1.90936e-05
+17 *9:10 *10:7 0
+*RES
+1 div[4] *10:7 4.04607
+2 *10:7 *677:B1 18.7018
+3 *10:7 *10:19 4.77679
+4 *10:19 *345:DIODE 9.3
+5 *10:19 *10:24 0.946429
+6 *10:24 *336:DIODE 9.3
+7 *10:24 *659:A1 13.0098
+*END
+
+*D_NET *11 0.00862716
+*CONN
+*P enable I
+*I *807:A I *D sky130_fd_sc_hd__nand2_2
+*I *404:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 enable 0.00211253
+2 *807:A 0
+3 *404:DIODE 0.00111467
+4 *11:8 0.00322719
+5 *404:DIODE *850:D 3.71343e-05
+6 *404:DIODE *39:10 3.92757e-05
+7 *11:8 *635:S 0.000142933
+8 *11:8 *639:S 0.000165324
+9 *11:8 *645:B 0
+10 *11:8 *654:A2 0
+11 *11:8 *672:B 9.64979e-05
+12 *11:8 *828:B 0.00037804
+13 *11:8 *838:CLK 0.000173606
+14 *11:8 *850:D 0.000105572
+15 *11:8 *850:RESET_B 0
+16 *11:8 *852:RESET_B 1.82809e-05
+17 *11:8 *854:A 0
+18 *11:8 *88:82 8.15939e-05
+19 *11:8 *102:10 0
+20 *11:8 *104:8 0.00028754
+21 *11:8 *104:21 0.000139423
+22 *11:8 *113:9 0.000152041
+23 *11:8 *216:144 0.000221163
+24 *11:8 *333:245 2.30813e-05
+25 *11:8 *333:246 9.06613e-05
+26 *338:DIODE *11:8 0
+27 *5:7 *11:8 0
+28 *5:27 *11:8 2.06112e-05
+29 *10:7 *11:8 0
+*RES
+1 enable *11:8 31.4651
+2 *11:8 *404:DIODE 36.6929
+3 *11:8 *807:A 13.8
+*END
+
+*D_NET *12 0.00250667
+*CONN
+*P ext_trim[0] I
+*I *741:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[0] 0.000432463
+2 *741:A1 0.000452106
+3 *348:DIODE 0
+4 *12:10 0.000884569
+5 *741:A1 *741:B1 1.97695e-05
+6 *741:A1 *885:A 0.000139907
+7 *741:A1 *889:TE_B 7.88091e-05
+8 *741:A1 *942:A 0.000411948
+9 *741:A1 *274:10 5.52302e-05
+10 *12:10 *23:8 0
+11 *741:A2 *741:A1 3.18676e-05
+12 *5:7 *12:10 0
+*RES
+1 ext_trim[0] *12:10 9.39939
+2 *12:10 *348:DIODE 9.3
+3 *12:10 *741:A1 18.8179
+*END
+
+*D_NET *13 0.00244748
+*CONN
+*P ext_trim[10] I
+*I *765:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[10] 0.000477791
+2 *765:A_N 5.76808e-05
+3 *370:DIODE 0.000334101
+4 *13:9 0.000869573
+5 *370:DIODE *905:A 3.32662e-05
+6 *765:A_N *754:B1 7.57394e-05
+7 *13:9 *758:A2 0.000237483
+8 *13:9 *899:A 0.000168567
+9 *13:9 *905:A 1.82116e-05
+10 *13:9 *37:10 0
+11 *13:9 *293:16 9.74326e-05
+12 *5:935 *370:DIODE 5.19842e-06
+13 *5:943 *370:DIODE 2.46444e-05
+14 *5:965 *370:DIODE 4.77904e-05
+*RES
+1 ext_trim[10] *13:9 10.2857
+2 *13:9 *370:DIODE 18.4429
+3 *13:9 *765:A_N 15.1571
+*END
+
+*D_NET *14 0.00468522
+*CONN
+*P ext_trim[11] I
+*I *768:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *373:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[11] 0.00066456
+2 *768:B1 0.000118186
+3 *373:DIODE 0.00044891
+4 *14:7 0.00123166
+5 *373:DIODE *757:B1 9.22103e-06
+6 *373:DIODE *907:A 5.71472e-05
+7 *373:DIODE *908:Z 0.000243953
+8 *373:DIODE *909:A 9.41642e-05
+9 *373:DIODE *911:A 0.000187041
+10 *373:DIODE *179:32 1.02936e-05
+11 *373:DIODE *297:8 0.000262504
+12 *373:DIODE *300:6 0
+13 *373:DIODE *300:11 2.14757e-05
+14 *768:B1 *179:32 4.93302e-05
+15 *768:B1 *263:18 0.000132306
+16 *14:7 *753:A1 0
+17 *14:7 *792:A 9.77328e-06
+18 *14:7 *908:TE 1.08359e-05
+19 *14:7 *912:A 0.000175219
+20 *14:7 *916:A 0
+21 *14:7 *917:TE_B 0.000401259
+22 *14:7 *184:8 3.18227e-05
+23 *14:7 *302:8 0
+24 *14:7 *306:12 0.000125717
+25 *768:B2 *373:DIODE 0.000183726
+26 *768:B2 *768:B1 0.000216121
+*RES
+1 ext_trim[11] *14:7 18.6786
+2 *14:7 *373:DIODE 27.0679
+3 *14:7 *768:B1 12.6214
+*END
+
+*D_NET *15 0.00459954
+*CONN
+*P ext_trim[12] I
+*I *769:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[12] 0.000846795
+2 *769:A2 0
+3 *376:DIODE 0.000262084
+4 *15:10 0.00110888
+5 *376:DIODE *747:B1 0.000259596
+6 *376:DIODE *751:B1 0.000271339
+7 *376:DIODE *769:B1 2.44318e-05
+8 *376:DIODE *769:B2 5.52238e-05
+9 *15:10 *746:A 0.000282385
+10 *15:10 *753:A2 4.7451e-05
+11 *15:10 *757:B1 9.89509e-05
+12 *15:10 *758:B1 1.50223e-05
+13 *15:10 *762:A2 0
+14 *15:10 *764:B1 0.000399003
+15 *15:10 *766:B1 5.87541e-06
+16 *15:10 *769:B1 7.34457e-05
+17 *15:10 *914:TE 0.00017078
+18 *15:10 *35:26 6.77902e-05
+19 *15:10 *150:8 0.000113536
+20 *15:10 *179:19 1.01417e-05
+21 *15:10 *180:49 0.000168849
+22 *15:10 *186:6 0.000100831
+23 *15:10 *307:24 7.79781e-06
+24 *769:A1 *376:DIODE 7.89682e-05
+25 *769:A1 *15:10 6.28619e-05
+26 *5:785 *15:10 3.33175e-05
+27 *5:796 *15:10 3.41848e-05
+*RES
+1 ext_trim[12] *15:10 25.0893
+2 *15:10 *376:DIODE 16.3714
+3 *15:10 *769:A2 9.3
+*END
+
+*D_NET *16 0.00451938
+*CONN
+*P ext_trim[13] I
+*I *771:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[13] 0.00091642
+2 *771:A2 0.000226971
+3 *378:DIODE 0.000114027
+4 *16:5 0.00125742
+5 *378:DIODE *771:B2 3.69047e-06
+6 *378:DIODE *130:94 1.48591e-05
+7 *771:A2 *683:B 7.13226e-06
+8 *771:A2 *771:B1 6.82827e-05
+9 *771:A2 *130:94 3.69047e-06
+10 *771:A2 *150:8 6.95556e-05
+11 *771:A2 *278:12 0.000147295
+12 *771:A2 *334:14 0.000168416
+13 *16:5 *760:A 0.000368518
+14 *16:5 *761:B2 8.01911e-05
+15 *16:5 *773:A2 1.01075e-05
+16 *16:5 *774:C1 0.000125567
+17 *16:5 *783:A1 3.29363e-05
+18 *16:5 *784:B 1.16107e-05
+19 *16:5 *784:C 2.71192e-05
+20 *16:5 *785:A 1.09026e-05
+21 *16:5 *922:TE 1.69115e-05
+22 *16:5 *35:26 0
+23 *16:5 *130:52 4.42053e-05
+24 *16:5 *132:29 0
+25 *16:5 *142:47 8.00292e-05
+26 *16:5 *142:62 9.47478e-05
+27 *16:5 *150:32 2.06112e-05
+28 *16:5 *176:14 7.5779e-05
+29 *16:5 *176:23 0.000285875
+30 *16:5 *180:62 0
+31 *16:5 *185:13 3.09936e-05
+32 *16:5 *256:21 1.72694e-05
+33 *16:5 *306:12 0
+34 *16:5 *313:8 2.59278e-05
+35 *16:5 *314:11 7.6731e-05
+36 *16:5 *334:14 1.95772e-05
+37 *771:A1 *771:A2 6.60111e-05
+38 *795:A1 *16:5 0
+39 *5:726 *16:5 0
+*RES
+1 ext_trim[13] *16:5 20.8571
+2 *16:5 *378:DIODE 15.1571
+3 *16:5 *771:A2 22.3468
+*END
+
+*D_NET *17 0.00527801
+*CONN
+*P ext_trim[14] I
+*I *778:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[14] 0.000814029
+2 *778:A2 0.000391394
+3 *380:DIODE 0.000287002
+4 *17:9 0.00149243
+5 *380:DIODE *384:DIODE 8.68127e-05
+6 *380:DIODE *83:25 5.92829e-05
+7 *380:DIODE *143:28 0.000131491
+8 *380:DIODE *183:11 0.000114889
+9 *380:DIODE *299:26 9.60939e-05
+10 *778:A2 *750:A 4.48585e-05
+11 *778:A2 *750:C 1.57155e-05
+12 *778:A2 *778:B1 7.13226e-06
+13 *778:A2 *86:40 2.5184e-05
+14 *778:A2 *142:47 4.46186e-06
+15 *778:A2 *142:62 7.52956e-05
+16 *778:A2 *263:18 0.000458312
+17 *778:A2 *299:26 4.20523e-05
+18 *17:9 *395:DIODE 0.000122199
+19 *17:9 *760:B 1.94879e-05
+20 *17:9 *781:A1 1.85762e-05
+21 *17:9 *785:B 7.29646e-05
+22 *17:9 *785:C 4.30666e-05
+23 *17:9 *788:B 6.69638e-05
+24 *17:9 *798:A1 0.000204544
+25 *17:9 *798:A2 7.6997e-05
+26 *17:9 *798:B1 9.86094e-06
+27 *17:9 *143:28 3.1412e-05
+28 *17:9 *176:56 2.55781e-05
+29 *17:9 *178:15 8.44271e-06
+30 *17:9 *183:11 3.30638e-05
+31 *17:9 *200:8 0.000297597
+32 *17:9 *306:12 0
+33 *778:A1 *778:A2 0.000100823
+*RES
+1 ext_trim[14] *17:9 15.7054
+2 *17:9 *380:DIODE 19.1482
+3 *17:9 *778:A2 23.6332
+*END
+
+*D_NET *18 0.00392133
+*CONN
+*P ext_trim[15] I
+*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *786:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[15] 0.000649568
+2 *381:DIODE 0.000141418
+3 *786:B1 0.000338955
+4 *18:5 0.00112994
+5 *381:DIODE *791:A 4.46231e-06
+6 *381:DIODE *130:39 2.14757e-05
+7 *381:DIODE *206:8 9.60875e-05
+8 *786:B1 *743:A 2.9995e-05
+9 *786:B1 *750:A 4.37992e-05
+10 *786:B1 *775:B 0.000112241
+11 *786:B1 *776:B 4.05618e-05
+12 *786:B1 *790:A1 4.90142e-05
+13 *786:B1 *790:B1 7.92169e-05
+14 *786:B1 *87:41 4.36012e-05
+15 *786:B1 *130:39 3.66148e-05
+16 *786:B1 *197:8 0.000141668
+17 *786:B1 *236:67 0.000216982
+18 *786:B1 *278:12 2.06178e-05
+19 *18:5 *760:B 0
+20 *18:5 *788:C 4.17196e-05
+21 *18:5 *790:A1 6.35813e-05
+22 *18:5 *790:A2 2.06112e-05
+23 *18:5 *790:B1 0.000133817
+24 *18:5 *790:C1 2.8899e-05
+25 *18:5 *792:A 5.43725e-05
+26 *18:5 *921:A 3.07737e-05
+27 *18:5 *921:Z 0.000172285
+28 *18:5 *925:A 0.000100681
+29 *18:5 *926:A 0
+30 *18:5 *207:9 7.83659e-05
+31 *18:5 *312:16 0
+*RES
+1 ext_trim[15] *18:5 13.5714
+2 *18:5 *786:B1 21.4786
+3 *18:5 *381:DIODE 15.5679
+*END
+
+*D_NET *19 0.00535794
+*CONN
+*P ext_trim[16] I
+*I *789:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *384:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[16] 0.000387698
+2 *789:A2 0
+3 *384:DIODE 0.000993522
+4 *19:8 0.00138122
+5 *384:DIODE *704:A1 2.60785e-05
+6 *384:DIODE *750:A 0.000276211
+7 *384:DIODE *773:B1 0.000147114
+8 *384:DIODE *788:C 0.000211947
+9 *384:DIODE *789:B1 8.34321e-05
+10 *384:DIODE *790:A1 8.90816e-06
+11 *384:DIODE *800:B2 6.77303e-05
+12 *384:DIODE *921:Z 2.83425e-05
+13 *384:DIODE *130:39 3.42554e-05
+14 *384:DIODE *143:28 0.000157059
+15 *384:DIODE *177:6 0.000155787
+16 *384:DIODE *183:11 6.35819e-05
+17 *384:DIODE *206:8 5.85561e-05
+18 *384:DIODE *207:9 0.000137639
+19 *384:DIODE *207:12 5.41894e-05
+20 *384:DIODE *256:21 6.83242e-05
+21 *384:DIODE *263:18 0.000368545
+22 *19:8 *789:B1 9.84673e-05
+23 *19:8 *926:A 4.98743e-05
+24 *19:8 *285:10 0
+25 *380:DIODE *384:DIODE 8.68127e-05
+26 *389:DIODE *384:DIODE 1.12578e-05
+27 *5:393 *19:8 2.38501e-05
+28 *5:397 *384:DIODE 0.000136733
+29 *5:397 *19:8 4.34677e-05
+30 *5:398 *384:DIODE 0.000105708
+31 *5:409 *384:DIODE 9.1627e-05
+*RES
+1 ext_trim[16] *19:8 12.0357
+2 *19:8 *384:DIODE 42.9444
+3 *19:8 *789:A2 9.3
+*END
+
+*D_NET *20 0.00510964
+*CONN
+*P ext_trim[17] I
+*I *793:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *385:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[17] 0.000839414
+2 *793:B1 1.6401e-05
+3 *385:DIODE 0.000591063
+4 *20:15 0.00144688
+5 *385:DIODE *777:A 0.000127599
+6 *385:DIODE *790:B2 2.97578e-05
+7 *385:DIODE *792:A 0.000348885
+8 *385:DIODE *792:C 5.33005e-05
+9 *385:DIODE *793:A1 9.70737e-05
+10 *385:DIODE *793:A3 1.90984e-05
+11 *385:DIODE *207:12 0.000149518
+12 *793:B1 *212:23 3.22062e-05
+13 *793:B1 *325:7 6.30316e-05
+14 *20:15 *929:TE 0.000315231
+15 *20:15 *931:A 0.000169095
+16 *20:15 *207:12 2.37944e-05
+17 *20:15 *285:10 0
+18 *20:15 *321:16 0.000175002
+19 *20:15 *325:7 0.000612288
+*RES
+1 ext_trim[17] *20:15 24.9821
+2 *20:15 *385:DIODE 23.55
+3 *20:15 *793:B1 14.4116
+*END
+
+*D_NET *21 0.00409356
+*CONN
+*P ext_trim[18] I
+*I *388:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[18] 0.000572311
+2 *388:DIODE 0
+3 *794:A2 0.000631884
+4 *21:7 0.00120419
+5 *794:A2 *794:B1 8.7636e-06
+6 *794:A2 *794:B2 1.94945e-05
+7 *794:A2 *800:A2 0.000782785
+8 *794:A2 *801:A 0.000211235
+9 *794:A2 *863:A 9.40051e-05
+10 *794:A2 *865:A 7.13226e-06
+11 *794:A2 *935:A 3.45899e-05
+12 *794:A2 *254:8 1.34741e-05
+13 *21:7 *935:A 0.000472988
+14 *21:7 *22:8 0
+15 *383:DIODE *21:7 7.79781e-06
+16 *794:A1 *794:A2 0
+17 *5:377 *794:A2 3.29037e-05
+*RES
+1 ext_trim[18] *21:7 12.5
+2 *21:7 *794:A2 36.1795
+3 *21:7 *388:DIODE 13.8
+*END
+
+*D_NET *22 0.0057559
+*CONN
+*P ext_trim[19] I
+*I *390:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *795:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[19] 0.00155659
+2 *390:DIODE 0
+3 *795:A2 0.000364388
+4 *22:8 0.00192098
+5 *795:A2 *788:A 0.000110982
+6 *795:A2 *788:B 0.000120587
+7 *795:A2 *788:C 0.000146523
+8 *795:A2 *920:A 0.000180777
+9 *795:A2 *922:A 1.02937e-05
+10 *795:A2 *312:16 0.000293212
+11 *22:8 *928:A 0.000940218
+12 *22:8 *934:A 1.92905e-05
+13 *22:8 *939:A 2.13481e-06
+14 *383:DIODE *22:8 5.06983e-05
+15 *795:A1 *795:A2 3.9228e-05
+16 *21:7 *22:8 0
+*RES
+1 ext_trim[19] *22:8 26.9643
+2 *22:8 *795:A2 19.358
+3 *22:8 *390:DIODE 9.3
+*END
+
+*D_NET *23 0.00242606
+*CONN
+*P ext_trim[1] I
+*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *747:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[1] 0.000497436
+2 *352:DIODE 0.000170021
+3 *747:A2 0.00033829
+4 *23:8 0.00100575
+5 *352:DIODE *357:DIODE 0
+6 *352:DIODE *751:B1 0
+7 *352:DIODE *889:TE_B 1.97381e-05
+8 *352:DIODE *278:12 1.34792e-05
+9 *747:A2 *886:A 2.9911e-05
+10 *747:A2 *886:Z 0.000271345
+11 *747:A2 *890:A 6.23649e-05
+12 *23:8 *357:DIODE 0
+13 *23:8 *889:TE_B 1.77247e-05
+14 *23:8 *30:8 0
+15 *12:10 *23:8 0
+*RES
+1 ext_trim[1] *23:8 5.50653
+2 *23:8 *747:A2 18.6036
+3 *23:8 *352:DIODE 16.3536
+*END
+
+*D_NET *24 0.00605102
+*CONN
+*P ext_trim[20] I
+*I *391:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[20] 0.00239423
+2 *391:DIODE 0
+3 *798:B1 0.00017758
+4 *24:12 0.00257181
+5 *798:B1 *785:C 0.000101715
+6 *798:B1 *920:A 9.54798e-06
+7 *798:B1 *921:TE 2.13474e-05
+8 *798:B1 *183:11 0.000140403
+9 *798:B1 *200:8 5.92791e-05
+10 *798:B1 *212:23 5.50052e-05
+11 *798:B1 *325:7 0.000188658
+12 *24:12 *760:B 5.12616e-05
+13 *24:12 *788:B 1.72148e-05
+14 *24:12 *920:A 0.000158314
+15 *24:12 *921:TE 4.6025e-06
+16 *24:12 *312:16 9.01871e-05
+17 *17:9 *798:B1 9.86094e-06
+*RES
+1 ext_trim[20] *24:12 10.6618
+2 *24:12 *798:B1 18.1973
+3 *24:12 *391:DIODE 13.8
+*END
+
+*D_NET *25 0.00293821
+*CONN
+*P ext_trim[21] I
+*I *394:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[21] 0.000196066
+2 *394:DIODE 0
+3 *800:A2 0.000487718
+4 *25:10 0.000683784
+5 *800:A2 *395:DIODE 0.000165269
+6 *800:A2 *791:A 6.43697e-06
+7 *800:A2 *802:A2 0.000126008
+8 *800:A2 *802:B1 7.68161e-05
+9 *800:A2 *26:17 5.43089e-05
+10 *800:A2 *130:39 0
+11 *800:A2 *258:8 3.14193e-05
+12 *800:A2 *320:10 1.77294e-05
+13 *800:A2 *323:8 7.77652e-05
+14 *800:A2 *326:5 0.000137983
+15 *25:10 *863:A 6.2589e-06
+16 *794:A1 *800:A2 4.51317e-05
+17 *794:A2 *800:A2 0.000782785
+18 *802:B2 *800:A2 4.27338e-05
+*RES
+1 ext_trim[21] *25:10 8.75079
+2 *25:10 *800:A2 23.2866
+3 *25:10 *394:DIODE 9.3
+*END
+
+*D_NET *26 0.00792037
+*CONN
+*P ext_trim[22] I
+*I *802:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *395:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[22] 0.00137822
+2 *802:B1 4.00163e-05
+3 *395:DIODE 0.000984929
+4 *26:17 0.00240317
+5 *395:DIODE *785:C 4.31941e-05
+6 *395:DIODE *790:A2 0.000506596
+7 *395:DIODE *792:A 0.00018834
+8 *395:DIODE *792:C 7.48407e-05
+9 *395:DIODE *793:A3 0.000134458
+10 *395:DIODE *802:A1 5.52238e-05
+11 *395:DIODE *802:A3 0.000122226
+12 *395:DIODE *143:63 2.89016e-05
+13 *395:DIODE *183:11 0.00020036
+14 *395:DIODE *196:15 5.29722e-05
+15 *395:DIODE *263:18 4.94711e-05
+16 *395:DIODE *320:10 1.85198e-05
+17 *26:17 *794:B2 0
+18 *26:17 *864:A 0.000279004
+19 *26:17 *866:TE 0
+20 *26:17 *866:Z 2.11419e-05
+21 *26:17 *950:TE_B 3.63842e-05
+22 *26:17 *251:19 2.36167e-05
+23 *26:17 *257:6 0
+24 *26:17 *258:8 0.000226769
+25 *26:17 *258:10 0.000165946
+26 *794:A1 *26:17 0.000153836
+27 *800:A2 *395:DIODE 0.000165269
+28 *800:A2 *802:B1 7.68161e-05
+29 *800:A2 *26:17 5.43089e-05
+30 *802:B2 *26:17 0.000182694
+31 *5:409 *395:DIODE 0.000130945
+32 *17:9 *395:DIODE 0.000122199
+*RES
+1 ext_trim[22] *26:17 24.9179
+2 *26:17 *395:DIODE 36.5745
+3 *26:17 *802:B1 10.4696
+*END
+
+*D_NET *27 0.0029775
+*CONN
+*P ext_trim[23] I
+*I *398:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[23] 0.000522169
+2 *398:DIODE 0
+3 *803:A2 0.000520864
+4 *27:11 0.00104303
+5 *803:A2 *864:A 5.83926e-05
+6 *803:A2 *947:A 0
+7 *803:A2 *948:TE 1.83053e-05
+8 *803:A2 *948:Z 6.05999e-05
+9 *803:A2 *949:Z 6.53244e-05
+10 *803:A2 *262:22 0
+11 *27:11 *265:6 0.000471816
+12 *27:11 *265:12 0.000216997
+*RES
+1 ext_trim[23] *27:11 17.4829
+2 *27:11 *803:A2 26.425
+3 *27:11 *398:DIODE 9.3
+*END
+
+*D_NET *28 0.000902777
+*CONN
+*P ext_trim[24] I
+*I *805:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *401:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[24] 0.000271459
+2 *805:A2 0.000151356
+3 *401:DIODE 0
+4 *28:8 0.000422815
+5 *400:DIODE *805:A2 5.71472e-05
+*RES
+1 ext_trim[24] *28:8 5.00206
+2 *28:8 *401:DIODE 13.8
+3 *28:8 *805:A2 16.6036
+*END
+
+*D_NET *29 0.00819484
+*CONN
+*P ext_trim[25] I
+*I *806:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *403:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[25] 0.00235266
+2 *806:A2 0.000180475
+3 *403:DIODE 3.04135e-05
+4 *29:8 0.00256355
+5 *403:DIODE *681:A 5.33005e-05
+6 *806:A2 *806:B1 5.52238e-05
+7 *806:A2 *234:8 5.71474e-05
+8 *806:A2 *234:19 2.49715e-05
+9 *806:A2 *234:97 2.38674e-05
+10 *806:A2 *235:52 6.86693e-05
+11 *29:8 *429:DIODE 0.00012546
+12 *29:8 *686:A 0
+13 *29:8 *695:B1 0
+14 *29:8 *804:C 0
+15 *29:8 *833:CLK 4.038e-06
+16 *29:8 *833:D 0
+17 *29:8 *833:RESET_B 0
+18 *29:8 *839:D 0
+19 *29:8 *839:RESET_B 0
+20 *29:8 *841:RESET_B 0
+21 *29:8 *842:D 0
+22 *29:8 *844:RESET_B 0
+23 *29:8 *846:RESET_B 6.07232e-05
+24 *29:8 *38:7 6.8341e-05
+25 *29:8 *216:97 5.72433e-05
+26 *29:8 *232:11 0.000104236
+27 *29:8 *234:8 0.000544816
+28 *29:8 *236:10 0
+29 *29:8 *238:10 0
+30 *806:A1 *806:A2 5.55213e-05
+31 *5:175 *29:8 0.000434797
+32 *5:222 *29:8 0
+33 *5:235 *29:8 0.000360601
+34 *5:266 *806:A2 6.67257e-05
+35 *5:266 *29:8 0.000770605
+36 *5:279 *806:A2 0.000130791
+37 *5:290 *806:A2 6.59012e-07
+*RES
+1 ext_trim[25] *29:8 37.6239
+2 *29:8 *403:DIODE 14.3357
+3 *29:8 *806:A2 18.2687
+*END
+
+*D_NET *30 0.00175779
+*CONN
+*P ext_trim[2] I
+*I *751:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[2] 0.000270961
+2 *751:A2 1.83579e-05
+3 *355:DIODE 0.000317141
+4 *30:8 0.00060646
+5 *355:DIODE *357:DIODE 0.00018616
+6 *355:DIODE *888:TE_B 0.000195412
+7 *355:DIODE *277:6 0.000128161
+8 *30:8 *357:DIODE 8.23597e-06
+9 *30:8 *31:5 0
+10 *354:DIODE *355:DIODE 1.17968e-05
+11 *354:DIODE *751:A2 1.51021e-05
+12 *23:8 *30:8 0
+*RES
+1 ext_trim[2] *30:8 4.46699
+2 *30:8 *355:DIODE 21.1393
+3 *30:8 *751:A2 14.1036
+*END
+
+*D_NET *31 0.00289309
+*CONN
+*P ext_trim[3] I
+*I *752:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[3] 0.000313593
+2 *752:A2 0.000344991
+3 *357:DIODE 0.000632977
+4 *31:5 0.00129156
+5 *357:DIODE *751:B1 0
+6 *357:DIODE *751:B2 0
+7 *357:DIODE *888:TE_B 5.33005e-05
+8 *357:DIODE *889:TE_B 0
+9 *357:DIODE *895:TE_B 0
+10 *357:DIODE *286:6 0
+11 *752:A2 *752:B1 1.97087e-05
+12 *752:A2 *286:15 4.25594e-05
+13 *31:5 *32:11 0
+14 *352:DIODE *357:DIODE 0
+15 *355:DIODE *357:DIODE 0.00018616
+16 *23:8 *357:DIODE 0
+17 *30:8 *357:DIODE 8.23597e-06
+18 *30:8 *31:5 0
+*RES
+1 ext_trim[3] *31:5 0.924333
+2 *31:5 *357:DIODE 28.0582
+3 *31:5 *752:A2 18.4139
+*END
+
+*D_NET *32 0.00640304
+*CONN
+*P ext_trim[4] I
+*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[4] 0.000316471
+2 *359:DIODE 0
+3 *754:A2 0
+4 *32:27 0.00170926
+5 *32:11 0.00202573
+6 *32:11 *896:TE_B 0.000114315
+7 *32:11 *33:8 0
+8 *32:27 *635:A0 3.0285e-05
+9 *32:27 *635:A1 2.04825e-05
+10 *32:27 *641:B 4.02415e-05
+11 *32:27 *747:B1 7.53309e-05
+12 *32:27 *830:B 0
+13 *32:27 *852:D 6.6336e-05
+14 *32:27 *887:A 1.78147e-05
+15 *32:27 *900:Z 6.44379e-05
+16 *32:27 *942:A 0.000208451
+17 *32:27 *33:8 0
+18 *32:27 *37:10 5.49995e-05
+19 *32:27 *216:13 2.89114e-05
+20 *32:27 *226:8 6.01582e-05
+21 *32:27 *226:19 3.55407e-05
+22 *32:27 *279:16 0.000218726
+23 *32:27 *288:9 0.000124099
+24 *32:27 *291:5 9.41642e-05
+25 *32:27 *297:8 1.91512e-05
+26 *32:27 *298:8 0.000150618
+27 *32:27 *300:6 0
+28 *32:27 *333:246 0
+29 *349:DIODE *32:27 3.52206e-05
+30 *754:A1 *32:11 0.000134304
+31 *754:A1 *32:27 4.25684e-05
+32 *4:8 *32:27 0
+33 *5:10 *32:27 1.08601e-05
+34 *5:876 *32:27 0
+35 *5:888 *32:27 0
+36 *5:891 *32:27 0.000190805
+37 *5:928 *32:27 0.000388139
+38 *5:1001 *32:27 0.000104996
+39 *5:1008 *32:11 2.06178e-05
+40 *31:5 *32:11 0
+*RES
+1 ext_trim[4] *32:11 10.6449
+2 *32:11 *754:A2 9.3
+3 *32:11 *32:27 45.1607
+4 *32:27 *359:DIODE 9.3
+*END
+
+*D_NET *33 0.00579848
+*CONN
+*P ext_trim[5] I
+*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[5] 0.00132236
+2 *361:DIODE 0.000567458
+3 *757:A2 7.6334e-05
+4 *33:8 0.00196615
+5 *361:DIODE *751:B1 9.60939e-05
+6 *361:DIODE *751:B2 1.18064e-05
+7 *361:DIODE *886:A 0.000244535
+8 *361:DIODE *886:TE 2.89016e-05
+9 *361:DIODE *891:A 0.000146474
+10 *757:A2 *891:A 6.05161e-06
+11 *33:8 *751:B2 7.83587e-05
+12 *33:8 *752:B1 0.000270348
+13 *33:8 *898:A 0.000181586
+14 *33:8 *900:Z 0.000200771
+15 *33:8 *901:TE 0.000352472
+16 *33:8 *903:TE_B 4.5258e-05
+17 *33:8 *34:10 0
+18 *33:8 *35:26 0
+19 *33:8 *37:10 0
+20 *33:8 *283:6 0.000127996
+21 *5:891 *361:DIODE 2.34204e-05
+22 *5:904 *757:A2 8.25843e-06
+23 *5:928 *361:DIODE 4.38511e-05
+24 *5:928 *33:8 0
+25 *32:11 *33:8 0
+26 *32:27 *33:8 0
+*RES
+1 ext_trim[5] *33:8 17.5467
+2 *33:8 *757:A2 14.7643
+3 *33:8 *361:DIODE 22.3536
+*END
+
+*D_NET *34 0.00447449
+*CONN
+*P ext_trim[6] I
+*I *758:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[6] 0.000425959
+2 *758:A2 0.000979567
+3 *363:DIODE 0
+4 *34:10 0.00140553
+5 *758:A2 *35:10 6.87574e-05
+6 *758:A2 *36:10 0.00113685
+7 *758:A2 *305:7 1.50354e-05
+8 *34:10 *35:10 0
+9 *34:10 *36:10 9.329e-05
+10 *34:10 *285:18 3.52194e-05
+11 *364:DIODE *758:A2 4.63699e-05
+12 *5:949 *758:A2 3.04297e-05
+13 *13:9 *758:A2 0.000237483
+14 *33:8 *34:10 0
+*RES
+1 ext_trim[6] *34:10 13.0729
+2 *34:10 *363:DIODE 9.3
+3 *34:10 *758:A2 33.8
+*END
+
+*D_NET *35 0.00554438
+*CONN
+*P ext_trim[7] I
+*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[7] 0.000602995
+2 *365:DIODE 0
+3 *761:A2 0
+4 *35:26 0.00106713
+5 *35:10 0.00167013
+6 *35:10 *900:TE 5.52302e-05
+7 *35:10 *36:10 0.000318101
+8 *35:10 *285:18 0
+9 *35:26 *762:A2 2.66026e-05
+10 *35:26 *36:10 0
+11 *35:26 *37:10 0
+12 *35:26 *180:49 0.000119753
+13 *35:26 *285:17 0.00143005
+14 *35:26 *285:18 0
+15 *366:DIODE *35:26 0.000117836
+16 *758:A2 *35:10 6.87574e-05
+17 *15:10 *35:26 6.77902e-05
+18 *16:5 *35:26 0
+19 *33:8 *35:26 0
+20 *34:10 *35:10 0
+*RES
+1 ext_trim[7] *35:10 17.0179
+2 *35:10 *35:26 44.2143
+3 *35:26 *761:A2 9.3
+4 *35:10 *365:DIODE 9.3
+*END
+
+*D_NET *36 0.00612196
+*CONN
+*P ext_trim[8] I
+*I *762:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[8] 0.000565756
+2 *762:A2 0.000892207
+3 *367:DIODE 0
+4 *36:10 0.00145796
+5 *762:A2 *758:B1 6.93626e-06
+6 *762:A2 *762:B1 5.54817e-06
+7 *762:A2 *762:B2 1.55917e-05
+8 *762:A2 *914:A 7.33323e-06
+9 *762:A2 *915:A 0.000361914
+10 *762:A2 *918:A 7.0324e-05
+11 *762:A2 *180:62 1.17968e-05
+12 *762:A2 *312:16 9.90367e-05
+13 *36:10 *900:A 0.000573791
+14 *36:10 *900:TE 2.44318e-05
+15 *36:10 *901:TE 6.57914e-05
+16 *36:10 *285:18 9.77884e-05
+17 *364:DIODE *36:10 2.42122e-05
+18 *366:DIODE *762:A2 8.41815e-05
+19 *758:A2 *36:10 0.00113685
+20 *762:A1 *762:A2 1.46576e-05
+21 *5:806 *762:A2 3.14003e-05
+22 *5:817 *762:A2 0.000136452
+23 *15:10 *762:A2 0
+24 *34:10 *36:10 9.329e-05
+25 *35:10 *36:10 0.000318101
+26 *35:26 *762:A2 2.66026e-05
+27 *35:26 *36:10 0
+*RES
+1 ext_trim[8] *36:10 22.7679
+2 *36:10 *367:DIODE 9.3
+3 *36:10 *762:A2 31.5902
+*END
+
+*D_NET *37 0.00579174
+*CONN
+*P ext_trim[9] I
+*I *764:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[9] 0.00147399
+2 *764:A2 0.000389388
+3 *369:DIODE 0
+4 *37:10 0.00186338
+5 *764:A2 *758:B1 0.000127806
+6 *764:A2 *760:A 8.08608e-06
+7 *764:A2 *761:B2 1.71619e-05
+8 *764:A2 *762:B2 0.000121986
+9 *764:A2 *784:A 7.13226e-06
+10 *37:10 *758:B1 0.000946806
+11 *37:10 *899:A 0
+12 *37:10 *900:Z 6.83578e-05
+13 *37:10 *902:A 2.24718e-05
+14 *37:10 *905:A 1.16543e-05
+15 *37:10 *288:9 3.5707e-05
+16 *37:10 *305:7 7.92229e-06
+17 *762:A1 *764:A2 9.58632e-05
+18 *5:806 *764:A2 0.000236143
+19 *5:817 *764:A2 3.15653e-05
+20 *5:838 *764:A2 8.55493e-06
+21 *5:949 *37:10 0.000262764
+22 *13:9 *37:10 0
+23 *32:27 *37:10 5.49995e-05
+24 *33:8 *37:10 0
+25 *35:26 *37:10 0
+*RES
+1 ext_trim[9] *37:10 26.6429
+2 *37:10 *369:DIODE 9.3
+3 *37:10 *764:A2 25.3223
+*END
+
+*D_NET *38 0.00336691
+*CONN
+*P osc I
+*I *429:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *846:D I *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 osc 0.00015231
+2 *429:DIODE 0.000634536
+3 *846:D 0.000461812
+4 *38:7 0.00124866
+5 *429:DIODE *847:D 4.93979e-05
+6 *429:DIODE *216:94 0.000181796
+7 *846:D *216:94 0.0003638
+8 *38:7 *846:RESET_B 8.08022e-05
+9 *29:8 *429:DIODE 0.00012546
+10 *29:8 *38:7 6.8341e-05
+*RES
+1 osc *38:7 7.75
+2 *38:7 *846:D 16.0723
+3 *38:7 *429:DIODE 20.8
+*END
+
+*D_NET *39 0.0027554
+*CONN
+*P resetb I
+*I *405:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *807:B I *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 resetb 0.000282652
+2 *405:DIODE 0.000475626
+3 *807:B 0
+4 *39:10 0.000758278
+5 *405:DIODE *638:A0 0.000186662
+6 *405:DIODE *638:A1 0.00010096
+7 *405:DIODE *672:B 0
+8 *405:DIODE *736:A 5.71472e-05
+9 *405:DIODE *736:B 6.13217e-05
+10 *405:DIODE *736:C 0.000215365
+11 *405:DIODE *850:D 6.9665e-05
+12 *405:DIODE *88:39 7.32955e-05
+13 *405:DIODE *333:15 4.35597e-05
+14 *405:DIODE *333:27 0.000250668
+15 *39:10 *672:B 3.69047e-06
+16 *404:DIODE *39:10 3.92757e-05
+17 *827:A *405:DIODE 9.66977e-05
+18 *827:A *39:10 4.05356e-05
+*RES
+1 resetb *39:10 9.03571
+2 *39:10 *807:B 9.3
+3 *39:10 *405:DIODE 23.4607
+*END
+
+*D_NET *40 0.000460613
+*CONN
+*I *831:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *808:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *831:RESET_B 5.90337e-05
+2 *808:Y 5.90337e-05
+3 *831:RESET_B *230:8 0.000135163
+4 *831:RESET_B *333:76 0.000186669
+5 *5:146 *831:RESET_B 2.0714e-05
+*RES
+1 *808:Y *831:RESET_B 11.4161
+*END
+
+*D_NET *41 0.000576984
+*CONN
+*I *832:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *809:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *832:RESET_B 8.86336e-05
+2 *809:Y 8.86336e-05
+3 *832:RESET_B *686:B 2.82057e-05
+4 *832:RESET_B *738:A0 0.000173528
+5 *832:RESET_B *333:64 0.000197984
+*RES
+1 *809:Y *832:RESET_B 21.3268
+*END
+
+*D_NET *42 0.000888139
+*CONN
+*I *833:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *810:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *833:RESET_B 0.00030388
+2 *810:Y 0.00030388
+3 *833:RESET_B *839:RESET_B 4.46186e-06
+4 *833:RESET_B *89:5 2.51837e-05
+5 *833:RESET_B *333:95 0.000136763
+6 *833:RESET_B *333:106 6.37408e-06
+7 *5:175 *833:RESET_B 0
+8 *5:191 *833:RESET_B 0.000101545
+9 *5:194 *833:RESET_B 6.05161e-06
+10 *29:8 *833:RESET_B 0
+*RES
+1 *810:Y *833:RESET_B 24.2911
+*END
+
+*D_NET *43 0.00056667
+*CONN
+*I *834:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *811:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *834:RESET_B 0.000143815
+2 *811:Y 0.000143815
+3 *834:RESET_B *834:D 0
+4 *834:RESET_B *217:64 3.34366e-05
+5 *811:A *834:RESET_B 0.000144773
+6 *5:88 *834:RESET_B 0.000100831
+*RES
+1 *811:Y *834:RESET_B 20.9964
+*END
+
+*D_NET *44 0.000476808
+*CONN
+*I *835:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *812:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *835:RESET_B 0.000189988
+2 *812:Y 0.000189988
+3 *835:RESET_B *735:A2 0
+4 *835:RESET_B *835:D 4.46186e-06
+5 *835:RESET_B *216:83 0
+6 *835:RESET_B *216:88 0
+7 *5:105 *835:RESET_B 9.23695e-05
+*RES
+1 *812:Y *835:RESET_B 22.0411
+*END
+
+*D_NET *45 0.000984019
+*CONN
+*I *836:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *813:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *836:RESET_B 0.00037216
+2 *813:Y 0.00037216
+3 *836:RESET_B *836:D 0.00014211
+4 *836:RESET_B *839:CLK 1.74352e-05
+5 *836:RESET_B *216:175 4.6096e-05
+6 *836:RESET_B *333:55 2.07232e-05
+7 *836:RESET_B *333:64 1.33343e-05
+*RES
+1 *813:Y *836:RESET_B 14.4339
+*END
+
+*D_NET *46 0.000561828
+*CONN
+*I *837:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *814:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *837:RESET_B 6.50515e-05
+2 *814:Y 6.50515e-05
+3 *837:RESET_B *814:B 0.000228876
+4 *837:RESET_B *216:64 4.87811e-05
+5 *837:RESET_B *220:8 0.000141941
+6 *5:590 *837:RESET_B 1.21273e-05
+*RES
+1 *814:Y *837:RESET_B 11.8268
+*END
+
+*D_NET *47 0.000846504
+*CONN
+*I *838:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *815:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *838:RESET_B 0.000244412
+2 *815:Y 0.000244412
+3 *838:RESET_B *941:A 0
+4 *838:RESET_B *216:46 0.000202835
+5 *838:RESET_B *221:10 0
+6 *838:RESET_B *221:43 5.33005e-05
+7 *5:685 *838:RESET_B 0.000101545
+*RES
+1 *815:Y *838:RESET_B 23.2107
+*END
+
+*D_NET *48 0.00076038
+*CONN
+*I *839:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *816:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *839:RESET_B 0.000220208
+2 *816:Y 0.000220208
+3 *839:RESET_B *821:B 0.000140933
+4 *839:RESET_B *238:10 2.52688e-05
+5 *833:RESET_B *839:RESET_B 4.46186e-06
+6 *5:191 *839:RESET_B 1.91512e-05
+7 *5:222 *839:RESET_B 5.53406e-05
+8 *5:223 *839:RESET_B 4.58976e-05
+9 *5:227 *839:RESET_B 2.89114e-05
+10 *29:8 *839:RESET_B 0
+*RES
+1 *816:Y *839:RESET_B 23.3982
+*END
+
+*D_NET *49 0.000914314
+*CONN
+*I *840:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *817:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *840:RESET_B 0.000291724
+2 *817:Y 0.000291724
+3 *840:RESET_B *837:D 0.00022197
+4 *840:RESET_B *840:D 0.000108895
+*RES
+1 *817:Y *840:RESET_B 14.0232
+*END
+
+*D_NET *50 0.00144475
+*CONN
+*I *841:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *818:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *841:RESET_B 0.00028397
+2 *818:Y 0.00028397
+3 *841:RESET_B *804:C 0.000100831
+4 *841:RESET_B *818:B 0.000136682
+5 *841:RESET_B *844:RESET_B 8.54468e-05
+6 *841:RESET_B *233:8 2.28709e-05
+7 *841:RESET_B *234:8 9.41642e-05
+8 *841:RESET_B *333:144 0.000381867
+9 *5:504 *841:RESET_B 5.49544e-05
+10 *29:8 *841:RESET_B 0
+*RES
+1 *818:Y *841:RESET_B 25.9339
+*END
+
+*D_NET *51 0.000340667
+*CONN
+*I *842:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *819:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *842:RESET_B 5.82277e-05
+2 *819:Y 5.82277e-05
+3 *842:RESET_B *804:C 0.000112106
+4 *842:RESET_B *234:8 0.000112106
+*RES
+1 *819:Y *842:RESET_B 20.8536
+*END
+
+*D_NET *52 0.000727886
+*CONN
+*I *843:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *820:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *843:RESET_B 0.000158296
+2 *820:Y 0.000158296
+3 *843:RESET_B *804:C 0.00012401
+4 *843:RESET_B *819:B 5.52302e-05
+5 *843:RESET_B *235:5 1.69961e-05
+6 *843:RESET_B *235:9 2.81497e-05
+7 *843:RESET_B *263:21 9.60875e-05
+8 *843:RESET_B *333:162 1.52978e-05
+9 *843:RESET_B *333:177 4.66203e-05
+10 *5:261 *843:RESET_B 2.89016e-05
+*RES
+1 *820:Y *843:RESET_B 22.1839
+*END
+
+*D_NET *53 0.00111161
+*CONN
+*I *844:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *821:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *844:RESET_B 0.000334397
+2 *821:Y 0.000334397
+3 *844:RESET_B *804:B 6.81335e-05
+4 *844:RESET_B *236:10 6.3622e-05
+5 *841:RESET_B *844:RESET_B 8.54468e-05
+6 *5:235 *844:RESET_B 0.000225609
+7 *29:8 *844:RESET_B 0
+*RES
+1 *821:Y *844:RESET_B 26.0232
+*END
+
+*D_NET *54 0.00022721
+*CONN
+*I *845:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *822:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *845:RESET_B 3.32706e-05
+2 *822:Y 3.32706e-05
+3 *845:RESET_B *333:235 5.56943e-05
+4 *822:A *845:RESET_B 0.000104974
+*RES
+1 *822:Y *845:RESET_B 19.6393
+*END
+
+*D_NET *55 0.000445607
+*CONN
+*I *846:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *823:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *846:RESET_B 0.000139449
+2 *823:Y 0.000139449
+3 *846:RESET_B *216:94 2.51837e-05
+4 *29:8 *846:RESET_B 6.07232e-05
+5 *38:7 *846:RESET_B 8.08022e-05
+*RES
+1 *823:Y *846:RESET_B 21.3268
+*END
+
+*D_NET *56 0.00124682
+*CONN
+*I *847:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *824:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *847:RESET_B 0.000555311
+2 *824:Y 0.000555311
+3 *847:RESET_B *633:B 0
+4 *847:RESET_B *848:RESET_B 0.000136196
+5 *847:RESET_B *228:6 0
+6 *847:RESET_B *228:15 0
+7 *847:RESET_B *229:8 0
+8 *847:RESET_B *333:95 0
+9 *847:RESET_B *333:106 0
+*RES
+1 *824:Y *847:RESET_B 28.1393
+*END
+
+*D_NET *57 0.00122636
+*CONN
+*I *848:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *825:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *848:RESET_B 0.00047513
+2 *825:Y 0.00047513
+3 *848:RESET_B *88:5 0.000139907
+4 *848:RESET_B *333:95 0
+5 *847:RESET_B *848:RESET_B 0.000136196
+*RES
+1 *825:Y *848:RESET_B 26.8536
+*END
+
+*D_NET *58 0.000372377
+*CONN
+*I *849:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *826:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *849:RESET_B 0.000105102
+2 *826:Y 0.000105102
+3 *849:RESET_B *826:B 6.97972e-05
+4 *849:RESET_B *222:10 9.23759e-05
+*RES
+1 *826:Y *849:RESET_B 20.8268
+*END
+
+*D_NET *59 0.000405093
+*CONN
+*I *850:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *827:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *850:RESET_B 0.000142078
+2 *827:Y 0.000142078
+3 *850:RESET_B *638:A1 0
+4 *850:RESET_B *827:B 3.82055e-05
+5 *850:RESET_B *88:39 8.2731e-05
+6 *11:8 *850:RESET_B 0
+*RES
+1 *827:Y *850:RESET_B 21.4607
+*END
+
+*D_NET *60 0.00030159
+*CONN
+*I *851:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *828:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *851:RESET_B 8.92409e-05
+2 *828:Y 8.92409e-05
+3 *851:RESET_B *104:8 8.45518e-05
+4 *851:RESET_B *224:8 3.85567e-05
+*RES
+1 *828:Y *851:RESET_B 20.55
+*END
+
+*D_NET *61 0.000713802
+*CONN
+*I *852:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *829:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *852:RESET_B 0.000203819
+2 *829:Y 0.000203819
+3 *852:RESET_B *838:CLK 8.49318e-05
+4 *829:A *852:RESET_B 7.92378e-05
+5 *5:27 *852:RESET_B 0.000123714
+6 *11:8 *852:RESET_B 1.82809e-05
+*RES
+1 *829:Y *852:RESET_B 22.425
+*END
+
+*D_NET *62 0.000952119
+*CONN
+*I *853:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *830:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *853:RESET_B 0.000191821
+2 *830:Y 0.000191821
+3 *853:RESET_B *635:S 9.10431e-06
+4 *853:RESET_B *642:B 5.52238e-05
+5 *853:RESET_B *852:CLK 0.000169804
+6 *4:8 *853:RESET_B 0.000334344
+*RES
+1 *830:Y *853:RESET_B 23.2107
+*END
+
+*D_NET *63 0.000329761
+*CONN
+*I *831:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *739:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *831:D 0.000101211
+2 *739:X 0.000101211
+3 *831:D *739:A 8.15939e-05
+4 *5:108 *831:D 4.57445e-05
+*RES
+1 *739:X *831:D 30.0821
+*END
+
+*D_NET *64 0.000467241
+*CONN
+*I *832:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *738:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *832:D 0.000180974
+2 *738:X 0.000180974
+3 *832:D *832:CLK 6.05161e-06
+4 *832:D *88:19 9.92409e-05
+5 *5:561 *832:D 0
+*RES
+1 *738:X *832:D 31.0107
+*END
+
+*D_NET *65 0.000591588
+*CONN
+*I *833:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *737:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *833:D 0.000224369
+2 *737:X 0.000224369
+3 *833:D *88:19 0.00014285
+4 *833:D *232:11 0
+5 *833:D *333:64 0
+6 *833:D *333:76 0
+7 *29:8 *833:D 0
+*RES
+1 *737:X *833:D 31.2071
+*END
+
+*D_NET *66 0.000568658
+*CONN
+*I *834:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *736:Y O *D sky130_fd_sc_hd__nand3_2
+*CAP
+1 *834:D 0.000227181
+2 *736:Y 0.000227181
+3 *834:D *638:A0 0
+4 *834:D *736:A 0.000114296
+5 *834:D *812:B 0
+6 *834:D *217:64 0
+7 *834:D *218:8 0
+8 *834:D *333:41 0
+9 *834:RESET_B *834:D 0
+*RES
+1 *736:Y *834:D 31.975
+*END
+
+*D_NET *67 0.000392454
+*CONN
+*I *835:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *735:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *835:D 0.000152068
+2 *735:Y 0.000152068
+3 *835:D *733:B1 2.89016e-05
+4 *835:D *735:A2 0
+5 *835:RESET_B *835:D 4.46186e-06
+6 *5:105 *835:D 5.49544e-05
+*RES
+1 *735:Y *835:D 30.0107
+*END
+
+*D_NET *68 0.0013037
+*CONN
+*I *836:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *733:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *836:D 0.000371397
+2 *733:Y 0.000371397
+3 *836:D *733:A2 0.000274288
+4 *836:D *88:19 0.00010538
+5 *836:D *216:175 6.05161e-06
+6 *836:D *333:55 3.30803e-05
+7 *836:RESET_B *836:D 0.00014211
+*RES
+1 *733:Y *836:D 33.8321
+*END
+
+*D_NET *69 0.00156919
+*CONN
+*I *837:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *729:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *837:D 0.000362443
+2 *729:X 0.000362443
+3 *837:D *840:CLK 1.0484e-05
+4 *837:D *840:D 6.05161e-06
+5 *837:D *139:19 0.000202891
+6 *837:D *171:10 0.000169971
+7 *837:D *216:56 2.33087e-05
+8 *837:D *216:64 0.000141337
+9 *817:A *837:D 6.82912e-05
+10 *840:RESET_B *837:D 0.00022197
+*RES
+1 *729:X *837:D 37.0821
+*END
+
+*D_NET *70 0.000672732
+*CONN
+*I *838:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *727:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *838:D 0.000230658
+2 *727:X 0.000230658
+3 *838:D *645:B 0
+4 *838:D *220:36 0.000205366
+5 *838:D *225:8 0
+6 *838:D *225:13 6.05161e-06
+*RES
+1 *727:X *838:D 32.6536
+*END
+
+*D_NET *71 0.000308456
+*CONN
+*I *839:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *724:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *839:D 0.000136311
+2 *724:X 0.000136311
+3 *839:D *690:A 6.92148e-06
+4 *839:D *724:B1 2.89114e-05
+5 *839:D *238:10 0
+6 *29:8 *839:D 0
+*RES
+1 *724:X *839:D 29.7786
+*END
+
+*D_NET *72 0.00091465
+*CONN
+*I *840:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *722:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *840:D 0.000297667
+2 *722:X 0.000297667
+3 *840:D *685:C_N 0.000125818
+4 *840:D *721:B 2.07491e-05
+5 *840:D *722:A1 3.18676e-05
+6 *840:D *129:23 2.59355e-05
+7 *840:D *171:10 0
+8 *837:D *840:D 6.05161e-06
+9 *840:RESET_B *840:D 0.000108895
+*RES
+1 *722:X *840:D 33.1893
+*END
+
+*D_NET *73 0.00135638
+*CONN
+*I *841:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *719:X O *D sky130_fd_sc_hd__o2bb2a_2
+*CAP
+1 *841:D 0.000411624
+2 *719:X 0.000411624
+3 *841:D *684:B 9.32966e-05
+4 *841:D *723:B 5.0769e-05
+5 *841:D *841:CLK 9.84729e-05
+6 *841:D *844:D 0.000150618
+7 *841:D *145:15 6.05161e-06
+8 *841:D *145:24 2.14474e-05
+9 *841:D *233:8 0.00010133
+10 *841:D *238:28 1.11451e-05
+*RES
+1 *719:X *841:D 36.2786
+*END
+
+*D_NET *74 0.00229748
+*CONN
+*I *842:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *717:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *842:D 0.000403788
+2 *717:Y 0.000403788
+3 *842:D *681:A 0.000139337
+4 *842:D *695:A2 0.000390583
+5 *842:D *695:A3 0.000403972
+6 *842:D *695:B1 0.000148196
+7 *842:D *716:B1 0.000125731
+8 *842:D *236:10 8.56716e-05
+9 *842:D *236:19 0.000196411
+10 *29:8 *842:D 0
+*RES
+1 *717:Y *842:D 40.9214
+*END
+
+*D_NET *75 0.000404447
+*CONN
+*I *843:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *714:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *843:D 0.000172658
+2 *714:X 0.000172658
+3 *843:D *235:9 5.91306e-05
+*RES
+1 *714:X *843:D 20.7964
+*END
+
+*D_NET *76 0.000786656
+*CONN
+*I *844:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *712:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *844:D 0.000116018
+2 *712:X 0.000116018
+3 *844:D *684:B 0
+4 *844:D *685:D_N 0
+5 *844:D *843:CLK 4.38511e-05
+6 *844:D *145:15 0.000153054
+7 *844:D *145:24 0.000197984
+8 *844:D *216:222 9.11365e-06
+9 *841:D *844:D 0.000150618
+*RES
+1 *712:X *844:D 31.975
+*END
+
+*D_NET *77 0.00191823
+*CONN
+*I *845:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *709:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *845:D 0.000613642
+2 *709:X 0.000613642
+3 *845:D *705:A1 0.000200413
+4 *845:D *705:B1 3.57844e-05
+5 *845:D *706:B 6.75007e-05
+6 *845:D *129:63 0.000110707
+7 *845:D *237:12 7.43578e-06
+8 *5:51 *845:D 0.000149975
+9 *5:66 *845:D 0.000119135
+*RES
+1 *709:X *845:D 37.8187
+*END
+
+*D_NET *78 0.000665896
+*CONN
+*I *849:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *639:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *849:D 0.000186494
+2 *639:X 0.000186494
+3 *849:D *651:A 0.000137983
+4 *849:D *673:B 0.000101545
+5 *4:8 *849:D 5.33786e-05
+*RES
+1 *639:X *849:D 30.8321
+*END
+
+*D_NET *79 0.00123684
+*CONN
+*I *850:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *638:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *850:D 0.000499088
+2 *638:X 0.000499088
+3 *404:DIODE *850:D 3.71343e-05
+4 *405:DIODE *850:D 6.9665e-05
+5 *827:A *850:D 2.62951e-05
+6 *11:8 *850:D 0.000105572
+*RES
+1 *638:X *850:D 35.0464
+*END
+
+*D_NET *80 0.000432042
+*CONN
+*I *851:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *637:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *851:D 0.000153429
+2 *637:X 0.000153429
+3 *851:D *647:A 7.74068e-05
+4 *851:D *88:65 4.77782e-05
+*RES
+1 *637:X *851:D 30.0107
+*END
+
+*D_NET *81 0.00107271
+*CONN
+*I *852:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *636:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *852:D 0.000311801
+2 *636:X 0.000311801
+3 *852:D *643:A 3.18676e-05
+4 *852:D *643:B 3.48705e-05
+5 *852:D *828:B 0
+6 *4:8 *852:D 0.000316031
+7 *32:27 *852:D 6.6336e-05
+*RES
+1 *636:X *852:D 33.85
+*END
+
+*D_NET *82 0.00037241
+*CONN
+*I *853:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *635:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *853:D 0.000140519
+2 *635:X 0.000140519
+3 *853:D *635:S 0
+4 *853:D *659:C1 3.52194e-05
+5 *853:D *853:CLK 5.6153e-05
+*RES
+1 *635:X *853:D 29.6402
+*END
+
+*D_NET *83 0.00624188
+*CONN
+*I *776:A I *D sky130_fd_sc_hd__or2_2
+*I *744:A I *D sky130_fd_sc_hd__nand2_2
+*I *694:A I *D sky130_fd_sc_hd__or4_2
+*I *772:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *748:A I *D sky130_fd_sc_hd__nor2_2
+*I *628:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *776:A 3.88931e-05
+2 *744:A 0
+3 *694:A 5.23541e-05
+4 *772:C1 0.000107674
+5 *748:A 0
+6 *628:Y 0.000384459
+7 *83:30 0.000412341
+8 *83:25 0.000532207
+9 *83:20 0.000555297
+10 *83:8 0.000620969
+11 *694:A *773:B1 1.39841e-05
+12 *694:A *84:23 9.7659e-05
+13 *694:A *143:5 0.000139907
+14 *694:A *143:9 8.76257e-05
+15 *772:C1 *694:C 1.035e-05
+16 *772:C1 *770:B 0
+17 *772:C1 *772:B1 1.46576e-05
+18 *772:C1 *773:B1 2.80052e-05
+19 *772:C1 *84:23 9.8232e-05
+20 *772:C1 *86:13 7.13226e-06
+21 *772:C1 *143:28 0.000166422
+22 *776:A *197:26 5.04841e-06
+23 *83:8 *786:A2 0.000150628
+24 *83:8 *786:A3 5.48376e-05
+25 *83:8 *806:B1 5.64168e-05
+26 *83:8 *181:18 2.77173e-05
+27 *83:8 *196:15 9.60875e-05
+28 *83:8 *237:100 2.14757e-05
+29 *83:8 *237:106 4.27437e-05
+30 *83:20 *750:A 0.00014183
+31 *83:20 *750:B 8.46829e-05
+32 *83:20 *786:A3 3.34295e-05
+33 *83:20 *799:B 5.33005e-05
+34 *83:20 *806:B1 0.00013966
+35 *83:20 *197:8 1.39841e-05
+36 *83:20 *234:115 0.000216121
+37 *83:25 *743:A 2.59355e-05
+38 *83:25 *750:B 0.000551762
+39 *83:25 *142:29 2.15339e-05
+40 *83:25 *143:28 0.000163673
+41 *83:25 *176:14 1.74854e-05
+42 *83:25 *178:15 9.59406e-05
+43 *83:25 *183:11 1.00073e-05
+44 *83:25 *197:8 1.92789e-05
+45 *83:25 *299:26 4.60485e-05
+46 *83:30 *772:A1 8.64748e-05
+47 *83:30 *773:B1 5.86175e-05
+48 *83:30 *84:26 0
+49 *83:30 *142:29 2.06178e-05
+50 *83:30 *143:9 0.000100685
+51 *83:30 *143:28 0.000351419
+52 *83:30 *176:14 1.39436e-05
+53 *380:DIODE *83:25 5.92829e-05
+54 *5:346 *83:20 9.30381e-05
+*RES
+1 *628:Y *83:8 19.175
+2 *83:8 *748:A 13.8
+3 *83:8 *83:20 10.6964
+4 *83:20 *83:25 12.2143
+5 *83:25 *83:30 10.4286
+6 *83:30 *772:C1 21.3045
+7 *83:30 *694:A 11.4786
+8 *83:25 *744:A 13.8
+9 *83:20 *776:A 10.0321
+*END
+
+*D_NET *84 0.00917841
+*CONN
+*I *742:B I *D sky130_fd_sc_hd__or3_2
+*I *759:B I *D sky130_fd_sc_hd__or3_2
+*I *774:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *696:A I *D sky130_fd_sc_hd__xnor2_2
+*I *695:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *629:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *742:B 0.000116915
+2 *759:B 0
+3 *774:A2 0.000236438
+4 *696:A 0
+5 *695:A1 0.000895591
+6 *629:Y 3.92213e-05
+7 *84:26 0.00131636
+8 *84:23 0.00118708
+9 *84:10 0.000714028
+10 *84:7 0.000340289
+11 *695:A1 *695:A2 0.000180789
+12 *695:A1 *711:A 0.000157777
+13 *695:A1 *712:A1 0.000266473
+14 *695:A1 *712:S 2.28598e-05
+15 *695:A1 *145:5 1.74352e-05
+16 *695:A1 *145:7 2.50243e-05
+17 *742:B *742:C 8.11028e-06
+18 *742:B *743:A 7.46461e-05
+19 *742:B *775:B 2.89016e-05
+20 *742:B *187:33 3.84268e-05
+21 *774:A2 *773:A2 6.20091e-06
+22 *774:A2 *774:A1 1.44931e-05
+23 *774:A2 *774:B1 2.82057e-05
+24 *774:A2 *86:8 3.17148e-05
+25 *774:A2 *86:40 0.000229173
+26 *774:A2 *142:29 2.30693e-05
+27 *774:A2 *142:47 1.89507e-06
+28 *774:A2 *237:40 4.56437e-05
+29 *774:A2 *237:54 0.000149319
+30 *84:7 *742:C 5.52302e-05
+31 *84:10 *742:C 1.33116e-05
+32 *84:10 *759:A 6.12311e-05
+33 *84:10 *770:B 8.61547e-06
+34 *84:10 *131:62 0.000105788
+35 *84:10 *237:75 9.60337e-06
+36 *84:23 *704:A1 4.11173e-05
+37 *84:23 *770:B 4.08518e-05
+38 *84:23 *773:B1 0.000129283
+39 *84:23 *85:5 0.000139907
+40 *84:23 *86:13 0.000387796
+41 *84:23 *130:94 2.6269e-05
+42 *84:23 *131:31 5.52302e-05
+43 *84:23 *131:62 1.84099e-05
+44 *84:23 *143:5 8.43535e-06
+45 *84:26 *631:A 5.01876e-06
+46 *84:26 *705:A1 0
+47 *84:26 *708:B1 0.000148955
+48 *84:26 *86:8 7.83587e-05
+49 *84:26 *129:58 8.06427e-05
+50 *84:26 *129:90 0.000148196
+51 *84:26 *129:121 0.00026
+52 *84:26 *129:132 0.000164951
+53 *84:26 *134:17 8.79776e-05
+54 *84:26 *145:58 0.000412347
+55 *84:26 *234:47 6.59135e-05
+56 *84:26 *237:12 9.82074e-06
+57 *84:26 *237:33 7.32699e-05
+58 *84:26 *237:40 0.000149911
+59 *694:A *84:23 9.7659e-05
+60 *772:C1 *84:23 9.8232e-05
+61 *83:30 *84:26 0
+*RES
+1 *629:Y *84:7 14.3357
+2 *84:7 *84:10 3.08929
+3 *84:10 *84:23 27.5
+4 *84:23 *84:26 18.2857
+5 *84:26 *695:A1 24.4429
+6 *84:26 *696:A 9.3
+7 *84:23 *774:A2 18.4562
+8 *84:10 *759:B 13.8
+9 *84:7 *742:B 15.9786
+*END
+
+*D_NET *85 0.00268184
+*CONN
+*I *759:C I *D sky130_fd_sc_hd__or3_2
+*I *694:B I *D sky130_fd_sc_hd__or4_2
+*I *743:B I *D sky130_fd_sc_hd__nor2_2
+*I *630:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *759:C 0.000125168
+2 *694:B 0
+3 *743:B 0.000237109
+4 *630:Y 0
+5 *85:5 0.000442609
+6 *85:4 0.000330669
+7 *743:B *704:A1 0.000491129
+8 *743:B *770:B 0.000181474
+9 *743:B *773:B1 5.41797e-06
+10 *743:B *187:33 0.000260152
+11 *743:B *299:26 4.38058e-05
+12 *759:C *692:A 3.19897e-06
+13 *759:C *772:B1 4.18156e-05
+14 *759:C *86:13 9.25187e-05
+15 *759:C *131:62 0.000118052
+16 *759:C *235:61 0.000115935
+17 *85:5 *131:31 4.13496e-05
+18 *85:5 *143:5 1.15281e-05
+19 *84:23 *85:5 0.000139907
+*RES
+1 *630:Y *85:4 9.3
+2 *85:4 *85:5 2.58929
+3 *85:5 *743:B 25.1036
+4 *85:5 *694:B 9.3
+5 *85:4 *759:C 21.5679
+*END
+
+*D_NET *86 0.0086223
+*CONN
+*I *790:A1 I *D sky130_fd_sc_hd__o221a_2
+*I *782:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *692:A I *D sky130_fd_sc_hd__nor2_2
+*I *717:A I *D sky130_fd_sc_hd__xnor2_2
+*I *631:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *790:A1 0.000412508
+2 *782:A1 9.14767e-05
+3 *692:A 8.55284e-05
+4 *717:A 0.000243251
+5 *631:Y 0.000132744
+6 *86:40 0.000853643
+7 *86:13 0.000716791
+8 *86:8 0.000870413
+9 *692:A *692:B 3.08382e-06
+10 *692:A *772:B1 1.62487e-05
+11 *692:A *87:28 3.10885e-05
+12 *692:A *87:41 4.47218e-05
+13 *692:A *235:61 6.49408e-05
+14 *717:A *702:A 5.33005e-05
+15 *717:A *704:B1 9.58632e-05
+16 *717:A *716:A1 3.14003e-05
+17 *717:A *87:8 0.000204527
+18 *717:A *87:28 8.64583e-05
+19 *717:A *131:20 7.88827e-05
+20 *717:A *131:62 7.80714e-06
+21 *717:A *235:61 0.000119895
+22 *782:A1 *783:A1 0.000222666
+23 *782:A1 *150:32 0.000222666
+24 *790:A1 *777:A 5.33005e-05
+25 *790:A1 *783:A1 5.33005e-05
+26 *790:A1 *783:B1 0.000264153
+27 *790:A1 *783:B2 1.00733e-05
+28 *790:A1 *787:A2 1.15281e-05
+29 *790:A1 *788:C 4.28123e-05
+30 *790:A1 *790:A2 9.54798e-06
+31 *790:A1 *791:A 0.000440711
+32 *790:A1 *792:A 5.33005e-05
+33 *790:A1 *130:39 0.000126548
+34 *790:A1 *143:29 1.64621e-05
+35 *790:A1 *143:36 3.68699e-05
+36 *790:A1 *150:32 0.000405678
+37 *790:A1 *178:15 1.64621e-05
+38 *790:A1 *178:20 2.23592e-05
+39 *790:A1 *187:44 9.76221e-05
+40 *790:A1 *206:8 0.000121871
+41 *86:8 *700:B 0.000100261
+42 *86:13 *759:A 5.71472e-05
+43 *86:13 *772:A1 6.26774e-06
+44 *86:13 *772:A2 6.46214e-05
+45 *86:13 *772:B1 0.000132713
+46 *86:13 *773:B1 8.0789e-07
+47 *86:13 *130:94 5.49544e-05
+48 *86:40 *773:B1 0
+49 *86:40 *130:76 0.000527444
+50 *86:40 *142:47 0
+51 *382:DIODE *86:13 9.41642e-05
+52 *384:DIODE *790:A1 8.90816e-06
+53 *759:C *692:A 3.19897e-06
+54 *759:C *86:13 9.25187e-05
+55 *772:C1 *86:13 7.13226e-06
+56 *774:A2 *86:8 3.17148e-05
+57 *774:A2 *86:40 0.000229173
+58 *778:A1 *86:40 0.000100823
+59 *778:A2 *86:40 2.5184e-05
+60 *786:B1 *790:A1 4.90142e-05
+61 *5:761 *782:A1 3.40111e-05
+62 *18:5 *790:A1 6.35813e-05
+63 *84:23 *86:13 0.000387796
+64 *84:26 *86:8 7.83587e-05
+*RES
+1 *631:Y *86:8 16.0857
+2 *86:8 *86:13 17.3393
+3 *86:13 *717:A 19.5321
+4 *86:13 *692:A 16.0946
+5 *86:8 *86:40 12.2143
+6 *86:40 *782:A1 12.1482
+7 *86:40 *790:A1 31.3
+*END
+
+*D_NET *87 0.00774984
+*CONN
+*I *799:B I *D sky130_fd_sc_hd__or3_2
+*I *750:B I *D sky130_fd_sc_hd__or3_2
+*I *692:B I *D sky130_fd_sc_hd__nor2_2
+*I *702:A I *D sky130_fd_sc_hd__xnor2_2
+*I *716:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *632:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *799:B 3.54071e-05
+2 *750:B 0.000525505
+3 *692:B 4.96846e-05
+4 *702:A 0.000198179
+5 *716:A1 0.000167306
+6 *632:Y 0.000304242
+7 *87:41 0.000742263
+8 *87:28 0.000292684
+9 *87:8 0.000465246
+10 *87:7 0.000465652
+11 *692:B *779:B 2.30099e-05
+12 *702:A *704:B1 0.000212075
+13 *702:A *704:B2 0.000433731
+14 *702:A *131:20 0.000182799
+15 *702:A *131:31 0.000526676
+16 *702:A *152:5 5.37709e-05
+17 *716:A1 *704:A3 4.42291e-05
+18 *716:A1 *704:B1 5.36422e-05
+19 *716:A1 *716:A2 0.000252217
+20 *716:A1 *718:A 0
+21 *716:A1 *233:28 9.78703e-05
+22 *716:A1 *246:22 0.000254134
+23 *87:7 *235:52 0.000438788
+24 *87:8 *704:B1 0.00016632
+25 *87:8 *130:21 4.56437e-05
+26 *87:28 *130:21 9.65182e-05
+27 *87:28 *130:39 2.15339e-05
+28 *87:41 *742:C 4.16551e-05
+29 *87:41 *743:A 0
+30 *87:41 *130:39 0.000322185
+31 *87:41 *235:61 8.44271e-06
+32 *87:41 *278:12 2.06178e-05
+33 *382:DIODE *692:B 1.98839e-05
+34 *692:A *692:B 3.08382e-06
+35 *692:A *87:28 3.10885e-05
+36 *692:A *87:41 4.47218e-05
+37 *717:A *702:A 5.33005e-05
+38 *717:A *716:A1 3.14003e-05
+39 *717:A *87:8 0.000204527
+40 *717:A *87:28 8.64583e-05
+41 *786:B1 *87:41 4.36012e-05
+42 *83:20 *750:B 8.46829e-05
+43 *83:20 *799:B 5.33005e-05
+44 *83:25 *750:B 0.000551762
+*RES
+1 *632:Y *87:7 18.0321
+2 *87:7 *87:8 2.85714
+3 *87:8 *716:A1 19.3982
+4 *87:8 *702:A 22.1571
+5 *87:7 *87:28 1.64286
+6 *87:28 *692:B 14.7643
+7 *87:28 *87:41 8.875
+8 *87:41 *750:B 15.9964
+9 *87:41 *799:B 9.83571
+*END
+
+*D_NET *88 0.0171592
+*CONN
+*I *737:S I *D sky130_fd_sc_hd__mux2_1
+*I *727:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *635:S I *D sky130_fd_sc_hd__mux2_1
+*I *636:S I *D sky130_fd_sc_hd__mux2_1
+*I *729:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *637:S I *D sky130_fd_sc_hd__mux2_1
+*I *736:B I *D sky130_fd_sc_hd__nand3_2
+*I *638:S I *D sky130_fd_sc_hd__mux2_1
+*I *639:S I *D sky130_fd_sc_hd__mux2_1
+*I *633:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *737:S 0
+2 *727:B1 2.23854e-05
+3 *635:S 0.000720304
+4 *636:S 0
+5 *729:C1 0
+6 *637:S 5.39313e-06
+7 *736:B 8.3806e-05
+8 *638:S 0
+9 *639:S 0.00100497
+10 *633:Y 0.000233385
+11 *88:82 0.00118376
+12 *88:77 0.000759692
+13 *88:65 0.000412127
+14 *88:39 0.000748989
+15 *88:35 0.000670576
+16 *88:21 0.00140968
+17 *88:19 0.00296711
+18 *88:5 0.0026575
+19 *635:S *642:A 0
+20 *635:S *657:A 0.000115699
+21 *635:S *659:C1 0
+22 *635:S *852:CLK 8.71047e-05
+23 *635:S *225:8 0
+24 *635:S *333:245 0
+25 *639:S *216:144 1.76135e-05
+26 *639:S *222:21 3.18676e-05
+27 *736:B *638:A1 4.18895e-05
+28 *736:B *736:A 5.52238e-05
+29 *736:B *333:27 0.000115971
+30 *88:5 *825:B 7.32272e-05
+31 *88:5 *232:11 1.92789e-05
+32 *88:19 *686:A 1.32552e-05
+33 *88:19 *733:A2 2.51343e-06
+34 *88:19 *733:B1 1.52978e-05
+35 *88:19 *737:A0 0.000310686
+36 *88:19 *738:A0 0.000136958
+37 *88:19 *832:CLK 3.30803e-05
+38 *88:19 *171:10 0
+39 *88:19 *171:12 0
+40 *88:19 *216:73 0
+41 *88:19 *216:79 0
+42 *88:19 *216:81 0
+43 *88:19 *232:11 2.24763e-05
+44 *88:39 *638:A1 5.71472e-05
+45 *88:39 *648:B 0
+46 *88:39 *649:B 0
+47 *88:39 *654:C1 6.09572e-05
+48 *88:39 *100:10 7.92879e-05
+49 *88:39 *102:10 1.37292e-05
+50 *88:39 *104:8 0
+51 *88:39 *219:23 0
+52 *88:39 *219:34 0
+53 *88:39 *223:17 0
+54 *88:39 *333:27 0.000102207
+55 *88:65 *647:A 3.8663e-05
+56 *88:65 *660:A 6.75721e-05
+57 *88:65 *729:B1 5.54817e-06
+58 *88:65 *219:34 2.02339e-05
+59 *88:77 *647:A 5.24684e-06
+60 *88:77 *727:A2 6.05161e-06
+61 *88:77 *729:B1 7.89337e-05
+62 *88:77 *851:CLK 5.76547e-05
+63 *88:77 *169:14 9.10431e-06
+64 *88:77 *216:46 0.000388153
+65 *88:77 *220:19 0
+66 *88:77 *220:20 1.40034e-05
+67 *88:77 *221:10 3.67452e-05
+68 *88:77 *221:14 7.83462e-05
+69 *88:82 *645:A 0.00012389
+70 *88:82 *662:A 0.000271898
+71 *88:82 *220:20 3.69047e-06
+72 *338:DIODE *639:S 0.000155777
+73 *405:DIODE *736:B 6.13217e-05
+74 *405:DIODE *88:39 7.32955e-05
+75 *832:D *88:19 9.92409e-05
+76 *833:D *88:19 0.00014285
+77 *836:D *88:19 0.00010538
+78 *848:RESET_B *88:5 0.000139907
+79 *850:RESET_B *88:39 8.2731e-05
+80 *851:D *88:65 4.77782e-05
+81 *853:D *635:S 0
+82 *853:RESET_B *635:S 9.10431e-06
+83 *4:8 *635:S 0
+84 *5:180 *88:5 3.67257e-05
+85 *5:191 *88:5 4.69993e-05
+86 *5:604 *637:S 3.27359e-05
+87 *5:604 *88:39 4.06365e-05
+88 *5:611 *639:S 0.000186407
+89 *5:613 *639:S 1.76039e-05
+90 *11:8 *635:S 0.000142933
+91 *11:8 *639:S 0.000165324
+92 *11:8 *88:82 8.15939e-05
+*RES
+1 *633:Y *88:5 14.3536
+2 *88:5 *88:19 29.5182
+3 *88:19 *88:21 0.623533
+4 *88:21 *639:S 25.757
+5 *88:21 *88:35 3.41
+6 *88:35 *88:39 18.0804
+7 *88:39 *638:S 9.3
+8 *88:39 *736:B 11.9071
+9 *88:35 *637:S 14.0768
+10 *88:19 *88:65 6.77607
+11 *88:65 *729:C1 13.8
+12 *88:65 *88:77 11.7679
+13 *88:77 *88:82 13.1429
+14 *88:82 *636:S 13.8
+15 *88:82 *635:S 26.9272
+16 *88:77 *727:B1 9.72857
+17 *88:5 *737:S 9.3
+*END
+
+*D_NET *89 0.00596326
+*CONN
+*I *738:S I *D sky130_fd_sc_hd__mux2_1
+*I *735:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *733:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *686:C I *D sky130_fd_sc_hd__and3_2
+*I *739:B I *D sky130_fd_sc_hd__or2_2
+*I *634:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *738:S 0
+2 *735:B1 0
+3 *733:B1 0.000225622
+4 *686:C 0.000168968
+5 *739:B 0.000329523
+6 *634:X 0.00053847
+7 *89:34 0.000509293
+8 *89:7 0.000498491
+9 *89:5 0.00082214
+10 *686:C *686:B 0.00031588
+11 *686:C *333:64 9.60939e-05
+12 *733:B1 *732:A 0.000159282
+13 *733:B1 *732:B 4.55687e-05
+14 *733:B1 *733:A2 2.77173e-05
+15 *733:B1 *735:A2 4.1331e-06
+16 *733:B1 *171:12 0
+17 *733:B1 *216:81 0
+18 *733:B1 *216:131 0.00014258
+19 *739:B *686:B 4.49293e-05
+20 *739:B *739:A 0
+21 *739:B *216:88 5.33334e-05
+22 *739:B *230:8 0.000100823
+23 *739:B *333:76 0
+24 *89:5 *738:A0 8.17274e-05
+25 *89:5 *833:CLK 0.000217894
+26 *89:5 *232:11 0.000259596
+27 *89:34 *738:A0 0.0001399
+28 *89:34 *739:A 7.22263e-05
+29 *89:34 *216:131 0.000228508
+30 *424:DIODE *89:34 9.33671e-05
+31 *809:A *89:5 6.14317e-05
+32 *833:RESET_B *89:5 2.51837e-05
+33 *835:D *733:B1 2.89016e-05
+34 *5:140 *89:34 0.000117621
+35 *5:146 *739:B 0.000151333
+36 *5:561 *686:C 0.000310781
+37 *5:561 *739:B 7.6644e-05
+38 *88:19 *733:B1 1.52978e-05
+*RES
+1 *634:X *89:5 21.3357
+2 *89:5 *89:7 4.5
+3 *89:7 *739:B 20.9964
+4 *89:7 *686:C 18.8179
+5 *89:5 *89:34 7.25
+6 *89:34 *733:B1 23.6929
+7 *89:34 *735:B1 9.3
+8 *89:5 *738:S 9.3
+*END
+
+*D_NET *90 0.0033591
+*CONN
+*I *642:A I *D sky130_fd_sc_hd__and2_2
+*I *659:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *640:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *642:A 3.80456e-05
+2 *659:C1 0.000197441
+3 *640:Y 0.00104052
+4 *90:15 0.001276
+5 *642:A *642:B 1.02504e-05
+6 *659:C1 *657:A 0
+7 *659:C1 *659:A2 2.00751e-05
+8 *659:C1 *659:B1 5.80706e-06
+9 *659:C1 *92:6 6.72785e-05
+10 *659:C1 *92:8 4.57352e-05
+11 *90:15 *635:A0 8.68409e-05
+12 *90:15 *635:A1 1.79326e-05
+13 *90:15 *640:B 0.000446434
+14 *90:15 *221:55 1.66568e-05
+15 *90:15 *225:8 5.48674e-05
+16 *635:S *642:A 0
+17 *635:S *659:C1 0
+18 *853:D *659:C1 3.52194e-05
+*RES
+1 *640:Y *90:15 28.5857
+2 *90:15 *659:C1 18.175
+3 *90:15 *642:A 14.5321
+*END
+
+*D_NET *91 0.00123365
+*CONN
+*I *642:B I *D sky130_fd_sc_hd__and2_2
+*I *641:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *642:B 0.000505734
+2 *641:X 0.000505734
+3 *642:B *635:A0 5.52302e-05
+4 *642:B *830:B 4.43256e-05
+5 *642:A *642:B 1.02504e-05
+6 *853:RESET_B *642:B 5.52238e-05
+7 *5:15 *642:B 5.71472e-05
+*RES
+1 *641:X *642:B 36.2429
+*END
+
+*D_NET *92 0.0024516
+*CONN
+*I *657:A I *D sky130_fd_sc_hd__and2_2
+*I *656:A I *D sky130_fd_sc_hd__nor2_2
+*I *658:A I *D sky130_fd_sc_hd__nand2_2
+*I *642:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *657:A 0.000413833
+2 *656:A 0
+3 *658:A 8.73733e-05
+4 *642:X 0.000126194
+5 *92:8 0.000279967
+6 *92:6 0.000732621
+7 *657:A *657:B 5.80706e-06
+8 *657:A *659:A2 0
+9 *657:A *853:CLK 0.000184882
+10 *658:A *658:B 0.000177234
+11 *92:8 *658:B 1.02504e-05
+12 *92:8 *659:B1 0
+13 *92:8 *105:12 7.22594e-05
+14 *635:S *657:A 0.000115699
+15 *659:C1 *657:A 0
+16 *659:C1 *92:6 6.72785e-05
+17 *659:C1 *92:8 4.57352e-05
+18 *677:B1 *92:8 0.000113377
+19 *4:8 *657:A 0
+20 *10:19 *92:8 1.90936e-05
+*RES
+1 *642:X *92:6 16.3536
+2 *92:6 *92:8 4.375
+3 *92:8 *658:A 16.05
+4 *92:8 *656:A 13.8
+5 *92:6 *657:A 29.0857
+*END
+
+*D_NET *93 0.00190465
+*CONN
+*I *662:A I *D sky130_fd_sc_hd__or2_2
+*I *644:A I *D sky130_fd_sc_hd__inv_2
+*I *643:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *662:A 0.000280999
+2 *644:A 2.23854e-05
+3 *643:Y 0.000115087
+4 *93:9 0.000418472
+5 *662:A *645:B 3.34295e-05
+6 *662:A *647:A 0
+7 *662:A *655:A1 0.00031344
+8 *662:A *655:B1 2.84026e-05
+9 *662:A *662:B 5.93525e-05
+10 *662:A *95:5 2.84109e-05
+11 *662:A *105:7 0.000100625
+12 *662:A *220:36 0
+13 *93:9 *667:A1 1.74352e-05
+14 *93:9 *667:A2 5.62995e-05
+15 *93:9 *828:B 0
+16 *93:9 *105:7 7.77751e-05
+17 *4:8 *93:9 8.06331e-05
+18 *9:38 *644:A 0
+19 *88:82 *662:A 0.000271898
+*RES
+1 *643:Y *93:9 21.6036
+2 *93:9 *644:A 9.72857
+3 *93:9 *662:A 26.0143
+*END
+
+*D_NET *94 0.000760009
+*CONN
+*I *655:A1 I *D sky130_fd_sc_hd__a31o_2
+*I *644:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *655:A1 0.000133861
+2 *644:Y 0.000133861
+3 *655:A1 *664:A2 0.000178847
+4 *662:A *655:A1 0.00031344
+*RES
+1 *644:Y *655:A1 22.3321
+*END
+
+*D_NET *95 0.000403357
+*CONN
+*I *662:B I *D sky130_fd_sc_hd__or2_2
+*I *655:B1 I *D sky130_fd_sc_hd__a31o_2
+*I *645:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *662:B 8.64603e-05
+2 *655:B1 3.14856e-05
+3 *645:X 2.56497e-05
+4 *95:5 0.000143596
+5 *662:B *104:21 0
+6 *662:A *655:B1 2.84026e-05
+7 *662:A *662:B 5.93525e-05
+8 *662:A *95:5 2.84109e-05
+*RES
+1 *645:X *95:5 9.83571
+2 *95:5 *655:B1 9.83571
+3 *95:5 *662:B 19.9429
+*END
+
+*D_NET *96 0.00169281
+*CONN
+*I *660:A I *D sky130_fd_sc_hd__nor2_2
+*I *646:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *660:A 0.000416624
+2 *646:Y 0.000416624
+3 *660:A *646:A 0.000223675
+4 *660:A *168:8 0
+5 *660:A *219:34 5.1937e-05
+6 *660:A *219:37 0.000139331
+7 *660:A *224:20 0.000180207
+8 *660:A *224:28 0.000128706
+9 *5:592 *660:A 3.69047e-06
+10 *5:604 *660:A 6.4443e-05
+11 *88:65 *660:A 6.75721e-05
+*RES
+1 *646:Y *660:A 36.2429
+*END
+
+*D_NET *97 0.00160285
+*CONN
+*I *655:A2 I *D sky130_fd_sc_hd__a31o_2
+*I *663:A I *D sky130_fd_sc_hd__nand3_2
+*I *664:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *647:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *655:A2 5.70154e-05
+2 *663:A 0
+3 *664:A1 5.4166e-05
+4 *647:X 0.000333077
+5 *97:17 0.0001801
+6 *97:7 0.000516026
+7 *655:A2 *104:21 8.00806e-05
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 0.000114578
+10 *97:7 *664:B1 4.64978e-05
+11 *97:7 *728:A_N 0
+12 *97:7 *112:5 8.85131e-05
+13 *97:7 *129:17 2.65105e-05
+14 *97:17 *663:C 0
+15 *97:17 *664:B1 0.000106291
+*RES
+1 *647:X *97:7 13.9607
+2 *97:7 *97:17 2.875
+3 *97:17 *664:A1 10.8893
+4 *97:17 *663:A 9.3
+5 *97:7 *655:A2 19.3357
+*END
+
+*D_NET *98 0.00123478
+*CONN
+*I *660:B I *D sky130_fd_sc_hd__nor2_2
+*I *654:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *648:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *660:B 8.28291e-05
+2 *654:B1 0.0001335
+3 *648:X 0
+4 *98:4 0.00021633
+5 *654:B1 *648:A 7.77652e-05
+6 *654:B1 *661:B 0.000442628
+7 *660:B *661:B 0.000185643
+8 *344:DIODE *654:B1 9.6087e-05
+*RES
+1 *648:X *98:4 9.3
+2 *98:4 *654:B1 13.9607
+3 *98:4 *660:B 11.4964
+*END
+
+*D_NET *99 0.00196335
+*CONN
+*I *654:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *653:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *649:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *654:C1 7.86728e-05
+2 *653:B1 0.000440288
+3 *649:X 0.000193487
+4 *99:5 0.000712448
+5 *653:B1 *653:A1 0.000354754
+6 *653:B1 *100:11 6.79915e-05
+7 *653:B1 *120:7 2.15245e-05
+8 *654:C1 *654:A1 3.3231e-05
+9 *88:39 *654:C1 6.09572e-05
+*RES
+1 *649:X *99:5 11.4786
+2 *99:5 *653:B1 15.4964
+3 *99:5 *654:C1 19.9429
+*END
+
+*D_NET *100 0.0024709
+*CONN
+*I *670:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *650:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *670:A 0
+2 *653:A1 8.52459e-05
+3 *654:A1 0.000113696
+4 *650:X 0.0002391
+5 *100:11 0.000241486
+6 *100:10 0.000281645
+7 *653:A1 *653:A2 0.00028029
+8 *653:A1 *102:11 1.51571e-05
+9 *653:A1 *120:7 1.74352e-05
+10 *654:A1 *654:A2 0.000165505
+11 *100:10 *102:10 0.000126439
+12 *100:10 *216:144 0.000271302
+13 *100:11 *102:11 9.83388e-05
+14 *653:B1 *653:A1 0.000354754
+15 *653:B1 *100:11 6.79915e-05
+16 *654:C1 *654:A1 3.3231e-05
+17 *88:39 *100:10 7.92879e-05
+*RES
+1 *650:X *100:10 22.8357
+2 *100:10 *100:11 1.35714
+3 *100:11 *654:A1 20.55
+4 *100:11 *653:A1 13.1393
+5 *100:10 *670:A 9.3
+*END
+
+*D_NET *101 0.000548154
+*CONN
+*I *673:A I *D sky130_fd_sc_hd__nand2_2
+*I *651:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *673:A 0.000139477
+2 *651:Y 0.000139477
+3 *673:A *671:B 0
+4 *344:DIODE *673:A 1.76135e-05
+5 *671:A *673:A 0
+6 *826:A *673:A 0.00010096
+7 *4:8 *673:A 0.000150625
+*RES
+1 *651:Y *673:A 30.4929
+*END
+
+*D_NET *102 0.00170684
+*CONN
+*I *670:B I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *652:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *670:B 0
+2 *653:A2 0.000118432
+3 *654:A2 0.000146115
+4 *652:X 0.00011624
+5 *102:11 0.000334638
+6 *102:10 0.000186332
+7 *653:A2 *120:7 2.89016e-05
+8 *102:10 *216:144 5.49489e-05
+9 *102:10 *217:11 2.1768e-05
+10 *653:A1 *653:A2 0.00028029
+11 *653:A1 *102:11 1.51571e-05
+12 *654:A1 *654:A2 0.000165505
+13 *11:8 *654:A2 0
+14 *11:8 *102:10 0
+15 *88:39 *102:10 1.37292e-05
+16 *100:10 *102:10 0.000126439
+17 *100:11 *102:11 9.83388e-05
+*RES
+1 *652:X *102:10 21.0857
+2 *102:10 *102:11 1.35714
+3 *102:11 *654:A2 20.8536
+4 *102:11 *653:A2 12.3179
+5 *102:10 *670:B 9.3
+*END
+
+*D_NET *103 0.000345165
+*CONN
+*I *661:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *661:A 6.96801e-05
+2 *653:X 6.96801e-05
+3 *337:DIODE *661:A 5.59013e-05
+4 *4:8 *661:A 0
+5 *5:611 *661:A 0.000149904
+*RES
+1 *653:X *661:A 29.5464
+*END
+
+*D_NET *104 0.00232598
+*CONN
+*I *655:A3 I *D sky130_fd_sc_hd__a31o_2
+*I *664:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *663:B I *D sky130_fd_sc_hd__nand3_2
+*I *654:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *655:A3 0
+2 *664:A2 0.000159553
+3 *663:B 0
+4 *654:X 0.000376892
+5 *104:21 0.000266044
+6 *104:8 0.000483383
+7 *664:A2 *667:A2 5.56943e-05
+8 *664:A2 *828:B 0.000128154
+9 *104:8 *661:B 5.49544e-05
+10 *344:DIODE *104:8 3.08664e-05
+11 *655:A1 *664:A2 0.000178847
+12 *655:A2 *104:21 8.00806e-05
+13 *662:B *104:21 0
+14 *851:RESET_B *104:8 8.45518e-05
+15 *4:8 *664:A2 0
+16 *5:604 *104:8 0
+17 *11:8 *104:8 0.00028754
+18 *11:8 *104:21 0.000139423
+19 *88:39 *104:8 0
+*RES
+1 *654:X *104:8 21.7464
+2 *104:8 *663:B 13.8
+3 *104:8 *104:21 7.10714
+4 *104:21 *664:A2 21.7107
+5 *104:21 *655:A3 9.3
+*END
+
+*D_NET *105 0.00291303
+*CONN
+*I *657:B I *D sky130_fd_sc_hd__and2_2
+*I *656:B I *D sky130_fd_sc_hd__nor2_2
+*I *658:B I *D sky130_fd_sc_hd__nand2_2
+*I *655:X O *D sky130_fd_sc_hd__a31o_2
+*CAP
+1 *657:B 9.71001e-05
+2 *656:B 0
+3 *658:B 0.000111195
+4 *655:X 0.000409105
+5 *105:12 0.000545752
+6 *105:7 0.000940762
+7 *657:B *659:A2 0
+8 *657:B *109:16 0
+9 *658:B *659:B1 5.52302e-05
+10 *105:12 *659:B1 0
+11 *105:12 *677:A1 4.51611e-05
+12 *340:DIODE *105:7 3.41124e-06
+13 *340:DIODE *105:12 2.27416e-05
+14 *657:A *657:B 5.80706e-06
+15 *658:A *658:B 0.000177234
+16 *662:A *105:7 0.000100625
+17 *667:B1 *105:7 2.95726e-05
+18 *677:B1 *105:12 0.000141985
+19 *4:8 *657:B 5.03801e-05
+20 *9:38 *105:7 1.66856e-05
+21 *92:8 *658:B 1.02504e-05
+22 *92:8 *105:12 7.22594e-05
+23 *93:9 *105:7 7.77751e-05
+*RES
+1 *655:X *105:7 14.7821
+2 *105:7 *105:12 10.5893
+3 *105:12 *658:B 16.5857
+4 *105:12 *656:B 13.8
+5 *105:7 *657:B 20.2464
+*END
+
+*D_NET *106 0.00130021
+*CONN
+*I *677:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *659:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *656:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *677:A1 7.35353e-05
+2 *659:A2 0.000209226
+3 *656:Y 5.01934e-05
+4 *106:5 0.000332955
+5 *659:A2 *659:B1 0.000218734
+6 *659:A2 *109:16 8.59056e-05
+7 *677:A1 *127:8 4.13496e-05
+8 *106:5 *127:8 2.89114e-05
+9 *657:A *659:A2 0
+10 *657:B *659:A2 0
+11 *659:A1 *659:A2 9.67334e-07
+12 *659:C1 *659:A2 2.00751e-05
+13 *677:B1 *677:A1 5.33005e-05
+14 *677:B1 *106:5 0.0001399
+15 *105:12 *677:A1 4.51611e-05
+*RES
+1 *656:Y *106:5 10.6571
+2 *106:5 *659:A2 22.5277
+3 *106:5 *677:A1 11.0679
+*END
+
+*D_NET *107 0.000725472
+*CONN
+*I *677:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *657:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *677:A2 0.000283848
+2 *657:X 0.000283848
+3 *677:A2 *109:16 0.000157775
+4 *4:8 *677:A2 0
+*RES
+1 *657:X *677:A2 31.5286
+*END
+
+*D_NET *108 0.00117195
+*CONN
+*I *659:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *658:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *659:B1 0.000383105
+2 *658:Y 0.000383105
+3 *659:B1 *689:A 2.72967e-05
+4 *659:B1 *109:16 9.26094e-05
+5 *658:B *659:B1 5.52302e-05
+6 *659:A1 *659:B1 6.06291e-06
+7 *659:A2 *659:B1 0.000218734
+8 *659:C1 *659:B1 5.80706e-06
+9 *92:8 *659:B1 0
+10 *105:12 *659:B1 0
+*RES
+1 *658:Y *659:B1 35.0732
+*END
+
+*D_NET *109 0.00229376
+*CONN
+*I *679:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *688:C_N I *D sky130_fd_sc_hd__or3b_2
+*I *659:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *679:B1 0
+2 *688:C_N 0.000157632
+3 *659:X 0.000441857
+4 *109:16 0.000599489
+5 *688:C_N *688:B 5.03772e-05
+6 *688:C_N *689:B 3.72204e-05
+7 *688:C_N *689:C 0.000134669
+8 *688:C_N *111:8 0
+9 *109:16 *669:A 0.000225953
+10 *109:16 *689:A 9.73058e-05
+11 *109:16 *689:B 4.6025e-06
+12 *109:16 *127:8 0.000174932
+13 *657:B *109:16 0
+14 *659:A2 *109:16 8.59056e-05
+15 *659:B1 *109:16 9.26094e-05
+16 *677:A2 *109:16 0.000157775
+17 *4:8 *109:16 0
+18 *7:29 *688:C_N 3.34366e-05
+19 *9:10 *109:16 0
+20 *9:38 *109:16 0
+*RES
+1 *659:X *109:16 25.3
+2 *109:16 *688:C_N 17.3
+3 *109:16 *679:B1 13.8
+*END
+
+*D_NET *110 0.00270984
+*CONN
+*I *661:B I *D sky130_fd_sc_hd__xnor2_2
+*I *660:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *661:B 0.000270435
+2 *660:Y 0.000270435
+3 *338:DIODE *661:B 0.000139907
+4 *344:DIODE *661:B 0.000122917
+5 *654:B1 *661:B 0.000442628
+6 *660:B *661:B 0.000185643
+7 *7:29 *661:B 0.00122293
+8 *104:8 *661:B 5.49544e-05
+*RES
+1 *660:Y *661:B 30.6536
+*END
+
+*D_NET *111 0.00164645
+*CONN
+*I *665:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *668:B I *D sky130_fd_sc_hd__nor2_2
+*I *661:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *665:B2 8.68735e-05
+2 *668:B 0.000100834
+3 *661:Y 0.000171922
+4 *111:8 0.000359629
+5 *665:B2 *678:A 0.000113615
+6 *665:B2 *678:B 0.000121583
+7 *668:B *118:8 0.000261935
+8 *668:B *139:19 0.000137447
+9 *111:8 *678:A 3.27359e-05
+10 *111:8 *678:B 3.10819e-05
+11 *111:8 *689:B 0
+12 *344:DIODE *111:8 2.89114e-05
+13 *688:C_N *111:8 0
+14 *4:8 *111:8 9.00741e-05
+15 *7:29 *111:8 9.90367e-05
+16 *9:15 *665:B2 2.51343e-06
+17 *9:55 *665:B2 8.25843e-06
+*RES
+1 *661:Y *111:8 17.6036
+2 *111:8 *668:B 16.8179
+3 *111:8 *665:B2 15.9786
+*END
+
+*D_NET *112 0.00147755
+*CONN
+*I *663:C I *D sky130_fd_sc_hd__nand3_2
+*I *664:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *662:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *663:C 2.30042e-05
+2 *664:B1 0.000148073
+3 *662:X 3.76099e-05
+4 *112:5 0.000208687
+5 *664:B1 *666:A2 5.7661e-06
+6 *664:B1 *129:17 0.000480459
+7 *112:5 *129:17 0.000218072
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 0.000114578
+10 *97:7 *664:B1 4.64978e-05
+11 *97:7 *112:5 8.85131e-05
+12 *97:17 *663:C 0
+13 *97:17 *664:B1 0.000106291
+*RES
+1 *662:X *112:5 11.4786
+2 *112:5 *664:B1 14.3714
+3 *112:5 *663:C 9.72857
+*END
+
+*D_NET *113 0.00217555
+*CONN
+*I *665:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *667:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *666:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *663:Y O *D sky130_fd_sc_hd__nand3_2
+*CAP
+1 *665:A2 0
+2 *667:A1 0.000130441
+3 *666:A1 0.000156505
+4 *663:Y 0.000160053
+5 *113:12 0.000397884
+6 *113:9 0.000270991
+7 *666:A1 *666:A2 9.90431e-05
+8 *666:A1 *114:15 1.02936e-05
+9 *666:A1 *129:17 1.48278e-05
+10 *667:A1 *667:A2 0.00018337
+11 *113:9 *828:B 0.000152041
+12 *113:12 *665:A3 0.000127478
+13 *113:12 *667:A2 6.91157e-05
+14 *113:12 *129:17 4.56594e-05
+15 *665:B1 *113:9 0.000100165
+16 *666:B1 *666:A1 6.05161e-06
+17 *4:8 *667:A1 0
+18 *4:8 *113:12 0
+19 *9:55 *113:12 8.21558e-05
+20 *11:8 *113:9 0.000152041
+21 *93:9 *667:A1 1.74352e-05
+*RES
+1 *663:Y *113:9 22.425
+2 *113:9 *113:12 7.35714
+3 *113:12 *666:A1 16.5143
+4 *113:12 *667:A1 16.3893
+5 *113:9 *665:A2 9.3
+*END
+
+*D_NET *114 0.00153514
+*CONN
+*I *667:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *665:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *666:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *664:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *667:A2 0.000143937
+2 *665:A3 4.75964e-05
+3 *666:A2 8.14848e-05
+4 *664:X 0
+5 *114:15 0.000296808
+6 *114:4 0.00018676
+7 *665:A3 *828:B 4.38314e-05
+8 *667:A2 *828:B 3.15739e-05
+9 *114:15 *129:17 9.60875e-05
+10 *664:A2 *667:A2 5.56943e-05
+11 *664:B1 *666:A2 5.7661e-06
+12 *666:A1 *666:A2 9.90431e-05
+13 *666:A1 *114:15 1.02936e-05
+14 *667:A1 *667:A2 0.00018337
+15 *93:9 *667:A2 5.62995e-05
+16 *113:12 *665:A3 0.000127478
+17 *113:12 *667:A2 6.91157e-05
+*RES
+1 *664:X *114:4 9.3
+2 *114:4 *666:A2 10.9786
+3 *114:4 *114:15 5.85714
+4 *114:15 *665:A3 15.4429
+5 *114:15 *667:A2 17.7286
+*END
+
+*D_NET *115 0.00134715
+*CONN
+*I *678:A I *D sky130_fd_sc_hd__and2_2
+*I *676:B1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *669:A I *D sky130_fd_sc_hd__or3_2
+*I *665:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *678:A 7.50627e-05
+2 *676:B1 0
+3 *669:A 5.90274e-05
+4 *665:X 0
+5 *115:7 0.000225559
+6 *115:4 0.000241595
+7 *669:A *127:8 0.000225953
+8 *678:A *679:A1 0
+9 *678:A *118:8 2.98475e-05
+10 *115:7 *679:A1 5.33005e-05
+11 *665:B2 *678:A 0.000113615
+12 *9:15 *115:7 6.44986e-05
+13 *109:16 *669:A 0.000225953
+14 *111:8 *678:A 3.27359e-05
+*RES
+1 *665:X *115:4 9.3
+2 *115:4 *115:7 3.01786
+3 *115:7 *669:A 21.1571
+4 *115:7 *676:B1 9.3
+5 *115:4 *678:A 20.2464
+*END
+
+*D_NET *116 0.000534076
+*CONN
+*I *669:B I *D sky130_fd_sc_hd__or3_2
+*I *676:C1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *666:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *669:B 0
+2 *676:C1 4.40969e-05
+3 *666:Y 8.65255e-05
+4 *116:7 0.000130622
+5 *676:C1 *669:C 0.00012677
+6 *676:C1 *127:8 0.00012677
+7 *666:B1 *116:7 1.92905e-05
+*RES
+1 *666:Y *116:7 10.675
+2 *116:7 *676:C1 19.9429
+3 *116:7 *669:B 9.3
+*END
+
+*D_NET *117 0.00148392
+*CONN
+*I *678:B I *D sky130_fd_sc_hd__and2_2
+*I *667:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *678:B 0.000228046
+2 *667:X 0.000228046
+3 *678:B *669:C 0
+4 *665:B2 *678:B 0.000121583
+5 *667:B1 *678:B 5.33005e-05
+6 *4:8 *678:B 0.000524053
+7 *9:18 *678:B 0.000196262
+8 *9:38 *678:B 0.000101545
+9 *111:8 *678:B 3.10819e-05
+*RES
+1 *667:X *678:B 34.9393
+*END
+
+*D_NET *118 0.00171036
+*CONN
+*I *669:C I *D sky130_fd_sc_hd__or3_2
+*I *676:D1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *668:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *669:C 0.000151773
+2 *676:D1 0
+3 *668:Y 0.000384901
+4 *118:8 0.000536674
+5 *669:C *127:8 5.02346e-05
+6 *118:8 *679:A1 7.74068e-05
+7 *118:8 *127:8 2.2927e-05
+8 *118:8 *139:19 6.78942e-05
+9 *668:B *118:8 0.000261935
+10 *676:C1 *669:C 0.00012677
+11 *678:A *118:8 2.98475e-05
+12 *678:B *669:C 0
+13 *9:18 *669:C 0
+*RES
+1 *668:Y *118:8 19.675
+2 *118:8 *676:D1 13.8
+3 *118:8 *669:C 17.1929
+*END
+
+*D_NET *119 0.000829664
+*CONN
+*I *689:A I *D sky130_fd_sc_hd__or3_2
+*I *669:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *689:A 0.000236845
+2 *669:X 0.000236845
+3 *689:A *676:A2 0.000197431
+4 *689:A *689:C 3.39401e-05
+5 *659:B1 *689:A 2.72967e-05
+6 *9:10 *689:A 0
+7 *109:16 *689:A 9.73058e-05
+*RES
+1 *669:X *689:A 32.9214
+*END
+
+*D_NET *120 0.00174546
+*CONN
+*I *671:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *670:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *671:B 0.000100471
+2 *674:A2 7.59297e-05
+3 *670:Y 0.0004499
+4 *120:7 0.000626301
+5 *671:B *222:10 0
+6 *120:7 *673:B 2.89016e-05
+7 *120:7 *123:5 1.74352e-05
+8 *653:A1 *120:7 1.74352e-05
+9 *653:A2 *120:7 2.89016e-05
+10 *653:B1 *120:7 2.15245e-05
+11 *671:A *671:B 9.24395e-05
+12 *673:A *671:B 0
+13 *4:8 *671:B 0
+14 *6:34 *674:A2 9.95494e-05
+15 *6:34 *120:7 0.000186669
+*RES
+1 *670:Y *120:7 16.8357
+2 *120:7 *674:A2 10.6571
+3 *120:7 *671:B 20.55
+*END
+
+*D_NET *121 0.00175636
+*CONN
+*I *676:A1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:A I *D sky130_fd_sc_hd__nand2_2
+*I *671:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *676:A1 0.000198106
+2 *675:A 0
+3 *671:Y 0.000318201
+4 *121:12 0.000516307
+5 *676:A1 *676:A2 0.000314579
+6 *676:A1 *689:C 2.91794e-05
+7 *121:12 *675:B 0.000125567
+8 *121:12 *689:C 2.42082e-05
+9 *671:A *121:12 0
+10 *826:A *121:12 9.90431e-05
+11 *6:24 *121:12 0.000131174
+12 *7:8 *121:12 0
+13 *7:29 *121:12 0
+*RES
+1 *671:Y *121:12 20.4964
+2 *121:12 *675:A 13.8
+3 *121:12 *676:A1 18.7821
+*END
+
+*D_NET *122 0.00137719
+*CONN
+*I *673:B I *D sky130_fd_sc_hd__nand2_2
+*I *672:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *673:B 0.000283458
+2 *672:X 0.000283458
+3 *673:B *672:A 0.00036496
+4 *849:D *673:B 0.000101545
+5 *4:8 *673:B 0.000182565
+6 *5:611 *673:B 8.56812e-05
+7 *5:613 *673:B 4.66203e-05
+8 *120:7 *673:B 2.89016e-05
+*RES
+1 *672:X *673:B 36.1536
+*END
+
+*D_NET *123 0.000906597
+*CONN
+*I *687:B I *D sky130_fd_sc_hd__and2_2
+*I *674:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *673:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *687:B 2.06112e-05
+2 *674:B1 0.000181991
+3 *673:Y 7.01347e-05
+4 *123:5 0.000272737
+5 *6:24 *674:B1 3.4855e-05
+6 *6:34 *674:B1 0.000258938
+7 *6:34 *687:B 3.99614e-06
+8 *6:34 *123:5 4.58976e-05
+9 *120:7 *123:5 1.74352e-05
+*RES
+1 *673:Y *123:5 10.6571
+2 *123:5 *674:B1 13.1393
+3 *123:5 *687:B 9.72857
+*END
+
+*D_NET *124 0.00038647
+*CONN
+*I *675:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *675:B 0.000122544
+2 *674:X 0.000122544
+3 *6:24 *675:B 1.58163e-05
+4 *121:12 *675:B 0.000125567
+*RES
+1 *674:X *675:B 29.7786
+*END
+
+*D_NET *125 0.00157245
+*CONN
+*I *688:B I *D sky130_fd_sc_hd__or3b_2
+*I *676:A2 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *688:B 0.000208798
+2 *676:A2 0.000138377
+3 *675:Y 9.31205e-05
+4 *125:5 0.000440295
+5 *676:A2 *689:C 5.84267e-05
+6 *676:A1 *676:A2 0.000314579
+7 *688:C_N *688:B 5.03772e-05
+8 *689:A *676:A2 0.000197431
+9 *7:29 *688:B 5.52302e-05
+10 *9:15 *676:A2 1.58163e-05
+*RES
+1 *675:Y *125:5 10.2464
+2 *125:5 *676:A2 22.9071
+3 *125:5 *688:B 11.8893
+*END
+
+*D_NET *126 0.000464735
+*CONN
+*I *679:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *676:Y O *D sky130_fd_sc_hd__a2111oi_2
+*CAP
+1 *679:A1 0.000100153
+2 *676:Y 0.000100153
+3 *679:A1 *689:B 9.54798e-06
+4 *679:A1 *127:8 0.000124174
+5 *678:A *679:A1 0
+6 *115:7 *679:A1 5.33005e-05
+7 *118:8 *679:A1 7.74068e-05
+*RES
+1 *676:Y *679:A1 29.7786
+*END
+
+*D_NET *127 0.00201238
+*CONN
+*I *679:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *689:B I *D sky130_fd_sc_hd__or3_2
+*I *677:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *679:A2 0
+2 *689:B 0.000186903
+3 *677:X 0.000311978
+4 *127:8 0.000498881
+5 *689:B *679:A3 5.52238e-05
+6 *689:B *139:19 0.000112772
+7 *669:A *127:8 0.000225953
+8 *669:C *127:8 5.02346e-05
+9 *676:C1 *127:8 0.00012677
+10 *677:A1 *127:8 4.13496e-05
+11 *679:A1 *689:B 9.54798e-06
+12 *679:A1 *127:8 0.000124174
+13 *688:C_N *689:B 3.72204e-05
+14 *9:18 *127:8 0
+15 *9:38 *127:8 0
+16 *106:5 *127:8 2.89114e-05
+17 *109:16 *689:B 4.6025e-06
+18 *109:16 *127:8 0.000174932
+19 *111:8 *689:B 0
+20 *118:8 *127:8 2.2927e-05
+*RES
+1 *677:X *127:8 21.6571
+2 *127:8 *689:B 16.6036
+3 *127:8 *679:A2 13.8
+*END
+
+*D_NET *128 0.000985288
+*CONN
+*I *679:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *678:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *679:A3 0.000108552
+2 *678:X 0.000108552
+3 *679:A3 *129:17 0.000270326
+4 *679:A3 *139:19 0.000442635
+5 *689:B *679:A3 5.52238e-05
+*RES
+1 *678:X *679:A3 22.8321
+*END
+
+*D_NET *129 0.0164611
+*CONN
+*I *721:A I *D sky130_fd_sc_hd__xnor2_2
+*I *704:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *702:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:A1 I *D sky130_fd_sc_hd__a21bo_2
+*I *701:B I *D sky130_fd_sc_hd__nor2_2
+*I *695:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *715:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *698:B I *D sky130_fd_sc_hd__and2_2
+*I *706:B I *D sky130_fd_sc_hd__xnor2_2
+*I *705:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *696:B I *D sky130_fd_sc_hd__xnor2_2
+*I *697:B I *D sky130_fd_sc_hd__nor2_2
+*I *685:C_N I *D sky130_fd_sc_hd__or4bb_2
+*I *679:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *721:A 0
+2 *704:B2 0.000117234
+3 *702:B 0
+4 *703:A1 5.0235e-05
+5 *701:B 0
+6 *695:A2 0.000313279
+7 *715:A1 0
+8 *698:B 0
+9 *706:B 0.000116251
+10 *705:B2 3.72884e-05
+11 *696:B 0
+12 *697:B 0.000272801
+13 *685:C_N 0.000458537
+14 *679:X 0.00139776
+15 *129:132 0.000211432
+16 *129:121 0.000240407
+17 *129:101 0.0004172
+18 *129:95 0.000665145
+19 *129:90 0.000734287
+20 *129:81 0.000115069
+21 *129:63 0.000392591
+22 *129:58 0.000380596
+23 *129:48 0.000225731
+24 *129:37 0.000564871
+25 *129:23 0.000799682
+26 *129:17 0.00156901
+27 *685:C_N *685:A 0.000187126
+28 *685:C_N *691:A 0
+29 *685:C_N *171:10 0
+30 *685:C_N *239:7 1.21258e-05
+31 *685:C_N *239:19 0
+32 *695:A2 *716:B1 8.5232e-05
+33 *695:A2 *145:7 9.20488e-05
+34 *695:A2 *236:10 8.07879e-05
+35 *695:A2 *236:19 0.000318316
+36 *697:B *684:A 2.78637e-05
+37 *697:B *684:B 0.000140823
+38 *697:B *699:A 0.00010096
+39 *697:B *134:7 2.89016e-05
+40 *697:B *149:13 0.000141555
+41 *703:A1 *246:22 4.82947e-05
+42 *704:B2 *770:B 0.000276956
+43 *704:B2 *131:31 1.10868e-05
+44 *704:B2 *152:5 0.000139331
+45 *704:B2 *234:47 2.84109e-05
+46 *706:B *705:B1 0
+47 *706:B *706:A 9.71197e-05
+48 *706:B *708:A2 9.74588e-05
+49 *706:B *709:B1 9.71197e-05
+50 *129:17 *647:A 4.884e-05
+51 *129:17 *728:A_N 4.88515e-05
+52 *129:17 *729:B1 5.52302e-05
+53 *129:17 *730:A 5.74225e-05
+54 *129:17 *730:B 9.60771e-05
+55 *129:17 *139:19 2.14658e-05
+56 *129:17 *171:10 0.000124388
+57 *129:23 *721:B 5.33334e-05
+58 *129:23 *171:10 1.24368e-05
+59 *129:37 *720:A 0
+60 *129:37 *239:19 0
+61 *129:48 *720:A 0
+62 *129:48 *134:17 0
+63 *129:58 *698:A 0
+64 *129:58 *705:A1 4.57538e-05
+65 *129:58 *134:17 0
+66 *129:63 *705:A1 2.6949e-05
+67 *129:63 *708:A2 1.76135e-05
+68 *129:63 *148:5 1.33343e-05
+69 *129:63 *237:12 0.00014183
+70 *129:63 *333:235 0
+71 *129:81 *148:5 9.90367e-05
+72 *129:90 *134:17 0.000146474
+73 *129:90 *148:5 5.33005e-05
+74 *129:95 *705:A2 1.24368e-05
+75 *129:95 *710:A2 9.58181e-05
+76 *129:95 *713:B 7.98626e-05
+77 *129:95 *715:A2 1.71067e-05
+78 *129:95 *149:19 5.7392e-05
+79 *129:101 *715:B1 5.71472e-05
+80 *129:101 *716:B1 8.07313e-05
+81 *129:121 *703:A2 0.000117196
+82 *129:121 *713:B 0
+83 *129:121 *134:17 0.000125731
+84 *129:132 *713:B 0
+85 *337:DIODE *129:17 0.000191258
+86 *664:B1 *129:17 0.000480459
+87 *666:A1 *129:17 1.48278e-05
+88 *679:A3 *129:17 0.000270326
+89 *695:A1 *695:A2 0.000180789
+90 *702:A *704:B2 0.000433731
+91 *840:D *685:C_N 0.000125818
+92 *840:D *129:23 2.59355e-05
+93 *842:D *695:A2 0.000390583
+94 *845:D *706:B 6.75007e-05
+95 *845:D *129:63 0.000110707
+96 *4:8 *129:17 0.000204023
+97 *5:66 *129:63 1.19309e-05
+98 *5:70 *685:C_N 0
+99 *5:70 *129:37 0
+100 *5:70 *129:48 0
+101 *5:70 *129:58 0
+102 *9:55 *129:17 0.000116413
+103 *84:26 *129:58 8.06427e-05
+104 *84:26 *129:90 0.000148196
+105 *84:26 *129:121 0.00026
+106 *84:26 *129:132 0.000164951
+107 *97:7 *129:17 2.65105e-05
+108 *112:5 *129:17 0.000218072
+109 *113:12 *129:17 4.56594e-05
+110 *114:15 *129:17 9.60875e-05
+*RES
+1 *679:X *129:17 45.3536
+2 *129:17 *129:23 7.92857
+3 *129:23 *685:C_N 22.4786
+4 *129:23 *129:37 2.85714
+5 *129:37 *697:B 20.1036
+6 *129:37 *129:48 2.25
+7 *129:48 *696:B 13.8
+8 *129:48 *129:58 7.17857
+9 *129:58 *129:63 9.94643
+10 *129:63 *705:B2 14.3357
+11 *129:63 *706:B 17.3
+12 *129:58 *129:81 0.946429
+13 *129:81 *698:B 9.3
+14 *129:81 *129:90 6.98214
+15 *129:90 *129:95 11.8214
+16 *129:95 *715:A1 9.3
+17 *129:95 *129:101 2.58929
+18 *129:101 *695:A2 28.05
+19 *129:101 *701:B 9.3
+20 *129:90 *129:121 3.46429
+21 *129:121 *703:A1 14.7464
+22 *129:121 *129:132 6.75
+23 *129:132 *702:B 9.3
+24 *129:132 *704:B2 14.9071
+25 *129:17 *721:A 9.3
+*END
+
+*D_NET *130 0.0125137
+*CONN
+*I *787:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *772:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *700:A I *D sky130_fd_sc_hd__nand2_2
+*I *683:A I *D sky130_fd_sc_hd__nor2_2
+*I *755:A I *D sky130_fd_sc_hd__or2_2
+*I *763:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *760:A I *D sky130_fd_sc_hd__or2_2
+*I *779:B I *D sky130_fd_sc_hd__or2_2
+*I *704:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *680:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *787:B2 0
+2 *772:A2 3.76987e-05
+3 *700:A 0
+4 *683:A 1.70021e-05
+5 *755:A 0
+6 *763:A1 0
+7 *760:A 0.00018996
+8 *779:B 9.15501e-05
+9 *704:B1 0.000226274
+10 *680:X 3.21049e-05
+11 *130:94 0.000704409
+12 *130:76 0.00113764
+13 *130:53 0.000232444
+14 *130:52 0.000239363
+15 *130:45 0.00103246
+16 *130:39 0.000924293
+17 *130:21 0.000691399
+18 *130:7 0.000356987
+19 *683:A *683:B 0.00010096
+20 *683:A *741:B1 0.000144773
+21 *704:B1 *704:A2 5.50052e-05
+22 *704:B1 *704:A3 1.08227e-05
+23 *704:B1 *152:5 6.4449e-05
+24 *704:B1 *233:28 0.000188529
+25 *704:B1 *236:28 0
+26 *760:A *758:B2 2.59355e-05
+27 *760:A *762:B2 0.000321913
+28 *760:A *185:13 3.2923e-05
+29 *772:A2 *773:B1 0.000121661
+30 *779:B *796:B1 1.85392e-05
+31 *779:B *142:29 5.52302e-05
+32 *130:7 *693:A 1.98839e-05
+33 *130:7 *233:33 9.60939e-05
+34 *130:7 *235:52 0.000142856
+35 *130:21 *236:28 0
+36 *130:39 *750:A 1.88175e-05
+37 *130:39 *776:B 4.50834e-05
+38 *130:39 *791:A 1.39726e-05
+39 *130:39 *800:B2 1.53743e-05
+40 *130:39 *806:B1 0
+41 *130:39 *187:44 0
+42 *130:39 *206:8 9.80173e-05
+43 *130:45 *790:A2 0.000263219
+44 *130:45 *790:B1 0.000124414
+45 *130:45 *790:B2 5.09385e-05
+46 *130:45 *792:A 4.16643e-06
+47 *130:45 *142:62 0.000521974
+48 *130:45 *183:11 0
+49 *130:45 *197:8 1.02504e-05
+50 *130:45 *263:18 0.000100243
+51 *130:52 *785:A 6.47358e-05
+52 *130:52 *792:A 3.66428e-05
+53 *130:52 *142:62 0.000249875
+54 *130:52 *178:20 1.12578e-05
+55 *130:53 *763:B2 7.22686e-05
+56 *130:53 *178:32 4.37451e-05
+57 *130:53 *185:7 5.33005e-05
+58 *130:76 *750:A 1.61785e-05
+59 *130:76 *773:B1 1.19582e-05
+60 *130:76 *778:B2 0.000165895
+61 *130:76 *143:36 4.41457e-05
+62 *130:76 *176:14 2.6807e-05
+63 *130:76 *178:15 0
+64 *130:76 *178:20 2.02469e-05
+65 *130:94 *683:B 3.26385e-05
+66 *130:94 *771:B1 3.29178e-05
+67 *130:94 *771:B2 4.58194e-05
+68 *130:94 *773:A1 5.52238e-05
+69 *130:94 *773:A2 2.4871e-05
+70 *130:94 *773:B1 4.10926e-05
+71 *130:94 *774:B1 5.74499e-06
+72 *130:94 *150:8 7.83659e-05
+73 *130:94 *175:22 4.62539e-05
+74 *130:94 *249:8 0
+75 *378:DIODE *130:94 1.48591e-05
+76 *381:DIODE *130:39 2.14757e-05
+77 *382:DIODE *779:B 5.33005e-05
+78 *382:DIODE *130:21 2.95642e-05
+79 *382:DIODE *130:39 9.84057e-05
+80 *384:DIODE *130:39 3.42554e-05
+81 *692:B *779:B 2.30099e-05
+82 *702:A *704:B1 0.000212075
+83 *716:A1 *704:B1 5.36422e-05
+84 *717:A *704:B1 9.58632e-05
+85 *764:A2 *760:A 8.08608e-06
+86 *771:A1 *130:94 5.87491e-06
+87 *771:A2 *130:94 3.69047e-06
+88 *786:B1 *130:39 3.66148e-05
+89 *786:B2 *130:39 8.76232e-05
+90 *790:A1 *130:39 0.000126548
+91 *800:A2 *130:39 0
+92 *5:311 *779:B 1.32552e-05
+93 *5:409 *130:45 7.33171e-05
+94 *5:714 *683:A 2.44318e-05
+95 *16:5 *760:A 0.000368518
+96 *16:5 *130:52 4.42053e-05
+97 *84:23 *130:94 2.6269e-05
+98 *86:13 *772:A2 6.46214e-05
+99 *86:13 *130:94 5.49544e-05
+100 *86:40 *130:76 0.000527444
+101 *87:8 *704:B1 0.00016632
+102 *87:8 *130:21 4.56437e-05
+103 *87:28 *130:21 9.65182e-05
+104 *87:28 *130:39 2.15339e-05
+105 *87:41 *130:39 0.000322185
+*RES
+1 *680:X *130:7 15.1571
+2 *130:7 *704:B1 20.3
+3 *130:7 *130:21 1.94643
+4 *130:21 *779:B 15.8893
+5 *130:21 *130:39 15.25
+6 *130:39 *130:45 10.9047
+7 *130:45 *130:52 9.50483
+8 *130:52 *130:53 1.35714
+9 *130:53 *760:A 23.8179
+10 *130:53 *763:A1 9.3
+11 *130:52 *755:A 9.3
+12 *130:45 *130:76 16.6868
+13 *130:76 *130:94 19.7143
+14 *130:94 *683:A 10.6571
+15 *130:94 *700:A 9.3
+16 *130:76 *772:A2 10.9205
+17 *130:39 *787:B2 9.3
+*END
+
+*D_NET *131 0.0118277
+*CONN
+*I *794:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *776:B I *D sky130_fd_sc_hd__or2_2
+*I *786:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *682:B I *D sky130_fd_sc_hd__or2_2
+*I *705:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *681:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *794:B1 0.000424395
+2 *776:B 0.000351673
+3 *786:A1 1.44778e-05
+4 *682:B 8.26585e-05
+5 *705:B1 0.00031647
+6 *681:X 0.000100812
+7 *131:62 0.00067409
+8 *131:31 0.0010834
+9 *131:20 0.00181787
+10 *131:7 0.00135086
+11 *682:B *685:D_N 5.50052e-05
+12 *682:B *771:B2 0
+13 *682:B *132:8 2.89114e-05
+14 *682:B *237:33 4.61656e-05
+15 *705:B1 *705:A1 3.51442e-05
+16 *705:B1 *705:A2 7.43578e-06
+17 *705:B1 *705:A3 3.57366e-05
+18 *705:B1 *708:A2 0
+19 *705:B1 *709:B2 0.000148538
+20 *705:B1 *237:12 7.38652e-05
+21 *705:B1 *237:33 5.49657e-05
+22 *776:B *743:A 4.11055e-05
+23 *776:B *806:B1 5.06514e-05
+24 *776:B *236:67 5.59013e-05
+25 *776:B *237:89 5.03809e-05
+26 *776:B *256:21 0.000339584
+27 *776:B *278:12 6.57336e-05
+28 *786:A1 *236:67 2.30969e-05
+29 *794:B1 *794:B2 5.97719e-05
+30 *794:B1 *796:A1 0.000146474
+31 *794:B1 *803:B1 2.26327e-05
+32 *794:B1 *804:C 0.000481089
+33 *794:B1 *865:A 2.129e-05
+34 *794:B1 *235:49 0.000170308
+35 *794:B1 *251:19 1.24368e-05
+36 *794:B1 *263:18 0.000167125
+37 *131:7 *864:A 5.46383e-06
+38 *131:20 *681:A 0.000373856
+39 *131:20 *681:B 5.33005e-05
+40 *131:20 *704:A1 0.000143886
+41 *131:31 *704:A1 6.88136e-05
+42 *131:31 *770:B 0.000144087
+43 *131:31 *143:5 7.02611e-05
+44 *131:31 *234:47 0.000265453
+45 *131:62 *704:A1 5.6761e-05
+46 *131:62 *742:C 1.04707e-05
+47 *131:62 *235:61 6.71524e-05
+48 *131:62 *236:67 8.51829e-05
+49 *131:62 *237:89 0.000339195
+50 *131:62 *334:14 0.000337764
+51 *397:DIODE *131:20 5.33005e-05
+52 *702:A *131:20 0.000182799
+53 *702:A *131:31 0.000526676
+54 *704:B2 *131:31 1.10868e-05
+55 *706:B *705:B1 0
+56 *717:A *131:20 7.88827e-05
+57 *717:A *131:62 7.80714e-06
+58 *759:C *131:62 0.000118052
+59 *786:B1 *776:B 4.05618e-05
+60 *786:B2 *131:62 3.74338e-05
+61 *794:A1 *794:B1 2.89543e-05
+62 *794:A2 *794:B1 8.7636e-06
+63 *845:D *705:B1 3.57844e-05
+64 *84:10 *131:62 0.000105788
+65 *84:23 *131:31 5.52302e-05
+66 *84:23 *131:62 1.84099e-05
+67 *85:5 *131:31 4.13496e-05
+68 *130:39 *776:B 4.50834e-05
+*RES
+1 *681:X *131:7 10.675
+2 *131:7 *131:20 17.125
+3 *131:20 *131:31 29.2321
+4 *131:31 *705:B1 20.55
+5 *131:31 *682:B 15.675
+6 *131:20 *131:62 12.5322
+7 *131:62 *786:A1 14.0321
+8 *131:62 *776:B 24.9929
+9 *131:7 *794:B1 29.1393
+*END
+
+*D_NET *132 0.00662433
+*CONN
+*I *750:C I *D sky130_fd_sc_hd__or3_2
+*I *782:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *766:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *745:B I *D sky130_fd_sc_hd__and2b_2
+*I *683:B I *D sky130_fd_sc_hd__nor2_2
+*I *682:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *750:C 0.000164426
+2 *782:A2 0.000113873
+3 *766:A2 7.60401e-05
+4 *745:B 5.63223e-05
+5 *683:B 0.000431858
+6 *682:X 0.000211509
+7 *132:48 0.000300968
+8 *132:30 0.000181866
+9 *132:29 0.00026822
+10 *132:8 0.000839414
+11 *683:B *700:B 0
+12 *683:B *741:B1 4.43256e-05
+13 *683:B *771:B1 1.19277e-05
+14 *683:B *771:B2 3.69047e-06
+15 *683:B *882:TE_B 0
+16 *683:B *150:8 0.000196999
+17 *683:B *249:8 4.12658e-05
+18 *683:B *271:12 0
+19 *745:B *778:B1 1.21258e-05
+20 *745:B *271:12 8.03564e-05
+21 *750:C *750:A 9.69083e-06
+22 *750:C *767:A 0.000178847
+23 *750:C *778:B1 5.81891e-05
+24 *750:C *176:14 0.000433465
+25 *750:C *271:12 1.69961e-05
+26 *766:A2 *175:22 0.000191841
+27 *782:A2 *756:C1 2.66223e-05
+28 *782:A2 *175:22 0
+29 *782:A2 *176:14 5.48376e-05
+30 *782:A2 *176:23 0.000111969
+31 *782:A2 *185:13 9.63274e-06
+32 *782:A2 *191:8 3.78017e-05
+33 *132:8 *685:D_N 0.000127288
+34 *132:8 *700:B 0.000481568
+35 *132:8 *740:B 3.18676e-05
+36 *132:8 *175:22 0.000242545
+37 *132:29 *175:22 0.000291247
+38 *132:29 *256:21 3.38578e-05
+39 *132:30 *778:B1 2.77258e-05
+40 *132:30 *271:12 6.26276e-05
+41 *132:48 *767:A 5.52238e-05
+42 *132:48 *778:B1 1.74352e-05
+43 *132:48 *271:12 4.43256e-05
+44 *682:B *132:8 2.89114e-05
+45 *683:A *683:B 0.00010096
+46 *740:A *132:8 5.41794e-05
+47 *745:A_N *745:B 5.33005e-05
+48 *745:A_N *132:30 5.33005e-05
+49 *771:A1 *683:B 6.7e-06
+50 *771:A2 *683:B 7.13226e-06
+51 *778:A2 *750:C 1.57155e-05
+52 *5:714 *683:B 0.00018372
+53 *5:726 *132:8 0.000185944
+54 *5:726 *132:29 0.000121423
+55 *5:749 *766:A2 0.000191841
+56 *5:761 *782:A2 7.77116e-06
+57 *16:5 *132:29 0
+58 *130:94 *683:B 3.26385e-05
+*RES
+1 *682:X *132:8 21.6036
+2 *132:8 *683:B 30.7464
+3 *132:8 *132:29 9.10714
+4 *132:29 *132:30 1.35714
+5 *132:30 *745:B 10.675
+6 *132:30 *766:A2 20.8536
+7 *132:29 *132:48 0.946429
+8 *132:48 *782:A2 21.0098
+9 *132:48 *750:C 14.4964
+*END
+
+*D_NET *133 0.00634747
+*CONN
+*I *685:D_N I *D sky130_fd_sc_hd__or4bb_2
+*I *740:B I *D sky130_fd_sc_hd__nor2_2
+*I *683:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *685:D_N 0.00138666
+2 *740:B 6.12492e-05
+3 *683:Y 0.000278793
+4 *133:5 0.0017267
+5 *685:D_N *631:A 2.78576e-05
+6 *685:D_N *684:B 9.60281e-05
+7 *685:D_N *694:C 0.000328588
+8 *685:D_N *697:A 0.000184626
+9 *685:D_N *700:B 3.4671e-05
+10 *685:D_N *718:A 0
+11 *685:D_N *770:B 0.000164852
+12 *685:D_N *141:8 0.00021789
+13 *685:D_N *141:17 0.000931604
+14 *685:D_N *143:5 8.26339e-05
+15 *685:D_N *143:9 0.000230093
+16 *685:D_N *145:53 0
+17 *685:D_N *238:28 2.84071e-05
+18 *740:B *700:B 2.55838e-05
+19 *133:5 *700:B 1.12713e-05
+20 *133:5 *741:B1 0.000315812
+21 *682:B *685:D_N 5.50052e-05
+22 *844:D *685:D_N 0
+23 *132:8 *685:D_N 0.000127288
+24 *132:8 *740:B 3.18676e-05
+*RES
+1 *683:Y *133:5 13.5321
+2 *133:5 *740:B 11.2286
+3 *133:5 *685:D_N 46.4071
+*END
+
+*D_NET *134 0.00399705
+*CONN
+*I *703:A2 I *D sky130_fd_sc_hd__a21bo_2
+*I *715:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *720:A I *D sky130_fd_sc_hd__nand2_2
+*I *684:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *703:A2 7.15821e-05
+2 *715:A2 0.000420151
+3 *720:A 0.000297947
+4 *684:X 4.16687e-05
+5 *134:17 0.000852019
+6 *134:7 0.000699901
+7 *715:A2 *705:A3 0.000185124
+8 *715:A2 *713:B 0.00011816
+9 *720:A *721:B 0.000357286
+10 *720:A *722:A1 0.000186765
+11 *720:A *722:S 1.21258e-05
+12 *720:A *216:202 0.000142856
+13 *134:17 *710:A2 8.15253e-05
+14 *134:17 *149:19 6.55528e-06
+15 *697:B *134:7 2.89016e-05
+16 *84:26 *134:17 8.79776e-05
+17 *129:37 *720:A 0
+18 *129:48 *720:A 0
+19 *129:48 *134:17 0
+20 *129:58 *134:17 0
+21 *129:90 *134:17 0.000146474
+22 *129:95 *715:A2 1.71067e-05
+23 *129:121 *703:A2 0.000117196
+24 *129:121 *134:17 0.000125731
+*RES
+1 *684:X *134:7 14.3357
+2 *134:7 *720:A 20.7821
+3 *134:7 *134:17 7.41071
+4 *134:17 *715:A2 19.5857
+5 *134:17 *703:A2 15.4429
+*END
+
+*D_NET *135 0.000140208
+*CONN
+*I *690:B I *D sky130_fd_sc_hd__and4_2
+*I *685:X O *D sky130_fd_sc_hd__or4bb_2
+*CAP
+1 *690:B 3.78162e-05
+2 *685:X 3.78162e-05
+3 *690:B *723:B 3.9733e-05
+4 *690:B *238:28 2.48421e-05
+*RES
+1 *685:X *690:B 28.6357
+*END
+
+*D_NET *136 0.000285049
+*CONN
+*I *690:C I *D sky130_fd_sc_hd__and4_2
+*I *686:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *690:C 0.000137399
+2 *686:X 0.000137399
+3 *690:C *686:B 1.02504e-05
+4 *690:C *690:A 0
+5 *690:C *141:8 0
+6 *411:DIODE *690:C 0
+*RES
+1 *686:X *690:C 29.5464
+*END
+
+*D_NET *137 0.00030974
+*CONN
+*I *688:A I *D sky130_fd_sc_hd__or3b_2
+*I *687:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *688:A 0.000105755
+2 *687:X 0.000105755
+3 *344:DIODE *688:A 0
+4 *4:8 *688:A 0
+5 *7:8 *688:A 9.59532e-06
+6 *7:29 *688:A 8.86355e-05
+*RES
+1 *687:X *688:A 29.7786
+*END
+
+*D_NET *138 0.000664722
+*CONN
+*I *689:C I *D sky130_fd_sc_hd__or3_2
+*I *688:X O *D sky130_fd_sc_hd__or3b_2
+*CAP
+1 *689:C 0.000178675
+2 *688:X 0.000178675
+3 *676:A1 *689:C 2.91794e-05
+4 *676:A2 *689:C 5.84267e-05
+5 *688:C_N *689:C 0.000134669
+6 *689:A *689:C 3.39401e-05
+7 *7:29 *689:C 2.6949e-05
+8 *121:12 *689:C 2.42082e-05
+*RES
+1 *688:X *689:C 32.0107
+*END
+
+*D_NET *139 0.00784527
+*CONN
+*I *690:D I *D sky130_fd_sc_hd__and4_2
+*I *689:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *690:D 0
+2 *689:X 0.00225382
+3 *139:19 0.00225382
+4 *139:19 *646:A 2.42662e-05
+5 *139:19 *691:A 0.000100685
+6 *139:19 *691:B 0.000102207
+7 *139:19 *140:11 0.000104956
+8 *139:19 *216:64 2.6942e-05
+9 *139:19 *216:72 0.000128002
+10 *139:19 *238:17 2.44318e-05
+11 *411:DIODE *139:19 0.000314883
+12 *668:B *139:19 0.000137447
+13 *679:A3 *139:19 0.000442635
+14 *689:B *139:19 0.000112772
+15 *828:A *139:19 0
+16 *837:D *139:19 0.000202891
+17 *5:590 *139:19 0.000474126
+18 *5:592 *139:19 4.27935e-05
+19 *5:604 *139:19 0.000743786
+20 *5:606 *139:19 8.46829e-05
+21 *5:611 *139:19 0.00018077
+22 *118:8 *139:19 6.78942e-05
+23 *129:17 *139:19 2.14658e-05
+*RES
+1 *689:X *139:19 47.8536
+2 *139:19 *690:D 9.3
+*END
+
+*D_NET *140 0.00318462
+*CONN
+*I *695:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *719:B2 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *724:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *690:X O *D sky130_fd_sc_hd__and4_2
+*CAP
+1 *695:B1 0.000249306
+2 *719:B2 8.31973e-05
+3 *724:A2 0
+4 *690:X 0.000186276
+5 *140:22 0.000690869
+6 *140:11 0.000544642
+7 *695:B1 *695:A3 1.02504e-05
+8 *719:B2 *842:CLK 4.58194e-05
+9 *140:11 *690:A 5.52238e-05
+10 *140:11 *724:A1 1.02301e-05
+11 *140:11 *238:15 1.69961e-05
+12 *140:11 *238:17 9.80009e-05
+13 *140:22 *724:B1 5.71472e-05
+14 *140:22 *841:CLK 1.34497e-05
+15 *140:22 *233:8 0.000322453
+16 *140:22 *233:15 8.07951e-05
+17 *140:22 *236:10 0.000324882
+18 *140:22 *238:15 0.000141935
+19 *842:D *695:B1 0.000148196
+20 *5:235 *140:22 0
+21 *5:266 *695:B1 0
+22 *29:8 *695:B1 0
+23 *139:19 *140:11 0.000104956
+*RES
+1 *690:X *140:11 13.6929
+2 *140:11 *724:A2 9.3
+3 *140:11 *140:22 18.375
+4 *140:22 *719:B2 10.2464
+5 *140:22 *695:B1 22.0143
+*END
+
+*D_NET *141 0.00464117
+*CONN
+*I *694:C I *D sky130_fd_sc_hd__or4_2
+*I *703:B1_N I *D sky130_fd_sc_hd__a21bo_2
+*I *720:B I *D sky130_fd_sc_hd__nand2_2
+*I *691:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:C 0.000214269
+2 *703:B1_N 5.77059e-05
+3 *720:B 3.04135e-05
+4 *691:Y 0.000350346
+5 *141:17 0.000672084
+6 *141:8 0.000780869
+7 *694:C *713:B 0.00014081
+8 *694:C *770:B 2.9924e-05
+9 *703:B1_N *153:5 0.0001399
+10 *703:B1_N *246:22 0.000227723
+11 *720:B *216:202 5.33005e-05
+12 *141:8 *216:202 0
+13 *141:17 *713:B 0.000171375
+14 *141:17 *714:A1 0.000103285
+15 *141:17 *149:13 0.000110217
+16 *411:DIODE *141:8 7.05216e-05
+17 *685:D_N *694:C 0.000328588
+18 *685:D_N *141:8 0.00021789
+19 *685:D_N *141:17 0.000931604
+20 *690:C *141:8 0
+21 *772:C1 *694:C 1.035e-05
+*RES
+1 *691:Y *141:8 20.2286
+2 *141:8 *720:B 14.3357
+3 *141:8 *141:17 11.9643
+4 *141:17 *703:B1_N 15.983
+5 *141:17 *694:C 19.0857
+*END
+
+*D_NET *142 0.0114048
+*CONN
+*I *780:A I *D sky130_fd_sc_hd__or2_2
+*I *777:A I *D sky130_fd_sc_hd__or2_2
+*I *767:A I *D sky130_fd_sc_hd__or2_2
+*I *773:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *766:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *692:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *780:A 0.000130212
+2 *777:A 0.000371168
+3 *767:A 6.89124e-05
+4 *773:A2 0.000101625
+5 *766:A3 0.000224667
+6 *692:Y 0.000953537
+7 *142:62 0.000944367
+8 *142:47 0.000677654
+9 *142:37 0.000267381
+10 *142:29 0.0011782
+11 *766:A3 *256:21 3.18676e-05
+12 *767:A *778:B1 0.000112995
+13 *773:A2 *774:B1 0.000129868
+14 *773:A2 *774:C1 0.000175103
+15 *773:A2 *237:54 2.12687e-05
+16 *777:A *790:B2 0.000178503
+17 *777:A *792:A 0.000137442
+18 *777:A *792:B 7.02183e-05
+19 *777:A *792:C 1.65914e-05
+20 *777:A *793:A1 9.58632e-05
+21 *777:A *208:7 0.000389741
+22 *780:A *143:63 0
+23 *780:A *183:11 7.81883e-05
+24 *780:A *187:47 0.000114653
+25 *780:A *292:13 9.54798e-06
+26 *142:29 *772:A1 0.000752012
+27 *142:29 *176:14 4.36402e-05
+28 *142:29 *178:15 6.05161e-06
+29 *142:29 *236:43 7.69776e-06
+30 *142:29 *237:54 8.70725e-06
+31 *142:29 *237:62 0.000123163
+32 *142:29 *278:12 0.000130467
+33 *142:29 *334:14 0.000779242
+34 *142:62 *783:A1 4.00349e-05
+35 *142:62 *785:A 4.21517e-05
+36 *142:62 *150:32 1.90936e-05
+37 *142:62 *183:11 8.32896e-06
+38 *142:62 *187:47 8.28472e-05
+39 *142:62 *263:18 0.00078203
+40 *379:DIODE *766:A3 0.000142856
+41 *382:DIODE *142:29 1.90936e-05
+42 *385:DIODE *777:A 0.000127599
+43 *396:DIODE *777:A 9.41642e-05
+44 *396:DIODE *780:A 0
+45 *396:DIODE *142:62 2.51133e-05
+46 *750:C *767:A 0.000178847
+47 *769:A1 *766:A3 6.05161e-06
+48 *774:A2 *773:A2 6.20091e-06
+49 *774:A2 *142:29 2.30693e-05
+50 *774:A2 *142:47 1.89507e-06
+51 *778:A2 *142:47 4.46186e-06
+52 *778:A2 *142:62 7.52956e-05
+53 *779:B *142:29 5.52302e-05
+54 *790:A1 *777:A 5.33005e-05
+55 *5:311 *142:29 1.58163e-05
+56 *5:409 *777:A 5.33005e-05
+57 *5:727 *766:A3 9.41642e-05
+58 *5:731 *766:A3 4.43256e-05
+59 *16:5 *773:A2 1.01075e-05
+60 *16:5 *142:47 8.00292e-05
+61 *16:5 *142:62 9.47478e-05
+62 *83:25 *142:29 2.15339e-05
+63 *83:30 *142:29 2.06178e-05
+64 *86:40 *142:47 0
+65 *130:45 *142:62 0.000521974
+66 *130:52 *142:62 0.000249875
+67 *130:94 *773:A2 2.4871e-05
+68 *132:48 *767:A 5.52238e-05
+*RES
+1 *692:Y *142:29 40.0728
+2 *142:29 *766:A3 13.5321
+3 *142:29 *142:37 4.5
+4 *142:37 *773:A2 16.8893
+5 *142:37 *142:47 2.55357
+6 *142:47 *767:A 15.9786
+7 *142:47 *142:62 12.5921
+8 *142:62 *777:A 23.2464
+9 *142:62 *780:A 17.0143
+*END
+
+*D_NET *143 0.0089701
+*CONN
+*I *694:D I *D sky130_fd_sc_hd__or4_2
+*I *790:B2 I *D sky130_fd_sc_hd__o221a_2
+*I *787:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *783:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *700:B I *D sky130_fd_sc_hd__nand2_2
+*I *693:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:D 0
+2 *790:B2 0.000185001
+3 *787:A1 0
+4 *783:B2 2.15003e-05
+5 *781:B1 3.86975e-05
+6 *763:B2 0.000166705
+7 *700:B 0.000344039
+8 *693:Y 0.00015725
+9 *143:63 0.00032301
+10 *143:36 0.000388638
+11 *143:29 0.000245913
+12 *143:28 0.000568039
+13 *143:9 0.000816034
+14 *143:5 0.000240391
+15 *700:B *631:A 2.59355e-05
+16 *700:B *741:B1 5.62995e-05
+17 *700:B *773:B1 5.65955e-05
+18 *763:B2 *763:B1 1.02301e-05
+19 *763:B2 *785:A 0.000224498
+20 *763:B2 *176:24 5.33005e-05
+21 *763:B2 *176:28 9.90367e-05
+22 *763:B2 *176:56 0.000393244
+23 *763:B2 *178:32 2.7876e-05
+24 *763:B2 *185:7 1.35553e-05
+25 *781:B1 *176:56 2.21728e-05
+26 *790:B2 *790:B1 1.63605e-05
+27 *790:B2 *792:A 4.21396e-05
+28 *790:B2 *187:47 0.000119695
+29 *143:5 *695:A3 0.00022367
+30 *143:5 *235:52 1.92789e-05
+31 *143:28 *773:B1 0.000105386
+32 *143:28 *178:15 0.000180285
+33 *143:29 *783:B1 9.58126e-05
+34 *143:29 *178:15 9.83388e-05
+35 *143:36 *176:56 3.19935e-05
+36 *143:36 *178:15 6.14518e-05
+37 *143:36 *178:20 0
+38 *143:63 *783:B1 0.00016641
+39 *143:63 *187:33 7.04599e-05
+40 *143:63 *187:44 5.52302e-05
+41 *347:DIODE *700:B 8.36572e-05
+42 *380:DIODE *143:28 0.000131491
+43 *384:DIODE *143:28 0.000157059
+44 *385:DIODE *790:B2 2.97578e-05
+45 *395:DIODE *143:63 2.89016e-05
+46 *396:DIODE *143:63 7.39203e-06
+47 *683:B *700:B 0
+48 *685:D_N *700:B 3.4671e-05
+49 *685:D_N *143:5 8.26339e-05
+50 *685:D_N *143:9 0.000230093
+51 *694:A *143:5 0.000139907
+52 *694:A *143:9 8.76257e-05
+53 *740:B *700:B 2.55838e-05
+54 *772:C1 *143:28 0.000166422
+55 *777:A *790:B2 0.000178503
+56 *780:A *143:63 0
+57 *790:A1 *783:B2 1.00733e-05
+58 *790:A1 *143:29 1.64621e-05
+59 *790:A1 *143:36 3.68699e-05
+60 *5:409 *790:B2 4.16148e-05
+61 *5:714 *700:B 0.000219045
+62 *17:9 *143:28 3.1412e-05
+63 *83:25 *143:28 0.000163673
+64 *83:30 *143:9 0.000100685
+65 *83:30 *143:28 0.000351419
+66 *84:23 *143:5 8.43535e-06
+67 *85:5 *143:5 1.15281e-05
+68 *86:8 *700:B 0.000100261
+69 *130:45 *790:B2 5.09385e-05
+70 *130:53 *763:B2 7.22686e-05
+71 *130:76 *143:36 4.41457e-05
+72 *131:31 *143:5 7.02611e-05
+73 *132:8 *700:B 0.000481568
+74 *133:5 *700:B 1.12713e-05
+*RES
+1 *693:Y *143:5 13.5321
+2 *143:5 *143:9 3.21429
+3 *143:9 *700:B 18.6214
+4 *143:9 *143:28 19.4821
+5 *143:28 *143:29 1.35714
+6 *143:29 *143:36 7.51786
+7 *143:36 *763:B2 19.5857
+8 *143:36 *781:B1 14.5321
+9 *143:29 *783:B2 9.72857
+10 *143:28 *143:63 7.625
+11 *143:63 *787:A1 13.8
+12 *143:63 *790:B2 18.3536
+13 *143:5 *694:D 9.3
+*END
+
+*D_NET *144 0.00337701
+*CONN
+*I *695:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *694:X O *D sky130_fd_sc_hd__or4_2
+*CAP
+1 *695:A3 0.000843126
+2 *694:X 0.000843126
+3 *695:A3 *693:A 0.000136733
+4 *695:A3 *716:B1 0.000125731
+5 *695:A3 *716:C1 0.000513623
+6 *695:A3 *234:19 8.52379e-05
+7 *695:A3 *234:21 0.000127806
+8 *695:A3 *235:52 5.74499e-06
+9 *695:A3 *236:19 6.93626e-06
+10 *695:A3 *236:28 3.59945e-05
+11 *695:A3 *334:14 1.50563e-05
+12 *695:B1 *695:A3 1.02504e-05
+13 *842:D *695:A3 0.000403972
+14 *5:266 *695:A3 0
+15 *143:5 *695:A3 0.00022367
+*RES
+1 *694:X *695:A3 45.4929
+*END
+
+*D_NET *145 0.0102669
+*CONN
+*I *716:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *712:S I *D sky130_fd_sc_hd__mux2_1
+*I *714:S I *D sky130_fd_sc_hd__mux2_1
+*I *708:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *709:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *722:S I *D sky130_fd_sc_hd__mux2_1
+*I *723:B I *D sky130_fd_sc_hd__nand2_2
+*I *719:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *695:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *716:C1 0.000183153
+2 *712:S 5.02158e-05
+3 *714:S 2.06112e-05
+4 *708:B1 0.000104228
+5 *709:A2 0
+6 *722:S 0.000497096
+7 *723:B 0.00051133
+8 *719:A1_N 0
+9 *695:X 7.96121e-05
+10 *145:58 0.000601353
+11 *145:53 0.000793625
+12 *145:24 0.00114087
+13 *145:15 0.000296099
+14 *145:11 0.000572201
+15 *145:7 0.000328533
+16 *145:5 0.000408427
+17 *708:B1 *770:B 0.000116003
+18 *708:B1 *234:47 0.00022367
+19 *708:B1 *237:33 0.000138705
+20 *712:S *712:A1 1.24368e-05
+21 *714:S *235:9 3.99614e-06
+22 *716:C1 *947:TE 9.47456e-05
+23 *722:S *216:202 0.000210742
+24 *722:S *216:212 4.47762e-05
+25 *723:B *841:CLK 6.32965e-05
+26 *723:B *238:15 0.000327171
+27 *723:B *238:17 5.66971e-05
+28 *145:7 *712:A0 9.71197e-05
+29 *145:11 *712:A0 5.33005e-05
+30 *145:11 *712:A1 0.000132957
+31 *145:15 *697:A 0
+32 *145:15 *843:CLK 0
+33 *145:24 *719:A2_N 2.59355e-05
+34 *145:24 *841:CLK 5.7616e-05
+35 *145:24 *216:222 7.15687e-05
+36 *145:53 *697:A 0
+37 *145:53 *714:A1 4.88637e-05
+38 *145:53 *719:A2_N 0
+39 *145:53 *843:CLK 0
+40 *145:53 *235:9 5.52238e-05
+41 *145:53 *235:13 9.22103e-06
+42 *145:58 *698:A 7.49387e-06
+43 *145:58 *710:B1 9.90431e-05
+44 *145:58 *714:A1 0.000117553
+45 *145:58 *148:5 0.000346337
+46 *145:58 *237:12 0.000364974
+47 *145:58 *237:33 5.59013e-05
+48 *685:D_N *145:53 0
+49 *690:B *723:B 3.9733e-05
+50 *695:A1 *712:S 2.28598e-05
+51 *695:A1 *145:5 1.74352e-05
+52 *695:A1 *145:7 2.50243e-05
+53 *695:A2 *145:7 9.20488e-05
+54 *695:A3 *716:C1 0.000513623
+55 *720:A *722:S 1.21258e-05
+56 *841:D *723:B 5.0769e-05
+57 *841:D *145:15 6.05161e-06
+58 *841:D *145:24 2.14474e-05
+59 *844:D *145:15 0.000153054
+60 *844:D *145:24 0.000197984
+61 *5:266 *716:C1 0.000124689
+62 *5:266 *145:5 6.05161e-06
+63 *84:26 *708:B1 0.000148955
+64 *84:26 *145:58 0.000412347
+*RES
+1 *695:X *145:5 10.6571
+2 *145:5 *145:7 3
+3 *145:7 *145:11 7.08929
+4 *145:11 *145:15 7.91964
+5 *145:15 *719:A1_N 9.3
+6 *145:15 *145:24 8.47321
+7 *145:24 *723:B 22.8893
+8 *145:24 *722:S 20.4071
+9 *145:11 *145:53 8.82143
+10 *145:53 *145:58 16.4821
+11 *145:58 *709:A2 13.8
+12 *145:58 *708:B1 17.925
+13 *145:53 *714:S 9.72857
+14 *145:7 *712:S 10.6929
+15 *145:5 *716:C1 25.2286
+*END
+
+*D_NET *146 0.00201848
+*CONN
+*I *711:A I *D sky130_fd_sc_hd__xnor2_2
+*I *705:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *696:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *711:A 0.000408465
+2 *705:A1 0.000209848
+3 *696:Y 0.000116783
+4 *146:5 0.000735096
+5 *705:A1 *237:12 8.22478e-05
+6 *695:A1 *711:A 0.000157777
+7 *705:B1 *705:A1 3.51442e-05
+8 *845:D *705:A1 0.000200413
+9 *5:66 *705:A1 0
+10 *5:70 *705:A1 0
+11 *84:26 *705:A1 0
+12 *129:58 *705:A1 4.57538e-05
+13 *129:63 *705:A1 2.6949e-05
+*RES
+1 *696:Y *146:5 11.0679
+2 *146:5 *705:A1 23.5857
+3 *146:5 *711:A 16.0143
+*END
+
+*D_NET *147 0.000224162
+*CONN
+*I *699:A I *D sky130_fd_sc_hd__nor2_2
+*I *697:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *699:A 1.1121e-05
+2 *697:Y 1.1121e-05
+3 *699:A *684:B 0.00010096
+4 *697:B *699:A 0.00010096
+*RES
+1 *697:Y *699:A 19.5464
+*END
+
+*D_NET *148 0.0019515
+*CONN
+*I *710:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *699:B I *D sky130_fd_sc_hd__nor2_2
+*I *698:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *710:B1 1.44544e-05
+2 *699:B 0.000281611
+3 *698:X 0.000111509
+4 *148:5 0.000407574
+5 *699:B *711:B 0.000146994
+6 *699:B *714:A1 8.18233e-06
+7 *699:B *149:13 8.0035e-05
+8 *699:B *149:19 1.41642e-05
+9 *710:B1 *149:13 8.66063e-05
+10 *148:5 *698:A 3.76708e-05
+11 *148:5 *710:A2 5.49489e-05
+12 *148:5 *149:13 9.66977e-05
+13 *129:63 *148:5 1.33343e-05
+14 *129:81 *148:5 9.90367e-05
+15 *129:90 *148:5 5.33005e-05
+16 *145:58 *710:B1 9.90431e-05
+17 *145:58 *148:5 0.000346337
+*RES
+1 *698:X *148:5 13.1214
+2 *148:5 *699:B 23.5857
+3 *148:5 *710:B1 10.2464
+*END
+
+*D_NET *149 0.00256467
+*CONN
+*I *710:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:A I *D sky130_fd_sc_hd__xor2_2
+*I *705:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *699:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *710:A1 0
+2 *713:A 0
+3 *705:A2 0.000173876
+4 *699:Y 0.000292082
+5 *149:19 0.00036615
+6 *149:13 0.000484356
+7 *705:A2 *705:A3 5.19659e-05
+8 *705:A2 *710:A2 0
+9 *149:13 *698:A 0.000120686
+10 *149:13 *711:B 0.000149911
+11 *149:19 *705:A3 9.31595e-05
+12 *149:19 *710:A2 0.000219393
+13 *697:B *149:13 0.000141555
+14 *699:B *149:13 8.0035e-05
+15 *699:B *149:19 1.41642e-05
+16 *705:B1 *705:A2 7.43578e-06
+17 *710:B1 *149:13 8.66063e-05
+18 *129:95 *705:A2 1.24368e-05
+19 *129:95 *149:19 5.7392e-05
+20 *134:17 *149:19 6.55528e-06
+21 *141:17 *149:13 0.000110217
+22 *148:5 *149:13 9.66977e-05
+*RES
+1 *699:Y *149:13 25.925
+2 *149:13 *149:19 13.3214
+3 *149:19 *705:A2 12.3357
+4 *149:19 *713:A 9.3
+5 *149:13 *710:A1 9.3
+*END
+
+*D_NET *150 0.0104213
+*CONN
+*I *783:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *791:A I *D sky130_fd_sc_hd__or2_2
+*I *704:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *756:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *700:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A1 9.80327e-05
+2 *791:A 0.000663598
+3 *704:A1 0.000490796
+4 *756:A1 1.1956e-05
+5 *700:Y 0.000394543
+6 *150:32 0.00161122
+7 *150:24 0.000787034
+8 *150:8 0.000638674
+9 *704:A1 *770:B 4.97121e-06
+10 *704:A1 *772:A1 0.000251754
+11 *704:A1 *773:B1 0.000311426
+12 *704:A1 *177:6 0.000164409
+13 *704:A1 *183:11 7.40526e-05
+14 *704:A1 *187:33 2.29592e-05
+15 *704:A1 *234:37 0.000136572
+16 *704:A1 *299:26 9.21418e-06
+17 *756:A1 *756:A2 1.80228e-05
+18 *756:A1 *756:B1 1.81659e-05
+19 *783:A1 *785:A 5.52302e-05
+20 *783:A1 *178:20 0.00014285
+21 *791:A *792:C 9.91086e-05
+22 *791:A *234:115 2.59355e-05
+23 *791:A *299:26 5.33005e-05
+24 *150:8 *746:A 0.000148189
+25 *150:8 *766:B1 0.000375697
+26 *150:8 *771:B1 6.27272e-06
+27 *150:8 *175:22 0
+28 *150:8 *271:12 0
+29 *150:24 *263:18 0.000515385
+30 *150:24 *299:26 0.000128544
+31 *381:DIODE *791:A 4.46231e-06
+32 *384:DIODE *704:A1 2.60785e-05
+33 *683:B *150:8 0.000196999
+34 *743:B *704:A1 0.000491129
+35 *771:A1 *150:8 3.79258e-05
+36 *771:A2 *150:8 6.95556e-05
+37 *778:A1 *150:32 5.33005e-05
+38 *782:A1 *783:A1 0.000222666
+39 *782:A1 *150:32 0.000222666
+40 *790:A1 *783:A1 5.33005e-05
+41 *790:A1 *791:A 0.000440711
+42 *790:A1 *150:32 0.000405678
+43 *800:A2 *791:A 6.43697e-06
+44 *5:346 *791:A 0.000100823
+45 *5:714 *150:8 5.52238e-05
+46 *5:749 *150:8 7.34455e-06
+47 *5:761 *150:32 0.000139907
+48 *15:10 *150:8 0.000113536
+49 *16:5 *783:A1 3.29363e-05
+50 *16:5 *150:32 2.06112e-05
+51 *84:23 *704:A1 4.11173e-05
+52 *130:39 *791:A 1.39726e-05
+53 *130:94 *150:8 7.83659e-05
+54 *131:20 *704:A1 0.000143886
+55 *131:31 *704:A1 6.88136e-05
+56 *131:62 *704:A1 5.6761e-05
+57 *142:62 *783:A1 4.00349e-05
+58 *142:62 *150:32 1.90936e-05
+*RES
+1 *700:Y *150:8 23.2643
+2 *150:8 *756:A1 14.1527
+3 *150:8 *150:24 7.65973
+4 *150:24 *150:32 12.0268
+5 *150:32 *704:A1 36.4023
+6 *150:32 *791:A 27.6929
+7 *150:24 *783:A1 16.9696
+*END
+
+*D_NET *151 9.72117e-05
+*CONN
+*I *715:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *701:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *715:B1 2.00323e-05
+2 *701:Y 2.00323e-05
+3 *129:101 *715:B1 5.71472e-05
+*RES
+1 *701:Y *715:B1 19.1357
+*END
+
+*D_NET *152 0.00109227
+*CONN
+*I *704:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *718:A I *D sky130_fd_sc_hd__xnor2_2
+*I *702:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *704:A2 2.01584e-05
+2 *718:A 0.000141384
+3 *702:Y 0.000100589
+4 *152:5 0.000262131
+5 *718:A *704:A3 6.65808e-05
+6 *718:A *770:B 8.67814e-06
+7 *152:5 *770:B 0.000180195
+8 *685:D_N *718:A 0
+9 *702:A *152:5 5.37709e-05
+10 *704:B1 *704:A2 5.50052e-05
+11 *704:B1 *152:5 6.4449e-05
+12 *704:B2 *152:5 0.000139331
+13 *716:A1 *718:A 0
+*RES
+1 *702:Y *152:5 12.3
+2 *152:5 *718:A 20.8536
+3 *152:5 *704:A2 9.83571
+*END
+
+*D_NET *153 0.00179114
+*CONN
+*I *716:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *704:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *718:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:X O *D sky130_fd_sc_hd__a21bo_2
+*CAP
+1 *716:A2 0.000215364
+2 *704:A3 9.06924e-05
+3 *718:B 0
+4 *703:X 0.000179132
+5 *153:11 0.000328935
+6 *153:5 0.000202011
+7 *716:A2 *246:22 9.63547e-05
+8 *153:5 *246:22 0.000140471
+9 *153:11 *246:22 2.44318e-05
+10 *703:B1_N *153:5 0.0001399
+11 *704:B1 *704:A3 1.08227e-05
+12 *716:A1 *704:A3 4.42291e-05
+13 *716:A1 *716:A2 0.000252217
+14 *718:A *704:A3 6.65808e-05
+*RES
+1 *703:X *153:5 13.1214
+2 *153:5 *718:B 9.3
+3 *153:5 *153:11 0.535714
+4 *153:11 *704:A3 20.675
+5 *153:11 *716:A2 13.9607
+*END
+
+*D_NET *154 0.00258379
+*CONN
+*I *705:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *710:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:B I *D sky130_fd_sc_hd__xor2_2
+*I *704:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *705:A3 0.00021252
+2 *710:A2 0.000149208
+3 *713:B 0.000599655
+4 *704:X 0.000237927
+5 *710:A2 *698:A 5.65955e-05
+6 *694:C *713:B 0.00014081
+7 *705:A2 *705:A3 5.19659e-05
+8 *705:A2 *710:A2 0
+9 *705:B1 *705:A3 3.57366e-05
+10 *715:A2 *705:A3 0.000185124
+11 *715:A2 *713:B 0.00011816
+12 *129:95 *710:A2 9.58181e-05
+13 *129:95 *713:B 7.98626e-05
+14 *129:121 *713:B 0
+15 *129:132 *713:B 0
+16 *134:17 *710:A2 8.15253e-05
+17 *141:17 *713:B 0.000171375
+18 *148:5 *710:A2 5.49489e-05
+19 *149:19 *705:A3 9.31595e-05
+20 *149:19 *710:A2 0.000219393
+*RES
+1 *704:X *713:B 24.4875
+2 *713:B *710:A2 22.7018
+3 *713:B *705:A3 13.9161
+*END
+
+*D_NET *155 0.000969088
+*CONN
+*I *708:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *707:A I *D sky130_fd_sc_hd__and2_2
+*I *705:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *708:A1 0.000183133
+2 *707:A 4.35243e-05
+3 *705:X 0
+4 *155:4 0.000226658
+5 *707:A *708:A2 3.76337e-05
+6 *707:A *709:B1 1.33343e-05
+7 *708:A1 *708:A2 0.000336333
+8 *708:A1 *709:B1 0.00012401
+9 *708:A1 *859:TE 0
+10 *708:A1 *156:11 0
+11 *708:A1 *333:235 4.46186e-06
+*RES
+1 *705:X *155:4 9.3
+2 *155:4 *707:A 10.2643
+3 *155:4 *708:A1 22.675
+*END
+
+*D_NET *156 0.00189106
+*CONN
+*I *707:B I *D sky130_fd_sc_hd__and2_2
+*I *708:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *706:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *707:B 0
+2 *708:A2 0.000302323
+3 *706:Y 0.000231501
+4 *156:11 0.000533824
+5 *708:A2 *709:B1 1.02936e-05
+6 *708:A2 *333:235 3.83772e-05
+7 *156:11 *709:B1 0
+8 *156:11 *246:22 9.90367e-05
+9 *156:11 *333:235 0.000186669
+10 *705:B1 *708:A2 0
+11 *706:B *708:A2 9.74588e-05
+12 *707:A *708:A2 3.76337e-05
+13 *708:A1 *708:A2 0.000336333
+14 *708:A1 *156:11 0
+15 *5:700 *156:11 0
+16 *129:63 *708:A2 1.76135e-05
+*RES
+1 *706:Y *156:11 22.6571
+2 *156:11 *708:A2 25.7821
+3 *156:11 *707:B 9.3
+*END
+
+*D_NET *157 0.0014548
+*CONN
+*I *709:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *707:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *709:B1 0.000291539
+2 *707:X 0.000291539
+3 *709:B1 *706:A 0.000132957
+4 *709:B1 *246:22 0.000351156
+5 *709:B1 *333:235 0.00014285
+6 *706:B *709:B1 9.71197e-05
+7 *707:A *709:B1 1.33343e-05
+8 *708:A1 *709:B1 0.00012401
+9 *708:A2 *709:B1 1.02936e-05
+10 *156:11 *709:B1 0
+*RES
+1 *707:X *709:B1 34.4214
+*END
+
+*D_NET *158 0.000831284
+*CONN
+*I *709:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *708:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *709:B2 0.000174851
+2 *708:Y 0.000174851
+3 *709:B2 *770:B 0.000182799
+4 *709:B2 *237:12 3.51442e-05
+5 *709:B2 *237:33 0.000115101
+6 *705:B1 *709:B2 0.000148538
+*RES
+1 *708:Y *709:B2 31.3143
+*END
+
+*D_NET *159 0.000398203
+*CONN
+*I *711:B I *D sky130_fd_sc_hd__xnor2_2
+*I *710:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *711:B 5.06492e-05
+2 *710:Y 5.06492e-05
+3 *699:B *711:B 0.000146994
+4 *149:13 *711:B 0.000149911
+*RES
+1 *710:Y *711:B 29.5464
+*END
+
+*D_NET *160 0.000549058
+*CONN
+*I *712:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *711:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *712:A1 6.85957e-05
+2 *711:Y 6.85957e-05
+3 *695:A1 *712:A1 0.000266473
+4 *712:S *712:A1 1.24368e-05
+5 *145:11 *712:A1 0.000132957
+*RES
+1 *711:Y *712:A1 21.1893
+*END
+
+*D_NET *161 0.000873846
+*CONN
+*I *714:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *713:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *714:A1 0.000212538
+2 *713:X 0.000212538
+3 *714:A1 *698:A 0.000117543
+4 *714:A1 *235:13 5.33433e-05
+5 *699:B *714:A1 8.18233e-06
+6 *141:17 *714:A1 0.000103285
+7 *145:53 *714:A1 4.88637e-05
+8 *145:58 *714:A1 0.000117553
+*RES
+1 *713:X *714:A1 33.1536
+*END
+
+*D_NET *162 0.00125365
+*CONN
+*I *716:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *715:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *716:B1 0.000418109
+2 *715:Y 0.000418109
+3 *695:A2 *716:B1 8.5232e-05
+4 *695:A3 *716:B1 0.000125731
+5 *842:D *716:B1 0.000125731
+6 *129:101 *716:B1 8.07313e-05
+*RES
+1 *715:Y *716:B1 33.8857
+*END
+
+*D_NET *163 0.000322026
+*CONN
+*I *717:B I *D sky130_fd_sc_hd__xnor2_2
+*I *716:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *717:B 4.34458e-05
+2 *716:X 4.34458e-05
+3 *717:B *233:28 0.000153411
+4 *717:B *236:19 8.17227e-05
+*RES
+1 *716:X *717:B 29.5464
+*END
+
+*D_NET *164 0.00219713
+*CONN
+*I *719:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *718:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *719:A2_N 0.000474922
+2 *718:Y 0.000474922
+3 *719:A2_N *842:CLK 1.58163e-05
+4 *719:A2_N *843:CLK 0.000362545
+5 *719:A2_N *216:222 8.15095e-05
+6 *719:A2_N *233:8 5.83304e-05
+7 *719:A2_N *233:15 0.000585957
+8 *719:A2_N *233:28 0.000117189
+9 *145:24 *719:A2_N 2.59355e-05
+10 *145:53 *719:A2_N 0
+*RES
+1 *718:Y *719:A2_N 39.2071
+*END
+
+*D_NET *165 0.00115047
+*CONN
+*I *721:B I *D sky130_fd_sc_hd__xnor2_2
+*I *720:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *721:B 0.000286084
+2 *720:Y 0.000286084
+3 *721:B *722:A0 9.83388e-05
+4 *721:B *722:A1 4.85967e-05
+5 *720:A *721:B 0.000357286
+6 *840:D *721:B 2.07491e-05
+7 *129:23 *721:B 5.33334e-05
+*RES
+1 *720:Y *721:B 24.9036
+*END
+
+*D_NET *166 0.000444947
+*CONN
+*I *722:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *721:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *722:A1 8.88587e-05
+2 *721:Y 8.88587e-05
+3 *720:A *722:A1 0.000186765
+4 *721:B *722:A1 4.85967e-05
+5 *840:D *722:A1 3.18676e-05
+*RES
+1 *721:Y *722:A1 21.1893
+*END
+
+*D_NET *167 0.000323085
+*CONN
+*I *724:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *723:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *724:B1 5.95352e-05
+2 *723:Y 5.95352e-05
+3 *724:B1 *238:15 0.000117956
+4 *839:D *724:B1 2.89114e-05
+5 *140:22 *724:B1 5.71472e-05
+*RES
+1 *723:Y *724:B1 20.3679
+*END
+
+*D_NET *168 0.00434967
+*CONN
+*I *732:A I *D sky130_fd_sc_hd__or2_2
+*I *726:B I *D sky130_fd_sc_hd__and2_2
+*I *729:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *725:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *732:A 0.000635886
+2 *726:B 0.000208213
+3 *729:A2 7.70965e-05
+4 *725:X 3.7533e-05
+5 *168:8 0.000775898
+6 *168:5 0.00116401
+7 *726:B *169:14 1.31516e-05
+8 *726:B *216:64 0
+9 *726:B *220:19 0.000301728
+10 *726:B *333:219 0
+11 *729:A2 *729:A1 1.34e-05
+12 *729:A2 *216:56 9.58632e-05
+13 *732:A *732:B 0.000276455
+14 *732:A *733:A2 3.18676e-05
+15 *732:A *832:CLK 0
+16 *732:A *218:11 0.000178847
+17 *732:A *219:18 1.1152e-05
+18 *168:5 *218:11 5.52238e-05
+19 *168:8 *217:64 5.59013e-05
+20 *168:8 *218:26 0
+21 *168:8 *219:23 0
+22 *168:8 *219:34 0
+23 *168:8 *220:8 0.000124749
+24 *168:8 *220:19 5.5764e-05
+25 *168:8 *333:50 0
+26 *168:8 *333:201 0
+27 *660:A *168:8 0
+28 *733:B1 *732:A 0.000159282
+29 *5:88 *168:8 7.76554e-05
+*RES
+1 *725:X *168:5 9.83571
+2 *168:5 *168:8 13.125
+3 *168:8 *729:A2 15.4786
+4 *168:8 *726:B 18.175
+5 *168:5 *732:A 18.925
+*END
+
+*D_NET *169 0.00111933
+*CONN
+*I *730:B I *D sky130_fd_sc_hd__nand2_2
+*I *728:B I *D sky130_fd_sc_hd__nand2b_2
+*I *727:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *726:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *730:B 4.75407e-05
+2 *728:B 0
+3 *727:A2 7.15281e-05
+4 *726:X 0
+5 *169:14 0.000122499
+6 *169:4 0.000146487
+7 *727:A2 *727:A1 5.52238e-05
+8 *727:A2 *220:20 4.13595e-05
+9 *730:B *730:A 0.00018077
+10 *169:14 *220:19 0.000148911
+11 *169:14 *221:10 3.17148e-05
+12 *169:14 *221:14 0.000148911
+13 *169:14 *333:219 0
+14 *726:B *169:14 1.31516e-05
+15 *88:77 *727:A2 6.05161e-06
+16 *88:77 *169:14 9.10431e-06
+17 *129:17 *730:B 9.60771e-05
+*RES
+1 *726:X *169:4 9.3
+2 *169:4 *727:A2 10.6571
+3 *169:4 *169:14 11.5536
+4 *169:14 *728:B 9.3
+5 *169:14 *730:B 11.0679
+*END
+
+*D_NET *170 0.000418765
+*CONN
+*I *729:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *728:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *729:B1 0.000101218
+2 *728:Y 0.000101218
+3 *729:B1 *220:8 5.54817e-06
+4 *729:B1 *220:19 7.10698e-05
+5 *88:65 *729:B1 5.54817e-06
+6 *88:77 *729:B1 7.89337e-05
+7 *129:17 *729:B1 5.52302e-05
+*RES
+1 *728:Y *729:B1 30.0821
+*END
+
+*D_NET *171 0.00435639
+*CONN
+*I *733:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *735:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *736:C I *D sky130_fd_sc_hd__nand3_2
+*I *730:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *733:A1 1.40355e-05
+2 *735:A1 0.000178971
+3 *736:C 0.000446305
+4 *730:Y 0.000557174
+5 *171:12 0.000812897
+6 *171:10 0.00075883
+7 *733:A1 *732:B 2.89114e-05
+8 *733:A1 *733:A2 5.52302e-05
+9 *735:A1 *735:A2 0
+10 *735:A1 *216:131 0.000146533
+11 *735:A1 *217:5 5.52302e-05
+12 *735:A1 *217:7 5.52238e-05
+13 *736:C *734:A 0.000150618
+14 *736:C *734:B 0.000168217
+15 *736:C *735:A2 0
+16 *736:C *812:B 0.000108582
+17 *736:C *333:27 2.24763e-05
+18 *736:C *333:41 0
+19 *736:C *333:50 0
+20 *171:10 *731:B1 2.58997e-05
+21 *171:10 *219:8 3.69697e-05
+22 *171:10 *333:50 0
+23 *171:12 *731:B1 1.99099e-05
+24 *171:12 *735:A2 0
+25 *171:12 *333:50 0
+26 *405:DIODE *736:C 0.000215365
+27 *685:C_N *171:10 0
+28 *733:B1 *171:12 0
+29 *837:D *171:10 0.000169971
+30 *840:D *171:10 0
+31 *5:70 *171:10 7.72563e-05
+32 *5:72 *171:10 6.93556e-05
+33 *5:77 *171:10 4.56089e-05
+34 *88:19 *171:10 0
+35 *88:19 *171:12 0
+36 *129:17 *171:10 0.000124388
+37 *129:23 *171:10 1.24368e-05
+*RES
+1 *730:Y *171:10 25.2286
+2 *171:10 *171:12 3.00893
+3 *171:12 *736:C 23.5946
+4 *171:12 *735:A1 17.6304
+5 *171:10 *733:A1 14.3357
+*END
+
+*D_NET *172 0.000801011
+*CONN
+*I *732:B I *D sky130_fd_sc_hd__or2_2
+*I *731:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *732:B 0.000106244
+2 *731:Y 0.000106244
+3 *732:B *733:A2 0.000220299
+4 *732:B *219:18 1.72894e-05
+5 *732:A *732:B 0.000276455
+6 *733:A1 *732:B 2.89114e-05
+7 *733:B1 *732:B 4.55687e-05
+*RES
+1 *731:Y *732:B 23.2786
+*END
+
+*D_NET *173 0.000954142
+*CONN
+*I *733:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *732:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *733:A2 0.000171113
+2 *732:X 0.000171113
+3 *732:A *733:A2 3.18676e-05
+4 *732:B *733:A2 0.000220299
+5 *733:A1 *733:A2 5.52302e-05
+6 *733:B1 *733:A2 2.77173e-05
+7 *836:D *733:A2 0.000274288
+8 *88:19 *733:A2 2.51343e-06
+*RES
+1 *732:X *733:A2 23.6714
+*END
+
+*D_NET *174 0.000749229
+*CONN
+*I *735:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *734:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *735:A2 0.000263805
+2 *734:Y 0.000263805
+3 *735:A2 *734:B 0.000116526
+4 *735:A2 *812:B 0.00010096
+5 *733:B1 *735:A2 4.1331e-06
+6 *735:A1 *735:A2 0
+7 *736:C *735:A2 0
+8 *835:D *735:A2 0
+9 *835:RESET_B *735:A2 0
+10 *171:12 *735:A2 0
+*RES
+1 *734:Y *735:A2 33.225
+*END
+
+*D_NET *175 0.00897481
+*CONN
+*I *784:A I *D sky130_fd_sc_hd__and3_2
+*I *758:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *741:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *740:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *784:A 0.000185563
+2 *758:B1 0.000407331
+3 *741:B1 0.000599225
+4 *740:Y 0
+5 *175:22 0.00143216
+6 *175:4 0.00143849
+7 *741:B1 *858:A 5.49489e-05
+8 *741:B1 *862:A 7.61356e-05
+9 *741:B1 *882:A 7.17136e-05
+10 *741:B1 *882:TE_B 0.00014183
+11 *741:B1 *267:8 0.000137983
+12 *758:B1 *758:B2 6.18914e-05
+13 *758:B1 *762:B1 0.000169784
+14 *758:B1 *762:B2 2.85234e-05
+15 *758:B1 *764:B1 0.000139338
+16 *758:B1 *914:TE 0.000607663
+17 *758:B1 *305:7 2.57972e-05
+18 *784:A *764:B1 1.05731e-05
+19 *784:A *325:7 2.79421e-05
+20 *175:22 *762:B1 3.85427e-05
+21 *175:22 *762:B2 0
+22 *175:22 *764:B2 0.000121858
+23 *175:22 *766:B1 1.24912e-05
+24 *175:22 *771:B1 1.94945e-05
+25 *175:22 *771:B2 1.51859e-05
+26 *175:22 *185:13 0
+27 *175:22 *191:8 0.000144685
+28 *175:22 *249:8 0
+29 *683:A *741:B1 0.000144773
+30 *683:B *741:B1 4.43256e-05
+31 *700:B *741:B1 5.62995e-05
+32 *740:A *175:22 1.08359e-05
+33 *741:A1 *741:B1 1.97695e-05
+34 *762:A2 *758:B1 6.93626e-06
+35 *764:A2 *758:B1 0.000127806
+36 *764:A2 *784:A 7.13226e-06
+37 *766:A2 *175:22 0.000191841
+38 *771:A1 *175:22 6.79842e-05
+39 *782:A2 *175:22 0
+40 *5:714 *741:B1 4.16929e-05
+41 *5:749 *175:22 2.19551e-05
+42 *5:758 *175:22 6.19181e-05
+43 *5:780 *175:22 9.81026e-05
+44 *5:801 *784:A 1.0484e-05
+45 *5:803 *784:A 5.04841e-06
+46 *5:806 *175:22 7.52112e-05
+47 *5:838 *784:A 0.000155855
+48 *15:10 *758:B1 1.50223e-05
+49 *37:10 *758:B1 0.000946806
+50 *130:94 *175:22 4.62539e-05
+51 *132:8 *175:22 0.000242545
+52 *132:29 *175:22 0.000291247
+53 *133:5 *741:B1 0.000315812
+54 *150:8 *175:22 0
+*RES
+1 *740:Y *175:4 9.3
+2 *175:4 *741:B1 23.0321
+3 *175:4 *175:22 25.1429
+4 *175:22 *758:B1 32.6036
+5 *175:22 *784:A 13.05
+*END
+
+*D_NET *176 0.00906589
+*CONN
+*I *781:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *790:A2 I *D sky130_fd_sc_hd__o221a_2
+*I *763:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *753:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *746:A I *D sky130_fd_sc_hd__and3_2
+*I *767:B I *D sky130_fd_sc_hd__or2_2
+*I *742:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *781:A1 3.43325e-05
+2 *790:A2 0.000363272
+3 *763:A2 0
+4 *753:A2 0.000176548
+5 *746:A 0.000182097
+6 *767:B 0
+7 *742:X 0.000604229
+8 *176:56 0.000792088
+9 *176:28 0.000652966
+10 *176:24 0.000329728
+11 *176:23 0.000556296
+12 *176:14 0.000730635
+13 *746:A *766:B1 6.44736e-05
+14 *746:A *778:B1 9.41642e-05
+15 *746:A *271:12 6.86792e-05
+16 *753:A2 *753:A1 3.47843e-05
+17 *753:A2 *762:B1 0.000135028
+18 *753:A2 *766:B1 1.16215e-05
+19 *753:A2 *178:36 5.39752e-05
+20 *790:A2 *788:C 4.99283e-06
+21 *790:A2 *792:A 4.60377e-05
+22 *176:14 *778:B2 5.64866e-05
+23 *176:14 *178:15 9.80433e-05
+24 *176:14 *237:62 0.000303168
+25 *176:14 *271:12 0.000139907
+26 *176:23 *185:13 0.000123594
+27 *176:28 *762:B1 1.02936e-05
+28 *176:28 *178:32 3.61629e-06
+29 *176:28 *178:36 3.30526e-05
+30 *176:56 *785:A 4.10843e-05
+31 *176:56 *785:B 1.89507e-06
+32 *395:DIODE *790:A2 0.000506596
+33 *750:C *176:14 0.000433465
+34 *763:B2 *176:24 5.33005e-05
+35 *763:B2 *176:28 9.90367e-05
+36 *763:B2 *176:56 0.000393244
+37 *781:B1 *176:56 2.21728e-05
+38 *782:A2 *176:14 5.48376e-05
+39 *782:A2 *176:23 0.000111969
+40 *790:A1 *790:A2 9.54798e-06
+41 *5:409 *790:A2 0.00020409
+42 *5:780 *176:28 4.08637e-05
+43 *5:785 *753:A2 3.2923e-05
+44 *5:785 *176:28 5.52238e-05
+45 *15:10 *746:A 0.000282385
+46 *15:10 *753:A2 4.7451e-05
+47 *16:5 *176:14 7.5779e-05
+48 *16:5 *176:23 0.000285875
+49 *17:9 *781:A1 1.85762e-05
+50 *17:9 *176:56 2.55781e-05
+51 *18:5 *790:A2 2.06112e-05
+52 *83:25 *176:14 1.74854e-05
+53 *83:30 *176:14 1.39436e-05
+54 *130:45 *790:A2 0.000263219
+55 *130:76 *176:14 2.6807e-05
+56 *142:29 *176:14 4.36402e-05
+57 *143:36 *176:56 3.19935e-05
+58 *150:8 *746:A 0.000148189
+*RES
+1 *742:X *176:14 34.3357
+2 *176:14 *767:B 13.8
+3 *176:14 *176:23 8.26786
+4 *176:23 *176:24 0.535714
+5 *176:24 *176:28 8.32143
+6 *176:28 *746:A 18.925
+7 *176:28 *753:A2 17.55
+8 *176:24 *763:A2 9.3
+9 *176:23 *176:56 10.3304
+10 *176:56 *790:A2 22.0969
+11 *176:56 *781:A1 14.3804
+*END
+
+*D_NET *177 0.00539729
+*CONN
+*I *775:B I *D sky130_fd_sc_hd__nand2_2
+*I *744:B I *D sky130_fd_sc_hd__nand2_2
+*I *773:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *743:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *775:B 0.000772265
+2 *744:B 7.78308e-05
+3 *773:B1 0.000645993
+4 *743:Y 7.3766e-05
+5 *177:27 0.000850095
+6 *177:6 0.000719759
+7 *744:B *237:62 4.82865e-05
+8 *773:B1 *772:A1 8.78911e-05
+9 *773:B1 *774:B1 0.000136682
+10 *775:B *629:A 5.33005e-05
+11 *775:B *742:C 2.28499e-05
+12 *775:B *786:A2 4.56839e-05
+13 *775:B *786:A3 4.58194e-05
+14 *775:B *806:B2 8.07951e-05
+15 *775:B *181:18 6.05161e-06
+16 *775:B *196:15 1.27784e-05
+17 *775:B *236:67 9.6961e-05
+18 *775:B *237:62 5.96516e-05
+19 *775:B *237:75 2.89114e-05
+20 *775:B *237:100 5.80706e-06
+21 *775:B *256:21 3.34295e-05
+22 *384:DIODE *773:B1 0.000147114
+23 *384:DIODE *177:6 0.000155787
+24 *694:A *773:B1 1.39841e-05
+25 *700:B *773:B1 5.65955e-05
+26 *704:A1 *773:B1 0.000311426
+27 *704:A1 *177:6 0.000164409
+28 *742:B *775:B 2.89016e-05
+29 *743:B *773:B1 5.41797e-06
+30 *772:A2 *773:B1 0.000121661
+31 *772:C1 *773:B1 2.80052e-05
+32 *786:B1 *775:B 0.000112241
+33 *83:30 *773:B1 5.86175e-05
+34 *84:23 *773:B1 0.000129283
+35 *86:13 *773:B1 8.0789e-07
+36 *86:40 *773:B1 0
+37 *130:76 *773:B1 1.19582e-05
+38 *130:94 *773:B1 4.10926e-05
+39 *143:28 *773:B1 0.000105386
+*RES
+1 *743:Y *177:6 16.05
+2 *177:6 *773:B1 35.05
+3 *177:6 *177:27 4.5
+4 *177:27 *744:B 10.675
+5 *177:27 *775:B 29.8893
+*END
+
+*D_NET *178 0.0116111
+*CONN
+*I *783:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *753:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *746:B I *D sky130_fd_sc_hd__and3_2
+*I *749:B I *D sky130_fd_sc_hd__or2_2
+*I *751:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *755:B I *D sky130_fd_sc_hd__or2_2
+*I *744:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A2 0
+2 *781:A2 0.000236384
+3 *763:B1 1.9105e-05
+4 *753:B1 0
+5 *756:A2 0.000287185
+6 *768:A1 0
+7 *746:B 0
+8 *749:B 0
+9 *751:B1 0.000631941
+10 *755:B 0
+11 *744:Y 0.000440239
+12 *178:76 0.000287185
+13 *178:49 0.000927605
+14 *178:43 0.000384641
+15 *178:39 0.000186083
+16 *178:36 0.000366144
+17 *178:32 0.000611062
+18 *178:29 0.000595538
+19 *178:20 0.000241263
+20 *178:15 0.000645268
+21 *751:B1 *747:B1 0.000672316
+22 *751:B1 *751:B2 0.000127925
+23 *751:B1 *879:TE 4.83631e-05
+24 *751:B1 *886:A 0.000568175
+25 *751:B1 *891:A 0.000244447
+26 *751:B1 *278:12 0.000103646
+27 *756:A2 *756:B1 9.47336e-05
+28 *756:A2 *756:C1 7.46583e-06
+29 *756:A2 *179:24 5.52302e-05
+30 *781:A2 *784:C 8.60361e-05
+31 *781:A2 *785:A 0.000128425
+32 *178:15 *750:A 4.43299e-05
+33 *178:15 *778:B2 1.19455e-05
+34 *178:15 *237:62 1.76096e-05
+35 *178:20 *785:A 0.000138618
+36 *178:29 *784:C 3.14003e-05
+37 *178:32 *784:C 5.33005e-05
+38 *178:32 *185:7 9.41642e-05
+39 *178:36 *762:B1 0.00026023
+40 *178:39 *746:C 2.31791e-05
+41 *178:39 *179:19 1.52978e-05
+42 *178:39 *179:85 0.000114888
+43 *178:39 *180:49 0.000194432
+44 *178:39 *263:18 2.09826e-05
+45 *178:43 *746:C 7.83659e-05
+46 *178:43 *769:B1 4.46186e-06
+47 *178:43 *179:19 8.84612e-05
+48 *178:43 *180:6 3.56497e-05
+49 *178:43 *271:12 5.1588e-05
+50 *178:49 *753:A1 6.29573e-05
+51 *178:49 *757:B1 0.000307343
+52 *178:49 *883:A 0.00014491
+53 *178:49 *234:52 4.46186e-06
+54 *352:DIODE *751:B1 0
+55 *357:DIODE *751:B1 0
+56 *361:DIODE *751:B1 9.60939e-05
+57 *372:DIODE *178:36 5.49995e-05
+58 *376:DIODE *751:B1 0.000271339
+59 *751:A1 *751:B1 3.57366e-05
+60 *753:A2 *178:36 5.39752e-05
+61 *756:A1 *756:A2 1.80228e-05
+62 *763:B2 *763:B1 1.02301e-05
+63 *763:B2 *178:32 2.7876e-05
+64 *783:A1 *178:20 0.00014285
+65 *790:A1 *178:15 1.64621e-05
+66 *790:A1 *178:20 2.23592e-05
+67 *5:904 *751:B1 0.000405727
+68 *17:9 *178:15 8.44271e-06
+69 *83:25 *178:15 9.59406e-05
+70 *130:52 *178:20 1.12578e-05
+71 *130:53 *178:32 4.37451e-05
+72 *130:76 *178:15 0
+73 *130:76 *178:20 2.02469e-05
+74 *142:29 *178:15 6.05161e-06
+75 *143:28 *178:15 0.000180285
+76 *143:29 *178:15 9.83388e-05
+77 *143:36 *178:15 6.14518e-05
+78 *143:36 *178:20 0
+79 *176:14 *178:15 9.80433e-05
+80 *176:28 *178:32 3.61629e-06
+81 *176:28 *178:36 3.30526e-05
+*RES
+1 *744:Y *178:15 26.3536
+2 *178:15 *178:20 8.51786
+3 *178:20 *755:B 13.8
+4 *178:20 *178:29 5.23214
+5 *178:29 *178:32 4.25
+6 *178:32 *178:36 5.07143
+7 *178:36 *178:39 7.96429
+8 *178:39 *178:43 7.05357
+9 *178:43 *178:49 13.9464
+10 *178:49 *751:B1 37.3893
+11 *178:49 *749:B 9.3
+12 *178:43 *746:B 9.3
+13 *178:39 *178:76 4.5
+14 *178:76 *768:A1 9.3
+15 *178:76 *756:A2 12.9295
+16 *178:36 *753:B1 9.3
+17 *178:32 *763:B1 9.72857
+18 *178:29 *781:A2 13.2821
+19 *178:15 *783:A2 9.3
+*END
+
+*D_NET *179 0.0094753
+*CONN
+*I *762:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *753:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *746:C I *D sky130_fd_sc_hd__and3_2
+*I *752:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *769:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *745:X O *D sky130_fd_sc_hd__and2b_2
+*CAP
+1 *762:B1 0.000279031
+2 *753:C1 0
+3 *756:B1 0.000185338
+4 *768:A2 0
+5 *746:C 8.77756e-05
+6 *752:B1 0.000110811
+7 *751:B2 0.000206538
+8 *769:B1 0.000196671
+9 *745:X 0.000103095
+10 *179:85 0.00038862
+11 *179:32 0.00146792
+12 *179:24 0.0012774
+13 *179:23 0.0002728
+14 *179:19 0.000260014
+15 *179:7 0.00040179
+16 *746:C *180:6 1.02504e-05
+17 *746:C *180:49 0.000100823
+18 *746:C *271:12 1.58163e-05
+19 *751:B2 *891:A 0.00010096
+20 *751:B2 *286:6 2.79092e-05
+21 *752:B1 *284:5 5.33005e-05
+22 *752:B1 *286:6 2.00751e-05
+23 *752:B1 *286:15 6.46287e-05
+24 *756:B1 *756:C1 1.00733e-05
+25 *756:B1 *768:A3 0.000273946
+26 *756:B1 *263:18 7.43578e-06
+27 *762:B1 *764:B2 0.000121858
+28 *762:B1 *766:B1 0.000143821
+29 *762:B1 *914:TE 6.87975e-06
+30 *769:B1 *271:12 6.12603e-05
+31 *179:7 *757:B1 5.52302e-05
+32 *179:7 *271:12 4.43256e-05
+33 *179:19 *757:B1 0.000100673
+34 *179:19 *186:6 9.22948e-05
+35 *179:23 *180:49 0
+36 *179:24 *263:18 2.61099e-05
+37 *179:32 *907:TE 6.86693e-05
+38 *179:32 *909:A 0.000208468
+39 *179:32 *909:TE_B 0.000111501
+40 *179:32 *263:18 2.63605e-06
+41 *179:32 *297:8 7.48301e-06
+42 *179:85 *764:B1 0.000230961
+43 *179:85 *186:6 1.02504e-05
+44 *179:85 *263:18 1.94879e-05
+45 *357:DIODE *751:B2 0
+46 *361:DIODE *751:B2 1.18064e-05
+47 *373:DIODE *179:32 1.02936e-05
+48 *376:DIODE *769:B1 2.44318e-05
+49 *751:B1 *751:B2 0.000127925
+50 *752:A2 *752:B1 1.97087e-05
+51 *753:A2 *762:B1 0.000135028
+52 *756:A1 *756:B1 1.81659e-05
+53 *756:A2 *756:B1 9.47336e-05
+54 *756:A2 *179:24 5.52302e-05
+55 *758:B1 *762:B1 0.000169784
+56 *762:A2 *762:B1 5.54817e-06
+57 *768:B1 *179:32 4.93302e-05
+58 *768:B2 *179:32 0.000218246
+59 *769:A1 *769:B1 5.52238e-05
+60 *5:761 *756:B1 2.73936e-05
+61 *5:780 *762:B1 2.59355e-05
+62 *5:785 *762:B1 2.59355e-05
+63 *5:806 *762:B1 4.63317e-05
+64 *5:1001 *752:B1 5.33005e-05
+65 *15:10 *769:B1 7.34457e-05
+66 *15:10 *179:19 1.01417e-05
+67 *33:8 *751:B2 7.83587e-05
+68 *33:8 *752:B1 0.000270348
+69 *175:22 *762:B1 3.85427e-05
+70 *176:28 *762:B1 1.02936e-05
+71 *178:36 *762:B1 0.00026023
+72 *178:39 *746:C 2.31791e-05
+73 *178:39 *179:19 1.52978e-05
+74 *178:39 *179:85 0.000114888
+75 *178:43 *746:C 7.83659e-05
+76 *178:43 *769:B1 4.46186e-06
+77 *178:43 *179:19 8.84612e-05
+*RES
+1 *745:X *179:7 15.1571
+2 *179:7 *769:B1 17.7286
+3 *179:7 *179:19 2.85714
+4 *179:19 *179:23 5.03571
+5 *179:23 *179:24 0.946429
+6 *179:24 *179:32 19.5536
+7 *179:32 *751:B2 18.5679
+8 *179:32 *752:B1 17.8
+9 *179:24 *746:C 20.175
+10 *179:23 *768:A2 9.3
+11 *179:23 *756:B1 14.5768
+12 *179:19 *179:85 7.66071
+13 *179:85 *753:C1 9.3
+14 *179:85 *762:B1 25.8714
+*END
+
+*D_NET *180 0.010291
+*CONN
+*I *761:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:A I *D sky130_fd_sc_hd__and3_2
+*I *795:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *747:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *771:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *746:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *761:B1 0
+2 *788:A 0.000148191
+3 *795:B1 0
+4 *747:B1 0.000838913
+5 *771:B1 0.000502275
+6 *746:X 0
+7 *180:62 0.000513811
+8 *180:49 0.000993812
+9 *180:6 0.00143018
+10 *180:5 0.000717184
+11 *747:B1 *942:A 3.45059e-05
+12 *771:B1 *753:A1 2.34087e-05
+13 *771:B1 *771:B2 2.31966e-05
+14 *771:B1 *882:TE_B 2.06178e-05
+15 *771:B1 *234:52 7.6779e-05
+16 *771:B1 *271:12 0.00025621
+17 *771:B1 *278:12 7.80694e-05
+18 *788:A *920:A 0.000180777
+19 *788:A *922:A 0.000220085
+20 *180:6 *753:A1 5.90031e-05
+21 *180:6 *271:12 0.000120506
+22 *180:49 *753:A1 6.44542e-05
+23 *180:49 *764:B1 0.000123391
+24 *180:49 *913:A 0.000183726
+25 *180:49 *307:14 9.67788e-05
+26 *180:49 *307:24 0.000352913
+27 *180:49 *312:16 8.43535e-06
+28 *180:49 *314:11 0.000440711
+29 *180:62 *761:B2 1.76135e-05
+30 *180:62 *922:A 3.30237e-05
+31 *180:62 *922:TE 0.00018372
+32 *180:62 *312:16 0.000491735
+33 *180:62 *314:11 4.17014e-05
+34 *366:DIODE *180:49 4.81186e-05
+35 *376:DIODE *747:B1 0.000259596
+36 *683:B *771:B1 1.19277e-05
+37 *746:C *180:6 1.02504e-05
+38 *746:C *180:49 0.000100823
+39 *751:B1 *747:B1 0.000672316
+40 *762:A2 *180:62 1.17968e-05
+41 *771:A2 *771:B1 6.82827e-05
+42 *795:A1 *180:62 3.5046e-05
+43 *795:A2 *788:A 0.000110982
+44 *5:817 *180:62 3.34366e-05
+45 *15:10 *180:49 0.000168849
+46 *16:5 *180:62 0
+47 *32:27 *747:B1 7.53309e-05
+48 *35:26 *180:49 0.000119753
+49 *130:94 *771:B1 3.29178e-05
+50 *150:8 *771:B1 6.27272e-06
+51 *175:22 *771:B1 1.94945e-05
+52 *178:39 *180:49 0.000194432
+53 *178:43 *180:6 3.56497e-05
+54 *179:23 *180:49 0
+*RES
+1 *746:X *180:5 13.8
+2 *180:5 *180:6 2.55357
+3 *180:6 *771:B1 26.5401
+4 *180:6 *747:B1 35.05
+5 *180:5 *180:49 21.9286
+6 *180:49 *180:62 25.875
+7 *180:62 *795:B1 9.3
+8 *180:62 *788:A 13.1214
+9 *180:49 *761:B1 9.3
+*END
+
+*D_NET *181 0.00392073
+*CONN
+*I *794:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *803:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *786:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *794:B2 0.000285264
+2 *803:B1 0.000209365
+3 *786:A2 0.000169295
+4 *748:Y 0
+5 *181:18 0.000639182
+6 *181:4 0.000313848
+7 *786:A2 *786:A3 4.58194e-05
+8 *786:A2 *236:67 1.24368e-05
+9 *794:B2 *801:A 1.61784e-05
+10 *794:B2 *866:TE 2.49484e-05
+11 *794:B2 *251:19 0.000127446
+12 *794:B2 *263:18 0
+13 *803:B1 *804:C 0.000369104
+14 *803:B1 *251:19 0.000417184
+15 *803:B1 *335:5 9.63908e-05
+16 *181:18 *804:C 5.52302e-05
+17 *181:18 *237:100 9.41642e-05
+18 *181:18 *237:106 0.000178847
+19 *181:18 *256:21 0.000349104
+20 *775:B *786:A2 4.56839e-05
+21 *775:B *181:18 6.05161e-06
+22 *794:A2 *794:B2 1.94945e-05
+23 *794:B1 *794:B2 5.97719e-05
+24 *794:B1 *803:B1 2.26327e-05
+25 *803:A1 *803:B1 0.000184944
+26 *26:17 *794:B2 0
+27 *83:8 *786:A2 0.000150628
+28 *83:8 *181:18 2.77173e-05
+*RES
+1 *748:Y *181:4 9.3
+2 *181:4 *786:A2 12.7464
+3 *181:4 *181:18 8.85714
+4 *181:18 *803:B1 20.8536
+5 *181:18 *794:B2 18.1393
+*END
+
+*D_NET *182 0.00010567
+*CONN
+*I *769:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *749:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *769:B2 2.52229e-05
+2 *749:X 2.52229e-05
+3 *376:DIODE *769:B2 5.52238e-05
+*RES
+1 *749:X *769:B2 19.1357
+*END
+
+*D_NET *183 0.00748903
+*CONN
+*I *798:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *758:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *750:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *798:A1 0.000204779
+2 *758:B2 0.00116561
+3 *750:X 0.000564232
+4 *183:11 0.00193462
+5 *758:B2 *762:B2 0.00024759
+6 *758:B2 *914:TE 0.000385934
+7 *758:B2 *917:TE_B 0
+8 *758:B2 *304:7 5.49995e-05
+9 *758:B2 *305:7 0.000126592
+10 *758:B2 *314:11 0.000397314
+11 *758:B2 *319:11 0.000648624
+12 *798:A1 *785:C 2.59355e-05
+13 *183:11 *785:C 4.72711e-05
+14 *183:11 *921:TE 0.00014192
+15 *183:11 *923:A 8.26339e-05
+16 *183:11 *187:33 2.04825e-05
+17 *183:11 *292:13 8.61547e-06
+18 *183:11 *314:11 0.000136733
+19 *183:11 *319:11 0.00020693
+20 *380:DIODE *183:11 0.000114889
+21 *384:DIODE *183:11 6.35819e-05
+22 *395:DIODE *183:11 0.00020036
+23 *396:DIODE *183:11 7.29712e-05
+24 *704:A1 *183:11 7.40526e-05
+25 *758:B1 *758:B2 6.18914e-05
+26 *760:A *758:B2 2.59355e-05
+27 *780:A *183:11 7.81883e-05
+28 *795:A1 *798:A1 0
+29 *798:B1 *183:11 0.000140403
+30 *17:9 *798:A1 0.000204544
+31 *17:9 *183:11 3.30638e-05
+32 *83:25 *183:11 1.00073e-05
+33 *130:45 *183:11 0
+34 *142:62 *183:11 8.32896e-06
+*RES
+1 *750:X *183:11 30.4607
+2 *183:11 *758:B2 38.6214
+3 *183:11 *798:A1 21.6929
+*END
+
+*D_NET *184 0.0101198
+*CONN
+*I *792:A I *D sky130_fd_sc_hd__and3_2
+*I *754:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *753:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *792:A 0.00149062
+2 *754:B1 0.00132615
+3 *753:X 9.74125e-05
+4 *184:8 0.00291419
+5 *754:B1 *766:B1 0.000440966
+6 *754:B1 *892:A 4.63305e-05
+7 *754:B1 *894:A 8.6608e-06
+8 *754:B1 *894:Z 0.00011503
+9 *754:B1 *896:A 5.49995e-05
+10 *754:B1 *896:TE_B 7.44013e-05
+11 *754:B1 *897:A 3.02892e-05
+12 *754:B1 *898:A 0.000481885
+13 *754:B1 *293:5 4.3304e-06
+14 *792:A *760:B 4.61737e-06
+15 *792:A *790:B1 1.28809e-05
+16 *792:A *790:C1 0.000128339
+17 *792:A *263:18 0
+18 *792:A *292:13 0.00182891
+19 *792:A *307:14 0
+20 *184:8 *307:14 3.11088e-05
+21 *385:DIODE *792:A 0.000348885
+22 *395:DIODE *792:A 0.00018834
+23 *765:A_N *754:B1 7.57394e-05
+24 *777:A *792:A 0.000137442
+25 *790:A1 *792:A 5.33005e-05
+26 *790:A2 *792:A 4.60377e-05
+27 *790:B2 *792:A 4.21396e-05
+28 *14:7 *792:A 9.77328e-06
+29 *14:7 *184:8 3.18227e-05
+30 *18:5 *792:A 5.43725e-05
+31 *130:45 *792:A 4.16643e-06
+32 *130:52 *792:A 3.66428e-05
+*RES
+1 *753:X *184:8 15.675
+2 *184:8 *754:B1 42.4071
+3 *184:8 *792:A 29.6957
+*END
+
+*D_NET *185 0.00270706
+*CONN
+*I *762:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *782:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *755:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *762:B2 0.000343734
+2 *782:B1 0
+3 *756:C1 6.0137e-05
+4 *755:X 3.20317e-05
+5 *185:13 0.000254885
+6 *185:7 0.000570514
+7 *756:C1 *768:A3 8.24778e-05
+8 *185:13 *768:A3 2.44638e-05
+9 *756:A2 *756:C1 7.46583e-06
+10 *756:B1 *756:C1 1.00733e-05
+11 *758:B1 *762:B2 2.85234e-05
+12 *758:B2 *762:B2 0.00024759
+13 *760:A *762:B2 0.000321913
+14 *760:A *185:13 3.2923e-05
+15 *762:A1 *762:B2 4.38186e-05
+16 *762:A2 *762:B2 1.55917e-05
+17 *763:B2 *185:7 1.35553e-05
+18 *764:A2 *762:B2 0.000121986
+19 *782:A2 *756:C1 2.66223e-05
+20 *782:A2 *185:13 9.63274e-06
+21 *5:761 *756:C1 0.000139907
+22 *5:761 *185:13 1.02821e-05
+23 *5:806 *762:B2 6.87975e-06
+24 *16:5 *185:13 3.09936e-05
+25 *130:53 *185:7 5.33005e-05
+26 *175:22 *762:B2 0
+27 *175:22 *185:13 0
+28 *176:23 *185:13 0.000123594
+29 *178:32 *185:7 9.41642e-05
+*RES
+1 *755:X *185:7 14.7464
+2 *185:7 *185:13 8.32143
+3 *185:13 *756:C1 11.5143
+4 *185:13 *782:B1 9.3
+5 *185:7 *762:B2 22.0143
+*END
+
+*D_NET *186 0.00696706
+*CONN
+*I *764:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *778:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *757:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *756:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *764:B1 0.000287584
+2 *778:B1 0.000674545
+3 *757:B1 0.000669673
+4 *756:X 0
+5 *186:6 0.00138082
+6 *186:5 0.000324184
+7 *757:B1 *879:A 0.000274294
+8 *757:B1 *906:A 0.000707084
+9 *757:B1 *907:A 4.01768e-05
+10 *757:B1 *271:12 0.000178847
+11 *757:B1 *300:11 4.85967e-05
+12 *764:B1 *764:B2 5.33005e-05
+13 *764:B1 *766:B1 0.000181107
+14 *778:B1 *750:A 0
+15 *778:B1 *271:12 1.61074e-05
+16 *373:DIODE *757:B1 9.22103e-06
+17 *745:A_N *778:B1 5.03772e-05
+18 *745:B *778:B1 1.21258e-05
+19 *746:A *778:B1 9.41642e-05
+20 *750:C *778:B1 5.81891e-05
+21 *757:A1 *757:B1 3.37729e-05
+22 *758:B1 *764:B1 0.000139338
+23 *767:A *778:B1 0.000112995
+24 *778:A2 *778:B1 7.13226e-06
+25 *784:A *764:B1 1.05731e-05
+26 *5:801 *764:B1 2.05612e-05
+27 *5:894 *757:B1 1.24368e-05
+28 *5:902 *757:B1 5.7661e-06
+29 *15:10 *757:B1 9.89509e-05
+30 *15:10 *764:B1 0.000399003
+31 *15:10 *186:6 0.000100831
+32 *132:30 *778:B1 2.77258e-05
+33 *132:48 *778:B1 1.74352e-05
+34 *178:49 *757:B1 0.000307343
+35 *179:7 *757:B1 5.52302e-05
+36 *179:19 *757:B1 0.000100673
+37 *179:19 *186:6 9.22948e-05
+38 *179:85 *764:B1 0.000230961
+39 *179:85 *186:6 1.02504e-05
+40 *180:49 *764:B1 0.000123391
+*RES
+1 *756:X *186:5 13.8
+2 *186:5 *186:6 1.33929
+3 *186:6 *757:B1 29.9607
+4 *186:6 *778:B1 22.1571
+5 *186:5 *764:B1 23.0679
+*END
+
+*D_NET *187 0.00887432
+*CONN
+*I *787:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *760:B I *D sky130_fd_sc_hd__or2_2
+*I *780:B I *D sky130_fd_sc_hd__or2_2
+*I *783:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *770:B I *D sky130_fd_sc_hd__or2_2
+*I *759:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *787:A2 4.23863e-05
+2 *760:B 0.000581678
+3 *780:B 0
+4 *783:B1 6.63047e-05
+5 *770:B 0.00086828
+6 *759:X 5.09847e-05
+7 *187:47 0.000753601
+8 *187:44 0.000340157
+9 *187:33 0.000625599
+10 *187:11 0.00135271
+11 *760:B *784:B 2.70647e-05
+12 *760:B *790:C1 3.60139e-05
+13 *760:B *921:TE 4.81489e-05
+14 *760:B *922:Z 0.000312976
+15 *760:B *924:TE_B 5.50052e-05
+16 *760:B *292:13 8.33213e-05
+17 *760:B *312:16 5.7836e-06
+18 *760:B *314:11 0.000182201
+19 *770:B *759:A 7.40514e-05
+20 *770:B *771:B2 2.11336e-05
+21 *770:B *234:47 9.7912e-05
+22 *187:11 *772:B1 4.56129e-05
+23 *187:33 *743:A 0.000101616
+24 *187:33 *759:A 6.5919e-05
+25 *187:33 *197:8 0
+26 *187:33 *237:75 1.24469e-05
+27 *187:33 *299:26 6.53397e-05
+28 *187:47 *790:B1 0
+29 *187:47 *790:C1 7.30363e-05
+30 *187:47 *292:13 3.10885e-05
+31 *396:DIODE *187:33 1.53058e-05
+32 *396:DIODE *187:47 3.43988e-06
+33 *685:D_N *770:B 0.000164852
+34 *694:C *770:B 2.9924e-05
+35 *704:A1 *770:B 4.97121e-06
+36 *704:A1 *187:33 2.29592e-05
+37 *704:B2 *770:B 0.000276956
+38 *708:B1 *770:B 0.000116003
+39 *709:B2 *770:B 0.000182799
+40 *718:A *770:B 8.67814e-06
+41 *742:B *187:33 3.84268e-05
+42 *743:B *770:B 0.000181474
+43 *743:B *187:33 0.000260152
+44 *772:C1 *770:B 0
+45 *780:A *187:47 0.000114653
+46 *790:A1 *783:B1 0.000264153
+47 *790:A1 *787:A2 1.15281e-05
+48 *790:A1 *187:44 9.76221e-05
+49 *790:B2 *187:47 0.000119695
+50 *792:A *760:B 4.61737e-06
+51 *17:9 *760:B 1.94879e-05
+52 *18:5 *760:B 0
+53 *24:12 *760:B 5.12616e-05
+54 *84:10 *770:B 8.61547e-06
+55 *84:23 *770:B 4.08518e-05
+56 *130:39 *187:44 0
+57 *131:31 *770:B 0.000144087
+58 *142:62 *187:47 8.28472e-05
+59 *143:29 *783:B1 9.58126e-05
+60 *143:63 *783:B1 0.00016641
+61 *143:63 *187:33 7.04599e-05
+62 *143:63 *187:44 5.52302e-05
+63 *152:5 *770:B 0.000180195
+64 *183:11 *187:33 2.04825e-05
+*RES
+1 *759:X *187:11 14.8536
+2 *187:11 *770:B 31.9429
+3 *187:11 *187:33 13.1429
+4 *187:33 *783:B1 11.8893
+5 *187:33 *187:44 2.51786
+6 *187:44 *187:47 8.57143
+7 *187:47 *780:B 13.8
+8 *187:47 *760:B 28.4227
+9 *187:44 *787:A2 9.83571
+*END
+
+*D_NET *188 0.000276087
+*CONN
+*I *761:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *760:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *761:B2 6.82445e-05
+2 *760:X 6.82445e-05
+3 *764:A2 *761:B2 1.71619e-05
+4 *5:817 *761:B2 2.46318e-05
+5 *16:5 *761:B2 8.01911e-05
+6 *180:62 *761:B2 1.76135e-05
+*RES
+1 *760:X *761:B2 29.5464
+*END
+
+*D_NET *189 0.000463242
+*CONN
+*I *764:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *763:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *764:B2 7.0145e-05
+2 *763:X 7.0145e-05
+3 *762:B1 *764:B2 0.000121858
+4 *764:B1 *764:B2 5.33005e-05
+5 *5:801 *764:B2 2.59355e-05
+6 *175:22 *764:B2 0.000121858
+*RES
+1 *763:X *764:B2 29.7786
+*END
+
+*D_NET *190 0.00376776
+*CONN
+*I *766:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *765:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *766:B1 0.000738836
+2 *765:Y 0.000738836
+3 *766:B1 *914:A 4.63219e-05
+4 *766:B1 *917:TE_B 0.000100165
+5 *766:B1 *307:24 0.000141941
+6 *746:A *766:B1 6.44736e-05
+7 *753:A2 *766:B1 1.16215e-05
+8 *754:B1 *766:B1 0.000440966
+9 *762:B1 *766:B1 0.000143821
+10 *764:B1 *766:B1 0.000181107
+11 *5:749 *766:B1 0.000217893
+12 *5:758 *766:B1 0.000115467
+13 *5:780 *766:B1 0.000202811
+14 *5:785 *766:B1 0.000100248
+15 *5:796 *766:B1 7.58841e-05
+16 *5:801 *766:B1 5.33005e-05
+17 *15:10 *766:B1 5.87541e-06
+18 *150:8 *766:B1 0.000375697
+19 *175:22 *766:B1 1.24912e-05
+*RES
+1 *765:Y *766:B1 46.7071
+*END
+
+*D_NET *191 0.00135683
+*CONN
+*I *768:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *782:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *767:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *768:A3 0.000310861
+2 *782:C1 0
+3 *767:X 8.21232e-05
+4 *191:8 0.000392984
+5 *756:B1 *768:A3 0.000273946
+6 *756:C1 *768:A3 8.24778e-05
+7 *782:A2 *191:8 3.78017e-05
+8 *5:761 *768:A3 7.48301e-06
+9 *175:22 *191:8 0.000144685
+10 *185:13 *768:A3 2.44638e-05
+*RES
+1 *767:X *191:8 20.2464
+2 *191:8 *782:C1 9.3
+3 *191:8 *768:A3 15.2286
+*END
+
+*D_NET *192 0.00160252
+*CONN
+*I *771:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *770:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *771:B2 0.000529731
+2 *770:X 0.000529731
+3 *771:B2 *774:C1 0
+4 *771:B2 *234:47 0.000129504
+5 *771:B2 *234:52 9.84673e-05
+6 *771:B2 *237:33 0
+7 *771:B2 *237:40 0
+8 *378:DIODE *771:B2 3.69047e-06
+9 *682:B *771:B2 0
+10 *683:B *771:B2 3.69047e-06
+11 *740:A *771:B2 7.83587e-05
+12 *770:B *771:B2 2.11336e-05
+13 *771:B1 *771:B2 2.31966e-05
+14 *5:726 *771:B2 0.00012401
+15 *130:94 *771:B2 4.58194e-05
+16 *175:22 *771:B2 1.51859e-05
+*RES
+1 *770:X *771:B2 37.5464
+*END
+
+*D_NET *193 0.000826081
+*CONN
+*I *774:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *772:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *774:B1 0.000213968
+2 *772:X 0.000213968
+3 *774:B1 *773:A1 1.92789e-05
+4 *774:B1 *237:54 7.83659e-05
+5 *773:A2 *774:B1 0.000129868
+6 *773:B1 *774:B1 0.000136682
+7 *774:A2 *774:B1 2.82057e-05
+8 *130:94 *774:B1 5.74499e-06
+*RES
+1 *772:X *774:B1 31.4214
+*END
+
+*D_NET *194 0.000510851
+*CONN
+*I *774:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *774:C1 9.58521e-05
+2 *773:Y 9.58521e-05
+3 *774:C1 *237:40 1.41706e-05
+4 *774:C1 *237:54 4.30584e-06
+5 *771:B2 *774:C1 0
+6 *773:A2 *774:C1 0.000175103
+7 *5:726 *774:C1 0
+8 *16:5 *774:C1 0.000125567
+*RES
+1 *773:Y *774:C1 30.4571
+*END
+
+*D_NET *195 0.000440007
+*CONN
+*I *778:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *774:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *778:B2 0.00010284
+2 *774:X 0.00010284
+3 *130:76 *778:B2 0.000165895
+4 *176:14 *778:B2 5.64866e-05
+5 *178:15 *778:B2 1.19455e-05
+*RES
+1 *774:X *778:B2 29.85
+*END
+
+*D_NET *196 0.00205868
+*CONN
+*I *801:B I *D sky130_fd_sc_hd__or2_2
+*I *777:B I *D sky130_fd_sc_hd__or2_2
+*I *775:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *801:B 7.658e-05
+2 *777:B 0
+3 *775:Y 0.000410102
+4 *196:15 0.000486682
+5 *801:B *802:A3 2.77258e-05
+6 *801:B *208:7 4.08637e-05
+7 *196:15 *792:C 0
+8 *196:15 *802:A1 4.96066e-05
+9 *196:15 *802:A3 0.000120504
+10 *196:15 *869:A 1.28585e-05
+11 *196:15 *208:7 5.33005e-05
+12 *196:15 *212:23 3.65069e-05
+13 *196:15 *234:108 0.000186008
+14 *196:15 *234:115 3.02886e-05
+15 *196:15 *237:100 8.673e-05
+16 *196:15 *237:106 4.08637e-05
+17 *196:15 *256:21 9.25941e-05
+18 *196:15 *320:10 0.00014563
+19 *395:DIODE *196:15 5.29722e-05
+20 *775:B *196:15 1.27784e-05
+21 *83:8 *196:15 9.60875e-05
+*RES
+1 *775:Y *196:15 28.4607
+2 *196:15 *777:B 9.3
+3 *196:15 *801:B 10.6571
+*END
+
+*D_NET *197 0.00201823
+*CONN
+*I *791:B I *D sky130_fd_sc_hd__or2_2
+*I *799:C I *D sky130_fd_sc_hd__or3_2
+*I *790:B1 I *D sky130_fd_sc_hd__o221a_2
+*I *787:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *776:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *791:B 5.06688e-05
+2 *799:C 0
+3 *790:B1 0.000102472
+4 *787:B1 0
+5 *776:X 0
+6 *197:26 8.22438e-05
+7 *197:8 0.000251221
+8 *197:4 0.000180324
+9 *790:B1 *790:C1 4.6025e-06
+10 *791:B *750:A 4.27935e-05
+11 *791:B *234:115 0.000227526
+12 *791:B *299:26 0.000248442
+13 *197:8 *750:A 2.14757e-05
+14 *197:8 *299:26 0.000139907
+15 *197:26 *750:A 5.52238e-05
+16 *197:26 *299:26 3.82741e-05
+17 *776:A *197:26 5.04841e-06
+18 *786:B1 *790:B1 7.92169e-05
+19 *786:B1 *197:8 0.000141668
+20 *790:B2 *790:B1 1.63605e-05
+21 *792:A *790:B1 1.28809e-05
+22 *5:409 *790:B1 1.61405e-05
+23 *18:5 *790:B1 0.000133817
+24 *83:20 *197:8 1.39841e-05
+25 *83:25 *197:8 1.92789e-05
+26 *130:45 *790:B1 0.000124414
+27 *130:45 *197:8 1.02504e-05
+28 *187:33 *197:8 0
+29 *187:47 *790:B1 0
+*RES
+1 *776:X *197:4 9.3
+2 *197:4 *197:8 7.80357
+3 *197:8 *787:B1 13.8
+4 *197:8 *790:B1 16.7866
+5 *197:4 *197:26 0.964286
+6 *197:26 *799:C 9.3
+7 *197:26 *791:B 11.8893
+*END
+
+*D_NET *198 0.000491868
+*CONN
+*I *793:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *777:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *793:A1 9.21633e-05
+2 *777:X 9.21633e-05
+3 *793:A1 *792:C 8.37905e-05
+4 *793:A1 *793:A3 3.08135e-05
+5 *385:DIODE *793:A1 9.70737e-05
+6 *777:A *793:A1 9.58632e-05
+*RES
+1 *777:X *793:A1 29.8857
+*END
+
+*D_NET *199 0.000622219
+*CONN
+*I *786:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *779:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *786:A3 0.000123248
+2 *779:X 0.000123248
+3 *786:A3 *806:B1 4.12855e-05
+4 *786:A3 *806:B2 1.10856e-05
+5 *775:B *786:A3 4.58194e-05
+6 *786:A2 *786:A3 4.58194e-05
+7 *5:331 *786:A3 0.000120267
+8 *5:346 *786:A3 2.31791e-05
+9 *83:8 *786:A3 5.48376e-05
+10 *83:20 *786:A3 3.34295e-05
+*RES
+1 *779:X *786:A3 30.7964
+*END
+
+*D_NET *200 0.00468705
+*CONN
+*I *790:C1 I *D sky130_fd_sc_hd__o221a_2
+*I *784:B I *D sky130_fd_sc_hd__and3_2
+*I *788:B I *D sky130_fd_sc_hd__and3_2
+*I *795:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *780:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *790:C1 0.000296585
+2 *784:B 0.000385709
+3 *788:B 0.000303334
+4 *795:B2 0
+5 *780:X 0
+6 *200:8 0.000448809
+7 *200:7 0.000665826
+8 *200:4 0.000431227
+9 *784:B *292:13 0.000509427
+10 *784:B *313:8 2.06112e-05
+11 *788:B *920:A 1.02504e-05
+12 *788:B *312:16 0.000184745
+13 *790:C1 *325:7 0.000209541
+14 *200:7 *325:7 0.000180195
+15 *200:8 *920:A 0.000169584
+16 *760:B *784:B 2.70647e-05
+17 *760:B *790:C1 3.60139e-05
+18 *790:B1 *790:C1 4.6025e-06
+19 *792:A *790:C1 0.000128339
+20 *795:A2 *788:B 0.000120587
+21 *798:B1 *200:8 5.92791e-05
+22 *16:5 *784:B 1.16107e-05
+23 *17:9 *788:B 6.69638e-05
+24 *17:9 *200:8 0.000297597
+25 *18:5 *790:C1 2.8899e-05
+26 *24:12 *788:B 1.72148e-05
+27 *187:47 *790:C1 7.30363e-05
+*RES
+1 *780:X *200:4 9.3
+2 *200:4 *200:7 6.26786
+3 *200:7 *200:8 4.07143
+4 *200:8 *795:B2 13.8
+5 *200:8 *788:B 18.6571
+6 *200:7 *784:B 21.7365
+7 *200:4 *790:C1 23.6393
+*END
+
+*D_NET *201 0.000621687
+*CONN
+*I *784:C I *D sky130_fd_sc_hd__and3_2
+*I *781:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *784:C 0.000148283
+2 *781:X 0.000148283
+3 *784:C *785:A 7.2266e-05
+4 *781:A2 *784:C 8.60361e-05
+5 *5:838 *784:C 5.49995e-05
+6 *16:5 *784:C 2.71192e-05
+7 *178:29 *784:C 3.14003e-05
+8 *178:32 *784:C 5.33005e-05
+*RES
+1 *781:X *784:C 30.8321
+*END
+
+*D_NET *202 0.00131889
+*CONN
+*I *785:A I *D sky130_fd_sc_hd__and3_2
+*I *782:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *785:A 0.00027049
+2 *782:X 0.00027049
+3 *763:B2 *785:A 0.000224498
+4 *781:A2 *785:A 0.000128425
+5 *783:A1 *785:A 5.52302e-05
+6 *784:C *785:A 7.2266e-05
+7 *16:5 *785:A 1.09026e-05
+8 *130:52 *785:A 6.47358e-05
+9 *142:62 *785:A 4.21517e-05
+10 *176:56 *785:A 4.10843e-05
+11 *178:20 *785:A 0.000138618
+*RES
+1 *782:X *785:A 34.6357
+*END
+
+*D_NET *203 0.000307158
+*CONN
+*I *785:B I *D sky130_fd_sc_hd__and3_2
+*I *783:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *785:B 0.000114148
+2 *783:X 0.000114148
+3 *785:B *798:A2 4.00276e-06
+4 *17:9 *785:B 7.29646e-05
+5 *176:56 *785:B 1.89507e-06
+*RES
+1 *783:X *785:B 29.1714
+*END
+
+*D_NET *204 0.00110294
+*CONN
+*I *785:C I *D sky130_fd_sc_hd__and3_2
+*I *784:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *785:C 0.000238692
+2 *784:X 0.000238692
+3 *785:C *798:A2 7.74135e-05
+4 *785:C *325:7 0.000133536
+5 *395:DIODE *785:C 4.31941e-05
+6 *798:A1 *785:C 2.59355e-05
+7 *798:B1 *785:C 0.000101715
+8 *798:B2 *785:C 5.49544e-05
+9 *5:838 *785:C 9.84673e-05
+10 *17:9 *785:C 4.30666e-05
+11 *183:11 *785:C 4.72711e-05
+*RES
+1 *784:X *785:C 33.6179
+*END
+
+*D_NET *205 0.000497196
+*CONN
+*I *798:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *785:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *798:A2 0.000169391
+2 *785:X 0.000169391
+3 *785:B *798:A2 4.00276e-06
+4 *785:C *798:A2 7.74135e-05
+5 *17:9 *798:A2 7.6997e-05
+*RES
+1 *785:X *798:A2 29.9929
+*END
+
+*D_NET *206 0.00268218
+*CONN
+*I *788:C I *D sky130_fd_sc_hd__and3_2
+*I *792:B I *D sky130_fd_sc_hd__and3_2
+*I *787:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *788:C 0.000443228
+2 *792:B 0.000137146
+3 *787:X 8.30097e-05
+4 *206:8 0.000663384
+5 *788:C *921:A 0.000308949
+6 *788:C *921:Z 1.34741e-05
+7 *788:C *926:A 0
+8 *792:B *208:7 0.000140243
+9 *381:DIODE *206:8 9.60875e-05
+10 *384:DIODE *788:C 0.000211947
+11 *384:DIODE *206:8 5.85561e-05
+12 *389:DIODE *788:C 0
+13 *777:A *792:B 7.02183e-05
+14 *790:A1 *788:C 4.28123e-05
+15 *790:A1 *206:8 0.000121871
+16 *790:A2 *788:C 4.99283e-06
+17 *795:A2 *788:C 0.000146523
+18 *18:5 *788:C 4.17196e-05
+19 *130:39 *206:8 9.80173e-05
+*RES
+1 *787:X *206:8 16.3893
+2 *206:8 *792:B 16.4071
+3 *206:8 *788:C 23.8
+*END
+
+*D_NET *207 0.00588786
+*CONN
+*I *789:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *800:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *806:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *789:B1 0.000194402
+2 *800:B1 0
+3 *806:B1 0.00066483
+4 *788:X 0.000352724
+5 *207:12 0.00101068
+6 *207:9 0.00089298
+7 *806:B1 *632:A 5.52302e-05
+8 *806:B1 *800:B2 5.01213e-05
+9 *806:B1 *806:B2 0.000144979
+10 *806:B1 *234:19 0.000221058
+11 *806:B1 *235:52 1.02936e-05
+12 *806:B1 *236:28 4.49331e-05
+13 *806:B1 *236:43 6.05951e-05
+14 *806:B1 *236:67 0.000186304
+15 *806:B1 *334:14 9.87983e-06
+16 *207:9 *921:Z 2.56721e-05
+17 *207:9 *923:A 1.35465e-05
+18 *207:9 *925:A 9.58632e-05
+19 *207:9 *312:16 0
+20 *207:12 *792:C 3.50566e-05
+21 *207:12 *800:B2 1.41029e-05
+22 *207:12 *802:A2 5.36379e-05
+23 *207:12 *926:A 7.75718e-05
+24 *384:DIODE *789:B1 8.34321e-05
+25 *384:DIODE *207:9 0.000137639
+26 *384:DIODE *207:12 5.41894e-05
+27 *385:DIODE *207:12 0.000149518
+28 *389:DIODE *207:12 5.38072e-05
+29 *776:B *806:B1 5.06514e-05
+30 *786:A3 *806:B1 4.12855e-05
+31 *800:A1 *207:12 1.24469e-05
+32 *806:A2 *806:B1 5.52238e-05
+33 *5:346 *806:B1 0.000182235
+34 *5:346 *207:12 2.26973e-05
+35 *5:393 *789:B1 2.16663e-05
+36 *5:398 *207:12 0.000215701
+37 *5:409 *207:12 0.000196198
+38 *18:5 *207:9 7.83659e-05
+39 *19:8 *789:B1 9.84673e-05
+40 *20:15 *207:12 2.37944e-05
+41 *83:8 *806:B1 5.64168e-05
+42 *83:20 *806:B1 0.00013966
+43 *130:39 *806:B1 0
+*RES
+1 *788:X *207:9 23.1571
+2 *207:9 *207:12 13.125
+3 *207:12 *806:B1 28.3714
+4 *207:12 *800:B1 13.8
+5 *207:9 *789:B1 12.2107
+*END
+
+*D_NET *208 0.00306504
+*CONN
+*I *793:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *790:X O *D sky130_fd_sc_hd__o221a_2
+*CAP
+1 *793:A2 0.000164763
+2 *802:A1 0.000154849
+3 *790:X 0.00018707
+4 *208:7 0.000506681
+5 *793:A2 *929:TE 5.33005e-05
+6 *793:A2 *931:TE_B 0.000141935
+7 *793:A2 *212:23 0.000190736
+8 *793:A2 *316:8 8.67814e-06
+9 *793:A2 *320:10 0.000184438
+10 *793:A2 *325:7 0.000272338
+11 *802:A1 *212:23 0.000236361
+12 *802:A1 *320:10 0.000123388
+13 *208:7 *793:A3 4.58194e-05
+14 *208:7 *802:A3 6.57032e-05
+15 *395:DIODE *802:A1 5.52238e-05
+16 *777:A *208:7 0.000389741
+17 *792:B *208:7 0.000140243
+18 *801:B *208:7 4.08637e-05
+19 *196:15 *802:A1 4.96066e-05
+20 *196:15 *208:7 5.33005e-05
+*RES
+1 *790:X *208:7 18.8536
+2 *208:7 *802:A1 17.4964
+3 *208:7 *793:A2 19.2464
+*END
+
+*D_NET *209 0.00309141
+*CONN
+*I *806:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *792:C I *D sky130_fd_sc_hd__and3_2
+*I *791:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *806:B2 0.000342616
+2 *792:C 0.000408349
+3 *791:X 0
+4 *209:5 0.000750965
+5 *792:C *793:A3 0.000121809
+6 *792:C *802:A2 0.000100474
+7 *792:C *256:21 1.07593e-05
+8 *806:B2 *632:A 5.52302e-05
+9 *806:B2 *797:A 2.4761e-05
+10 *806:B2 *235:52 0.000180777
+11 *806:B2 *237:100 1.34969e-05
+12 *806:B2 *256:21 3.48235e-05
+13 *806:B2 *334:14 0.000103532
+14 *385:DIODE *792:C 5.33005e-05
+15 *395:DIODE *792:C 7.48407e-05
+16 *775:B *806:B2 8.07951e-05
+17 *777:A *792:C 1.65914e-05
+18 *786:A3 *806:B2 1.10856e-05
+19 *791:A *792:C 9.91086e-05
+20 *793:A1 *792:C 8.37905e-05
+21 *800:A1 *792:C 1.01912e-05
+22 *806:B1 *806:B2 0.000144979
+23 *5:290 *806:B2 0
+24 *5:331 *806:B2 0.000120267
+25 *5:346 *792:C 9.57659e-05
+26 *5:346 *806:B2 0.000118048
+27 *196:15 *792:C 0
+28 *207:12 *792:C 3.50566e-05
+*RES
+1 *791:X *209:5 13.8
+2 *209:5 *792:C 22.1929
+3 *209:5 *806:B2 22.4964
+*END
+
+*D_NET *210 0.00148568
+*CONN
+*I *793:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *792:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *793:A3 0.000206318
+2 *802:A2 0.000151988
+3 *792:X 0
+4 *210:4 0.000358305
+5 *793:A3 *320:10 0
+6 *802:A2 *320:10 0.000136951
+7 *385:DIODE *793:A3 1.90984e-05
+8 *395:DIODE *793:A3 0.000134458
+9 *792:C *793:A3 0.000121809
+10 *792:C *802:A2 0.000100474
+11 *793:A1 *793:A3 3.08135e-05
+12 *800:A2 *802:A2 0.000126008
+13 *207:12 *802:A2 5.36379e-05
+14 *208:7 *793:A3 4.58194e-05
+*RES
+1 *792:X *210:4 9.3
+2 *210:4 *802:A2 22.2464
+3 *210:4 *793:A3 22.4071
+*END
+
+*D_NET *211 0.000290175
+*CONN
+*I *797:B I *D sky130_fd_sc_hd__nand2_2
+*I *796:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *797:B 0.000113396
+2 *796:Y 0.000113396
+3 *797:B *796:A1 6.05161e-06
+4 *797:B *796:A2 5.74499e-06
+5 *797:B *796:B1 5.15855e-05
+*RES
+1 *796:Y *797:B 20.3857
+*END
+
+*D_NET *212 0.00526822
+*CONN
+*I *798:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *797:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *798:A3 0
+2 *797:Y 0.00137328
+3 *212:23 0.00137328
+4 *212:23 *796:A2 5.33005e-05
+5 *212:23 *796:B1 9.41642e-05
+6 *212:23 *801:A 5.82654e-05
+7 *212:23 *869:A 2.06112e-05
+8 *212:23 *921:TE 2.83425e-05
+9 *212:23 *929:TE 7.06273e-05
+10 *212:23 *931:TE_B 0.000101812
+11 *212:23 *234:108 0.000390534
+12 *212:23 *234:115 5.41797e-06
+13 *212:23 *263:18 0.000612852
+14 *212:23 *292:13 0.000434915
+15 *212:23 *325:7 7.14833e-05
+16 *793:A2 *212:23 0.000190736
+17 *793:B1 *212:23 3.22062e-05
+18 *793:B2 *212:23 2.85234e-05
+19 *798:B1 *212:23 5.50052e-05
+20 *802:A1 *212:23 0.000236361
+21 *196:15 *212:23 3.65069e-05
+*RES
+1 *797:Y *212:23 45.2107
+2 *212:23 *798:A3 9.3
+*END
+
+*D_NET *213 0.000399079
+*CONN
+*I *800:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *799:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *800:B2 9.73013e-05
+2 *799:X 9.73013e-05
+3 *800:B2 *299:26 5.71472e-05
+4 *384:DIODE *800:B2 6.77303e-05
+5 *806:B1 *800:B2 5.01213e-05
+6 *130:39 *800:B2 1.53743e-05
+7 *207:12 *800:B2 1.41029e-05
+*RES
+1 *799:X *800:B2 29.7786
+*END
+
+*D_NET *214 0.00104462
+*CONN
+*I *802:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *801:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *802:A3 0.00035423
+2 *801:X 0.00035423
+3 *395:DIODE *802:A3 0.000122226
+4 *801:B *802:A3 2.77258e-05
+5 *196:15 *802:A3 0.000120504
+6 *208:7 *802:A3 6.57032e-05
+*RES
+1 *801:X *802:A3 32.6536
+*END
+
+*D_NET *215 0.000924309
+*CONN
+*I *805:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *804:X O *D sky130_fd_sc_hd__and3b_2
+*CAP
+1 *805:B1 0.000358951
+2 *804:X 0.000358951
+3 *805:B1 *873:Z 0
+4 *805:B1 *875:TE_B 0.00013097
+5 *805:B1 *264:6 7.54384e-05
+*RES
+1 *804:X *805:B1 33.4393
+*END
+
+*D_NET *216 0.0250991
+*CONN
+*I *845:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *840:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *843:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *842:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *841:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *844:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *839:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *836:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *832:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *850:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *849:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *854:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *834:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *831:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *835:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *847:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *833:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *848:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *846:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *837:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *851:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *838:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *852:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *853:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *941:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *845:CLK 0
+2 *840:CLK 0.000151287
+3 *843:CLK 0.00017343
+4 *842:CLK 8.22832e-05
+5 *841:CLK 0.000123277
+6 *844:CLK 0
+7 *839:CLK 0.000326328
+8 *836:CLK 0
+9 *832:CLK 9.9274e-05
+10 *850:CLK 0
+11 *849:CLK 0
+12 *854:A 0.000173985
+13 *834:CLK 0
+14 *831:CLK 0
+15 *835:CLK 2.9734e-05
+16 *847:CLK 0
+17 *833:CLK 0.000535124
+18 *848:CLK 0
+19 *846:CLK 0
+20 *837:CLK 0
+21 *851:CLK 1.34013e-05
+22 *838:CLK 0.000164077
+23 *852:CLK 8.93338e-05
+24 *853:CLK 0.000275325
+25 *941:Y 0
+26 *216:222 0.000323853
+27 *216:212 0.000398543
+28 *216:202 0.000653308
+29 *216:175 0.000364702
+30 *216:145 0.000259778
+31 *216:144 0.000571128
+32 *216:131 0.00112221
+33 *216:119 2.9734e-05
+34 *216:97 0.000664782
+35 *216:94 0.000478285
+36 *216:88 0.000709388
+37 *216:83 0.000507931
+38 *216:81 0.000956983
+39 *216:79 0.000450572
+40 *216:73 0.000376488
+41 *216:72 0.000660904
+42 *216:64 0.000772434
+43 *216:56 0.00125776
+44 *216:46 0.000708099
+45 *216:43 0.000508303
+46 *216:13 0.000724987
+47 *216:9 0.000637527
+48 *216:4 0.000315727
+49 *833:CLK *333:95 0
+50 *838:CLK *643:A 3.45371e-05
+51 *838:CLK *643:B 1.33343e-05
+52 *838:CLK *220:36 9.85893e-05
+53 *838:CLK *225:8 0
+54 *838:CLK *225:13 5.81933e-05
+55 *839:CLK *686:A 2.59355e-05
+56 *839:CLK *686:B 2.89114e-05
+57 *839:CLK *333:55 2.89016e-05
+58 *839:CLK *333:64 0.000116049
+59 *841:CLK *233:8 9.56968e-05
+60 *851:CLK *647:A 3.29421e-05
+61 *854:A *672:A 8.11395e-05
+62 *854:A *672:B 0.000103266
+63 *854:A *826:B 4.16984e-05
+64 *216:13 *829:B 2.59355e-05
+65 *216:13 *226:8 0.00014183
+66 *216:46 *647:A 8.66324e-05
+67 *216:46 *941:A 0
+68 *216:46 *220:36 0.000320024
+69 *216:46 *221:10 0
+70 *216:64 *691:A 0
+71 *216:64 *333:212 0.000124019
+72 *216:64 *333:219 6.96703e-05
+73 *216:94 *847:D 5.76121e-05
+74 *216:131 *217:7 0.000144377
+75 *216:131 *217:9 0.000115141
+76 *216:131 *217:11 3.69047e-06
+77 *216:144 *650:A 9.87672e-05
+78 *216:144 *650:B 1.21258e-05
+79 *216:144 *672:B 0.000148903
+80 *216:144 *217:11 0.000178542
+81 *216:175 *333:55 7.70092e-05
+82 *216:202 *691:A 0.000196314
+83 *216:202 *239:19 0.000123495
+84 *216:222 *233:8 4.66203e-05
+85 *411:DIODE *839:CLK 2.09897e-05
+86 *425:DIODE *216:145 2.89114e-05
+87 *429:DIODE *216:94 0.000181796
+88 *635:S *852:CLK 8.71047e-05
+89 *639:S *216:144 1.76135e-05
+90 *657:A *853:CLK 0.000184882
+91 *719:A2_N *842:CLK 1.58163e-05
+92 *719:A2_N *843:CLK 0.000362545
+93 *719:A2_N *216:222 8.15095e-05
+94 *719:B2 *842:CLK 4.58194e-05
+95 *720:A *216:202 0.000142856
+96 *720:B *216:202 5.33005e-05
+97 *722:S *216:202 0.000210742
+98 *722:S *216:212 4.47762e-05
+99 *723:B *841:CLK 6.32965e-05
+100 *726:B *216:64 0
+101 *729:A2 *216:56 9.58632e-05
+102 *732:A *832:CLK 0
+103 *733:B1 *216:81 0
+104 *733:B1 *216:131 0.00014258
+105 *735:A1 *216:131 0.000146533
+106 *739:B *216:88 5.33334e-05
+107 *809:A *833:CLK 1.10956e-05
+108 *817:A *840:CLK 3.97677e-05
+109 *817:A *216:56 2.85234e-05
+110 *827:A *854:A 0.000204413
+111 *832:D *832:CLK 6.05161e-06
+112 *835:RESET_B *216:83 0
+113 *835:RESET_B *216:88 0
+114 *836:D *216:175 6.05161e-06
+115 *836:RESET_B *839:CLK 1.74352e-05
+116 *836:RESET_B *216:175 4.6096e-05
+117 *837:D *840:CLK 1.0484e-05
+118 *837:D *216:56 2.33087e-05
+119 *837:D *216:64 0.000141337
+120 *837:RESET_B *216:64 4.87811e-05
+121 *838:RESET_B *216:46 0.000202835
+122 *841:D *841:CLK 9.84729e-05
+123 *844:D *843:CLK 4.38511e-05
+124 *844:D *216:222 9.11365e-06
+125 *846:D *216:94 0.0003638
+126 *846:RESET_B *216:94 2.51837e-05
+127 *852:RESET_B *838:CLK 8.49318e-05
+128 *853:D *853:CLK 5.6153e-05
+129 *853:RESET_B *852:CLK 0.000169804
+130 *5:27 *216:9 9.60875e-05
+131 *5:27 *216:13 1.33343e-05
+132 *5:37 *216:9 2.23592e-05
+133 *5:43 *216:9 7.09928e-05
+134 *5:43 *216:43 0.000282025
+135 *5:51 *216:43 1.24368e-05
+136 *5:105 *835:CLK 1.83053e-05
+137 *5:108 *216:83 7.98318e-05
+138 *5:108 *216:88 0.000124083
+139 *5:109 *216:88 2.89114e-05
+140 *5:129 *216:83 6.63763e-05
+141 *5:175 *833:CLK 6.19181e-05
+142 *5:561 *839:CLK 0.000140933
+143 *5:590 *216:64 9.51514e-06
+144 *5:613 *216:144 0
+145 *11:8 *838:CLK 0.000173606
+146 *11:8 *854:A 0
+147 *11:8 *216:144 0.000221163
+148 *29:8 *833:CLK 4.038e-06
+149 *29:8 *216:97 5.72433e-05
+150 *32:27 *216:13 2.89114e-05
+151 *88:19 *832:CLK 3.30803e-05
+152 *88:19 *216:73 0
+153 *88:19 *216:79 0
+154 *88:19 *216:81 0
+155 *88:77 *851:CLK 5.76547e-05
+156 *88:77 *216:46 0.000388153
+157 *89:5 *833:CLK 0.000217894
+158 *89:34 *216:131 0.000228508
+159 *100:10 *216:144 0.000271302
+160 *102:10 *216:144 5.49489e-05
+161 *139:19 *216:64 2.6942e-05
+162 *139:19 *216:72 0.000128002
+163 *140:22 *841:CLK 1.34497e-05
+164 *141:8 *216:202 0
+165 *145:15 *843:CLK 0
+166 *145:24 *841:CLK 5.7616e-05
+167 *145:24 *216:222 7.15687e-05
+168 *145:53 *843:CLK 0
+*RES
+1 *941:Y *216:4 9.3
+2 *216:4 *216:9 3.14286
+3 *216:9 *216:13 5.89286
+4 *216:13 *853:CLK 15.175
+5 *216:13 *852:CLK 20.55
+6 *216:9 *838:CLK 23.175
+7 *216:4 *216:43 5.05357
+8 *216:43 *216:46 13.7321
+9 *216:46 *851:CLK 14.5321
+10 *216:46 *216:56 9.14286
+11 *216:56 *216:64 19.3929
+12 *216:64 *837:CLK 9.3
+13 *216:64 *216:72 5.85714
+14 *216:72 *216:73 2.55357
+15 *216:73 *216:79 2.91071
+16 *216:79 *216:81 2.85714
+17 *216:81 *216:83 2.85714
+18 *216:83 *216:88 10.9821
+19 *216:88 *846:CLK 9.3
+20 *216:88 *216:94 9.16071
+21 *216:94 *216:97 6.75
+22 *216:97 *848:CLK 13.8
+23 *216:97 *833:CLK 21.9429
+24 *216:94 *847:CLK 9.3
+25 *216:83 *216:119 4.5
+26 *216:119 *835:CLK 9.83571
+27 *216:119 *831:CLK 9.3
+28 *216:81 *216:131 17.7679
+29 *216:131 *834:CLK 9.3
+30 *216:131 *216:144 20.625
+31 *216:144 *216:145 1.35714
+32 *216:145 *854:A 22.925
+33 *216:145 *849:CLK 9.3
+34 *216:144 *850:CLK 9.3
+35 *216:79 *832:CLK 15.5857
+36 *216:73 *216:175 5.85714
+37 *216:175 *836:CLK 9.3
+38 *216:175 *839:CLK 24.9786
+39 *216:72 *216:202 13.9464
+40 *216:202 *844:CLK 9.3
+41 *216:202 *216:212 7.82143
+42 *216:212 *841:CLK 17.6036
+43 *216:212 *216:222 2.25
+44 *216:222 *842:CLK 15.1571
+45 *216:222 *843:CLK 18.4786
+46 *216:56 *840:CLK 11.3893
+47 *216:43 *845:CLK 9.3
+*END
+
+*D_NET *217 0.00810496
+*CONN
+*I *734:B I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *725:C I *D sky130_fd_sc_hd__and3_2
+*I *736:A I *D sky130_fd_sc_hd__nand3_2
+*I *650:A I *D sky130_fd_sc_hd__and2_2
+*I *672:A I *D sky130_fd_sc_hd__or2_2
+*I *651:A I *D sky130_fd_sc_hd__nand2_2
+*I *639:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *834:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *734:B 0.000125353
+2 *731:A2 1.3683e-05
+3 *725:C 7.92572e-05
+4 *736:A 0.00017099
+5 *650:A 7.19447e-05
+6 *672:A 0.000136511
+7 *651:A 0.0001511
+8 *639:A0 0
+9 *834:Q 3.10251e-05
+10 *217:64 0.000229956
+11 *217:15 0.000195575
+12 *217:13 0.000426749
+13 *217:11 0.000854388
+14 *217:9 0.000927308
+15 *217:7 0.000723849
+16 *217:5 0.000523574
+17 *650:A *650:B 7.50713e-05
+18 *650:A *222:21 0
+19 *651:A *651:B 5.52238e-05
+20 *651:A *222:17 0.000169359
+21 *651:A *222:21 1.04232e-05
+22 *725:C *725:A 0
+23 *725:C *218:11 5.72784e-05
+24 *725:C *218:21 1.33343e-05
+25 *725:C *219:18 0.000185643
+26 *731:A2 *218:11 5.52302e-05
+27 *731:A2 *219:18 1.56e-05
+28 *736:A *638:A0 0.000178092
+29 *217:13 *650:B 0.000124521
+30 *217:13 *222:21 0.000181796
+31 *217:15 *222:17 1.38323e-05
+32 *217:15 *222:21 1.24368e-05
+33 *217:64 *638:A0 0
+34 *217:64 *218:26 0
+35 *405:DIODE *736:A 5.71472e-05
+36 *673:B *672:A 0.00036496
+37 *735:A1 *217:5 5.52302e-05
+38 *735:A1 *217:7 5.52238e-05
+39 *735:A2 *734:B 0.000116526
+40 *736:B *736:A 5.52238e-05
+41 *736:C *734:B 0.000168217
+42 *826:A *651:A 3.48705e-05
+43 *827:A *672:A 0.000227014
+44 *834:D *736:A 0.000114296
+45 *834:D *217:64 0
+46 *834:RESET_B *217:64 3.34366e-05
+47 *849:D *651:A 0.000137983
+48 *854:A *672:A 8.11395e-05
+49 *5:88 *217:64 0.000108295
+50 *5:613 *672:A 6.81083e-05
+51 *102:10 *217:11 2.1768e-05
+52 *168:8 *217:64 5.59013e-05
+53 *216:131 *217:7 0.000144377
+54 *216:131 *217:9 0.000115141
+55 *216:131 *217:11 3.69047e-06
+56 *216:144 *650:A 9.87672e-05
+57 *216:144 *217:11 0.000178542
+*RES
+1 *834:Q *217:5 9.83571
+2 *217:5 *217:7 4.64286
+3 *217:7 *217:9 3
+4 *217:9 *217:11 7.10714
+5 *217:11 *217:13 3.41071
+6 *217:13 *217:15 0.535714
+7 *217:15 *639:A0 9.3
+8 *217:15 *651:A 13.1571
+9 *217:13 *672:A 23.5143
+10 *217:11 *650:A 11.7107
+11 *217:9 *736:A 23.2107
+12 *217:7 *217:64 12.1607
+13 *217:64 *725:C 11.8
+14 *217:64 *731:A2 9.83571
+15 *217:5 *734:B 22.3714
+*END
+
+*D_NET *218 0.00457194
+*CONN
+*I *734:A I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *649:A I *D sky130_fd_sc_hd__and2_2
+*I *652:A I *D sky130_fd_sc_hd__xor2_2
+*I *638:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *725:B I *D sky130_fd_sc_hd__and3_2
+*I *835:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *734:A 0.000180167
+2 *731:A1 1.34132e-05
+3 *649:A 0
+4 *652:A 0
+5 *638:A0 0.000298722
+6 *725:B 2.23854e-05
+7 *835:Q 0
+8 *218:26 0.000443144
+9 *218:21 0.000239752
+10 *218:11 0.000231223
+11 *218:8 0.00038575
+12 *218:4 0.000438996
+13 *638:A0 *638:A1 0.00027095
+14 *638:A0 *649:B 1.02504e-05
+15 *638:A0 *223:17 0.000125724
+16 *731:A1 *333:50 3.17163e-05
+17 *734:A *811:B 3.69047e-06
+18 *734:A *812:B 1.97381e-05
+19 *734:A *333:41 2.34204e-05
+20 *218:8 *811:B 3.32631e-05
+21 *218:8 *333:41 4.35131e-05
+22 *218:8 *333:50 0.000168067
+23 *218:11 *219:18 1.82549e-05
+24 *218:21 *219:18 4.14279e-05
+25 *218:21 *219:23 5.62995e-05
+26 *218:26 *649:B 0.000216771
+27 *218:26 *219:23 2.89114e-05
+28 *405:DIODE *638:A0 0.000186662
+29 *725:C *218:11 5.72784e-05
+30 *725:C *218:21 1.33343e-05
+31 *731:A2 *218:11 5.52302e-05
+32 *732:A *218:11 0.000178847
+33 *736:A *638:A0 0.000178092
+34 *736:C *734:A 0.000150618
+35 *834:D *638:A0 0
+36 *834:D *218:8 0
+37 *5:88 *731:A1 4.90803e-05
+38 *5:88 *218:8 0.000288557
+39 *5:105 *734:A 1.34703e-05
+40 *168:5 *218:11 5.52238e-05
+41 *168:8 *218:26 0
+42 *217:64 *638:A0 0
+43 *217:64 *218:26 0
+*RES
+1 *835:Q *218:4 9.3
+2 *218:4 *218:8 10.7321
+3 *218:8 *218:11 7.5
+4 *218:11 *725:B 9.72857
+5 *218:11 *218:21 2.5
+6 *218:21 *218:26 7.89286
+7 *218:26 *638:A0 21.1571
+8 *218:26 *652:A 13.8
+9 *218:21 *649:A 9.3
+10 *218:8 *731:A1 14.5321
+11 *218:4 *734:A 21.6036
+*END
+
+*D_NET *219 0.00466066
+*CONN
+*I *725:A I *D sky130_fd_sc_hd__and3_2
+*I *647:A I *D sky130_fd_sc_hd__or2_2
+*I *637:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *646:A I *D sky130_fd_sc_hd__nor2_2
+*I *648:A I *D sky130_fd_sc_hd__and2_2
+*I *731:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *836:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *725:A 2.23854e-05
+2 *647:A 0.000221139
+3 *637:A0 0
+4 *646:A 5.75355e-05
+5 *648:A 9.16301e-05
+6 *731:B1 2.27742e-05
+7 *836:Q 8.71685e-05
+8 *219:37 9.22714e-05
+9 *219:34 0.000384421
+10 *219:23 0.000409408
+11 *219:18 0.000629296
+12 *219:8 0.000527621
+13 *647:A *647:B 0.000340028
+14 *647:A *648:B 4.44838e-05
+15 *731:B1 *333:50 4.58096e-05
+16 *219:8 *333:50 3.48235e-05
+17 *219:8 *333:55 5.39629e-06
+18 *219:34 *648:B 0.00021214
+19 *654:B1 *648:A 7.77652e-05
+20 *660:A *646:A 0.000223675
+21 *660:A *219:34 5.1937e-05
+22 *660:A *219:37 0.000139331
+23 *662:A *647:A 0
+24 *725:C *725:A 0
+25 *725:C *219:18 0.000185643
+26 *731:A2 *219:18 1.56e-05
+27 *732:A *219:18 1.1152e-05
+28 *732:B *219:18 1.72894e-05
+29 *851:CLK *647:A 3.29421e-05
+30 *851:D *647:A 7.74068e-05
+31 *5:604 *646:A 8.22448e-05
+32 *5:604 *219:37 6.57815e-05
+33 *88:39 *219:23 0
+34 *88:39 *219:34 0
+35 *88:65 *647:A 3.8663e-05
+36 *88:65 *219:34 2.02339e-05
+37 *88:77 *647:A 5.24684e-06
+38 *129:17 *647:A 4.884e-05
+39 *139:19 *646:A 2.42662e-05
+40 *168:8 *219:23 0
+41 *168:8 *219:34 0
+42 *171:10 *731:B1 2.58997e-05
+43 *171:10 *219:8 3.69697e-05
+44 *171:12 *731:B1 1.99099e-05
+45 *216:46 *647:A 8.66324e-05
+46 *218:11 *219:18 1.82549e-05
+47 *218:21 *219:18 4.14279e-05
+48 *218:21 *219:23 5.62995e-05
+49 *218:26 *219:23 2.89114e-05
+*RES
+1 *836:Q *219:8 15.3714
+2 *219:8 *731:B1 14.8357
+3 *219:8 *219:18 9.96429
+4 *219:18 *219:23 7.19643
+5 *219:23 *648:A 15.5857
+6 *219:23 *219:34 3.16071
+7 *219:34 *219:37 5.85714
+8 *219:37 *646:A 11.4786
+9 *219:37 *637:A0 9.3
+10 *219:34 *647:A 20.4429
+11 *219:18 *725:A 9.72857
+*END
+
+*D_NET *220 0.00546725
+*CONN
+*I *726:A I *D sky130_fd_sc_hd__and2_2
+*I *636:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *643:A I *D sky130_fd_sc_hd__nor2_2
+*I *645:A I *D sky130_fd_sc_hd__and2_2
+*I *729:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *837:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *726:A 9.13864e-05
+2 *636:A0 0
+3 *643:A 0.000110608
+4 *645:A 0.000172083
+5 *729:A1 1.75165e-05
+6 *837:Q 0.000194414
+7 *220:36 0.000896038
+8 *220:20 0.00112867
+9 *220:19 0.000422504
+10 *220:8 0.000371886
+11 *643:A *643:B 0.000227532
+12 *220:8 *814:B 2.24718e-05
+13 *220:20 *727:A1 5.52238e-05
+14 *220:36 *643:B 1.02936e-05
+15 *220:36 *645:B 0
+16 *220:36 *225:8 0
+17 *220:36 *225:13 1.01817e-05
+18 *662:A *220:36 0
+19 *726:B *220:19 0.000301728
+20 *727:A2 *220:20 4.13595e-05
+21 *729:A2 *729:A1 1.34e-05
+22 *729:B1 *220:8 5.54817e-06
+23 *729:B1 *220:19 7.10698e-05
+24 *837:RESET_B *220:8 0.000141941
+25 *838:CLK *643:A 3.45371e-05
+26 *838:CLK *220:36 9.85893e-05
+27 *838:D *220:36 0.000205366
+28 *852:D *643:A 3.18676e-05
+29 *88:77 *220:19 0
+30 *88:77 *220:20 1.40034e-05
+31 *88:82 *645:A 0.00012389
+32 *88:82 *220:20 3.69047e-06
+33 *168:8 *220:8 0.000124749
+34 *168:8 *220:19 5.5764e-05
+35 *169:14 *220:19 0.000148911
+36 *216:46 *220:36 0.000320024
+*RES
+1 *837:Q *220:8 17.2107
+2 *220:8 *729:A1 14.0768
+3 *220:8 *220:19 9.17857
+4 *220:19 *220:20 2.58929
+5 *220:20 *645:A 12.7286
+6 *220:20 *220:36 21.0179
+7 *220:36 *643:A 12.2107
+8 *220:36 *636:A0 9.3
+9 *220:19 *726:A 10.675
+*END
+
+*D_NET *221 0.00606094
+*CONN
+*I *640:A I *D sky130_fd_sc_hd__nand2_2
+*I *641:A I *D sky130_fd_sc_hd__or2_2
+*I *635:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *727:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *730:A I *D sky130_fd_sc_hd__nand2_2
+*I *728:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *838:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *640:A 0
+2 *641:A 2.23854e-05
+3 *635:A0 0.000269414
+4 *727:A1 1.1624e-05
+5 *730:A 0.000179683
+6 *728:A_N 0.000106852
+7 *838:Q 0
+8 *221:55 0.00090294
+9 *221:43 0.00114509
+10 *221:14 0.000342706
+11 *221:10 0.000284857
+12 *221:5 0.000751013
+13 *635:A0 *635:A1 0.000312203
+14 *221:10 *333:219 0
+15 *221:10 *333:235 0
+16 *221:43 *640:B 1.34907e-05
+17 *221:43 *333:235 3.68898e-05
+18 *221:43 *333:245 5.52238e-05
+19 *221:55 *640:B 0.000183791
+20 *221:55 *641:B 3.22243e-05
+21 *221:55 *830:B 7.6644e-05
+22 *221:55 *226:19 1.21859e-05
+23 *413:DIODE *221:43 8.76257e-05
+24 *642:B *635:A0 5.52302e-05
+25 *727:A2 *727:A1 5.52238e-05
+26 *730:B *730:A 0.00018077
+27 *822:A *221:10 0
+28 *822:A *221:43 0
+29 *838:RESET_B *221:10 0
+30 *838:RESET_B *221:43 5.33005e-05
+31 *4:8 *635:A0 0.000100831
+32 *5:15 *635:A0 4.58976e-05
+33 *5:15 *221:55 9.47028e-05
+34 *5:688 *221:43 5.71472e-05
+35 *32:27 *635:A0 3.0285e-05
+36 *88:77 *221:10 3.67452e-05
+37 *88:77 *221:14 7.83462e-05
+38 *90:15 *635:A0 8.68409e-05
+39 *90:15 *221:55 1.66568e-05
+40 *97:7 *728:A_N 0
+41 *129:17 *728:A_N 4.88515e-05
+42 *129:17 *730:A 5.74225e-05
+43 *169:14 *221:10 3.17148e-05
+44 *169:14 *221:14 0.000148911
+45 *216:46 *221:10 0
+46 *220:20 *727:A1 5.52238e-05
+*RES
+1 *838:Q *221:5 13.8
+2 *221:5 *221:10 4.53571
+3 *221:10 *221:14 6.44643
+4 *221:14 *728:A_N 11.0857
+5 *221:14 *730:A 12.7286
+6 *221:10 *727:A1 14.3357
+7 *221:5 *221:43 14.4643
+8 *221:43 *221:55 18.125
+9 *221:55 *635:A0 24.4071
+10 *221:55 *641:A 9.72857
+11 *221:43 *640:A 9.3
+*END
+
+*D_NET *222 0.00333912
+*CONN
+*I *639:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *650:B I *D sky130_fd_sc_hd__and2_2
+*I *672:B I *D sky130_fd_sc_hd__or2_2
+*I *651:B I *D sky130_fd_sc_hd__nand2_2
+*I *849:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *639:A1 0
+2 *650:B 5.5316e-05
+3 *672:B 0.00019443
+4 *651:B 1.40568e-05
+5 *849:Q 0.000510921
+6 *222:21 0.000328512
+7 *222:17 0.000136466
+8 *222:10 0.000582678
+9 *405:DIODE *672:B 0
+10 *639:S *222:21 3.18676e-05
+11 *650:A *650:B 7.50713e-05
+12 *650:A *222:21 0
+13 *651:A *651:B 5.52238e-05
+14 *651:A *222:17 0.000169359
+15 *651:A *222:21 1.04232e-05
+16 *671:B *222:10 0
+17 *826:A *651:B 2.89016e-05
+18 *826:A *222:17 0.000117553
+19 *826:A *222:21 1.39726e-05
+20 *827:A *672:B 7.30592e-05
+21 *849:RESET_B *222:10 9.23759e-05
+22 *854:A *672:B 0.000103266
+23 *4:8 *222:10 0.000121573
+24 *5:613 *672:B 3.0285e-05
+25 *11:8 *672:B 9.64979e-05
+26 *39:10 *672:B 3.69047e-06
+27 *216:144 *650:B 1.21258e-05
+28 *216:144 *672:B 0.000148903
+29 *217:13 *650:B 0.000124521
+30 *217:13 *222:21 0.000181796
+31 *217:15 *222:17 1.38323e-05
+32 *217:15 *222:21 1.24368e-05
+*RES
+1 *849:Q *222:10 24.9964
+2 *222:10 *651:B 9.83571
+3 *222:10 *222:17 2.17857
+4 *222:17 *222:21 2.19643
+5 *222:21 *672:B 23.6393
+6 *222:21 *650:B 11.0857
+7 *222:17 *639:A1 9.3
+*END
+
+*D_NET *223 0.00164907
+*CONN
+*I *649:B I *D sky130_fd_sc_hd__and2_2
+*I *652:B I *D sky130_fd_sc_hd__xor2_2
+*I *638:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *850:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *649:B 0.000102816
+2 *652:B 0
+3 *638:A1 0.000148765
+4 *850:Q 9.31148e-05
+5 *223:17 0.00017081
+6 *223:7 0.000309874
+7 *405:DIODE *638:A1 0.00010096
+8 *638:A0 *638:A1 0.00027095
+9 *638:A0 *649:B 1.02504e-05
+10 *638:A0 *223:17 0.000125724
+11 *736:B *638:A1 4.18895e-05
+12 *850:RESET_B *638:A1 0
+13 *88:39 *638:A1 5.71472e-05
+14 *88:39 *649:B 0
+15 *88:39 *223:17 0
+16 *218:26 *649:B 0.000216771
+*RES
+1 *850:Q *223:7 14.7464
+2 *223:7 *638:A1 18.2107
+3 *223:7 *223:17 1.64286
+4 *223:17 *652:B 4.5
+5 *223:17 *649:B 16.6571
+*END
+
+*D_NET *224 0.00294085
+*CONN
+*I *647:B I *D sky130_fd_sc_hd__or2_2
+*I *648:B I *D sky130_fd_sc_hd__and2_2
+*I *637:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *646:B I *D sky130_fd_sc_hd__nor2_2
+*I *851:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *647:B 0.000173552
+2 *648:B 0.000166911
+3 *637:A1 0
+4 *646:B 0
+5 *851:Q 0.000333582
+6 *224:28 0.00043252
+7 *224:20 0.000314179
+8 *224:8 0.000555703
+9 *647:A *647:B 0.000340028
+10 *647:A *648:B 4.44838e-05
+11 *660:A *224:20 0.000180207
+12 *660:A *224:28 0.000128706
+13 *851:RESET_B *224:8 3.85567e-05
+14 *5:604 *648:B 0
+15 *5:604 *224:20 2.02794e-05
+16 *88:39 *648:B 0
+17 *219:34 *648:B 0.00021214
+*RES
+1 *851:Q *224:8 18.4429
+2 *224:8 *646:B 13.8
+3 *224:8 *224:20 7.94643
+4 *224:20 *637:A1 9.3
+5 *224:20 *224:28 5.85714
+6 *224:28 *648:B 17.2643
+7 *224:28 *647:B 18.175
+*END
+
+*D_NET *225 0.00236279
+*CONN
+*I *645:B I *D sky130_fd_sc_hd__and2_2
+*I *636:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *643:B I *D sky130_fd_sc_hd__nor2_2
+*I *852:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *645:B 0.000145285
+2 *636:A1 0
+3 *643:B 0.000168303
+4 *852:Q 0.000353432
+5 *225:13 0.000458299
+6 *225:8 0.000788713
+7 *225:8 *941:A 0
+8 *635:S *225:8 0
+9 *643:A *643:B 0.000227532
+10 *662:A *645:B 3.34295e-05
+11 *838:CLK *643:B 1.33343e-05
+12 *838:CLK *225:8 0
+13 *838:CLK *225:13 5.81933e-05
+14 *838:D *645:B 0
+15 *838:D *225:8 0
+16 *838:D *225:13 6.05161e-06
+17 *852:D *643:B 3.48705e-05
+18 *11:8 *645:B 0
+19 *90:15 *225:8 5.48674e-05
+20 *220:36 *643:B 1.02936e-05
+21 *220:36 *645:B 0
+22 *220:36 *225:8 0
+23 *220:36 *225:13 1.01817e-05
+*RES
+1 *852:Q *225:8 19.3179
+2 *225:8 *225:13 8.23214
+3 *225:13 *643:B 13.1393
+4 *225:13 *636:A1 9.3
+5 *225:8 *645:B 16.2821
+*END
+
+*D_NET *226 0.00301535
+*CONN
+*I *641:B I *D sky130_fd_sc_hd__or2_2
+*I *635:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *640:B I *D sky130_fd_sc_hd__nand2_2
+*I *853:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *641:B 4.25872e-05
+2 *635:A1 0.0003972
+3 *640:B 0.000177222
+4 *853:Q 0.000170498
+5 *226:19 0.000464956
+6 *226:8 0.000372889
+7 *641:B *830:B 9.11365e-06
+8 *226:8 *333:246 3.64887e-05
+9 *226:19 *830:B 1.09232e-05
+10 *226:19 *333:246 1.6959e-05
+11 *635:A0 *635:A1 0.000312203
+12 *32:27 *635:A1 2.04825e-05
+13 *32:27 *641:B 4.02415e-05
+14 *32:27 *226:8 6.01582e-05
+15 *32:27 *226:19 3.55407e-05
+16 *90:15 *635:A1 1.79326e-05
+17 *90:15 *640:B 0.000446434
+18 *216:13 *226:8 0.00014183
+19 *221:43 *640:B 1.34907e-05
+20 *221:55 *640:B 0.000183791
+21 *221:55 *641:B 3.22243e-05
+22 *221:55 *226:19 1.21859e-05
+*RES
+1 *853:Q *226:8 16.8
+2 *226:8 *640:B 19.6929
+3 *226:8 *226:19 1.1875
+4 *226:19 *635:A1 19.2554
+5 *226:19 *641:B 14.9875
+*END
+
+*D_NET *227 0.00051401
+*CONN
+*I *847:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *846:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *847:D 0.0002035
+2 *846:Q 0.0002035
+3 *429:DIODE *847:D 4.93979e-05
+4 *216:94 *847:D 5.76121e-05
+*RES
+1 *846:Q *847:D 21.6179
+*END
+
+*D_NET *228 0.00221658
+*CONN
+*I *633:A I *D sky130_fd_sc_hd__xnor2_2
+*I *634:A I *D sky130_fd_sc_hd__xor2_2
+*I *848:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *847:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *633:A 0.000223267
+2 *634:A 0
+3 *848:D 0.000232759
+4 *847:Q 0.000104245
+5 *228:15 0.000336714
+6 *228:6 0.000450451
+7 *633:A *633:B 0.000247808
+8 *848:D *229:8 1.92789e-05
+9 *848:D *333:95 8.07313e-05
+10 *228:15 *633:B 1.02504e-05
+11 *228:15 *229:8 0.000128154
+12 *421:DIODE *848:D 0.000382923
+13 *847:RESET_B *228:6 0
+14 *847:RESET_B *228:15 0
+*RES
+1 *847:Q *228:6 15.4429
+2 *228:6 *848:D 20.4964
+3 *228:6 *228:15 2.55357
+4 *228:15 *634:A 13.8
+5 *228:15 *633:A 18.55
+*END
+
+*D_NET *229 0.000890345
+*CONN
+*I *633:B I *D sky130_fd_sc_hd__xnor2_2
+*I *634:B I *D sky130_fd_sc_hd__xor2_2
+*I *848:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *633:B 0.00013276
+2 *634:B 0
+3 *848:Q 0.000109667
+4 *229:8 0.000242427
+5 *633:A *633:B 0.000247808
+6 *847:RESET_B *633:B 0
+7 *847:RESET_B *229:8 0
+8 *848:D *229:8 1.92789e-05
+9 *228:15 *633:B 1.02504e-05
+10 *228:15 *229:8 0.000128154
+*RES
+1 *848:Q *229:8 15.9786
+2 *229:8 *634:B 4.5
+3 *229:8 *633:B 17.1929
+*END
+
+*D_NET *230 0.00329789
+*CONN
+*I *686:B I *D sky130_fd_sc_hd__and3_2
+*I *738:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *739:A I *D sky130_fd_sc_hd__or2_2
+*I *831:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *686:B 0.000240444
+2 *738:A1 0
+3 *739:A 0.000272719
+4 *831:Q 0.000277546
+5 *230:11 0.000311581
+6 *230:8 0.000556851
+7 *686:B *690:A 1.63058e-05
+8 *686:B *738:A0 0.000197991
+9 *686:B *333:64 3.84291e-05
+10 *739:A *738:A0 5.71472e-05
+11 *230:11 *738:A0 0.000136951
+12 *411:DIODE *686:B 0
+13 *686:C *686:B 0.00031588
+14 *690:C *686:B 1.02504e-05
+15 *739:B *686:B 4.49293e-05
+16 *739:B *739:A 0
+17 *739:B *230:8 0.000100823
+18 *809:A *230:11 9.41642e-05
+19 *831:D *739:A 8.15939e-05
+20 *831:RESET_B *230:8 0.000135163
+21 *832:RESET_B *686:B 2.82057e-05
+22 *839:CLK *686:B 2.89114e-05
+23 *5:108 *739:A 0
+24 *5:140 *739:A 0.000142856
+25 *5:140 *230:11 4.18895e-05
+26 *5:146 *739:A 0
+27 *5:146 *230:8 7.23941e-05
+28 *5:561 *686:B 2.26327e-05
+29 *89:34 *739:A 7.22263e-05
+*RES
+1 *831:Q *230:8 18.1393
+2 *230:8 *230:11 5.85714
+3 *230:11 *739:A 24.3357
+4 *230:11 *738:A1 9.3
+5 *230:8 *686:B 20.5321
+*END
+
+*D_NET *231 0.00273254
+*CONN
+*I *737:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *690:A I *D sky130_fd_sc_hd__and4_2
+*I *738:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *832:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A0 0.000114861
+2 *690:A 0.000286059
+3 *738:A0 0.000183382
+4 *832:Q 0
+5 *231:4 0.000584302
+6 *690:A *686:A 0
+7 *690:A *238:10 0
+8 *690:A *333:64 0.000182357
+9 *737:A0 *686:A 2.27416e-05
+10 *738:A0 *333:64 2.26424e-05
+11 *686:B *690:A 1.63058e-05
+12 *686:B *738:A0 0.000197991
+13 *690:C *690:A 0
+14 *739:A *738:A0 5.71472e-05
+15 *809:A *738:A0 2.28598e-05
+16 *832:RESET_B *738:A0 0.000173528
+17 *839:D *690:A 6.92148e-06
+18 *88:19 *737:A0 0.000310686
+19 *88:19 *738:A0 0.000136958
+20 *89:5 *738:A0 8.17274e-05
+21 *89:34 *738:A0 0.0001399
+22 *140:11 *690:A 5.52238e-05
+23 *230:11 *738:A0 0.000136951
+*RES
+1 *832:Q *231:4 9.3
+2 *231:4 *738:A0 24.3893
+3 *231:4 *690:A 24.175
+4 *231:4 *737:A0 12.7286
+*END
+
+*D_NET *232 0.00232431
+*CONN
+*I *737:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *686:A I *D sky130_fd_sc_hd__and3_2
+*I *833:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A1 0
+2 *686:A 0.000355879
+3 *833:Q 0.000572517
+4 *232:11 0.000928396
+5 *690:A *686:A 0
+6 *737:A0 *686:A 2.27416e-05
+7 *833:D *232:11 0
+8 *839:CLK *686:A 2.59355e-05
+9 *29:8 *686:A 0
+10 *29:8 *232:11 0.000104236
+11 *88:5 *232:11 1.92789e-05
+12 *88:19 *686:A 1.32552e-05
+13 *88:19 *232:11 2.24763e-05
+14 *89:5 *232:11 0.000259596
+*RES
+1 *833:Q *232:11 26.8536
+2 *232:11 *686:A 23.5857
+3 *232:11 *737:A1 9.3
+*END
+
+*D_NET *233 0.00575884
+*CONN
+*I *632:A I *D sky130_fd_sc_hd__inv_2
+*I *680:B I *D sky130_fd_sc_hd__or2_2
+*I *693:B I *D sky130_fd_sc_hd__nand2_2
+*I *701:A I *D sky130_fd_sc_hd__nor2_2
+*I *719:B1 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *841:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *632:A 2.91938e-05
+2 *680:B 0
+3 *693:B 0
+4 *701:A 0
+5 *719:B1 0
+6 *841:Q 0.000774719
+7 *233:33 9.35256e-05
+8 *233:28 0.000344059
+9 *233:15 0.000514006
+10 *233:8 0.001009
+11 *632:A *234:19 6.04042e-05
+12 *632:A *235:52 4.43256e-05
+13 *233:15 *236:10 8.99641e-05
+14 *233:15 *236:19 0.000173649
+15 *233:28 *234:37 1.90936e-05
+16 *233:28 *236:19 0.000105959
+17 *233:28 *236:28 3.89376e-05
+18 *233:33 *693:A 5.33005e-05
+19 *233:33 *234:19 0.00010096
+20 *233:33 *234:21 0.000139913
+21 *233:33 *235:52 9.02232e-05
+22 *704:B1 *233:28 0.000188529
+23 *716:A1 *233:28 9.78703e-05
+24 *717:B *233:28 0.000153411
+25 *719:A2_N *233:8 5.83304e-05
+26 *719:A2_N *233:15 0.000585957
+27 *719:A2_N *233:28 0.000117189
+28 *806:B1 *632:A 5.52302e-05
+29 *806:B2 *632:A 5.52302e-05
+30 *841:CLK *233:8 9.56968e-05
+31 *841:D *233:8 0.00010133
+32 *841:RESET_B *233:8 2.28709e-05
+33 *130:7 *233:33 9.60939e-05
+34 *140:22 *233:8 0.000322453
+35 *140:22 *233:15 8.07951e-05
+36 *216:222 *233:8 4.66203e-05
+*RES
+1 *841:Q *233:8 25.8
+2 *233:8 *719:B1 13.8
+3 *233:8 *233:15 7.71429
+4 *233:15 *701:A 13.8
+5 *233:15 *233:28 12.2679
+6 *233:28 *693:B 9.3
+7 *233:28 *233:33 2.58929
+8 *233:33 *680:B 9.3
+9 *233:33 *632:A 10.6571
+*END
+
+*D_NET *234 0.0189731
+*CONN
+*I *801:A I *D sky130_fd_sc_hd__or2_2
+*I *799:A I *D sky130_fd_sc_hd__or3_2
+*I *750:A I *D sky130_fd_sc_hd__or3_2
+*I *796:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *680:A I *D sky130_fd_sc_hd__or2_2
+*I *631:A I *D sky130_fd_sc_hd__inv_2
+*I *770:A I *D sky130_fd_sc_hd__or2_2
+*I *753:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *749:A I *D sky130_fd_sc_hd__or2_2
+*I *693:A I *D sky130_fd_sc_hd__nand2_2
+*I *842:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *801:A 0.000338249
+2 *799:A 0
+3 *750:A 0.000445776
+4 *796:A2 0.0002912
+5 *680:A 0
+6 *631:A 3.69126e-05
+7 *770:A 4.89125e-05
+8 *753:A1 0.000497092
+9 *749:A 0
+10 *693:A 6.93228e-05
+11 *842:Q 0.000712781
+12 *234:115 0.00068949
+13 *234:108 0.000759016
+14 *234:97 0.000592848
+15 *234:52 0.00116234
+16 *234:47 0.00123453
+17 *234:37 0.00136879
+18 *234:21 0.000907069
+19 *234:19 0.000314416
+20 *234:8 0.00112555
+21 *693:A *630:A 5.04841e-06
+22 *693:A *235:52 1.21258e-05
+23 *750:A *256:21 0.000266247
+24 *750:A *263:18 4.06573e-06
+25 *750:A *299:26 0.000414034
+26 *753:A1 *883:A 1.92582e-05
+27 *753:A1 *908:TE 0
+28 *753:A1 *307:14 5.50052e-05
+29 *796:A2 *796:A1 2.59355e-05
+30 *796:A2 *796:B1 1.98839e-05
+31 *801:A *869:A 0.00020546
+32 *801:A *263:18 0.000104041
+33 *234:8 *804:C 0
+34 *234:8 *263:18 0.000717865
+35 *234:37 *772:A1 0.000217128
+36 *234:37 *236:28 7.69776e-06
+37 *234:37 *334:14 1.56427e-05
+38 *234:52 *862:A 7.74068e-05
+39 *234:52 *877:A 0
+40 *234:52 *882:TE_B 0
+41 *234:52 *883:A 5.0725e-05
+42 *234:52 *267:8 8.73559e-05
+43 *234:97 *263:18 0.000198748
+44 *234:108 *797:A 2.42135e-05
+45 *234:108 *237:100 1.43455e-05
+46 *234:108 *263:18 1.08359e-05
+47 *234:115 *865:A 6.05161e-06
+48 *234:115 *299:26 8.13137e-05
+49 *384:DIODE *750:A 0.000276211
+50 *632:A *234:19 6.04042e-05
+51 *685:D_N *631:A 2.78576e-05
+52 *695:A3 *693:A 0.000136733
+53 *695:A3 *234:19 8.52379e-05
+54 *695:A3 *234:21 0.000127806
+55 *700:B *631:A 2.59355e-05
+56 *704:A1 *234:37 0.000136572
+57 *704:B2 *234:47 2.84109e-05
+58 *708:B1 *234:47 0.00022367
+59 *750:C *750:A 9.69083e-06
+60 *753:A2 *753:A1 3.47843e-05
+61 *770:B *234:47 9.7912e-05
+62 *771:B1 *753:A1 2.34087e-05
+63 *771:B1 *234:52 7.6779e-05
+64 *771:B2 *234:47 0.000129504
+65 *771:B2 *234:52 9.84673e-05
+66 *778:A2 *750:A 4.48585e-05
+67 *778:B1 *750:A 0
+68 *786:B1 *750:A 4.37992e-05
+69 *791:A *234:115 2.59355e-05
+70 *791:B *750:A 4.27935e-05
+71 *791:B *234:115 0.000227526
+72 *794:A2 *801:A 0.000211235
+73 *794:B2 *801:A 1.61784e-05
+74 *797:B *796:A2 5.74499e-06
+75 *806:A2 *234:8 5.71474e-05
+76 *806:A2 *234:19 2.49715e-05
+77 *806:A2 *234:97 2.38674e-05
+78 *806:B1 *234:19 0.000221058
+79 *841:RESET_B *234:8 9.41642e-05
+80 *842:RESET_B *234:8 0.000112106
+81 *5:279 *234:97 2.91193e-05
+82 *5:307 *234:97 4.92942e-05
+83 *5:307 *234:108 0.000117903
+84 *5:377 *801:A 1.00375e-05
+85 *5:384 *801:A 4.76908e-05
+86 *5:700 *234:52 3.75959e-05
+87 *5:714 *234:52 5.29711e-05
+88 *14:7 *753:A1 0
+89 *29:8 *234:8 0.000544816
+90 *83:20 *750:A 0.00014183
+91 *83:20 *234:115 0.000216121
+92 *84:26 *631:A 5.01876e-06
+93 *84:26 *234:47 6.59135e-05
+94 *130:7 *693:A 1.98839e-05
+95 *130:39 *750:A 1.88175e-05
+96 *130:76 *750:A 1.61785e-05
+97 *131:31 *234:47 0.000265453
+98 *178:15 *750:A 4.43299e-05
+99 *178:49 *753:A1 6.29573e-05
+100 *178:49 *234:52 4.46186e-06
+101 *180:6 *753:A1 5.90031e-05
+102 *180:49 *753:A1 6.44542e-05
+103 *196:15 *234:108 0.000186008
+104 *196:15 *234:115 3.02886e-05
+105 *197:8 *750:A 2.14757e-05
+106 *197:26 *750:A 5.52238e-05
+107 *212:23 *796:A2 5.33005e-05
+108 *212:23 *801:A 5.82654e-05
+109 *212:23 *234:108 0.000390534
+110 *212:23 *234:115 5.41797e-06
+111 *233:28 *234:37 1.90936e-05
+112 *233:33 *693:A 5.33005e-05
+113 *233:33 *234:19 0.00010096
+114 *233:33 *234:21 0.000139913
+*RES
+1 *842:Q *234:8 31.3446
+2 *234:8 *234:19 9.6875
+3 *234:19 *234:21 1.35714
+4 *234:21 *693:A 11.0857
+5 *234:21 *234:37 13.2293
+6 *234:37 *234:47 14.4911
+7 *234:47 *234:52 15.6429
+8 *234:52 *749:A 13.8
+9 *234:52 *753:A1 23.2643
+10 *234:47 *770:A 19.0321
+11 *234:37 *631:A 14.6125
+12 *234:19 *680:A 9.3
+13 *234:8 *234:97 3.36607
+14 *234:97 *796:A2 17.425
+15 *234:97 *234:108 5.4375
+16 *234:108 *234:115 10.3661
+17 *234:115 *750:A 29.5701
+18 *234:115 *799:A 9.3
+19 *234:108 *801:A 26.3928
+*END
+
+*D_NET *235 0.0103146
+*CONN
+*I *796:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *742:C I *D sky130_fd_sc_hd__or3_2
+*I *772:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *630:A I *D sky130_fd_sc_hd__inv_2
+*I *681:B I *D sky130_fd_sc_hd__or2_2
+*I *714:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *697:A I *D sky130_fd_sc_hd__nor2_2
+*I *698:A I *D sky130_fd_sc_hd__and2_2
+*I *843:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *796:A1 8.51625e-05
+2 *742:C 0.000164255
+3 *772:B1 0.000392378
+4 *630:A 3.71983e-05
+5 *681:B 1.69531e-05
+6 *714:A0 0
+7 *697:A 0.000184498
+8 *698:A 0.000443779
+9 *843:Q 4.57627e-05
+10 *235:61 0.000673764
+11 *235:52 0.00044172
+12 *235:49 0.000443057
+13 *235:40 0.000280459
+14 *235:13 0.000756753
+15 *235:9 0.000744863
+16 *235:5 0.000855151
+17 *681:B *681:A 5.33005e-05
+18 *742:C *629:A 5.52302e-05
+19 *742:C *742:A 1.40034e-05
+20 *742:C *236:67 8.57023e-05
+21 *742:C *237:75 1.04232e-05
+22 *772:B1 *759:A 5.52302e-05
+23 *796:A1 *263:18 0.000137224
+24 *235:5 *263:21 5.33005e-05
+25 *235:40 *804:C 0.000317984
+26 *235:40 *263:18 0.000602992
+27 *235:49 *804:C 1.03904e-05
+28 *235:49 *263:18 0.000183392
+29 *402:DIODE *235:52 5.33005e-05
+30 *632:A *235:52 4.43256e-05
+31 *685:D_N *697:A 0.000184626
+32 *692:A *772:B1 1.62487e-05
+33 *692:A *235:61 6.49408e-05
+34 *693:A *630:A 5.04841e-06
+35 *693:A *235:52 1.21258e-05
+36 *695:A3 *235:52 5.74499e-06
+37 *710:A2 *698:A 5.65955e-05
+38 *714:A1 *698:A 0.000117543
+39 *714:A1 *235:13 5.33433e-05
+40 *714:S *235:9 3.99614e-06
+41 *717:A *235:61 0.000119895
+42 *742:B *742:C 8.11028e-06
+43 *759:C *772:B1 4.18156e-05
+44 *759:C *235:61 0.000115935
+45 *772:C1 *772:B1 1.46576e-05
+46 *775:B *742:C 2.28499e-05
+47 *794:B1 *796:A1 0.000146474
+48 *794:B1 *235:49 0.000170308
+49 *796:A2 *796:A1 2.59355e-05
+50 *797:B *796:A1 6.05161e-06
+51 *806:A2 *235:52 6.86693e-05
+52 *806:B1 *235:52 1.02936e-05
+53 *806:B2 *235:52 0.000180777
+54 *843:D *235:9 5.91306e-05
+55 *843:RESET_B *235:5 1.69961e-05
+56 *843:RESET_B *235:9 2.81497e-05
+57 *5:290 *235:52 0.00016641
+58 *84:7 *742:C 5.52302e-05
+59 *84:10 *742:C 1.33116e-05
+60 *86:13 *772:B1 0.000132713
+61 *87:7 *235:52 0.000438788
+62 *87:41 *742:C 4.16551e-05
+63 *87:41 *235:61 8.44271e-06
+64 *129:58 *698:A 0
+65 *130:7 *235:52 0.000142856
+66 *131:20 *681:B 5.33005e-05
+67 *131:62 *742:C 1.04707e-05
+68 *131:62 *235:61 6.71524e-05
+69 *143:5 *235:52 1.92789e-05
+70 *145:15 *697:A 0
+71 *145:53 *697:A 0
+72 *145:53 *235:9 5.52238e-05
+73 *145:53 *235:13 9.22103e-06
+74 *145:58 *698:A 7.49387e-06
+75 *148:5 *698:A 3.76708e-05
+76 *149:13 *698:A 0.000120686
+77 *187:11 *772:B1 4.56129e-05
+78 *233:33 *235:52 9.02232e-05
+*RES
+1 *843:Q *235:5 10.2464
+2 *235:5 *235:9 9.58929
+3 *235:9 *235:13 2.08929
+4 *235:13 *698:A 15.7464
+5 *235:13 *697:A 22.675
+6 *235:9 *714:A0 9.3
+7 *235:5 *235:40 12.2143
+8 *235:40 *681:B 14.3357
+9 *235:40 *235:49 2.55357
+10 *235:49 *235:52 12.8393
+11 *235:52 *630:A 10.0321
+12 *235:52 *235:61 7.35714
+13 *235:61 *772:B1 20.6929
+14 *235:61 *742:C 17.3357
+15 *235:49 *796:A1 16.2821
+*END
+
+*D_NET *236 0.0121032
+*CONN
+*I *804:B I *D sky130_fd_sc_hd__and3b_2
+*I *743:A I *D sky130_fd_sc_hd__nor2_2
+*I *629:A I *D sky130_fd_sc_hd__inv_2
+*I *779:A I *D sky130_fd_sc_hd__or2_2
+*I *796:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *772:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *681:A I *D sky130_fd_sc_hd__or2_2
+*I *712:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *844:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *804:B 0.000329704
+2 *743:A 0.000220665
+3 *629:A 1.42796e-05
+4 *779:A 0
+5 *796:B1 0.000271723
+6 *772:A1 0.000440105
+7 *681:A 0.000241007
+8 *712:A0 0.000105728
+9 *844:Q 2.23854e-05
+10 *236:67 0.000506146
+11 *236:46 0.000299048
+12 *236:43 0.000366979
+13 *236:28 0.000722078
+14 *236:19 0.000739437
+15 *236:10 0.000940533
+16 *236:5 0.000901983
+17 *743:A *256:21 9.70883e-05
+18 *743:A *278:12 0.00011802
+19 *743:A *299:26 5.33005e-05
+20 *772:A1 *334:14 9.58352e-05
+21 *804:B *804:C 4.45896e-06
+22 *236:28 *334:14 0
+23 *382:DIODE *236:43 1.30999e-05
+24 *382:DIODE *236:67 1.21317e-05
+25 *403:DIODE *681:A 5.33005e-05
+26 *681:B *681:A 5.33005e-05
+27 *695:A2 *236:10 8.07879e-05
+28 *695:A2 *236:19 0.000318316
+29 *695:A3 *236:19 6.93626e-06
+30 *695:A3 *236:28 3.59945e-05
+31 *704:A1 *772:A1 0.000251754
+32 *704:B1 *236:28 0
+33 *717:B *236:19 8.17227e-05
+34 *742:B *743:A 7.46461e-05
+35 *742:C *629:A 5.52302e-05
+36 *742:C *236:67 8.57023e-05
+37 *773:B1 *772:A1 8.78911e-05
+38 *775:B *629:A 5.33005e-05
+39 *775:B *236:67 9.6961e-05
+40 *776:B *743:A 4.11055e-05
+41 *776:B *236:67 5.59013e-05
+42 *779:B *796:B1 1.85392e-05
+43 *786:A1 *236:67 2.30969e-05
+44 *786:A2 *236:67 1.24368e-05
+45 *786:B1 *743:A 2.9995e-05
+46 *786:B1 *236:67 0.000216982
+47 *786:B2 *236:67 9.64653e-05
+48 *796:A2 *796:B1 1.98839e-05
+49 *797:B *796:B1 5.15855e-05
+50 *806:B1 *236:28 4.49331e-05
+51 *806:B1 *236:43 6.05951e-05
+52 *806:B1 *236:67 0.000186304
+53 *842:D *681:A 0.000139337
+54 *842:D *236:10 8.56716e-05
+55 *842:D *236:19 0.000196411
+56 *844:RESET_B *804:B 6.81335e-05
+57 *844:RESET_B *236:10 6.3622e-05
+58 *5:235 *804:B 1.15281e-05
+59 *5:235 *236:10 0
+60 *5:241 *804:B 1.32552e-05
+61 *5:252 *804:B 2.97829e-05
+62 *5:307 *796:B1 7.31177e-05
+63 *5:311 *796:B1 4.51726e-05
+64 *5:311 *236:46 2.59355e-05
+65 *29:8 *236:10 0
+66 *83:25 *743:A 2.59355e-05
+67 *83:30 *772:A1 8.64748e-05
+68 *86:13 *772:A1 6.26774e-06
+69 *87:41 *743:A 0
+70 *130:21 *236:28 0
+71 *131:20 *681:A 0.000373856
+72 *131:62 *236:67 8.51829e-05
+73 *140:22 *236:10 0.000324882
+74 *142:29 *772:A1 0.000752012
+75 *142:29 *236:43 7.69776e-06
+76 *145:7 *712:A0 9.71197e-05
+77 *145:11 *712:A0 5.33005e-05
+78 *187:33 *743:A 0.000101616
+79 *212:23 *796:B1 9.41642e-05
+80 *233:15 *236:10 8.99641e-05
+81 *233:15 *236:19 0.000173649
+82 *233:28 *236:19 0.000105959
+83 *233:28 *236:28 3.89376e-05
+84 *234:37 *772:A1 0.000217128
+85 *234:37 *236:28 7.69776e-06
+*RES
+1 *844:Q *236:5 9.72857
+2 *236:5 *236:10 14.8214
+3 *236:10 *712:A0 15.1571
+4 *236:10 *236:19 9.23214
+5 *236:19 *681:A 19.675
+6 *236:19 *236:28 3.91964
+7 *236:28 *772:A1 23.4974
+8 *236:28 *236:43 1.1875
+9 *236:43 *236:46 5.03571
+10 *236:46 *796:B1 14.8
+11 *236:46 *779:A 9.3
+12 *236:43 *236:67 11.3036
+13 *236:67 *629:A 9.83571
+14 *236:67 *743:A 28.829
+15 *236:5 *804:B 13.5321
+*END
+
+*D_NET *237 0.0127878
+*CONN
+*I *804:C I *D sky130_fd_sc_hd__and3b_2
+*I *628:A I *D sky130_fd_sc_hd__inv_2
+*I *775:A I *D sky130_fd_sc_hd__nand2_2
+*I *797:A I *D sky130_fd_sc_hd__nand2_2
+*I *759:A I *D sky130_fd_sc_hd__or3_2
+*I *742:A I *D sky130_fd_sc_hd__or3_2
+*I *774:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *682:A I *D sky130_fd_sc_hd__or2_2
+*I *709:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *706:A I *D sky130_fd_sc_hd__xnor2_2
+*I *845:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *804:C 0.000884148
+2 *628:A 0
+3 *775:A 0
+4 *797:A 6.19728e-05
+5 *759:A 8.2448e-05
+6 *742:A 1.52323e-05
+7 *774:A1 1.83541e-05
+8 *773:A1 1.55834e-05
+9 *682:A 0
+10 *709:A1 5.57655e-05
+11 *706:A 0.00032186
+12 *845:Q 0.000241356
+13 *237:106 0.00094461
+14 *237:100 0.000125144
+15 *237:89 0.000538502
+16 *237:75 0.000548342
+17 *237:62 0.000349719
+18 *237:54 0.000443194
+19 *237:40 0.000273
+20 *237:33 0.000320171
+21 *237:14 0.000377625
+22 *237:12 0.00044851
+23 *706:A *246:22 4.14706e-05
+24 *709:A1 *246:22 4.58194e-05
+25 *797:A *334:14 2.11419e-05
+26 *804:C *867:TE_B 1.04232e-05
+27 *804:C *945:A 8.9163e-05
+28 *804:C *947:A 6.72242e-05
+29 *804:C *251:19 5.65542e-05
+30 *804:C *263:18 2.54537e-05
+31 *804:C *333:144 1.41029e-05
+32 *804:C *333:155 0.000117144
+33 *804:C *333:162 4.97121e-06
+34 *804:C *333:177 7.25984e-05
+35 *237:89 *256:21 5.51261e-05
+36 *237:89 *278:12 0.000156791
+37 *237:89 *334:14 0.000292361
+38 *682:B *237:33 4.61656e-05
+39 *705:A1 *237:12 8.22478e-05
+40 *705:B1 *237:12 7.38652e-05
+41 *705:B1 *237:33 5.49657e-05
+42 *706:B *706:A 9.71197e-05
+43 *708:B1 *237:33 0.000138705
+44 *709:B1 *706:A 0.000132957
+45 *709:B2 *237:12 3.51442e-05
+46 *709:B2 *237:33 0.000115101
+47 *742:C *742:A 1.40034e-05
+48 *742:C *237:75 1.04232e-05
+49 *744:B *237:62 4.82865e-05
+50 *770:B *759:A 7.40514e-05
+51 *771:B2 *237:33 0
+52 *771:B2 *237:40 0
+53 *772:B1 *759:A 5.52302e-05
+54 *773:A2 *237:54 2.12687e-05
+55 *774:A2 *774:A1 1.44931e-05
+56 *774:A2 *237:40 4.56437e-05
+57 *774:A2 *237:54 0.000149319
+58 *774:B1 *773:A1 1.92789e-05
+59 *774:B1 *237:54 7.83659e-05
+60 *774:C1 *237:40 1.41706e-05
+61 *774:C1 *237:54 4.30584e-06
+62 *775:B *237:62 5.96516e-05
+63 *775:B *237:75 2.89114e-05
+64 *775:B *237:100 5.80706e-06
+65 *776:B *237:89 5.03809e-05
+66 *794:B1 *804:C 0.000481089
+67 *803:B1 *804:C 0.000369104
+68 *804:B *804:C 4.45896e-06
+69 *806:B2 *797:A 2.4761e-05
+70 *806:B2 *237:100 1.34969e-05
+71 *841:RESET_B *804:C 0.000100831
+72 *842:RESET_B *804:C 0.000112106
+73 *843:RESET_B *804:C 0.00012401
+74 *845:D *237:12 7.43578e-06
+75 *5:241 *804:C 1.33343e-05
+76 *5:252 *804:C 0.000136951
+77 *5:307 *797:A 5.83233e-05
+78 *5:351 *237:89 0
+79 *29:8 *804:C 0
+80 *83:8 *237:100 2.14757e-05
+81 *83:8 *237:106 4.27437e-05
+82 *84:10 *759:A 6.12311e-05
+83 *84:10 *237:75 9.60337e-06
+84 *84:26 *237:12 9.82074e-06
+85 *84:26 *237:33 7.32699e-05
+86 *84:26 *237:40 0.000149911
+87 *86:13 *759:A 5.71472e-05
+88 *129:63 *237:12 0.00014183
+89 *130:94 *773:A1 5.52238e-05
+90 *131:62 *237:89 0.000339195
+91 *142:29 *237:54 8.70725e-06
+92 *142:29 *237:62 0.000123163
+93 *145:58 *237:12 0.000364974
+94 *145:58 *237:33 5.59013e-05
+95 *176:14 *237:62 0.000303168
+96 *178:15 *237:62 1.76096e-05
+97 *181:18 *804:C 5.52302e-05
+98 *181:18 *237:100 9.41642e-05
+99 *181:18 *237:106 0.000178847
+100 *187:33 *759:A 6.5919e-05
+101 *187:33 *237:75 1.24469e-05
+102 *196:15 *237:100 8.673e-05
+103 *196:15 *237:106 4.08637e-05
+104 *234:8 *804:C 0
+105 *234:108 *797:A 2.42135e-05
+106 *234:108 *237:100 1.43455e-05
+107 *235:40 *804:C 0.000317984
+108 *235:49 *804:C 1.03904e-05
+*RES
+1 *845:Q *237:12 20.8
+2 *237:12 *237:14 4.5
+3 *237:14 *706:A 15.1036
+4 *237:14 *709:A1 10.2464
+5 *237:12 *237:33 5.21429
+6 *237:33 *682:A 13.8
+7 *237:33 *237:40 2.55357
+8 *237:40 *773:A1 14.3357
+9 *237:40 *237:54 7.5625
+10 *237:54 *774:A1 9.72857
+11 *237:54 *237:62 5.72321
+12 *237:62 *742:A 9.72857
+13 *237:62 *237:75 5.74107
+14 *237:75 *759:A 16.1304
+15 *237:75 *237:89 8.30833
+16 *237:89 *797:A 15.2911
+17 *237:89 *237:100 6.33036
+18 *237:100 *775:A 9.3
+19 *237:100 *237:106 1.76786
+20 *237:106 *628:A 9.3
+21 *237:106 *804:C 41.8402
+*END
+
+*D_NET *238 0.00453574
+*CONN
+*I *723:A I *D sky130_fd_sc_hd__nand2_2
+*I *724:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *684:B I *D sky130_fd_sc_hd__or2_2
+*I *685:B I *D sky130_fd_sc_hd__or4bb_2
+*I *691:B I *D sky130_fd_sc_hd__nand2_2
+*I *839:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *723:A 0
+2 *724:A1 1.53311e-05
+3 *684:B 0.000420394
+4 *685:B 4.17747e-05
+5 *691:B 0.000114457
+6 *839:Q 0.000519176
+7 *238:28 0.000612955
+8 *238:17 0.000378116
+9 *238:15 0.000228461
+10 *238:10 0.000619434
+11 *684:B *684:A 0.000140714
+12 *685:B *685:A 2.85321e-05
+13 *691:B *691:A 0
+14 *685:D_N *684:B 9.60281e-05
+15 *685:D_N *238:28 2.84071e-05
+16 *690:A *238:10 0
+17 *690:B *238:28 2.48421e-05
+18 *697:B *684:B 0.000140823
+19 *699:A *684:B 0.00010096
+20 *723:B *238:15 0.000327171
+21 *723:B *238:17 5.66971e-05
+22 *724:B1 *238:15 0.000117956
+23 *839:D *238:10 0
+24 *839:RESET_B *238:10 2.52688e-05
+25 *841:D *684:B 9.32966e-05
+26 *841:D *238:28 1.11451e-05
+27 *844:D *684:B 0
+28 *29:8 *238:10 0
+29 *139:19 *691:B 0.000102207
+30 *139:19 *238:17 2.44318e-05
+31 *140:11 *724:A1 1.02301e-05
+32 *140:11 *238:15 1.69961e-05
+33 *140:11 *238:17 9.80009e-05
+34 *140:22 *238:15 0.000141935
+*RES
+1 *839:Q *238:10 24.8893
+2 *238:10 *238:15 4.375
+3 *238:15 *238:17 2.58929
+4 *238:17 *691:B 11.4964
+5 *238:17 *238:28 7.35714
+6 *238:28 *685:B 14.3357
+7 *238:28 *684:B 22.6214
+8 *238:15 *724:A1 9.72857
+9 *238:10 *723:A 9.3
+*END
+
+*D_NET *239 0.00291395
+*CONN
+*I *685:A I *D sky130_fd_sc_hd__or4bb_2
+*I *684:A I *D sky130_fd_sc_hd__or2_2
+*I *722:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *691:A I *D sky130_fd_sc_hd__nand2_2
+*I *840:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *685:A 0.000253281
+2 *684:A 0.00026202
+3 *722:A0 6.74279e-05
+4 *691:A 0.000199613
+5 *840:Q 0
+6 *239:19 0.000499206
+7 *239:7 0.000416649
+8 *239:4 0.000300559
+9 *684:B *684:A 0.000140714
+10 *685:B *685:A 2.85321e-05
+11 *685:C_N *685:A 0.000187126
+12 *685:C_N *691:A 0
+13 *685:C_N *239:7 1.21258e-05
+14 *685:C_N *239:19 0
+15 *691:B *691:A 0
+16 *697:B *684:A 2.78637e-05
+17 *721:B *722:A0 9.83388e-05
+18 *129:37 *239:19 0
+19 *139:19 *691:A 0.000100685
+20 *216:64 *691:A 0
+21 *216:202 *691:A 0.000196314
+22 *216:202 *239:19 0.000123495
+*RES
+1 *840:Q *239:4 9.3
+2 *239:4 *239:7 5.03571
+3 *239:7 *691:A 18.0321
+4 *239:7 *239:19 2.55357
+5 *239:19 *722:A0 14.7464
+6 *239:19 *684:A 17.4429
+7 *239:4 *685:A 14.2821
+*END
+
+*D_NET *240 0.000246518
+*CONN
+*I *941:A I *D sky130_fd_sc_hd__clkinv_8
+*I *940:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *941:A 0.000123259
+2 *940:Y 0.000123259
+3 *838:RESET_B *941:A 0
+4 *5:685 *941:A 0
+5 *216:46 *941:A 0
+6 *225:8 *941:A 0
+*RES
+1 *940:Y *941:A 29.5464
+*END
+
+*D_NET *241 0.000509707
+*CONN
+*I *943:A I *D sky130_fd_sc_hd__clkinv_8
+*I *942:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *943:A 0.000228282
+2 *942:Y 0.000228282
+3 *943:A *855:A 5.31433e-05
+*RES
+1 *942:Y *943:A 31.975
+*END
+
+*D_NET *242 0.000219589
+*CONN
+*I *855:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *943:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *855:A 8.32227e-05
+2 *943:Y 8.32227e-05
+3 *943:A *855:A 5.31433e-05
+*RES
+1 *943:Y *855:A 29.5464
+*END
+
+*D_NET *243 0.000150495
+*CONN
+*I *859:A I *D sky130_fd_sc_hd__einvp_2
+*I *857:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *859:A 6.07693e-05
+2 *857:X 6.07693e-05
+3 *859:A *857:A 5.19842e-06
+4 *859:A *858:Z 2.37575e-05
+*RES
+1 *857:X *859:A 19.5643
+*END
+
+*D_NET *244 0.00165589
+*CONN
+*I *862:A I *D sky130_fd_sc_hd__clkinv_1
+*I *859:Z O *D sky130_fd_sc_hd__einvp_2
+*I *861:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *862:A 0.000327006
+2 *859:Z 0.000145871
+3 *861:Z 0
+4 *244:5 0.000472877
+5 *859:Z *857:A 5.71472e-05
+6 *859:Z *858:Z 0
+7 *859:Z *940:A 3.69047e-06
+8 *859:Z *250:5 8.57023e-05
+9 *859:Z *250:18 7.65715e-05
+10 *862:A *858:A 6.05161e-06
+11 *862:A *882:A 0.000227971
+12 *862:A *267:8 2.38501e-05
+13 *741:B1 *862:A 7.61356e-05
+14 *5:700 *859:Z 6.66878e-05
+15 *5:700 *862:A 8.91963e-06
+16 *234:52 *862:A 7.74068e-05
+*RES
+1 *861:Z *244:5 13.8
+2 *244:5 *859:Z 17.7107
+3 *244:5 *862:A 18.9607
+*END
+
+*D_NET *245 0.000736006
+*CONN
+*I *858:A I *D sky130_fd_sc_hd__einvp_2
+*I *862:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *858:A 0.000321569
+2 *862:Y 0.000321569
+3 *858:A *858:Z 3.18676e-05
+4 *858:A *877:A 0
+5 *741:B1 *858:A 5.49489e-05
+6 *862:A *858:A 6.05161e-06
+7 *5:888 *858:A 0
+*RES
+1 *862:Y *858:A 33.35
+*END
+
+*D_NET *246 0.00737497
+*CONN
+*I *949:Z O *D sky130_fd_sc_hd__einvn_8
+*I *856:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *940:A I *D sky130_fd_sc_hd__clkinv_2
+*I *947:Z O *D sky130_fd_sc_hd__einvp_2
+*I *952:Z O *D sky130_fd_sc_hd__einvp_1
+*CAP
+1 *949:Z 0.000341371
+2 *856:A 0
+3 *940:A 0.000195342
+4 *947:Z 3.33254e-05
+5 *952:Z 0.000208272
+6 *246:22 0.00198848
+7 *246:17 0.00219386
+8 *246:7 0.000300951
+9 *940:A *859:TE 5.17614e-05
+10 *940:A *250:5 2.07491e-05
+11 *940:A *333:245 2.11419e-05
+12 *947:Z *945:A 1.00375e-05
+13 *947:Z *947:A 1.76135e-05
+14 *246:7 *947:A 5.49544e-05
+15 *246:7 *952:A 5.30637e-06
+16 *246:7 *952:TE 1.59373e-05
+17 *246:17 *947:A 3.34687e-05
+18 *246:22 *859:TE 8.07313e-05
+19 *246:22 *945:A 0.000222666
+20 *246:22 *945:B 0.000136958
+21 *703:A1 *246:22 4.82947e-05
+22 *703:B1_N *246:22 0.000227723
+23 *706:A *246:22 4.14706e-05
+24 *709:A1 *246:22 4.58194e-05
+25 *709:B1 *246:22 0.000351156
+26 *716:A1 *246:22 0.000254134
+27 *716:A2 *246:22 9.63547e-05
+28 *803:A2 *949:Z 6.53244e-05
+29 *859:Z *940:A 3.69047e-06
+30 *5:700 *940:A 4.41318e-05
+31 *153:5 *246:22 0.000140471
+32 *153:11 *246:22 2.44318e-05
+33 *156:11 *246:22 9.90367e-05
+*RES
+1 *952:Z *246:7 16.3893
+2 *246:7 *947:Z 14.5321
+3 *246:7 *246:17 5.53571
+4 *246:17 *246:22 29.4286
+5 *246:22 *940:A 22.0143
+6 *246:22 *856:A 9.3
+7 *246:17 *949:Z 14.9071
+*END
+
+*D_NET *247 0.00172322
+*CONN
+*I *877:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *858:Z O *D sky130_fd_sc_hd__einvp_2
+*I *860:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *877:A 0.000318393
+2 *858:Z 0.000284782
+3 *860:Z 5.551e-05
+4 *247:7 0.000658685
+5 *858:Z *857:A 3.63107e-05
+6 *858:Z *250:18 5.09036e-05
+7 *877:A *882:A 0.000128224
+8 *877:A *272:6 7.80929e-05
+9 *247:7 *860:A 5.66971e-05
+10 *858:A *858:Z 3.18676e-05
+11 *858:A *877:A 0
+12 *859:A *858:Z 2.37575e-05
+13 *859:Z *858:Z 0
+14 *5:700 *858:Z 0
+15 *234:52 *877:A 0
+*RES
+1 *860:Z *247:7 14.7464
+2 *247:7 *858:Z 19.1571
+3 *247:7 *877:A 20.3
+*END
+
+*D_NET *248 0.00120871
+*CONN
+*I *860:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *858:TE I *D sky130_fd_sc_hd__einvp_2
+*I *741:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *860:TE_B 0.000193292
+2 *858:TE 0.000203977
+3 *741:X 9.41228e-05
+4 *248:6 0.000491391
+5 *5:876 *858:TE 0.000177451
+6 *5:876 *248:6 4.56474e-05
+7 *5:888 *248:6 2.8266e-06
+*RES
+1 *741:X *248:6 15.7464
+2 *248:6 *858:TE 17.9607
+3 *248:6 *860:TE_B 16.8
+*END
+
+*D_NET *249 0.00151112
+*CONN
+*I *861:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *859:TE I *D sky130_fd_sc_hd__einvp_2
+*I *771:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *861:TE_B 0
+2 *859:TE 0.000251282
+3 *771:X 0.000351145
+4 *249:8 0.000602427
+5 *859:TE *250:5 7.61932e-05
+6 *249:8 *878:A 3.69047e-06
+7 *249:8 *882:TE_B 5.26277e-05
+8 *683:B *249:8 4.12658e-05
+9 *708:A1 *859:TE 0
+10 *940:A *859:TE 5.17614e-05
+11 *5:700 *859:TE 0
+12 *5:714 *249:8 0
+13 *130:94 *249:8 0
+14 *175:22 *249:8 0
+15 *246:22 *859:TE 8.07313e-05
+*RES
+1 *771:X *249:8 19.2286
+2 *249:8 *859:TE 19.1929
+3 *249:8 *861:TE_B 13.8
+*END
+
+*D_NET *250 0.00156092
+*CONN
+*I *861:A I *D sky130_fd_sc_hd__einvn_4
+*I *860:A I *D sky130_fd_sc_hd__einvn_8
+*I *857:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *856:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *861:A 0
+2 *860:A 4.80297e-05
+3 *857:A 0.000215518
+4 *856:X 0.000219835
+5 *250:18 0.000112371
+6 *250:5 0.000499694
+7 *858:Z *857:A 3.63107e-05
+8 *858:Z *250:18 5.09036e-05
+9 *859:A *857:A 5.19842e-06
+10 *859:TE *250:5 7.61932e-05
+11 *859:Z *857:A 5.71472e-05
+12 *859:Z *250:5 8.57023e-05
+13 *859:Z *250:18 7.65715e-05
+14 *940:A *250:5 2.07491e-05
+15 *247:7 *860:A 5.66971e-05
+*RES
+1 *856:X *250:5 12.3
+2 *250:5 *857:A 12.5143
+3 *250:5 *250:18 10.9464
+4 *250:18 *860:A 10.2464
+5 *250:18 *861:A 9.3
+*END
+
+*D_NET *251 0.00271019
+*CONN
+*I *866:A I *D sky130_fd_sc_hd__einvp_2
+*I *864:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *866:A 0
+2 *864:X 0.000661637
+3 *251:19 0.000661637
+4 *251:19 *864:A 0.000100037
+5 *251:19 *865:A 5.36669e-05
+6 *251:19 *866:TE 0.000380518
+7 *251:19 *866:Z 5.49489e-05
+8 *251:19 *950:TE_B 0
+9 *251:19 *257:6 0.000154762
+10 *794:A1 *251:19 5.74499e-06
+11 *794:B1 *251:19 1.24368e-05
+12 *794:B2 *251:19 0.000127446
+13 *803:B1 *251:19 0.000417184
+14 *804:C *251:19 5.65542e-05
+15 *26:17 *251:19 2.36167e-05
+*RES
+1 *864:X *251:19 41.7821
+2 *251:19 *866:A 9.3
+*END
+
+*D_NET *252 0.00281005
+*CONN
+*I *866:Z O *D sky130_fd_sc_hd__einvp_2
+*I *869:A I *D sky130_fd_sc_hd__clkinv_1
+*I *868:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *866:Z 2.70883e-05
+2 *869:A 0.000600116
+3 *868:Z 0.000273377
+4 *252:9 0.000900581
+5 *866:Z *865:A 2.59355e-05
+6 *866:Z *258:8 1.94945e-05
+7 *252:9 *865:TE 0.00015134
+8 *252:9 *870:A 0
+9 *252:9 *258:8 0.000230973
+10 *252:9 *258:10 0.000153047
+11 *801:A *869:A 0.00020546
+12 *5:351 *869:A 0.000113074
+13 *26:17 *866:Z 2.11419e-05
+14 *196:15 *869:A 1.28585e-05
+15 *212:23 *869:A 2.06112e-05
+16 *251:19 *866:Z 5.49489e-05
+*RES
+1 *868:Z *252:9 22.2315
+2 *252:9 *869:A 18.5427
+3 *252:9 *866:Z 18.0225
+*END
+
+*D_NET *253 0.00208894
+*CONN
+*I *865:A I *D sky130_fd_sc_hd__einvp_2
+*I *869:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *865:A 0.000804489
+2 *869:Y 0.000804489
+3 *865:A *299:26 0.000174598
+4 *794:A1 *865:A 0.000191289
+5 *794:A2 *865:A 7.13226e-06
+6 *794:B1 *865:A 2.129e-05
+7 *866:Z *865:A 2.59355e-05
+8 *234:115 *865:A 6.05161e-06
+9 *251:19 *865:A 5.36669e-05
+*RES
+1 *869:Y *865:A 29.5643
+*END
+
+*D_NET *254 0.000975179
+*CONN
+*I *935:Z O *D sky130_fd_sc_hd__einvp_2
+*I *863:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *937:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *935:Z 0
+2 *863:A 9.2508e-05
+3 *937:Z 0.000154339
+4 *254:8 0.000246847
+5 *863:A *939:A 4.27842e-06
+6 *863:A *323:8 0.000115767
+7 *254:8 *935:A 0.000126272
+8 *254:8 *939:A 1.9516e-05
+9 *383:DIODE *254:8 0.000101915
+10 *794:A2 *863:A 9.40051e-05
+11 *794:A2 *254:8 1.34741e-05
+12 *25:10 *863:A 6.2589e-06
+*RES
+1 *937:Z *254:8 17.1036
+2 *254:8 *863:A 16.6571
+3 *254:8 *935:Z 13.8
+*END
+
+*D_NET *255 0.000547292
+*CONN
+*I *865:Z O *D sky130_fd_sc_hd__einvp_2
+*I *870:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *867:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *865:Z 9.11327e-05
+2 *870:A 0.000182513
+3 *867:Z 0
+4 *255:5 0.000273646
+5 *865:Z *865:TE 0
+6 *252:9 *870:A 0
+*RES
+1 *867:Z *255:5 13.8
+2 *255:5 *870:A 16.8893
+3 *255:5 *865:Z 15.4429
+*END
+
+*D_NET *256 0.00683455
+*CONN
+*I *865:TE I *D sky130_fd_sc_hd__einvp_2
+*I *867:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *766:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *865:TE 0.000415262
+2 *867:TE_B 4.17594e-05
+3 *766:X 0.00133515
+4 *256:21 0.00179217
+5 *865:TE *867:A 2.59355e-05
+6 *256:21 *263:18 2.94041e-05
+7 *256:21 *278:12 0.000558028
+8 *256:21 *299:26 0.000980476
+9 *384:DIODE *256:21 6.83242e-05
+10 *743:A *256:21 9.70883e-05
+11 *750:A *256:21 0.000266247
+12 *766:A3 *256:21 3.18676e-05
+13 *775:B *256:21 3.34295e-05
+14 *776:B *256:21 0.000339584
+15 *792:C *256:21 1.07593e-05
+16 *804:C *867:TE_B 1.04232e-05
+17 *806:B2 *256:21 3.48235e-05
+18 *865:Z *865:TE 0
+19 *5:351 *256:21 6.45293e-05
+20 *16:5 *256:21 1.72694e-05
+21 *132:29 *256:21 3.38578e-05
+22 *181:18 *256:21 0.000349104
+23 *196:15 *256:21 9.25941e-05
+24 *237:89 *256:21 5.51261e-05
+25 *252:9 *865:TE 0.00015134
+*RES
+1 *766:X *256:21 35.43
+2 *256:21 *867:TE_B 10.0321
+3 *256:21 *865:TE 24.8893
+*END
+
+*D_NET *257 0.0012788
+*CONN
+*I *866:TE I *D sky130_fd_sc_hd__einvp_2
+*I *868:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *803:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *866:TE 0.000218918
+2 *868:TE_B 4.01965e-05
+3 *803:X 7.41327e-05
+4 *257:6 0.000333248
+5 *794:A1 *866:TE 5.20751e-05
+6 *794:B2 *866:TE 2.49484e-05
+7 *26:17 *866:TE 0
+8 *26:17 *257:6 0
+9 *251:19 *866:TE 0.000380518
+10 *251:19 *257:6 0.000154762
+*RES
+1 *803:X *257:6 15.7464
+2 *257:6 *868:TE_B 14.3357
+3 *257:6 *866:TE 19.425
+*END
+
+*D_NET *258 0.00325278
+*CONN
+*I *867:A I *D sky130_fd_sc_hd__einvn_8
+*I *868:A I *D sky130_fd_sc_hd__einvn_4
+*I *864:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *863:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *867:A 3.39029e-05
+2 *868:A 0.000110591
+3 *864:A 0.000344857
+4 *863:X 0.000307481
+5 *258:10 0.000507321
+6 *258:8 0.000393257
+7 *864:A *946:A 0
+8 *864:A *947:A 0.000114114
+9 *864:A *265:6 0
+10 *258:8 *323:8 0.000144773
+11 *800:A2 *258:8 3.14193e-05
+12 *803:A2 *864:A 5.83926e-05
+13 *865:TE *867:A 2.59355e-05
+14 *866:Z *258:8 1.94945e-05
+15 *26:17 *864:A 0.000279004
+16 *26:17 *258:8 0.000226769
+17 *26:17 *258:10 0.000165946
+18 *131:7 *864:A 5.46383e-06
+19 *251:19 *864:A 0.000100037
+20 *252:9 *258:8 0.000230973
+21 *252:9 *258:10 0.000153047
+*RES
+1 *863:X *258:8 20.3536
+2 *258:8 *258:10 2.25
+3 *258:10 *864:A 21.9071
+4 *258:10 *868:A 15.5679
+5 *258:8 *867:A 14.3357
+*END
+
+*D_NET *259 0.00013254
+*CONN
+*I *873:A I *D sky130_fd_sc_hd__einvp_2
+*I *871:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *873:A 6.62698e-05
+2 *871:X 6.62698e-05
+*RES
+1 *871:X *873:A 19.5643
+*END
+
+*D_NET *260 0.000537594
+*CONN
+*I *876:A I *D sky130_fd_sc_hd__clkinv_1
+*I *873:Z O *D sky130_fd_sc_hd__einvp_2
+*I *875:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *876:A 8.2929e-05
+2 *873:Z 0.00014584
+3 *875:Z 4.00275e-05
+4 *260:7 0.000268797
+5 *873:Z *871:A 0
+6 *873:Z *265:12 0
+7 *876:A *265:12 0
+8 *805:B1 *873:Z 0
+*RES
+1 *875:Z *260:7 14.3357
+2 *260:7 *873:Z 16.3536
+3 *260:7 *876:A 15.1393
+*END
+
+*D_NET *261 0.000216016
+*CONN
+*I *872:A I *D sky130_fd_sc_hd__einvp_2
+*I *876:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *872:A 7.57591e-05
+2 *876:Y 7.57591e-05
+3 *872:A *265:12 6.44976e-05
+*RES
+1 *876:Y *872:A 29.2429
+*END
+
+*D_NET *262 0.00178995
+*CONN
+*I *946:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *950:A I *D sky130_fd_sc_hd__einvn_4
+*I *949:A I *D sky130_fd_sc_hd__einvn_8
+*I *872:Z O *D sky130_fd_sc_hd__einvp_2
+*I *874:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *946:A 0.000166433
+2 *950:A 0
+3 *949:A 0
+4 *872:Z 0.000138007
+5 *874:Z 0
+6 *262:22 0.000289203
+7 *262:15 0.000302117
+8 *262:5 0.000317353
+9 *872:Z *265:6 2.82057e-05
+10 *872:Z *265:12 8.16036e-05
+11 *946:A *948:A 6.05161e-06
+12 *946:A *948:Z 6.06987e-05
+13 *946:A *265:6 8.23211e-05
+14 *262:15 *265:6 0.000186941
+15 *262:22 *265:6 0.000116982
+16 *418:DIODE *872:Z 1.40306e-05
+17 *803:A2 *262:22 0
+18 *864:A *946:A 0
+*RES
+1 *874:Z *262:5 13.8
+2 *262:5 *872:Z 16.8893
+3 *262:5 *262:15 4.375
+4 *262:15 *949:A 13.8
+5 *262:15 *262:22 2.85714
+6 *262:22 *950:A 13.8
+7 *262:22 *946:A 16.9964
+*END
+
+*D_NET *263 0.011266
+*CONN
+*I *872:TE I *D sky130_fd_sc_hd__einvp_2
+*I *874:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *768:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *872:TE 0.000309992
+2 *874:TE_B 0
+3 *768:X 0.00214208
+4 *263:21 0.000369581
+5 *263:18 0.00220167
+6 *872:TE *333:162 2.19684e-05
+7 *872:TE *333:177 4.35048e-05
+8 *263:18 *299:26 5.83547e-05
+9 *384:DIODE *263:18 0.000368545
+10 *395:DIODE *263:18 4.94711e-05
+11 *418:DIODE *872:TE 5.25578e-05
+12 *750:A *263:18 4.06573e-06
+13 *756:B1 *263:18 7.43578e-06
+14 *768:B1 *263:18 0.000132306
+15 *778:A2 *263:18 0.000458312
+16 *792:A *263:18 0
+17 *794:B1 *263:18 0.000167125
+18 *794:B2 *263:18 0
+19 *796:A1 *263:18 0.000137224
+20 *801:A *263:18 0.000104041
+21 *804:C *263:18 2.54537e-05
+22 *843:RESET_B *263:21 9.60875e-05
+23 *5:351 *263:18 0.000476424
+24 *5:409 *263:18 0.000144243
+25 *5:487 *872:TE 1.93007e-05
+26 *130:45 *263:18 0.000100243
+27 *142:62 *263:18 0.00078203
+28 *150:24 *263:18 0.000515385
+29 *178:39 *263:18 2.09826e-05
+30 *179:24 *263:18 2.61099e-05
+31 *179:32 *263:18 2.63605e-06
+32 *179:85 *263:18 1.94879e-05
+33 *212:23 *263:18 0.000612852
+34 *234:8 *263:18 0.000717865
+35 *234:97 *263:18 0.000198748
+36 *234:108 *263:18 1.08359e-05
+37 *235:5 *263:21 5.33005e-05
+38 *235:40 *263:18 0.000602992
+39 *235:49 *263:18 0.000183392
+40 *256:21 *263:18 2.94041e-05
+*RES
+1 *768:X *263:18 48.7582
+2 *263:18 *263:21 5.85714
+3 *263:21 *874:TE_B 9.3
+4 *263:21 *872:TE 24.0857
+*END
+
+*D_NET *264 0.000847435
+*CONN
+*I *875:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *873:TE I *D sky130_fd_sc_hd__einvp_2
+*I *805:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *875:TE_B 0.000166597
+2 *873:TE 6.71827e-05
+3 *805:X 8.67339e-05
+4 *264:6 0.000320513
+5 *875:TE_B *333:144 0
+6 *805:B1 *875:TE_B 0.00013097
+7 *805:B1 *264:6 7.54384e-05
+*RES
+1 *805:X *264:6 15.7464
+2 *264:6 *873:TE 14.7464
+3 *264:6 *875:TE_B 17.3179
+*END
+
+*D_NET *265 0.00306087
+*CONN
+*I *874:A I *D sky130_fd_sc_hd__einvn_8
+*I *875:A I *D sky130_fd_sc_hd__einvn_4
+*I *871:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *870:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *874:A 0
+2 *875:A 0
+3 *871:A 0.000153816
+4 *870:X 0.00043152
+5 *265:12 0.000474234
+6 *265:6 0.000751939
+7 *864:A *265:6 0
+8 *872:A *265:12 6.44976e-05
+9 *872:Z *265:6 2.82057e-05
+10 *872:Z *265:12 8.16036e-05
+11 *873:Z *871:A 0
+12 *873:Z *265:12 0
+13 *876:A *265:12 0
+14 *946:A *265:6 8.23211e-05
+15 *27:11 *265:6 0.000471816
+16 *27:11 *265:12 0.000216997
+17 *262:15 *265:6 0.000186941
+18 *262:22 *265:6 0.000116982
+*RES
+1 *870:X *265:6 25.7643
+2 *265:6 *265:12 7.76786
+3 *265:12 *871:A 16.6571
+4 *265:12 *875:A 13.8
+5 *265:6 *874:A 13.8
+*END
+
+*D_NET *266 0.000571759
+*CONN
+*I *880:A I *D sky130_fd_sc_hd__einvp_2
+*I *878:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *880:A 0.00016837
+2 *878:X 0.00016837
+3 *880:A *878:A 0.000235019
+*RES
+1 *878:X *880:A 22.1536
+*END
+
+*D_NET *267 0.00182919
+*CONN
+*I *883:A I *D sky130_fd_sc_hd__clkinv_1
+*I *880:Z O *D sky130_fd_sc_hd__einvp_2
+*I *882:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *883:A 0.000203186
+2 *880:Z 4.65883e-05
+3 *882:Z 0.000137981
+4 *267:8 0.000387756
+5 *880:Z *878:A 9.90431e-05
+6 *883:A *879:A 0
+7 *883:A *908:TE 4.1331e-06
+8 *883:A *272:6 0.000119618
+9 *883:A *299:26 1.26512e-05
+10 *267:8 *882:A 0.000320712
+11 *267:8 *272:6 3.34366e-05
+12 *741:B1 *267:8 0.000137983
+13 *753:A1 *883:A 1.92582e-05
+14 *862:A *267:8 2.38501e-05
+15 *178:49 *883:A 0.00014491
+16 *234:52 *883:A 5.0725e-05
+17 *234:52 *267:8 8.73559e-05
+*RES
+1 *882:Z *267:8 18.3179
+2 *267:8 *880:Z 14.7464
+3 *267:8 *883:A 18.4786
+*END
+
+*D_NET *268 0.000884708
+*CONN
+*I *879:A I *D sky130_fd_sc_hd__einvp_2
+*I *883:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *879:A 0.000305207
+2 *883:Y 0.000305207
+3 *757:B1 *879:A 0.000274294
+4 *883:A *879:A 0
+*RES
+1 *883:Y *879:A 31.8321
+*END
+
+*D_NET *269 0.00129374
+*CONN
+*I *879:Z O *D sky130_fd_sc_hd__einvp_2
+*I *884:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *881:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *879:Z 0.000100025
+2 *884:A 0.000339992
+3 *881:Z 0.00020685
+4 *269:8 0.000646868
+5 *5:888 *879:Z 0
+6 *5:888 *269:8 0
+*RES
+1 *881:Z *269:8 17.0143
+2 *269:8 *884:A 18.4429
+3 *269:8 *879:Z 15.4429
+*END
+
+*D_NET *270 0.000882614
+*CONN
+*I *879:TE I *D sky130_fd_sc_hd__einvp_2
+*I *881:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *747:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *879:TE 4.435e-05
+2 *881:TE_B 9.57932e-05
+3 *747:X 2.17614e-05
+4 *270:5 0.000161905
+5 *879:TE *886:A 9.90431e-05
+6 *879:TE *890:A 4.27935e-05
+7 *881:TE_B *942:A 8.5896e-05
+8 *270:5 *886:A 9.71197e-05
+9 *270:5 *890:A 9.71197e-05
+10 *751:B1 *879:TE 4.83631e-05
+11 *5:888 *879:TE 4.58976e-05
+12 *5:888 *881:TE_B 4.25716e-05
+*RES
+1 *747:X *270:5 10.2464
+2 *270:5 *881:TE_B 20.55
+3 *270:5 *879:TE 11.0679
+*END
+
+*D_NET *271 0.00333204
+*CONN
+*I *880:TE I *D sky130_fd_sc_hd__einvp_2
+*I *882:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *778:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *880:TE 0
+2 *882:TE_B 0.000157716
+3 *778:X 0.000782095
+4 *271:12 0.000939811
+5 *683:B *882:TE_B 0
+6 *683:B *271:12 0
+7 *741:B1 *882:TE_B 0.00014183
+8 *745:B *271:12 8.03564e-05
+9 *746:A *271:12 6.86792e-05
+10 *746:C *271:12 1.58163e-05
+11 *750:C *271:12 1.69961e-05
+12 *757:B1 *271:12 0.000178847
+13 *769:B1 *271:12 6.12603e-05
+14 *771:B1 *882:TE_B 2.06178e-05
+15 *771:B1 *271:12 0.00025621
+16 *778:B1 *271:12 1.61074e-05
+17 *5:714 *882:TE_B 7.97875e-05
+18 *132:30 *271:12 6.26276e-05
+19 *132:48 *271:12 4.43256e-05
+20 *150:8 *271:12 0
+21 *176:14 *271:12 0.000139907
+22 *178:43 *271:12 5.1588e-05
+23 *179:7 *271:12 4.43256e-05
+24 *180:6 *271:12 0.000120506
+25 *234:52 *882:TE_B 0
+26 *249:8 *882:TE_B 5.26277e-05
+*RES
+1 *778:X *271:12 27.5679
+2 *271:12 *882:TE_B 17.7107
+3 *271:12 *880:TE 13.8
+*END
+
+*D_NET *272 0.00211841
+*CONN
+*I *878:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *881:A I *D sky130_fd_sc_hd__einvn_8
+*I *882:A I *D sky130_fd_sc_hd__einvn_4
+*I *877:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *878:A 0.000226404
+2 *881:A 0
+3 *882:A 0.000131003
+4 *877:X 4.30407e-05
+5 *272:17 0.000226404
+6 *272:6 0.000174044
+7 *741:B1 *882:A 7.17136e-05
+8 *862:A *882:A 0.000227971
+9 *877:A *882:A 0.000128224
+10 *877:A *272:6 7.80929e-05
+11 *880:A *878:A 0.000235019
+12 *880:Z *878:A 9.90431e-05
+13 *883:A *272:6 0.000119618
+14 *249:8 *878:A 3.69047e-06
+15 *267:8 *882:A 0.000320712
+16 *267:8 *272:6 3.34366e-05
+*RES
+1 *877:X *272:6 15.7464
+2 *272:6 *882:A 19.1393
+3 *272:6 *272:17 4.5
+4 *272:17 *881:A 9.3
+5 *272:17 *878:A 13.9429
+*END
+
+*D_NET *273 0.00104914
+*CONN
+*I *887:A I *D sky130_fd_sc_hd__einvp_2
+*I *885:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *887:A 0.000239895
+2 *885:X 0.000239895
+3 *887:A *942:A 0.000183713
+4 *887:A *274:10 0.000294422
+5 *887:A *279:16 5.5782e-05
+6 *5:891 *887:A 1.76135e-05
+7 *32:27 *887:A 1.78147e-05
+*RES
+1 *885:X *887:A 33.1357
+*END
+
+*D_NET *274 0.00198834
+*CONN
+*I *890:A I *D sky130_fd_sc_hd__clkinv_1
+*I *887:Z O *D sky130_fd_sc_hd__einvp_2
+*I *889:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *890:A 0.000130954
+2 *887:Z 0
+3 *889:Z 0.000171622
+4 *274:10 0.000302576
+5 *890:A *886:A 0.000244502
+6 *274:10 *889:TE_B 5.85322e-05
+7 *274:10 *891:A 3.34366e-05
+8 *274:10 *276:8 0.000198691
+9 *274:10 *279:16 0
+10 *741:A1 *274:10 5.52302e-05
+11 *747:A2 *890:A 6.23649e-05
+12 *879:TE *890:A 4.27935e-05
+13 *887:A *274:10 0.000294422
+14 *5:888 *890:A 0.000288293
+15 *5:891 *274:10 7.79781e-06
+16 *270:5 *890:A 9.71197e-05
+*RES
+1 *889:Z *274:10 23.8179
+2 *274:10 *887:Z 9.3
+3 *274:10 *890:A 14.0857
+*END
+
+*D_NET *275 0.00190875
+*CONN
+*I *886:A I *D sky130_fd_sc_hd__einvp_2
+*I *890:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *886:A 0.000236643
+2 *890:Y 0.000236643
+3 *886:A *886:Z 0.000152182
+4 *361:DIODE *886:A 0.000244535
+5 *747:A2 *886:A 2.9911e-05
+6 *751:B1 *886:A 0.000568175
+7 *879:TE *886:A 9.90431e-05
+8 *890:A *886:A 0.000244502
+9 *270:5 *886:A 9.71197e-05
+*RES
+1 *890:Y *886:A 28.7607
+*END
+
+*D_NET *276 0.00192296
+*CONN
+*I *891:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *886:Z O *D sky130_fd_sc_hd__einvp_2
+*I *888:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *891:A 0.000165195
+2 *886:Z 5.47237e-05
+3 *888:Z 0.000126829
+4 *276:8 0.000346747
+5 *891:A *889:TE_B 0
+6 *891:A *278:12 0
+7 *276:8 *889:TE_B 5.59967e-05
+8 *361:DIODE *891:A 0.000146474
+9 *747:A2 *886:Z 0.000271345
+10 *751:B1 *891:A 0.000244447
+11 *751:B2 *891:A 0.00010096
+12 *757:A2 *891:A 6.05161e-06
+13 *886:A *886:Z 0.000152182
+14 *5:891 *891:A 0
+15 *5:904 *891:A 1.98839e-05
+16 *274:10 *891:A 3.34366e-05
+17 *274:10 *276:8 0.000198691
+*RES
+1 *888:Z *276:8 16.8893
+2 *276:8 *886:Z 16.3893
+3 *276:8 *891:A 18.3179
+*END
+
+*D_NET *277 0.0010375
+*CONN
+*I *886:TE I *D sky130_fd_sc_hd__einvp_2
+*I *888:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *751:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *886:TE 8.86899e-05
+2 *888:TE_B 0.000139548
+3 *751:X 4.75868e-05
+4 *277:6 0.000275825
+5 *354:DIODE *277:6 8.00806e-05
+6 *355:DIODE *888:TE_B 0.000195412
+7 *355:DIODE *277:6 0.000128161
+8 *357:DIODE *888:TE_B 5.33005e-05
+9 *361:DIODE *886:TE 2.89016e-05
+*RES
+1 *751:X *277:6 15.4429
+2 *277:6 *888:TE_B 16.8893
+3 *277:6 *886:TE 15.1571
+*END
+
+*D_NET *278 0.00823005
+*CONN
+*I *887:TE I *D sky130_fd_sc_hd__einvp_2
+*I *889:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *786:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *887:TE 0
+2 *889:TE_B 0.000347493
+3 *786:X 0.00265073
+4 *278:12 0.00299823
+5 *889:TE_B *942:A 7.77652e-05
+6 *278:12 *299:26 0.000380932
+7 *278:12 *334:14 0.000131334
+8 *352:DIODE *889:TE_B 1.97381e-05
+9 *352:DIODE *278:12 1.34792e-05
+10 *357:DIODE *889:TE_B 0
+11 *741:A1 *889:TE_B 7.88091e-05
+12 *743:A *278:12 0.00011802
+13 *751:B1 *278:12 0.000103646
+14 *771:A2 *278:12 0.000147295
+15 *771:B1 *278:12 7.80694e-05
+16 *776:B *278:12 6.57336e-05
+17 *786:B1 *278:12 2.06178e-05
+18 *891:A *889:TE_B 0
+19 *891:A *278:12 0
+20 *23:8 *889:TE_B 1.77247e-05
+21 *87:41 *278:12 2.06178e-05
+22 *142:29 *278:12 0.000130467
+23 *237:89 *278:12 0.000156791
+24 *256:21 *278:12 0.000558028
+25 *274:10 *889:TE_B 5.85322e-05
+26 *276:8 *889:TE_B 5.59967e-05
+*RES
+1 *786:X *278:12 27.3615
+2 *278:12 *889:TE_B 21.2107
+3 *278:12 *887:TE 13.8
+*END
+
+*D_NET *279 0.000933235
+*CONN
+*I *885:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *889:A I *D sky130_fd_sc_hd__einvn_4
+*I *888:A I *D sky130_fd_sc_hd__einvn_8
+*I *884:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *885:A 6.06592e-05
+2 *889:A 0
+3 *888:A 6.17285e-05
+4 *884:X 3.78541e-05
+5 *279:16 0.000159827
+6 *279:5 0.000198751
+7 *741:A1 *885:A 0.000139907
+8 *887:A *279:16 5.5782e-05
+9 *32:27 *279:16 0.000218726
+10 *274:10 *279:16 0
+*RES
+1 *884:X *279:5 9.83571
+2 *279:5 *888:A 10.2464
+3 *279:5 *279:16 11.8571
+4 *279:16 *889:A 9.3
+5 *279:16 *885:A 10.6571
+*END
+
+*D_NET *280 0.000917194
+*CONN
+*I *894:A I *D sky130_fd_sc_hd__einvp_2
+*I *892:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *894:A 0.00022551
+2 *892:X 0.00022551
+3 *894:A *892:A 4.93118e-06
+4 *894:A *894:Z 0.00029186
+5 *894:A *896:A 1.04766e-05
+6 *894:A *900:TE 0.000150246
+7 *754:B1 *894:A 8.6608e-06
+*RES
+1 *892:X *894:A 32.725
+*END
+
+*D_NET *281 0.00124267
+*CONN
+*I *894:Z O *D sky130_fd_sc_hd__einvp_2
+*I *897:A I *D sky130_fd_sc_hd__clkinv_1
+*I *896:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *894:Z 0.000151625
+2 *897:A 0.000179303
+3 *896:Z 0
+4 *281:5 0.000330928
+5 *894:Z *896:TE_B 0
+6 *754:B1 *894:Z 0.00011503
+7 *754:B1 *897:A 3.02892e-05
+8 *894:A *894:Z 0.00029186
+9 *5:1003 *897:A 0.000143633
+*RES
+1 *896:Z *281:5 13.8
+2 *281:5 *897:A 16.8
+3 *281:5 *894:Z 18.4786
+*END
+
+*D_NET *282 0.000139209
+*CONN
+*I *893:A I *D sky130_fd_sc_hd__einvp_2
+*I *897:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *893:A 4.21248e-05
+2 *897:Y 4.21248e-05
+3 *5:1003 *893:A 5.4959e-05
+*RES
+1 *897:Y *893:A 19.5464
+*END
+
+*D_NET *283 0.00241823
+*CONN
+*I *898:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *893:Z O *D sky130_fd_sc_hd__einvp_2
+*I *895:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *898:A 0.000257286
+2 *893:Z 0.000228872
+3 *895:Z 4.67895e-05
+4 *283:6 0.000532948
+5 *893:Z *895:TE_B 9.67754e-05
+6 *893:Z *284:5 0.000209534
+7 *898:A *903:A 0.000138432
+8 *754:B1 *898:A 0.000481885
+9 *5:928 *283:6 3.79467e-05
+10 *5:1001 *898:A 2.37005e-05
+11 *5:1001 *283:6 7.29128e-06
+12 *5:1003 *893:Z 4.71921e-05
+13 *33:8 *898:A 0.000181586
+14 *33:8 *283:6 0.000127996
+*RES
+1 *895:Z *283:6 15.4429
+2 *283:6 *893:Z 18.0321
+3 *283:6 *898:A 20.9964
+*END
+
+*D_NET *284 0.00155408
+*CONN
+*I *893:TE I *D sky130_fd_sc_hd__einvp_2
+*I *895:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *752:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *893:TE 0
+2 *895:TE_B 0.000385239
+3 *752:X 8.40243e-05
+4 *284:5 0.000469263
+5 *895:TE_B *286:6 4.46186e-06
+6 *895:TE_B *286:15 9.56919e-05
+7 *357:DIODE *895:TE_B 0
+8 *752:B1 *284:5 5.33005e-05
+9 *893:Z *895:TE_B 9.67754e-05
+10 *893:Z *284:5 0.000209534
+11 *5:1001 *284:5 5.75774e-05
+12 *5:1003 *895:TE_B 4.97967e-05
+13 *5:1003 *284:5 4.84159e-05
+*RES
+1 *752:X *284:5 11.8893
+2 *284:5 *895:TE_B 25.6214
+3 *284:5 *893:TE 9.3
+*END
+
+*D_NET *285 0.00902466
+*CONN
+*I *894:TE I *D sky130_fd_sc_hd__einvp_2
+*I *896:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *789:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *894:TE 0
+2 *896:TE_B 0.00026997
+3 *789:X 0.000402335
+4 *285:18 0.000361191
+5 *285:17 0.00250944
+6 *285:10 0.00282055
+7 *896:TE_B *900:TE 0
+8 *285:10 *316:8 0
+9 *285:10 *319:11 5.49995e-05
+10 *285:10 *321:16 0
+11 *285:17 *306:12 0.000789602
+12 *285:18 *900:TE 0
+13 *754:B1 *896:TE_B 7.44013e-05
+14 *894:Z *896:TE_B 0
+15 *5:1008 *896:TE_B 6.47925e-05
+16 *19:8 *285:10 0
+17 *20:15 *285:10 0
+18 *32:11 *896:TE_B 0.000114315
+19 *34:10 *285:18 3.52194e-05
+20 *35:10 *285:18 0
+21 *35:26 *285:17 0.00143005
+22 *35:26 *285:18 0
+23 *36:10 *285:18 9.77884e-05
+*RES
+1 *789:X *285:10 24.425
+2 *285:10 *285:17 48.6071
+3 *285:17 *285:18 1.94643
+4 *285:18 *896:TE_B 19.7286
+5 *285:18 *894:TE 13.8
+*END
+
+*D_NET *286 0.00109468
+*CONN
+*I *892:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *896:A I *D sky130_fd_sc_hd__einvn_4
+*I *895:A I *D sky130_fd_sc_hd__einvn_8
+*I *891:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *892:A 5.51339e-05
+2 *896:A 1.44195e-05
+3 *895:A 0
+4 *891:X 7.24357e-05
+5 *286:15 0.000288874
+6 *286:6 0.000291756
+7 *357:DIODE *286:6 0
+8 *751:B2 *286:6 2.79092e-05
+9 *752:A2 *286:15 4.25594e-05
+10 *752:B1 *286:6 2.00751e-05
+11 *752:B1 *286:15 6.46287e-05
+12 *754:B1 *892:A 4.63305e-05
+13 *754:B1 *896:A 5.49995e-05
+14 *894:A *892:A 4.93118e-06
+15 *894:A *896:A 1.04766e-05
+16 *895:TE_B *286:6 4.46186e-06
+17 *895:TE_B *286:15 9.56919e-05
+*RES
+1 *891:X *286:6 15.4429
+2 *286:6 *895:A 13.8
+3 *286:6 *286:15 9.17857
+4 *286:15 *896:A 9.83571
+5 *286:15 *892:A 10.675
+*END
+
+*D_NET *287 0.00026291
+*CONN
+*I *901:A I *D sky130_fd_sc_hd__einvp_2
+*I *899:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *901:A 8.02421e-05
+2 *899:X 8.02421e-05
+3 *901:A *904:A 0.000102425
+*RES
+1 *899:X *901:A 19.975
+*END
+
+*D_NET *288 0.00126687
+*CONN
+*I *904:A I *D sky130_fd_sc_hd__clkinv_1
+*I *901:Z O *D sky130_fd_sc_hd__einvp_2
+*I *903:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *904:A 0.000130671
+2 *901:Z 0
+3 *903:Z 0.00021372
+4 *288:9 0.000344391
+5 *904:A *899:A 5.33005e-05
+6 *904:A *900:A 0.00016069
+7 *288:9 *899:A 0
+8 *288:9 *900:A 2.14757e-05
+9 *288:9 *900:Z 0
+10 *901:A *904:A 0.000102425
+11 *5:949 *288:9 8.03955e-05
+12 *32:27 *288:9 0.000124099
+13 *37:10 *288:9 3.5707e-05
+*RES
+1 *903:Z *288:9 23.5143
+2 *288:9 *901:Z 9.3
+3 *288:9 *904:A 12.8714
+*END
+
+*D_NET *289 0.00116138
+*CONN
+*I *900:A I *D sky130_fd_sc_hd__einvp_2
+*I *904:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *900:A 0.000147487
+2 *904:Y 0.000147487
+3 *900:A *899:A 5.33005e-05
+4 *900:A *901:TE 5.71472e-05
+5 *904:A *900:A 0.00016069
+6 *36:10 *900:A 0.000573791
+7 *288:9 *900:A 2.14757e-05
+*RES
+1 *904:Y *900:A 24.0643
+*END
+
+*D_NET *290 0.00185613
+*CONN
+*I *905:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *900:Z O *D sky130_fd_sc_hd__einvp_2
+*I *902:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *905:A 0.000246726
+2 *900:Z 0.000254878
+3 *902:Z 0
+4 *290:4 0.000501605
+5 *905:A *902:A 4.84073e-05
+6 *905:A *293:16 0.000101771
+7 *370:DIODE *905:A 3.32662e-05
+8 *5:949 *905:A 0.00030604
+9 *13:9 *905:A 1.82116e-05
+10 *32:27 *900:Z 6.44379e-05
+11 *33:8 *900:Z 0.000200771
+12 *37:10 *900:Z 6.83578e-05
+13 *37:10 *905:A 1.16543e-05
+14 *288:9 *900:Z 0
+*RES
+1 *902:Z *290:4 9.3
+2 *290:4 *900:Z 23.8536
+3 *290:4 *905:A 23.7286
+*END
+
+*D_NET *291 0.000709676
+*CONN
+*I *902:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *900:TE I *D sky130_fd_sc_hd__einvp_2
+*I *754:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *902:TE_B 0
+2 *900:TE 0.00014429
+3 *754:X 4.85119e-05
+4 *291:5 0.000192802
+5 *900:TE *901:TE 0
+6 *894:A *900:TE 0.000150246
+7 *896:TE_B *900:TE 0
+8 *32:27 *291:5 9.41642e-05
+9 *35:10 *900:TE 5.52302e-05
+10 *36:10 *900:TE 2.44318e-05
+11 *285:18 *900:TE 0
+*RES
+1 *754:X *291:5 10.2464
+2 *291:5 *900:TE 21.4964
+3 *291:5 *902:TE_B 9.3
+*END
+
+*D_NET *292 0.0088147
+*CONN
+*I *901:TE I *D sky130_fd_sc_hd__einvp_2
+*I *903:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *793:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *901:TE 0.000267116
+2 *903:TE_B 2.27693e-05
+3 *793:X 0.00231948
+4 *292:13 0.00260937
+5 *292:13 *921:TE 5.61137e-05
+6 *292:13 *325:7 0.000113356
+7 *760:B *292:13 8.33213e-05
+8 *780:A *292:13 9.54798e-06
+9 *784:B *292:13 0.000509427
+10 *792:A *292:13 0.00182891
+11 *900:A *901:TE 5.71472e-05
+12 *900:TE *901:TE 0
+13 *33:8 *901:TE 0.000352472
+14 *33:8 *903:TE_B 4.5258e-05
+15 *36:10 *901:TE 6.57914e-05
+16 *183:11 *292:13 8.61547e-06
+17 *187:47 *292:13 3.10885e-05
+18 *212:23 *292:13 0.000434915
+*RES
+1 *793:X *292:13 30.8998
+2 *292:13 *903:TE_B 14.3804
+3 *292:13 *901:TE 20.0946
+*END
+
+*D_NET *293 0.00158205
+*CONN
+*I *899:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *902:A I *D sky130_fd_sc_hd__einvn_8
+*I *903:A I *D sky130_fd_sc_hd__einvn_4
+*I *898:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *899:A 0.000113375
+2 *902:A 5.0026e-05
+3 *903:A 0.000114916
+4 *898:X 4.23135e-05
+5 *293:16 0.000224309
+6 *293:5 0.000218139
+7 *754:B1 *293:5 4.3304e-06
+8 *898:A *903:A 0.000138432
+9 *900:A *899:A 5.33005e-05
+10 *904:A *899:A 5.33005e-05
+11 *905:A *902:A 4.84073e-05
+12 *905:A *293:16 0.000101771
+13 *5:949 *899:A 1.03904e-05
+14 *5:949 *902:A 9.67754e-05
+15 *5:949 *293:16 2.37944e-05
+16 *13:9 *899:A 0.000168567
+17 *13:9 *293:16 9.74326e-05
+18 *37:10 *899:A 0
+19 *37:10 *902:A 2.24718e-05
+20 *288:9 *899:A 0
+*RES
+1 *898:X *293:5 9.83571
+2 *293:5 *903:A 11.6929
+3 *293:5 *293:16 6.44643
+4 *293:16 *902:A 15.1571
+5 *293:16 *899:A 16.5857
+*END
+
+*D_NET *294 0.00170756
+*CONN
+*I *908:A I *D sky130_fd_sc_hd__einvp_2
+*I *906:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *908:A 0.000445194
+2 *906:X 0.000445194
+3 *908:A *906:A 0.000116757
+4 *908:A *908:TE 3.75052e-05
+5 *908:A *908:Z 0.000426992
+6 *765:B *908:A 4.67437e-05
+7 *768:B2 *908:A 0.000146474
+8 *5:969 *908:A 4.26999e-05
+*RES
+1 *906:X *908:A 36.85
+*END
+
+*D_NET *295 0.00169262
+*CONN
+*I *908:Z O *D sky130_fd_sc_hd__einvp_2
+*I *911:A I *D sky130_fd_sc_hd__clkinv_1
+*I *910:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *908:Z 0.000219583
+2 *911:A 2.76145e-05
+3 *910:Z 0
+4 *295:4 0.000247197
+5 *908:Z *906:A 7.14951e-05
+6 *908:Z *908:TE 0
+7 *908:Z *300:6 0
+8 *908:Z *300:11 0
+9 *911:A *906:A 7.09928e-05
+10 *911:A *300:11 5.68713e-05
+11 *373:DIODE *908:Z 0.000243953
+12 *373:DIODE *911:A 0.000187041
+13 *908:A *908:Z 0.000426992
+14 *5:969 *908:Z 0.000140882
+*RES
+1 *910:Z *295:4 9.3
+2 *295:4 *911:A 11.0679
+3 *295:4 *908:Z 25.0857
+*END
+
+*D_NET *296 0.000444535
+*CONN
+*I *907:A I *D sky130_fd_sc_hd__einvp_2
+*I *911:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *907:A 8.34705e-05
+2 *911:Y 8.34705e-05
+3 *907:A *942:A 0.000127439
+4 *907:A *297:8 1.02504e-05
+5 *907:A *300:11 4.25809e-05
+6 *373:DIODE *907:A 5.71472e-05
+7 *757:B1 *907:A 4.01768e-05
+*RES
+1 *911:Y *907:A 30.1893
+*END
+
+*D_NET *297 0.00458848
+*CONN
+*I *912:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *907:Z O *D sky130_fd_sc_hd__einvp_2
+*I *942:A I *D sky130_fd_sc_hd__clkinv_2
+*I *909:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *912:A 9.40546e-05
+2 *907:Z 0
+3 *942:A 0.000735489
+4 *909:Z 0
+5 *297:8 0.00115925
+6 *297:4 0.000517812
+7 *912:A *908:TE 0.000150718
+8 *942:A *298:8 6.65302e-05
+9 *942:A *300:11 0
+10 *297:8 *909:A 0.000162649
+11 *297:8 *909:TE_B 7.77751e-05
+12 *297:8 *298:8 1.17968e-05
+13 *297:8 *300:6 0
+14 *297:8 *300:11 0
+15 *373:DIODE *297:8 0.000262504
+16 *741:A1 *942:A 0.000411948
+17 *747:B1 *942:A 3.45059e-05
+18 *765:B *912:A 8.08608e-06
+19 *881:TE_B *942:A 8.5896e-05
+20 *887:A *942:A 0.000183713
+21 *889:TE_B *942:A 7.77652e-05
+22 *907:A *942:A 0.000127439
+23 *907:A *297:8 1.02504e-05
+24 *5:888 *942:A 0
+25 *14:7 *912:A 0.000175219
+26 *32:27 *942:A 0.000208451
+27 *32:27 *297:8 1.91512e-05
+28 *179:32 *297:8 7.48301e-06
+*RES
+1 *909:Z *297:4 9.3
+2 *297:4 *297:8 12.6429
+3 *297:8 *942:A 30.5679
+4 *297:8 *907:Z 13.8
+5 *297:4 *912:A 21.0857
+*END
+
+*D_NET *298 0.000894153
+*CONN
+*I *909:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *907:TE I *D sky130_fd_sc_hd__einvp_2
+*I *757:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *909:TE_B 7.32833e-05
+2 *907:TE 7.63045e-05
+3 *757:X 5.40433e-05
+4 *298:8 0.000203631
+5 *942:A *298:8 6.65302e-05
+6 *32:27 *298:8 0.000150618
+7 *179:32 *907:TE 6.86693e-05
+8 *179:32 *909:TE_B 0.000111501
+9 *297:8 *909:TE_B 7.77751e-05
+10 *297:8 *298:8 1.17968e-05
+*RES
+1 *757:X *298:8 20.2464
+2 *298:8 *907:TE 10.6571
+3 *298:8 *909:TE_B 11.4786
+*END
+
+*D_NET *299 0.00786445
+*CONN
+*I *908:TE I *D sky130_fd_sc_hd__einvp_2
+*I *910:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *794:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *908:TE 0.000262062
+2 *910:TE_B 0
+3 *794:X 0.00193858
+4 *299:26 0.00220064
+5 *375:DIODE *908:TE 2.89114e-05
+6 *380:DIODE *299:26 9.60939e-05
+7 *704:A1 *299:26 9.21418e-06
+8 *743:A *299:26 5.33005e-05
+9 *743:B *299:26 4.38058e-05
+10 *750:A *299:26 0.000414034
+11 *753:A1 *908:TE 0
+12 *765:B *908:TE 2.84274e-05
+13 *768:B2 *908:TE 7.2754e-05
+14 *778:A2 *299:26 4.20523e-05
+15 *791:A *299:26 5.33005e-05
+16 *791:B *299:26 0.000248442
+17 *800:B2 *299:26 5.71472e-05
+18 *865:A *299:26 0.000174598
+19 *883:A *908:TE 4.1331e-06
+20 *883:A *299:26 1.26512e-05
+21 *908:A *908:TE 3.75052e-05
+22 *908:Z *908:TE 0
+23 *912:A *908:TE 0.000150718
+24 *5:969 *908:TE 6.05161e-06
+25 *14:7 *908:TE 1.08359e-05
+26 *83:25 *299:26 4.60485e-05
+27 *150:24 *299:26 0.000128544
+28 *187:33 *299:26 6.53397e-05
+29 *197:8 *299:26 0.000139907
+30 *197:26 *299:26 3.82741e-05
+31 *234:115 *299:26 8.13137e-05
+32 *256:21 *299:26 0.000980476
+33 *263:18 *299:26 5.83547e-05
+34 *278:12 *299:26 0.000380932
+*RES
+1 *794:X *299:26 37.8347
+2 *299:26 *910:TE_B 13.8
+3 *299:26 *908:TE 19.425
+*END
+
+*D_NET *300 0.00271749
+*CONN
+*I *909:A I *D sky130_fd_sc_hd__einvn_8
+*I *910:A I *D sky130_fd_sc_hd__einvn_4
+*I *906:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *905:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *909:A 0.000102165
+2 *910:A 0
+3 *906:A 0.000196155
+4 *905:X 0.000117615
+5 *300:11 0.000338397
+6 *300:6 0.000362022
+7 *373:DIODE *909:A 9.41642e-05
+8 *373:DIODE *300:6 0
+9 *373:DIODE *300:11 2.14757e-05
+10 *757:B1 *906:A 0.000707084
+11 *757:B1 *300:11 4.85967e-05
+12 *907:A *300:11 4.25809e-05
+13 *908:A *906:A 0.000116757
+14 *908:Z *906:A 7.14951e-05
+15 *908:Z *300:6 0
+16 *908:Z *300:11 0
+17 *911:A *906:A 7.09928e-05
+18 *911:A *300:11 5.68713e-05
+19 *942:A *300:11 0
+20 *32:27 *300:6 0
+21 *179:32 *909:A 0.000208468
+22 *297:8 *909:A 0.000162649
+23 *297:8 *300:6 0
+24 *297:8 *300:11 0
+*RES
+1 *905:X *300:6 15.7464
+2 *300:6 *300:11 7.69643
+3 *300:11 *906:A 16.8536
+4 *300:11 *910:A 9.3
+5 *300:6 *909:A 17.6214
+*END
+
+*D_NET *301 0.000829461
+*CONN
+*I *915:A I *D sky130_fd_sc_hd__einvp_2
+*I *913:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *915:A 8.46351e-05
+2 *913:X 8.46351e-05
+3 *915:A *914:A 2.51343e-06
+4 *915:A *916:A 2.14757e-05
+5 *366:DIODE *915:A 0.000274288
+6 *762:A2 *915:A 0.000361914
+*RES
+1 *913:X *915:A 22.4393
+*END
+
+*D_NET *302 0.00124638
+*CONN
+*I *915:Z O *D sky130_fd_sc_hd__einvp_2
+*I *918:A I *D sky130_fd_sc_hd__clkinv_1
+*I *917:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *915:Z 0
+2 *918:A 7.5065e-05
+3 *917:Z 0.000218336
+4 *302:8 0.000293401
+5 *918:A *914:A 0.000268396
+6 *302:8 *914:A 0.000307186
+7 *302:8 *916:A 1.08359e-05
+8 *302:8 *307:14 2.83284e-06
+9 *762:A2 *918:A 7.0324e-05
+10 *14:7 *302:8 0
+*RES
+1 *917:Z *302:8 22.9786
+2 *302:8 *918:A 11.8893
+3 *302:8 *915:Z 9.3
+*END
+
+*D_NET *303 0.00176042
+*CONN
+*I *914:A I *D sky130_fd_sc_hd__einvp_2
+*I *918:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *914:A 0.000475469
+2 *918:Y 0.000475469
+3 *914:A *914:Z 0
+4 *914:A *916:A 0.000122724
+5 *914:A *307:24 5.50052e-05
+6 *762:A2 *914:A 7.33323e-06
+7 *766:B1 *914:A 4.63219e-05
+8 *915:A *914:A 2.51343e-06
+9 *918:A *914:A 0.000268396
+10 *302:8 *914:A 0.000307186
+*RES
+1 *918:Y *914:A 36.4393
+*END
+
+*D_NET *304 0.000819755
+*CONN
+*I *919:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *914:Z O *D sky130_fd_sc_hd__einvp_2
+*I *916:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *919:A 8.5637e-05
+2 *914:Z 7.3572e-05
+3 *916:Z 1.17665e-05
+4 *304:7 0.000170975
+5 *914:Z *307:24 0.000123228
+6 *919:A *916:A 5.01544e-05
+7 *919:A *307:24 0.000194422
+8 *304:7 *914:TE 5.49995e-05
+9 *758:B2 *304:7 5.49995e-05
+10 *914:A *914:Z 0
+*RES
+1 *916:Z *304:7 14.3357
+2 *304:7 *914:Z 15.4429
+3 *304:7 *919:A 16.3536
+*END
+
+*D_NET *305 0.00244801
+*CONN
+*I *914:TE I *D sky130_fd_sc_hd__einvp_2
+*I *916:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *758:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *914:TE 0.000325555
+2 *916:TE_B 0
+3 *758:X 0.000177782
+4 *305:7 0.000503337
+5 *758:A2 *305:7 1.50354e-05
+6 *758:B1 *914:TE 0.000607663
+7 *758:B1 *305:7 2.57972e-05
+8 *758:B2 *914:TE 0.000385934
+9 *758:B2 *305:7 0.000126592
+10 *762:B1 *914:TE 6.87975e-06
+11 *5:949 *305:7 3.97326e-05
+12 *15:10 *914:TE 0.00017078
+13 *37:10 *305:7 7.92229e-06
+14 *304:7 *914:TE 5.49995e-05
+*RES
+1 *758:X *305:7 13.0321
+2 *305:7 *916:TE_B 9.3
+3 *305:7 *914:TE 29.4429
+*END
+
+*D_NET *306 0.00423156
+*CONN
+*I *915:TE I *D sky130_fd_sc_hd__einvp_2
+*I *917:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *795:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *915:TE 0
+2 *917:TE_B 0.000273384
+3 *795:X 0.00113219
+4 *306:12 0.00140557
+5 *758:B2 *917:TE_B 0
+6 *765:B *917:TE_B 3.67279e-06
+7 *766:B1 *917:TE_B 0.000100165
+8 *14:7 *917:TE_B 0.000401259
+9 *14:7 *306:12 0.000125717
+10 *16:5 *306:12 0
+11 *17:9 *306:12 0
+12 *285:17 *306:12 0.000789602
+*RES
+1 *795:X *306:12 39.4607
+2 *306:12 *917:TE_B 20.0321
+3 *306:12 *915:TE 13.8
+*END
+
+*D_NET *307 0.00324097
+*CONN
+*I *913:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *916:A I *D sky130_fd_sc_hd__einvn_8
+*I *917:A I *D sky130_fd_sc_hd__einvn_4
+*I *912:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *913:A 4.30329e-05
+2 *916:A 0.000163212
+3 *917:A 0
+4 *912:X 0.000302691
+5 *307:24 0.000397682
+6 *307:14 0.000494128
+7 *913:A *314:11 1.38323e-05
+8 *916:A *314:11 6.05161e-06
+9 *366:DIODE *913:A 0.000227539
+10 *366:DIODE *916:A 0.00014285
+11 *753:A1 *307:14 5.50052e-05
+12 *766:B1 *307:24 0.000141941
+13 *792:A *307:14 0
+14 *914:A *916:A 0.000122724
+15 *914:A *307:24 5.50052e-05
+16 *914:Z *307:24 0.000123228
+17 *915:A *916:A 2.14757e-05
+18 *919:A *916:A 5.01544e-05
+19 *919:A *307:24 0.000194422
+20 *14:7 *916:A 0
+21 *15:10 *307:24 7.79781e-06
+22 *180:49 *913:A 0.000183726
+23 *180:49 *307:14 9.67788e-05
+24 *180:49 *307:24 0.000352913
+25 *184:8 *307:14 3.11088e-05
+26 *302:8 *916:A 1.08359e-05
+27 *302:8 *307:14 2.83284e-06
+*RES
+1 *912:X *307:14 23.5857
+2 *307:14 *917:A 9.3
+3 *307:14 *307:24 15.3393
+4 *307:24 *916:A 22.3179
+5 *307:24 *913:A 11.4786
+*END
+
+*D_NET *308 0.00116069
+*CONN
+*I *922:A I *D sky130_fd_sc_hd__einvp_2
+*I *920:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *922:A 0.000378285
+2 *920:X 0.000378285
+3 *788:A *922:A 0.000220085
+4 *795:A1 *922:A 0.000140719
+5 *795:A2 *922:A 1.02937e-05
+6 *180:62 *922:A 3.30237e-05
+*RES
+1 *920:X *922:A 23.6714
+*END
+
+*D_NET *309 0.00237207
+*CONN
+*I *925:A I *D sky130_fd_sc_hd__clkinv_1
+*I *922:Z O *D sky130_fd_sc_hd__einvp_2
+*I *924:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *925:A 0.000337895
+2 *922:Z 0.000304787
+3 *924:Z 0
+4 *309:4 0.000642682
+5 *922:Z *922:TE 0.000104974
+6 *922:Z *314:11 9.76435e-06
+7 *925:A *921:A 0.000100681
+8 *925:A *923:A 0.00027764
+9 *925:A *314:11 4.84159e-05
+10 *760:B *922:Z 0.000312976
+11 *795:A1 *922:Z 3.5707e-05
+12 *18:5 *925:A 0.000100681
+13 *207:9 *925:A 9.58632e-05
+*RES
+1 *924:Z *309:4 9.3
+2 *309:4 *922:Z 23.4786
+3 *309:4 *925:A 25.5143
+*END
+
+*D_NET *310 0.000846803
+*CONN
+*I *921:A I *D sky130_fd_sc_hd__einvp_2
+*I *925:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *921:A 0.000130073
+2 *925:Y 0.000130073
+3 *921:A *921:Z 0.000146254
+4 *788:C *921:A 0.000308949
+5 *925:A *921:A 0.000100681
+6 *18:5 *921:A 3.07737e-05
+*RES
+1 *925:Y *921:A 31.6714
+*END
+
+*D_NET *311 0.00185235
+*CONN
+*I *926:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *921:Z O *D sky130_fd_sc_hd__einvp_2
+*I *923:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *926:A 0.000152833
+2 *921:Z 0.000185546
+3 *923:Z 0
+4 *311:4 0.000338379
+5 *921:Z *923:A 5.33005e-05
+6 *921:Z *319:11 0.000346273
+7 *926:A *928:A 7.48091e-05
+8 *926:A *932:A 9.90367e-05
+9 *384:DIODE *921:Z 2.83425e-05
+10 *389:DIODE *926:A 3.48235e-05
+11 *788:C *921:Z 1.34741e-05
+12 *788:C *926:A 0
+13 *921:A *921:Z 0.000146254
+14 *5:393 *921:Z 5.46383e-06
+15 *5:397 *921:Z 4.84159e-05
+16 *18:5 *921:Z 0.000172285
+17 *18:5 *926:A 0
+18 *19:8 *926:A 4.98743e-05
+19 *207:9 *921:Z 2.56721e-05
+20 *207:12 *926:A 7.75718e-05
+*RES
+1 *923:Z *311:4 9.3
+2 *311:4 *921:Z 24.3893
+3 *311:4 *926:A 22.2107
+*END
+
+*D_NET *312 0.00350441
+*CONN
+*I *923:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *921:TE I *D sky130_fd_sc_hd__einvp_2
+*I *761:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *923:TE_B 0
+2 *921:TE 0.00016498
+3 *761:X 0.000686289
+4 *312:16 0.000851269
+5 *921:TE *325:7 0.000180207
+6 *366:DIODE *312:16 0.00014183
+7 *760:B *921:TE 4.81489e-05
+8 *760:B *312:16 5.7836e-06
+9 *762:A2 *312:16 9.90367e-05
+10 *788:B *312:16 0.000184745
+11 *795:A1 *312:16 6.22558e-06
+12 *795:A2 *312:16 0.000293212
+13 *798:B1 *921:TE 2.13474e-05
+14 *18:5 *312:16 0
+15 *24:12 *921:TE 4.6025e-06
+16 *24:12 *312:16 9.01871e-05
+17 *180:49 *312:16 8.43535e-06
+18 *180:62 *312:16 0.000491735
+19 *183:11 *921:TE 0.00014192
+20 *207:9 *312:16 0
+21 *212:23 *921:TE 2.83425e-05
+22 *292:13 *921:TE 5.61137e-05
+*RES
+1 *761:X *312:16 28.1571
+2 *312:16 *921:TE 18.1214
+3 *312:16 *923:TE_B 13.8
+*END
+
+*D_NET *313 0.00151144
+*CONN
+*I *922:TE I *D sky130_fd_sc_hd__einvp_2
+*I *924:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *798:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *922:TE 0.00012988
+2 *924:TE_B 1.17492e-05
+3 *798:X 0.000200588
+4 *313:8 0.000342218
+5 *922:TE *314:11 0.000188291
+6 *924:TE_B *314:11 5.50052e-05
+7 *313:8 *314:11 3.29297e-05
+8 *313:8 *325:7 0.000143633
+9 *760:B *924:TE_B 5.50052e-05
+10 *784:B *313:8 2.06112e-05
+11 *795:A1 *922:TE 0
+12 *795:A1 *313:8 0
+13 *922:Z *922:TE 0.000104974
+14 *16:5 *922:TE 1.69115e-05
+15 *16:5 *313:8 2.59278e-05
+16 *180:62 *922:TE 0.00018372
+*RES
+1 *798:X *313:8 17.1036
+2 *313:8 *924:TE_B 14.3357
+3 *313:8 *922:TE 17.8179
+*END
+
+*D_NET *314 0.00502195
+*CONN
+*I *923:A I *D sky130_fd_sc_hd__einvn_8
+*I *924:A I *D sky130_fd_sc_hd__einvn_4
+*I *920:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *919:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *923:A 0.000172848
+2 *924:A 0
+3 *920:A 0.000114931
+4 *919:X 0.000709314
+5 *314:13 0.000137481
+6 *314:11 0.000904713
+7 *923:A *319:11 0.000216607
+8 *758:B2 *314:11 0.000397314
+9 *760:B *314:11 0.000182201
+10 *788:A *920:A 0.000180777
+11 *788:B *920:A 1.02504e-05
+12 *795:A2 *920:A 0.000180777
+13 *798:B1 *920:A 9.54798e-06
+14 *913:A *314:11 1.38323e-05
+15 *916:A *314:11 6.05161e-06
+16 *921:Z *923:A 5.33005e-05
+17 *922:TE *314:11 0.000188291
+18 *922:Z *314:11 9.76435e-06
+19 *924:TE_B *314:11 5.50052e-05
+20 *925:A *923:A 0.00027764
+21 *925:A *314:11 4.84159e-05
+22 *16:5 *314:11 7.6731e-05
+23 *24:12 *920:A 0.000158314
+24 *180:49 *314:11 0.000440711
+25 *180:62 *314:11 4.17014e-05
+26 *183:11 *923:A 8.26339e-05
+27 *183:11 *314:11 0.000136733
+28 *200:8 *920:A 0.000169584
+29 *207:9 *923:A 1.35465e-05
+30 *313:8 *314:11 3.29297e-05
+*RES
+1 *919:X *314:11 32.4071
+2 *314:11 *314:13 0.428571
+3 *314:13 *920:A 22.3179
+4 *314:13 *924:A 9.3
+5 *314:11 *923:A 15.1929
+*END
+
+*D_NET *315 0.000241698
+*CONN
+*I *929:A I *D sky130_fd_sc_hd__einvp_2
+*I *927:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *929:A 8.16555e-05
+2 *927:X 8.16555e-05
+3 *929:A *932:A 7.83873e-05
+*RES
+1 *927:X *929:A 19.975
+*END
+
+*D_NET *316 0.00149681
+*CONN
+*I *932:A I *D sky130_fd_sc_hd__clkinv_1
+*I *929:Z O *D sky130_fd_sc_hd__einvp_2
+*I *931:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *932:A 0.000206909
+2 *929:Z 0
+3 *931:Z 0.000235592
+4 *316:8 0.000442502
+5 *932:A *928:A 2.77289e-05
+6 *932:A *321:5 5.71472e-05
+7 *932:A *321:16 0.000187429
+8 *316:8 *931:A 1.42331e-05
+9 *316:8 *321:16 4.35256e-05
+10 *793:A2 *316:8 8.67814e-06
+11 *926:A *932:A 9.90367e-05
+12 *929:A *932:A 7.83873e-05
+13 *5:393 *316:8 9.56362e-05
+14 *285:10 *316:8 0
+*RES
+1 *931:Z *316:8 22.9786
+2 *316:8 *929:Z 9.3
+3 *316:8 *932:A 14.5143
+*END
+
+*D_NET *317 0.00198557
+*CONN
+*I *928:A I *D sky130_fd_sc_hd__einvp_2
+*I *932:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *928:A 0.000279705
+2 *932:Y 0.000279705
+3 *928:A *321:5 2.44318e-05
+4 *928:A *321:16 0.000358971
+5 *926:A *928:A 7.48091e-05
+6 *932:A *928:A 2.77289e-05
+7 *22:8 *928:A 0.000940218
+*RES
+1 *932:Y *928:A 28.725
+*END
+
+*D_NET *318 0.000739186
+*CONN
+*I *933:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *928:Z O *D sky130_fd_sc_hd__einvp_2
+*I *930:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *933:A 9.64579e-05
+2 *928:Z 0.000244134
+3 *930:Z 0
+4 *318:4 0.000340592
+5 *928:Z *936:A 3.006e-05
+6 *928:Z *327:7 1.58163e-05
+7 *933:A *327:7 1.21258e-05
+*RES
+1 *930:Z *318:4 9.3
+2 *318:4 *928:Z 22.1214
+3 *318:4 *933:A 10.6571
+*END
+
+*D_NET *319 0.00595814
+*CONN
+*I *928:TE I *D sky130_fd_sc_hd__einvp_2
+*I *930:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *762:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *928:TE 0.000162716
+2 *930:TE_B 7.76527e-05
+3 *762:X 0.00154446
+4 *319:11 0.00178483
+5 *928:TE *929:TE 0.00017514
+6 *928:TE *930:A 0.000135028
+7 *930:TE_B *930:A 1.24368e-05
+8 *758:B2 *319:11 0.000648624
+9 *921:Z *319:11 0.000346273
+10 *923:A *319:11 0.000216607
+11 *5:393 *930:TE_B 0.000139338
+12 *5:393 *319:11 0.00042563
+13 *5:397 *319:11 2.74737e-05
+14 *183:11 *319:11 0.00020693
+15 *285:10 *319:11 5.49995e-05
+*RES
+1 *762:X *319:11 32.0679
+2 *319:11 *930:TE_B 11.0679
+3 *319:11 *928:TE 21.9071
+*END
+
+*D_NET *320 0.00310184
+*CONN
+*I *929:TE I *D sky130_fd_sc_hd__einvp_2
+*I *931:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *800:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *929:TE 0.000403304
+2 *931:TE_B 2.51323e-05
+3 *800:X 0.000279456
+4 *320:10 0.000707893
+5 *929:TE *931:A 3.63921e-05
+6 *929:TE *935:TE 5.49995e-05
+7 *929:TE *325:7 0.000103017
+8 *395:DIODE *320:10 1.85198e-05
+9 *793:A2 *929:TE 5.33005e-05
+10 *793:A2 *931:TE_B 0.000141935
+11 *793:A2 *320:10 0.000184438
+12 *793:A3 *320:10 0
+13 *800:A2 *320:10 1.77294e-05
+14 *802:A1 *320:10 0.000123388
+15 *802:A2 *320:10 0.000136951
+16 *928:TE *929:TE 0.00017514
+17 *5:393 *929:TE 6.94441e-06
+18 *20:15 *929:TE 0.000315231
+19 *196:15 *320:10 0.00014563
+20 *212:23 *929:TE 7.06273e-05
+21 *212:23 *931:TE_B 0.000101812
+*RES
+1 *800:X *320:10 24.1393
+2 *320:10 *931:TE_B 10.6571
+3 *320:10 *929:TE 27.9607
+*END
+
+*D_NET *321 0.00242519
+*CONN
+*I *930:A I *D sky130_fd_sc_hd__einvn_8
+*I *931:A I *D sky130_fd_sc_hd__einvn_4
+*I *927:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *926:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *930:A 0.000204628
+2 *931:A 0.000122195
+3 *927:A 0
+4 *926:X 7.34995e-06
+5 *321:16 0.000501902
+6 *321:5 0.000182429
+7 *928:A *321:5 2.44318e-05
+8 *928:A *321:16 0.000358971
+9 *928:TE *930:A 0.000135028
+10 *929:TE *931:A 3.63921e-05
+11 *930:TE_B *930:A 1.24368e-05
+12 *932:A *321:5 5.71472e-05
+13 *932:A *321:16 0.000187429
+14 *5:393 *931:A 0.000192997
+15 *20:15 *931:A 0.000169095
+16 *20:15 *321:16 0.000175002
+17 *285:10 *321:16 0
+18 *316:8 *931:A 1.42331e-05
+19 *316:8 *321:16 4.35256e-05
+*RES
+1 *926:X *321:5 9.83571
+2 *321:5 *927:A 9.3
+3 *321:5 *321:16 10.5893
+4 *321:16 *931:A 16.9607
+5 *321:16 *930:A 16.8179
+*END
+
+*D_NET *322 0.00130067
+*CONN
+*I *936:A I *D sky130_fd_sc_hd__einvp_2
+*I *934:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *936:A 0.000224442
+2 *934:X 0.000224442
+3 *936:A *934:A 0.000165789
+4 *936:A *935:TE 0.000146991
+5 *936:A *936:Z 9.75679e-05
+6 *936:A *938:A 0.000148658
+7 *936:A *327:8 0.000166852
+8 *383:DIODE *936:A 9.58632e-05
+9 *928:Z *936:A 3.006e-05
+*RES
+1 *934:X *936:A 34.7429
+*END
+
+*D_NET *323 0.00255747
+*CONN
+*I *939:A I *D sky130_fd_sc_hd__clkinv_1
+*I *936:Z O *D sky130_fd_sc_hd__einvp_2
+*I *938:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *939:A 0.000197963
+2 *936:Z 9.84286e-05
+3 *938:Z 0.000117875
+4 *323:8 0.000414267
+5 *939:A *934:A 0.000180269
+6 *939:A *938:A 0.000163863
+7 *939:A *327:8 0.000175274
+8 *323:8 *938:A 0.000221863
+9 *383:DIODE *936:Z 6.48792e-05
+10 *383:DIODE *939:A 0.000460989
+11 *800:A2 *323:8 7.77652e-05
+12 *863:A *939:A 4.27842e-06
+13 *863:A *323:8 0.000115767
+14 *936:A *936:Z 9.75679e-05
+15 *22:8 *939:A 2.13481e-06
+16 *254:8 *939:A 1.9516e-05
+17 *258:8 *323:8 0.000144773
+*RES
+1 *938:Z *323:8 18.3179
+2 *323:8 *936:Z 15.9786
+3 *323:8 *939:A 20.8357
+*END
+
+*D_NET *324 0.00114162
+*CONN
+*I *935:A I *D sky130_fd_sc_hd__einvp_2
+*I *939:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *935:A 0.000155762
+2 *939:Y 0.000155762
+3 *383:DIODE *935:A 0.00019625
+4 *794:A2 *935:A 3.45899e-05
+5 *21:7 *935:A 0.000472988
+6 *254:8 *935:A 0.000126272
+*RES
+1 *939:Y *935:A 34.1
+*END
+
+*D_NET *325 0.00504076
+*CONN
+*I *935:TE I *D sky130_fd_sc_hd__einvp_2
+*I *937:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *764:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *935:TE 0.000335911
+2 *937:TE_B 0
+3 *764:X 0.000872269
+4 *325:7 0.00120818
+5 *935:TE *938:A 2.2918e-05
+6 *784:A *325:7 2.79421e-05
+7 *785:C *325:7 0.000133536
+8 *790:C1 *325:7 0.000209541
+9 *793:A2 *325:7 0.000272338
+10 *793:B1 *325:7 6.30316e-05
+11 *793:B2 *325:7 2.85234e-05
+12 *798:B1 *325:7 0.000188658
+13 *921:TE *325:7 0.000180207
+14 *929:TE *935:TE 5.49995e-05
+15 *929:TE *325:7 0.000103017
+16 *936:A *935:TE 0.000146991
+17 *5:838 *325:7 7.17469e-05
+18 *20:15 *325:7 0.000612288
+19 *200:7 *325:7 0.000180195
+20 *212:23 *325:7 7.14833e-05
+21 *292:13 *325:7 0.000113356
+22 *313:8 *325:7 0.000143633
+*RES
+1 *764:X *325:7 31.1036
+2 *325:7 *937:TE_B 9.3
+3 *325:7 *935:TE 24.675
+*END
+
+*D_NET *326 0.000871028
+*CONN
+*I *938:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *936:TE I *D sky130_fd_sc_hd__einvp_2
+*I *802:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *938:TE_B 0
+2 *936:TE 0.000143877
+3 *802:X 3.40632e-05
+4 *326:5 0.00017794
+5 *936:TE *938:A 0
+6 *383:DIODE *936:TE 1.46231e-05
+7 *794:A1 *326:5 5.52302e-05
+8 *800:A2 *326:5 0.000137983
+9 *802:B2 *326:5 9.71197e-05
+10 *5:377 *936:TE 7.73022e-05
+11 *5:384 *936:TE 0.000129198
+12 *5:446 *936:TE 3.69047e-06
+*RES
+1 *802:X *326:5 10.6571
+2 *326:5 *936:TE 21.3893
+3 *326:5 *938:TE_B 9.3
+*END
+
+*D_NET *327 0.00207241
+*CONN
+*I *934:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *937:A I *D sky130_fd_sc_hd__einvn_8
+*I *938:A I *D sky130_fd_sc_hd__einvn_4
+*I *933:X O *D sky130_fd_sc_hd__clkbuf_2
+*CAP
+1 *934:A 0.000100126
+2 *937:A 0
+3 *938:A 0.000165305
+4 *933:X 6.88338e-05
+5 *327:8 0.000207939
+6 *327:7 0.000211594
+7 *383:DIODE *934:A 2.58997e-05
+8 *928:Z *327:7 1.58163e-05
+9 *933:A *327:7 1.21258e-05
+10 *935:TE *938:A 2.2918e-05
+11 *936:A *934:A 0.000165789
+12 *936:A *938:A 0.000148658
+13 *936:A *327:8 0.000166852
+14 *936:TE *938:A 0
+15 *939:A *934:A 0.000180269
+16 *939:A *938:A 0.000163863
+17 *939:A *327:8 0.000175274
+18 *22:8 *934:A 1.92905e-05
+19 *323:8 *938:A 0.000221863
+*RES
+1 *933:X *327:7 14.7464
+2 *327:7 *327:8 2.25
+3 *327:8 *938:A 18.7821
+4 *327:8 *937:A 13.8
+5 *327:7 *934:A 17.1929
+*END
+
+*D_NET *328 0.000102603
+*CONN
+*I *949:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *945:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *949:TE_B 2.36895e-05
+2 *945:X 2.36895e-05
+3 *949:TE_B *945:A 5.52238e-05
+*RES
+1 *945:X *949:TE_B 19.1357
+*END
+
+*D_NET *329 0.000148744
+*CONN
+*I *948:A I *D sky130_fd_sc_hd__einvp_2
+*I *946:X O *D sky130_fd_sc_hd__clkbuf_1
+*CAP
+1 *948:A 7.13462e-05
+2 *946:X 7.13462e-05
+3 *946:A *948:A 6.05161e-06
+*RES
+1 *946:X *948:A 19.5643
+*END
+
+*D_NET *330 0.000450174
+*CONN
+*I *951:A I *D sky130_fd_sc_hd__clkinv_1
+*I *948:Z O *D sky130_fd_sc_hd__einvp_2
+*I *950:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *951:A 7.85208e-05
+2 *948:Z 6.11788e-05
+3 *950:Z 0
+4 *330:4 0.0001397
+5 *951:A *947:A 4.94761e-05
+6 *803:A2 *948:Z 6.05999e-05
+7 *946:A *948:Z 6.06987e-05
+*RES
+1 *950:Z *330:4 9.3
+2 *330:4 *948:Z 19.9429
+3 *330:4 *951:A 10.6571
+*END
+
+*D_NET *331 0.00173215
+*CONN
+*I *947:A I *D sky130_fd_sc_hd__einvp_2
+*I *951:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *947:A 0.000596923
+2 *951:Y 0.000596923
+3 *947:A *945:A 0.000125717
+4 *947:A *952:TE 7.57394e-05
+5 *803:A2 *947:A 0
+6 *804:C *947:A 6.72242e-05
+7 *864:A *947:A 0.000114114
+8 *947:Z *947:A 1.76135e-05
+9 *951:A *947:A 4.94761e-05
+10 *246:7 *947:A 5.49544e-05
+11 *246:17 *947:A 3.34687e-05
+*RES
+1 *951:Y *947:A 39.3143
+*END
+
+*D_NET *332 6.46394e-05
+*CONN
+*I *952:A I *D sky130_fd_sc_hd__einvp_1
+*I *944:HI O *D sky130_fd_sc_hd__conb_1
+*CAP
+1 *952:A 2.96665e-05
+2 *944:HI 2.96665e-05
+3 *246:7 *952:A 5.30637e-06
+*RES
+1 *944:HI *952:A 19.1357
+*END
+
+*D_NET *333 0.030951
+*CONN
+*I *815:B I *D sky130_fd_sc_hd__nor2_2
+*I *830:B I *D sky130_fd_sc_hd__nor2_2
+*I *829:B I *D sky130_fd_sc_hd__nor2_2
+*I *828:B I *D sky130_fd_sc_hd__nor2_2
+*I *822:B I *D sky130_fd_sc_hd__nor2_2
+*I *817:B I *D sky130_fd_sc_hd__nor2_2
+*I *814:B I *D sky130_fd_sc_hd__nor2_2
+*I *813:B I *D sky130_fd_sc_hd__nor2_2
+*I *952:TE I *D sky130_fd_sc_hd__einvp_1
+*I *945:A I *D sky130_fd_sc_hd__or2_2
+*I *819:B I *D sky130_fd_sc_hd__nor2_2
+*I *820:B I *D sky130_fd_sc_hd__nor2_2
+*I *818:B I *D sky130_fd_sc_hd__nor2_2
+*I *816:B I *D sky130_fd_sc_hd__nor2_2
+*I *821:B I *D sky130_fd_sc_hd__nor2_2
+*I *810:B I *D sky130_fd_sc_hd__nor2_2
+*I *824:B I *D sky130_fd_sc_hd__nor2_2
+*I *825:B I *D sky130_fd_sc_hd__nor2_2
+*I *823:B I *D sky130_fd_sc_hd__nor2_2
+*I *808:B I *D sky130_fd_sc_hd__nor2_2
+*I *809:B I *D sky130_fd_sc_hd__nor2_2
+*I *811:B I *D sky130_fd_sc_hd__nor2_2
+*I *812:B I *D sky130_fd_sc_hd__nor2_2
+*I *827:B I *D sky130_fd_sc_hd__nor2_2
+*I *826:B I *D sky130_fd_sc_hd__nor2_2
+*I *807:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *815:B 0
+2 *830:B 0.000299537
+3 *829:B 3.13769e-05
+4 *828:B 0.000625467
+5 *822:B 5.96738e-05
+6 *817:B 0
+7 *814:B 9.20699e-05
+8 *813:B 0
+9 *952:TE 8.15065e-05
+10 *945:A 0.000181486
+11 *819:B 0.000170455
+12 *820:B 0
+13 *818:B 8.43768e-05
+14 *816:B 0
+15 *821:B 0.000174447
+16 *810:B 0
+17 *824:B 0.000163305
+18 *825:B 6.47362e-05
+19 *823:B 1.20576e-05
+20 *808:B 0
+21 *809:B 0
+22 *811:B 0.000109196
+23 *812:B 0.000361871
+24 *827:B 4.47093e-05
+25 *826:B 0.00107376
+26 *807:Y 0
+27 *333:246 0.000782629
+28 *333:245 0.00119078
+29 *333:235 0.00128688
+30 *333:219 0.000935794
+31 *333:212 0.000425693
+32 *333:201 0.000257555
+33 *333:177 0.000430804
+34 *333:162 0.00036101
+35 *333:155 0.000154245
+36 *333:144 0.000536353
+37 *333:125 0.000174447
+38 *333:123 0.000465118
+39 *333:108 0.000163305
+40 *333:106 0.000249422
+41 *333:95 0.000657441
+42 *333:84 0.000621557
+43 *333:76 0.000410168
+44 *333:64 0.000681674
+45 *333:55 0.00132062
+46 *333:50 0.00135653
+47 *333:41 0.000535601
+48 *333:27 0.00121728
+49 *333:15 0.000940078
+50 *333:4 0.00120562
+51 clockp[1] *826:B 0.000104613
+52 *346:DIODE *826:B 6.56976e-05
+53 *405:DIODE *333:15 4.35597e-05
+54 *405:DIODE *333:27 0.000250668
+55 *413:DIODE *333:235 0.00010096
+56 *421:DIODE *333:95 5.7256e-05
+57 *635:S *333:245 0
+58 *641:B *830:B 9.11365e-06
+59 *642:B *830:B 4.43256e-05
+60 *664:A2 *828:B 0.000128154
+61 *665:A3 *828:B 4.38314e-05
+62 *667:A2 *828:B 3.15739e-05
+63 *671:A *826:B 0
+64 *686:B *333:64 3.84291e-05
+65 *686:C *333:64 9.60939e-05
+66 *690:A *333:64 0.000182357
+67 *708:A1 *333:235 4.46186e-06
+68 *708:A2 *333:235 3.83772e-05
+69 *709:B1 *333:235 0.00014285
+70 *726:B *333:219 0
+71 *731:A1 *333:50 3.17163e-05
+72 *731:B1 *333:50 4.58096e-05
+73 *734:A *811:B 3.69047e-06
+74 *734:A *812:B 1.97381e-05
+75 *734:A *333:41 2.34204e-05
+76 *735:A2 *812:B 0.00010096
+77 *736:B *333:27 0.000115971
+78 *736:C *812:B 0.000108582
+79 *736:C *333:27 2.24763e-05
+80 *736:C *333:41 0
+81 *736:C *333:50 0
+82 *738:A0 *333:64 2.26424e-05
+83 *739:B *333:76 0
+84 *804:C *945:A 8.9163e-05
+85 *804:C *333:144 1.41029e-05
+86 *804:C *333:155 0.000117144
+87 *804:C *333:162 4.97121e-06
+88 *804:C *333:177 7.25984e-05
+89 *808:A *823:B 0
+90 *808:A *333:84 1.04232e-05
+91 *811:A *811:B 0.000188586
+92 *819:A *819:B 1.04232e-05
+93 *821:A *821:B 8.95839e-06
+94 *822:A *333:235 3.568e-05
+95 *824:A *824:B 9.99853e-05
+96 *825:A *825:B 1.3701e-05
+97 *827:A *826:B 0.000307349
+98 *827:A *827:B 2.62951e-05
+99 *827:A *333:15 8.42609e-05
+100 *828:A *828:B 1.02301e-05
+101 *831:RESET_B *333:76 0.000186669
+102 *832:RESET_B *333:64 0.000197984
+103 *833:CLK *333:95 0
+104 *833:D *333:64 0
+105 *833:D *333:76 0
+106 *833:RESET_B *333:95 0.000136763
+107 *833:RESET_B *333:106 6.37408e-06
+108 *834:D *812:B 0
+109 *834:D *333:41 0
+110 *836:D *333:55 3.30803e-05
+111 *836:RESET_B *333:55 2.07232e-05
+112 *836:RESET_B *333:64 1.33343e-05
+113 *837:RESET_B *814:B 0.000228876
+114 *839:CLK *333:55 2.89016e-05
+115 *839:CLK *333:64 0.000116049
+116 *839:RESET_B *821:B 0.000140933
+117 *841:RESET_B *818:B 0.000136682
+118 *841:RESET_B *333:144 0.000381867
+119 *843:RESET_B *819:B 5.52302e-05
+120 *843:RESET_B *333:162 1.52978e-05
+121 *843:RESET_B *333:177 4.66203e-05
+122 *845:RESET_B *333:235 5.56943e-05
+123 *847:RESET_B *333:95 0
+124 *847:RESET_B *333:106 0
+125 *848:D *333:95 8.07313e-05
+126 *848:RESET_B *333:95 0
+127 *849:RESET_B *826:B 6.97972e-05
+128 *850:RESET_B *827:B 3.82055e-05
+129 *852:D *828:B 0
+130 *854:A *826:B 4.16984e-05
+131 *872:TE *333:162 2.19684e-05
+132 *872:TE *333:177 4.35048e-05
+133 *875:TE_B *333:144 0
+134 *940:A *333:245 2.11419e-05
+135 *947:A *945:A 0.000125717
+136 *947:A *952:TE 7.57394e-05
+137 *947:Z *945:A 1.00375e-05
+138 *949:TE_B *945:A 5.52238e-05
+139 *4:8 *828:B 0
+140 *5:15 *830:B 0.000357054
+141 *5:27 *830:B 8.18594e-05
+142 *5:27 *333:246 6.68298e-05
+143 *5:66 *333:235 8.98897e-05
+144 *5:70 *333:219 0.000290956
+145 *5:70 *333:235 3.17163e-05
+146 *5:72 *333:201 4.65855e-05
+147 *5:72 *333:212 0.000167904
+148 *5:72 *333:219 3.2923e-05
+149 *5:77 *333:50 5.66157e-05
+150 *5:77 *333:55 2.59355e-05
+151 *5:77 *333:201 9.80405e-05
+152 *5:88 *333:50 6.8551e-05
+153 *5:105 *811:B 6.57914e-05
+154 *5:146 *333:76 0.000276211
+155 *5:146 *333:84 2.44318e-05
+156 *5:154 *333:84 0.000105163
+157 *5:154 *333:95 1.54142e-05
+158 *5:164 *823:B 1.04232e-05
+159 *5:175 *333:95 5.33005e-05
+160 *5:191 *825:B 9.80173e-05
+161 *5:191 *333:106 0.000125731
+162 *5:191 *333:123 2.31791e-05
+163 *5:194 *824:B 4.4873e-05
+164 *5:196 *824:B 5.7661e-06
+165 *5:208 *824:B 4.73286e-05
+166 *5:222 *333:123 0.000197714
+167 *5:222 *333:144 2.28343e-05
+168 *5:227 *821:B 1.55885e-05
+169 *5:252 *333:144 0.000132806
+170 *5:257 *333:144 1.12323e-05
+171 *5:257 *333:155 0.000153054
+172 *5:261 *819:B 3.19453e-05
+173 *5:266 *819:B 2.89016e-05
+174 *5:504 *818:B 2.36643e-05
+175 *5:504 *333:155 0
+176 *5:534 *821:B 1.75897e-05
+177 *5:544 *821:B 6.45471e-06
+178 *5:561 *333:64 0.00014285
+179 *5:590 *814:B 0.000149489
+180 *5:604 *828:B 1.92789e-05
+181 *5:606 *828:B 3.4879e-05
+182 *5:611 *828:B 2.27416e-05
+183 *5:685 *333:245 8.6229e-06
+184 *5:688 *333:235 5.52302e-05
+185 *5:700 *333:245 4.75956e-06
+186 *5:876 *830:B 1.08359e-05
+187 *6:24 *826:B 6.99087e-05
+188 *8:26 *828:B 5.15946e-05
+189 *11:8 *828:B 0.00037804
+190 *11:8 *333:245 2.30813e-05
+191 *11:8 *333:246 9.06613e-05
+192 *32:27 *830:B 0
+193 *32:27 *333:246 0
+194 *88:5 *825:B 7.32272e-05
+195 *88:39 *333:27 0.000102207
+196 *93:9 *828:B 0
+197 *113:9 *828:B 0.000152041
+198 *129:63 *333:235 0
+199 *156:11 *333:235 0.000186669
+200 *168:8 *333:50 0
+201 *168:8 *333:201 0
+202 *169:14 *333:219 0
+203 *171:10 *333:50 0
+204 *171:12 *333:50 0
+205 *216:13 *829:B 2.59355e-05
+206 *216:64 *333:212 0.000124019
+207 *216:64 *333:219 6.96703e-05
+208 *216:175 *333:55 7.70092e-05
+209 *218:8 *811:B 3.32631e-05
+210 *218:8 *333:41 4.35131e-05
+211 *218:8 *333:50 0.000168067
+212 *219:8 *333:50 3.48235e-05
+213 *219:8 *333:55 5.39629e-06
+214 *220:8 *814:B 2.24718e-05
+215 *221:10 *333:219 0
+216 *221:10 *333:235 0
+217 *221:43 *333:235 3.68898e-05
+218 *221:43 *333:245 5.52238e-05
+219 *221:55 *830:B 7.6644e-05
+220 *226:8 *333:246 3.64887e-05
+221 *226:19 *830:B 1.09232e-05
+222 *226:19 *333:246 1.6959e-05
+223 *246:7 *952:TE 1.59373e-05
+224 *246:22 *945:A 0.000222666
+*RES
+1 *807:Y *333:4 9.3
+2 *333:4 *826:B 33.175
+3 *333:4 *333:15 1.76786
+4 *333:15 *827:B 19.3357
+5 *333:15 *333:27 14.8929
+6 *333:27 *812:B 20.9607
+7 *333:27 *333:41 1.94643
+8 *333:41 *811:B 16.8
+9 *333:41 *333:50 8.01786
+10 *333:50 *333:55 15.9286
+11 *333:55 *333:64 13.9643
+12 *333:64 *809:B 13.8
+13 *333:64 *333:76 10.2857
+14 *333:76 *808:B 9.3
+15 *333:76 *333:84 2.60714
+16 *333:84 *823:B 9.72857
+17 *333:84 *333:95 13.7143
+18 *333:95 *825:B 15.5857
+19 *333:95 *333:106 1.94643
+20 *333:106 *333:108 4.5
+21 *333:108 *824:B 12.7464
+22 *333:108 *810:B 9.3
+23 *333:106 *333:123 2.85714
+24 *333:123 *333:125 4.5
+25 *333:125 *821:B 12.9429
+26 *333:125 *816:B 9.3
+27 *333:123 *333:144 7.76786
+28 *333:144 *818:B 15.5679
+29 *333:144 *333:155 3.39286
+30 *333:155 *820:B 13.8
+31 *333:155 *333:162 0.732143
+32 *333:162 *819:B 16.55
+33 *333:162 *333:177 4.07143
+34 *333:177 *945:A 18.7643
+35 *333:177 *952:TE 15.5679
+36 *333:55 *813:B 9.3
+37 *333:50 *333:201 1.94643
+38 *333:201 *814:B 16.925
+39 *333:201 *333:212 2.25
+40 *333:212 *817:B 13.8
+41 *333:212 *333:219 7.41071
+42 *333:219 *822:B 14.7643
+43 *333:219 *333:235 15.5714
+44 *333:235 *333:245 13.5488
+45 *333:245 *333:246 3.00893
+46 *333:246 *828:B 28.1929
+47 *333:246 *829:B 14.3357
+48 *333:245 *830:B 20.8625
+49 *333:235 *815:B 9.3
+*END
+
+*D_NET *334 0.00761883
+*CONN
+*I *945:B I *D sky130_fd_sc_hd__or2_2
+*I *947:TE I *D sky130_fd_sc_hd__einvp_2
+*I *769:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *945:B 6.85026e-05
+2 *947:TE 0.000309987
+3 *769:X 0.00176545
+4 *334:14 0.00214394
+5 *379:DIODE *334:14 1.98839e-05
+6 *695:A3 *334:14 1.50563e-05
+7 *716:C1 *947:TE 9.47456e-05
+8 *769:A1 *334:14 0.000175624
+9 *771:A2 *334:14 0.000168416
+10 *772:A1 *334:14 9.58352e-05
+11 *797:A *334:14 2.11419e-05
+12 *806:B1 *334:14 9.87983e-06
+13 *806:B2 *334:14 0.000103532
+14 *5:266 *947:TE 0.000173804
+15 *5:266 *334:14 0.00026881
+16 *5:279 *334:14 0.0001663
+17 *5:307 *334:14 6.81682e-05
+18 *5:726 *334:14 0.000112414
+19 *5:727 *334:14 3.97677e-05
+20 *5:731 *334:14 8.46829e-05
+21 *16:5 *334:14 1.95772e-05
+22 *131:62 *334:14 0.000337764
+23 *142:29 *334:14 0.000779242
+24 *234:37 *334:14 1.56427e-05
+25 *236:28 *334:14 0
+26 *237:89 *334:14 0.000292361
+27 *246:22 *945:B 0.000136958
+28 *278:12 *334:14 0.000131334
+*RES
+1 *769:X *334:14 36.7965
+2 *334:14 *947:TE 19.4607
+3 *334:14 *945:B 15.1571
+*END
+
+*D_NET *335 0.00103765
+*CONN
+*I *948:TE I *D sky130_fd_sc_hd__einvp_2
+*I *950:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *806:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *948:TE 0.000103885
+2 *950:TE_B 0.000165121
+3 *806:X 0.000153249
+4 *335:5 0.000422255
+5 *803:A1 *948:TE 1.46231e-05
+6 *803:A1 *335:5 2.74389e-05
+7 *803:A2 *948:TE 1.83053e-05
+8 *803:B1 *335:5 9.63908e-05
+9 *26:17 *950:TE_B 3.63842e-05
+10 *251:19 *950:TE_B 0
+*RES
+1 *806:X *335:5 11.8893
+2 *335:5 *950:TE_B 21.1571
+3 *335:5 *948:TE 11.0857
+*END
diff --git a/signoff/digital_pll/openlane-signoff/spice.feedback.txt b/signoff/digital_pll/openlane-signoff/spice.feedback.txt
new file mode 100644
index 00000000..3be00cb8
--- /dev/null
+++ b/signoff/digital_pll/openlane-signoff/spice.feedback.txt
@@ -0,0 +1,68 @@
+box 6900 5967 6929 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6963 5967 7001 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6440 5967 6469 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6469 5967 6503 6001
+feedback add "Illegal overlap between obsli1c and locali (types do not connect)" medium
+box 6503 5967 6561 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6595 5967 6653 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6687 5967 6745 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6779 5967 6837 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6871 5967 6900 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 6999 5967 7021 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 7055 5967 7084 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 7084 5967 7113 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 7147 5967 7205 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 7239 5967 7297 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 7331 5967 7389 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 7423 5967 7481 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 7515 5967 7544 6001
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10948 7599 10977 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 11011 7599 11069 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 11103 7599 11161 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 11195 7599 11201 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10304 7599 10333 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10333 7599 10367 7633
+feedback add "Illegal overlap between obsli1c and locali (types do not connect)" medium
+box 10367 7599 10425 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10459 7599 10517 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10551 7599 10609 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10643 7599 10701 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10735 7599 10793 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10827 7599 10885 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 10919 7599 10948 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 11199 7599 11253 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 11287 7599 11316 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 11316 7599 11345 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
+box 11379 7599 11408 7633
+feedback add "Illegal overlap between obsli1 and locali (types do not connect)" medium
diff --git a/spef/digital_pll.spef b/spef/digital_pll.spef
index 87dc290a..beb79618 100644
--- a/spef/digital_pll.spef
+++ b/spef/digital_pll.spef
@@ -215,756 +215,755 @@
*201 _161_
*202 _162_
*203 _163_
-*204 pll_control\.clock
-*205 pll_control\.count0\[0\]
-*206 pll_control\.count0\[1\]
-*207 pll_control\.count0\[2\]
-*208 pll_control\.count0\[3\]
-*209 pll_control\.count0\[4\]
-*210 pll_control\.count1\[0\]
-*211 pll_control\.count1\[1\]
-*212 pll_control\.count1\[2\]
-*213 pll_control\.count1\[3\]
-*214 pll_control\.count1\[4\]
-*215 pll_control\.oscbuf\[0\]
-*216 pll_control\.oscbuf\[1\]
-*217 pll_control\.oscbuf\[2\]
-*218 pll_control\.prep\[0\]
-*219 pll_control\.prep\[1\]
-*220 pll_control\.prep\[2\]
-*221 pll_control\.tint\[0\]
-*222 pll_control\.tint\[1\]
-*223 pll_control\.tint\[2\]
-*224 pll_control\.tint\[3\]
-*225 pll_control\.tint\[4\]
-*226 pll_control\.tval\[0\]
-*227 pll_control\.tval\[1\]
-*228 ringosc\.c\[0\]
-*229 ringosc\.c\[1\]
-*230 ringosc\.dstage\[0\]\.id\.d0
-*231 ringosc\.dstage\[0\]\.id\.d1
-*232 ringosc\.dstage\[0\]\.id\.d2
-*233 ringosc\.dstage\[0\]\.id\.in
-*234 ringosc\.dstage\[0\]\.id\.out
-*235 ringosc\.dstage\[0\]\.id\.trim\[0\]
-*236 ringosc\.dstage\[0\]\.id\.trim\[1\]
-*237 ringosc\.dstage\[0\]\.id\.ts
-*238 ringosc\.dstage\[10\]\.id\.d0
-*239 ringosc\.dstage\[10\]\.id\.d1
-*240 ringosc\.dstage\[10\]\.id\.d2
-*241 ringosc\.dstage\[10\]\.id\.in
-*242 ringosc\.dstage\[10\]\.id\.out
-*243 ringosc\.dstage\[10\]\.id\.trim\[0\]
-*244 ringosc\.dstage\[10\]\.id\.trim\[1\]
-*245 ringosc\.dstage\[10\]\.id\.ts
-*246 ringosc\.dstage\[11\]\.id\.d0
-*247 ringosc\.dstage\[11\]\.id\.d1
-*248 ringosc\.dstage\[11\]\.id\.d2
-*249 ringosc\.dstage\[11\]\.id\.out
-*250 ringosc\.dstage\[11\]\.id\.trim\[0\]
-*251 ringosc\.dstage\[11\]\.id\.trim\[1\]
-*252 ringosc\.dstage\[11\]\.id\.ts
-*253 ringosc\.dstage\[1\]\.id\.d0
-*254 ringosc\.dstage\[1\]\.id\.d1
-*255 ringosc\.dstage\[1\]\.id\.d2
-*256 ringosc\.dstage\[1\]\.id\.out
-*257 ringosc\.dstage\[1\]\.id\.trim\[0\]
-*258 ringosc\.dstage\[1\]\.id\.trim\[1\]
-*259 ringosc\.dstage\[1\]\.id\.ts
-*260 ringosc\.dstage\[2\]\.id\.d0
-*261 ringosc\.dstage\[2\]\.id\.d1
-*262 ringosc\.dstage\[2\]\.id\.d2
-*263 ringosc\.dstage\[2\]\.id\.out
-*264 ringosc\.dstage\[2\]\.id\.trim\[0\]
-*265 ringosc\.dstage\[2\]\.id\.trim\[1\]
-*266 ringosc\.dstage\[2\]\.id\.ts
-*267 ringosc\.dstage\[3\]\.id\.d0
-*268 ringosc\.dstage\[3\]\.id\.d1
-*269 ringosc\.dstage\[3\]\.id\.d2
-*270 ringosc\.dstage\[3\]\.id\.out
-*271 ringosc\.dstage\[3\]\.id\.trim\[0\]
-*272 ringosc\.dstage\[3\]\.id\.trim\[1\]
-*273 ringosc\.dstage\[3\]\.id\.ts
-*274 ringosc\.dstage\[4\]\.id\.d0
-*275 ringosc\.dstage\[4\]\.id\.d1
-*276 ringosc\.dstage\[4\]\.id\.d2
-*277 ringosc\.dstage\[4\]\.id\.out
-*278 ringosc\.dstage\[4\]\.id\.trim\[0\]
-*279 ringosc\.dstage\[4\]\.id\.trim\[1\]
-*280 ringosc\.dstage\[4\]\.id\.ts
-*281 ringosc\.dstage\[5\]\.id\.d0
-*282 ringosc\.dstage\[5\]\.id\.d1
-*283 ringosc\.dstage\[5\]\.id\.d2
-*284 ringosc\.dstage\[5\]\.id\.out
-*285 ringosc\.dstage\[5\]\.id\.trim\[0\]
-*286 ringosc\.dstage\[5\]\.id\.trim\[1\]
-*287 ringosc\.dstage\[5\]\.id\.ts
-*288 ringosc\.dstage\[6\]\.id\.d0
-*289 ringosc\.dstage\[6\]\.id\.d1
-*290 ringosc\.dstage\[6\]\.id\.d2
-*291 ringosc\.dstage\[6\]\.id\.out
-*292 ringosc\.dstage\[6\]\.id\.trim\[0\]
-*293 ringosc\.dstage\[6\]\.id\.trim\[1\]
-*294 ringosc\.dstage\[6\]\.id\.ts
-*295 ringosc\.dstage\[7\]\.id\.d0
-*296 ringosc\.dstage\[7\]\.id\.d1
-*297 ringosc\.dstage\[7\]\.id\.d2
-*298 ringosc\.dstage\[7\]\.id\.out
-*299 ringosc\.dstage\[7\]\.id\.trim\[0\]
-*300 ringosc\.dstage\[7\]\.id\.trim\[1\]
-*301 ringosc\.dstage\[7\]\.id\.ts
-*302 ringosc\.dstage\[8\]\.id\.d0
-*303 ringosc\.dstage\[8\]\.id\.d1
-*304 ringosc\.dstage\[8\]\.id\.d2
-*305 ringosc\.dstage\[8\]\.id\.out
-*306 ringosc\.dstage\[8\]\.id\.trim\[0\]
-*307 ringosc\.dstage\[8\]\.id\.trim\[1\]
-*308 ringosc\.dstage\[8\]\.id\.ts
-*309 ringosc\.dstage\[9\]\.id\.d0
-*310 ringosc\.dstage\[9\]\.id\.d1
-*311 ringosc\.dstage\[9\]\.id\.d2
-*312 ringosc\.dstage\[9\]\.id\.trim\[0\]
-*313 ringosc\.dstage\[9\]\.id\.trim\[1\]
-*314 ringosc\.dstage\[9\]\.id\.ts
-*315 ringosc\.iss\.ctrl0
-*316 ringosc\.iss\.d0
-*317 ringosc\.iss\.d1
-*318 ringosc\.iss\.d2
-*319 ringosc\.iss\.one
-*320 ringosc\.iss\.reset
-*321 ringosc\.iss\.trim\[0\]
-*322 ringosc\.iss\.trim\[1\]
-*323 ANTENNA__177__A
-*324 ANTENNA__181__A
-*325 ANTENNA__181__B
-*326 ANTENNA__182__A
-*327 ANTENNA__201__A1
-*328 ANTENNA__201__B1
-*329 ANTENNA__202__A
-*330 ANTENNA__204__A1
-*331 ANTENNA__207__A
-*332 ANTENNA__210__A1
-*333 ANTENNA__210__B1
-*334 ANTENNA__211__A1
-*335 ANTENNA__216__A
-*336 ANTENNA__218__B1
-*337 ANTENNA__330__A1
-*338 ANTENNA__330__S
-*339 ANTENNA__331__A1
-*340 ANTENNA__331__S
-*341 ANTENNA__332__A1
-*342 ANTENNA__332__S
-*343 ANTENNA__333__A1
-*344 ANTENNA__333__S
-*345 ANTENNA__334__A1
-*346 ANTENNA__334__S
-*347 ANTENNA__335__A1
-*348 ANTENNA__335__S
-*349 ANTENNA__336__A1
-*350 ANTENNA__336__S
-*351 ANTENNA__337__A1
-*352 ANTENNA__337__S
-*353 ANTENNA__338__A1
-*354 ANTENNA__338__S
-*355 ANTENNA__339__A1
-*356 ANTENNA__339__S
-*357 ANTENNA__340__A1
-*358 ANTENNA__340__S
-*359 ANTENNA__341__A1
-*360 ANTENNA__341__S
-*361 ANTENNA__342__A1
-*362 ANTENNA__342__S
-*363 ANTENNA__343__A1
-*364 ANTENNA__343__S
-*365 ANTENNA__344__A1
-*366 ANTENNA__344__S
-*367 ANTENNA__345__A1
-*368 ANTENNA__345__S
-*369 ANTENNA__346__A1
-*370 ANTENNA__346__S
-*371 ANTENNA__347__A1
-*372 ANTENNA__347__S
-*373 ANTENNA__348__A1
-*374 ANTENNA__348__S
-*375 ANTENNA__349__A1
-*376 ANTENNA__349__S
-*377 ANTENNA__350__A1
-*378 ANTENNA__350__S
-*379 ANTENNA__351__A1
-*380 ANTENNA__351__S
-*381 ANTENNA__352__A1
-*382 ANTENNA__352__S
-*383 ANTENNA__353__A1
-*384 ANTENNA__353__S
-*385 ANTENNA__354__A1
-*386 ANTENNA__354__S
-*387 ANTENNA__355__A1
-*388 ANTENNA__355__S
-*389 ANTENNA__356__D
-*390 FILLER_0_10
-*391 FILLER_0_105
-*392 FILLER_0_19
-*393 FILLER_0_3
-*394 FILLER_0_37
-*395 FILLER_0_52
-*396 FILLER_0_62
-*397 FILLER_0_66
-*398 FILLER_0_70
-*399 FILLER_0_82
-*400 FILLER_0_93
-*401 FILLER_10_10
-*402 FILLER_10_104
-*403 FILLER_10_19
-*404 FILLER_10_27
-*405 FILLER_10_36
-*406 FILLER_10_7
-*407 FILLER_10_94
-*408 FILLER_11_101
-*409 FILLER_11_111
-*410 FILLER_11_130
-*411 FILLER_11_23
-*412 FILLER_11_32
-*413 FILLER_11_38
-*414 FILLER_11_50
-*415 FILLER_11_57
-*416 FILLER_11_77
-*417 FILLER_12_101
-*418 FILLER_12_105
-*419 FILLER_12_19
-*420 FILLER_12_27
-*421 FILLER_12_39
-*422 FILLER_12_48
-*423 FILLER_12_68
-*424 FILLER_12_80
-*425 FILLER_13_134
-*426 FILLER_13_34
-*427 FILLER_13_54
-*428 FILLER_14_112
-*429 FILLER_14_13
-*430 FILLER_14_3
-*431 FILLER_14_43
-*432 FILLER_14_63
-*433 FILLER_14_81
-*434 FILLER_14_85
-*435 FILLER_15_109
-*436 FILLER_15_24
-*437 FILLER_15_39
-*438 FILLER_15_5
-*439 FILLER_15_53
-*440 FILLER_15_67
-*441 FILLER_15_76
-*442 FILLER_15_93
-*443 FILLER_16_115
-*444 FILLER_16_135
-*445 FILLER_16_23
-*446 FILLER_16_27
-*447 FILLER_16_32
-*448 FILLER_16_59
-*449 FILLER_16_7
-*450 FILLER_16_72
-*451 FILLER_16_94
-*452 FILLER_16_98
-*453 FILLER_17_100
-*454 FILLER_17_12
-*455 FILLER_17_30
-*456 FILLER_18_112
-*457 FILLER_18_134
-*458 FILLER_18_26
-*459 FILLER_18_49
-*460 FILLER_18_75
-*461 FILLER_18_96
-*462 FILLER_19_100
-*463 FILLER_19_120
-*464 FILLER_19_135
-*465 FILLER_19_28
-*466 FILLER_19_55
-*467 FILLER_19_68
-*468 FILLER_19_87
-*469 FILLER_1_111
-*470 FILLER_1_134
-*471 FILLER_1_54
-*472 FILLER_1_78
-*473 FILLER_20_112
-*474 FILLER_20_134
-*475 FILLER_20_38
-*476 FILLER_20_5
-*477 FILLER_21_104
-*478 FILLER_21_135
-*479 FILLER_21_21
-*480 FILLER_21_55
-*481 FILLER_21_69
-*482 FILLER_22_133
-*483 FILLER_22_3
-*484 FILLER_22_31
-*485 FILLER_22_42
-*486 FILLER_22_7
-*487 FILLER_22_72
-*488 FILLER_2_120
-*489 FILLER_2_134
-*490 FILLER_2_18
-*491 FILLER_2_5
-*492 FILLER_2_78
-*493 FILLER_3_111
-*494 FILLER_3_113
-*495 FILLER_3_28
-*496 FILLER_3_3
-*497 FILLER_3_33
-*498 FILLER_3_37
-*499 FILLER_3_48
-*500 FILLER_3_57
-*501 FILLER_3_71
-*502 FILLER_3_94
-*503 FILLER_4_120
-*504 FILLER_4_129
-*505 FILLER_4_135
-*506 FILLER_4_58
-*507 FILLER_4_81
-*508 FILLER_5_103
+*204 _164_
+*205 _165_
+*206 _166_
+*207 _167_
+*208 _168_
+*209 _169_
+*210 _170_
+*211 _171_
+*212 _172_
+*213 _173_
+*214 _174_
+*215 _175_
+*216 pll_control\.clock
+*217 pll_control\.count0\[0\]
+*218 pll_control\.count0\[1\]
+*219 pll_control\.count0\[2\]
+*220 pll_control\.count0\[3\]
+*221 pll_control\.count0\[4\]
+*222 pll_control\.count1\[0\]
+*223 pll_control\.count1\[1\]
+*224 pll_control\.count1\[2\]
+*225 pll_control\.count1\[3\]
+*226 pll_control\.count1\[4\]
+*227 pll_control\.oscbuf\[0\]
+*228 pll_control\.oscbuf\[1\]
+*229 pll_control\.oscbuf\[2\]
+*230 pll_control\.prep\[0\]
+*231 pll_control\.prep\[1\]
+*232 pll_control\.prep\[2\]
+*233 pll_control\.tint\[0\]
+*234 pll_control\.tint\[1\]
+*235 pll_control\.tint\[2\]
+*236 pll_control\.tint\[3\]
+*237 pll_control\.tint\[4\]
+*238 pll_control\.tval\[0\]
+*239 pll_control\.tval\[1\]
+*240 ringosc\.c\[0\]
+*241 ringosc\.c\[1\]
+*242 ringosc\.clockp\[1\]
+*243 ringosc\.dstage\[0\]\.id\.d0
+*244 ringosc\.dstage\[0\]\.id\.d1
+*245 ringosc\.dstage\[0\]\.id\.d2
+*246 ringosc\.dstage\[0\]\.id\.in
+*247 ringosc\.dstage\[0\]\.id\.out
+*248 ringosc\.dstage\[0\]\.id\.trim\[0\]
+*249 ringosc\.dstage\[0\]\.id\.trim\[1\]
+*250 ringosc\.dstage\[0\]\.id\.ts
+*251 ringosc\.dstage\[10\]\.id\.d0
+*252 ringosc\.dstage\[10\]\.id\.d1
+*253 ringosc\.dstage\[10\]\.id\.d2
+*254 ringosc\.dstage\[10\]\.id\.in
+*255 ringosc\.dstage\[10\]\.id\.out
+*256 ringosc\.dstage\[10\]\.id\.trim\[0\]
+*257 ringosc\.dstage\[10\]\.id\.trim\[1\]
+*258 ringosc\.dstage\[10\]\.id\.ts
+*259 ringosc\.dstage\[11\]\.id\.d0
+*260 ringosc\.dstage\[11\]\.id\.d1
+*261 ringosc\.dstage\[11\]\.id\.d2
+*262 ringosc\.dstage\[11\]\.id\.out
+*263 ringosc\.dstage\[11\]\.id\.trim\[0\]
+*264 ringosc\.dstage\[11\]\.id\.trim\[1\]
+*265 ringosc\.dstage\[11\]\.id\.ts
+*266 ringosc\.dstage\[1\]\.id\.d0
+*267 ringosc\.dstage\[1\]\.id\.d1
+*268 ringosc\.dstage\[1\]\.id\.d2
+*269 ringosc\.dstage\[1\]\.id\.out
+*270 ringosc\.dstage\[1\]\.id\.trim\[0\]
+*271 ringosc\.dstage\[1\]\.id\.trim\[1\]
+*272 ringosc\.dstage\[1\]\.id\.ts
+*273 ringosc\.dstage\[2\]\.id\.d0
+*274 ringosc\.dstage\[2\]\.id\.d1
+*275 ringosc\.dstage\[2\]\.id\.d2
+*276 ringosc\.dstage\[2\]\.id\.out
+*277 ringosc\.dstage\[2\]\.id\.trim\[0\]
+*278 ringosc\.dstage\[2\]\.id\.trim\[1\]
+*279 ringosc\.dstage\[2\]\.id\.ts
+*280 ringosc\.dstage\[3\]\.id\.d0
+*281 ringosc\.dstage\[3\]\.id\.d1
+*282 ringosc\.dstage\[3\]\.id\.d2
+*283 ringosc\.dstage\[3\]\.id\.out
+*284 ringosc\.dstage\[3\]\.id\.trim\[0\]
+*285 ringosc\.dstage\[3\]\.id\.trim\[1\]
+*286 ringosc\.dstage\[3\]\.id\.ts
+*287 ringosc\.dstage\[4\]\.id\.d0
+*288 ringosc\.dstage\[4\]\.id\.d1
+*289 ringosc\.dstage\[4\]\.id\.d2
+*290 ringosc\.dstage\[4\]\.id\.out
+*291 ringosc\.dstage\[4\]\.id\.trim\[0\]
+*292 ringosc\.dstage\[4\]\.id\.trim\[1\]
+*293 ringosc\.dstage\[4\]\.id\.ts
+*294 ringosc\.dstage\[5\]\.id\.d0
+*295 ringosc\.dstage\[5\]\.id\.d1
+*296 ringosc\.dstage\[5\]\.id\.d2
+*297 ringosc\.dstage\[5\]\.id\.out
+*298 ringosc\.dstage\[5\]\.id\.trim\[0\]
+*299 ringosc\.dstage\[5\]\.id\.trim\[1\]
+*300 ringosc\.dstage\[5\]\.id\.ts
+*301 ringosc\.dstage\[6\]\.id\.d0
+*302 ringosc\.dstage\[6\]\.id\.d1
+*303 ringosc\.dstage\[6\]\.id\.d2
+*304 ringosc\.dstage\[6\]\.id\.out
+*305 ringosc\.dstage\[6\]\.id\.trim\[0\]
+*306 ringosc\.dstage\[6\]\.id\.trim\[1\]
+*307 ringosc\.dstage\[6\]\.id\.ts
+*308 ringosc\.dstage\[7\]\.id\.d0
+*309 ringosc\.dstage\[7\]\.id\.d1
+*310 ringosc\.dstage\[7\]\.id\.d2
+*311 ringosc\.dstage\[7\]\.id\.out
+*312 ringosc\.dstage\[7\]\.id\.trim\[0\]
+*313 ringosc\.dstage\[7\]\.id\.trim\[1\]
+*314 ringosc\.dstage\[7\]\.id\.ts
+*315 ringosc\.dstage\[8\]\.id\.d0
+*316 ringosc\.dstage\[8\]\.id\.d1
+*317 ringosc\.dstage\[8\]\.id\.d2
+*318 ringosc\.dstage\[8\]\.id\.out
+*319 ringosc\.dstage\[8\]\.id\.trim\[0\]
+*320 ringosc\.dstage\[8\]\.id\.trim\[1\]
+*321 ringosc\.dstage\[8\]\.id\.ts
+*322 ringosc\.dstage\[9\]\.id\.d0
+*323 ringosc\.dstage\[9\]\.id\.d1
+*324 ringosc\.dstage\[9\]\.id\.d2
+*325 ringosc\.dstage\[9\]\.id\.trim\[0\]
+*326 ringosc\.dstage\[9\]\.id\.trim\[1\]
+*327 ringosc\.dstage\[9\]\.id\.ts
+*328 ringosc\.iss\.ctrl0
+*329 ringosc\.iss\.d0
+*330 ringosc\.iss\.d1
+*331 ringosc\.iss\.d2
+*332 ringosc\.iss\.one
+*333 ringosc\.iss\.reset
+*334 ringosc\.iss\.trim\[0\]
+*335 ringosc\.iss\.trim\[1\]
+*336 ANTENNA__207__A1
+*337 ANTENNA__213__A1
+*338 ANTENNA__213__B1
+*339 ANTENNA__214__B1
+*340 ANTENNA__215__B1
+*341 ANTENNA__216__A
+*342 ANTENNA__219__A
+*343 ANTENNA__222__A1
+*344 ANTENNA__222__B2
+*345 ANTENNA__225__B1
+*346 ANTENNA__235__A
+*347 ANTENNA__288__A
+*348 ANTENNA__289__A1
+*349 ANTENNA__289__A2
+*350 ANTENNA__293__A_N
+*351 ANTENNA__295__A1
+*352 ANTENNA__295__A2
+*353 ANTENNA__296__B
+*354 ANTENNA__299__A1
+*355 ANTENNA__299__A2
+*356 ANTENNA__300__A1
+*357 ANTENNA__300__A2
+*358 ANTENNA__302__A1
+*359 ANTENNA__302__A2
+*360 ANTENNA__305__A1
+*361 ANTENNA__305__A2
+*362 ANTENNA__306__A1
+*363 ANTENNA__306__A2
+*364 ANTENNA__309__A1
+*365 ANTENNA__309__A2
+*366 ANTENNA__310__A1
+*367 ANTENNA__310__A2
+*368 ANTENNA__312__A1
+*369 ANTENNA__312__A2
+*370 ANTENNA__313__A_N
+*371 ANTENNA__313__B
+*372 ANTENNA__314__A1
+*373 ANTENNA__316__B1
+*374 ANTENNA__316__B2
+*375 ANTENNA__317__A1
+*376 ANTENNA__317__A2
+*377 ANTENNA__319__A1
+*378 ANTENNA__319__A2
+*379 ANTENNA__326__A1
+*380 ANTENNA__326__A2
+*381 ANTENNA__334__B1
+*382 ANTENNA__334__B2
+*383 ANTENNA__337__A1
+*384 ANTENNA__337__A2
+*385 ANTENNA__341__B1
+*386 ANTENNA__341__B2
+*387 ANTENNA__342__A1
+*388 ANTENNA__342__A2
+*389 ANTENNA__343__A1
+*390 ANTENNA__343__A2
+*391 ANTENNA__346__B1
+*392 ANTENNA__346__B2
+*393 ANTENNA__348__A1
+*394 ANTENNA__348__A2
+*395 ANTENNA__350__B1
+*396 ANTENNA__350__B2
+*397 ANTENNA__351__A1
+*398 ANTENNA__351__A2
+*399 ANTENNA__352__A_N
+*400 ANTENNA__353__A1
+*401 ANTENNA__353__A2
+*402 ANTENNA__354__A1
+*403 ANTENNA__354__A2
+*404 ANTENNA__355__A
+*405 ANTENNA__355__B
+*406 ANTENNA__356__A
+*407 ANTENNA__357__A
+*408 ANTENNA__358__A
+*409 ANTENNA__359__A
+*410 ANTENNA__360__A
+*411 ANTENNA__361__A
+*412 ANTENNA__362__A
+*413 ANTENNA__363__A
+*414 ANTENNA__364__A
+*415 ANTENNA__365__A
+*416 ANTENNA__366__A
+*417 ANTENNA__367__A
+*418 ANTENNA__368__A
+*419 ANTENNA__369__A
+*420 ANTENNA__370__A
+*421 ANTENNA__371__A
+*422 ANTENNA__372__A
+*423 ANTENNA__373__A
+*424 ANTENNA__374__A
+*425 ANTENNA__375__A
+*426 ANTENNA__376__A
+*427 ANTENNA__377__A
+*428 ANTENNA__378__A
+*429 ANTENNA__394__D
+*430 FILLER_0_81
+*431 FILLER_0_90
+*432 FILLER_10_27
+*433 FILLER_10_3
+*434 FILLER_10_70
+*435 FILLER_10_85
+*436 FILLER_11_100
+*437 FILLER_11_113
+*438 FILLER_11_131
+*439 FILLER_11_135
+*440 FILLER_11_55
+*441 FILLER_11_85
+*442 FILLER_12_107
+*443 FILLER_12_16
+*444 FILLER_12_27
+*445 FILLER_12_75
+*446 FILLER_12_85
+*447 FILLER_13_118
+*448 FILLER_13_24
+*449 FILLER_13_3
+*450 FILLER_13_69
+*451 FILLER_13_94
+*452 FILLER_14_10
+*453 FILLER_14_135
+*454 FILLER_14_27
+*455 FILLER_14_5
+*456 FILLER_14_69
+*457 FILLER_14_79
+*458 FILLER_14_83
+*459 FILLER_15_135
+*460 FILLER_15_23
+*461 FILLER_15_67
+*462 FILLER_15_75
+*463 FILLER_15_99
+*464 FILLER_16_116
+*465 FILLER_16_135
+*466 FILLER_16_45
+*467 FILLER_16_67
+*468 FILLER_16_78
+*469 FILLER_17_108
+*470 FILLER_17_135
+*471 FILLER_17_26
+*472 FILLER_17_49
+*473 FILLER_17_69
+*474 FILLER_17_84
+*475 FILLER_18_72
+*476 FILLER_18_75
+*477 FILLER_18_97
+*478 FILLER_19_111
+*479 FILLER_19_47
+*480 FILLER_1_3
+*481 FILLER_1_50
+*482 FILLER_1_59
+*483 FILLER_20_33
+*484 FILLER_20_97
+*485 FILLER_21_111
+*486 FILLER_21_135
+*487 FILLER_21_72
+*488 FILLER_22_127
+*489 FILLER_22_35
+*490 FILLER_22_83
+*491 FILLER_22_88
+*492 FILLER_2_134
+*493 FILLER_2_3
+*494 FILLER_2_35
+*495 FILLER_2_79
+*496 FILLER_2_99
+*497 FILLER_3_109
+*498 FILLER_3_118
+*499 FILLER_3_132
+*500 FILLER_3_3
+*501 FILLER_3_49
+*502 FILLER_3_76
+*503 FILLER_3_99
+*504 FILLER_4_132
+*505 FILLER_4_31
+*506 FILLER_4_55
+*507 FILLER_4_62
+*508 FILLER_4_90
*509 FILLER_5_134
-*510 FILLER_5_19
-*511 FILLER_5_32
-*512 FILLER_5_57
-*513 FILLER_5_69
-*514 FILLER_6_100
-*515 FILLER_6_122
-*516 FILLER_6_134
-*517 FILLER_6_17
-*518 FILLER_6_27
-*519 FILLER_6_3
-*520 FILLER_6_38
-*521 FILLER_6_53
-*522 FILLER_6_62
-*523 FILLER_6_71
-*524 FILLER_6_83
-*525 FILLER_6_88
-*526 FILLER_7_103
-*527 FILLER_7_116
-*528 FILLER_7_127
-*529 FILLER_7_135
-*530 FILLER_7_39
-*531 FILLER_7_81
-*532 FILLER_7_90
-*533 FILLER_7_96
-*534 FILLER_8_27
-*535 FILLER_8_29
-*536 FILLER_8_51
-*537 FILLER_8_63
-*538 FILLER_8_69
-*539 FILLER_9_113
-*540 FILLER_9_27
-*541 FILLER_9_47
-*542 FILLER_9_5
-*543 FILLER_9_52
-*544 FILLER_9_78
-*545 FILLER_9_87
-*546 PHY_0
-*547 PHY_1
-*548 PHY_10
-*549 PHY_11
-*550 PHY_12
-*551 PHY_13
-*552 PHY_14
-*553 PHY_15
-*554 PHY_16
-*555 PHY_17
-*556 PHY_18
-*557 PHY_19
-*558 PHY_2
-*559 PHY_20
-*560 PHY_21
-*561 PHY_22
-*562 PHY_23
-*563 PHY_24
-*564 PHY_25
-*565 PHY_26
-*566 PHY_27
-*567 PHY_28
-*568 PHY_29
-*569 PHY_3
-*570 PHY_30
-*571 PHY_31
-*572 PHY_32
-*573 PHY_33
-*574 PHY_34
-*575 PHY_35
-*576 PHY_36
-*577 PHY_37
-*578 PHY_38
-*579 PHY_39
-*580 PHY_4
-*581 PHY_40
-*582 PHY_41
-*583 PHY_42
-*584 PHY_43
-*585 PHY_44
-*586 PHY_45
-*587 PHY_5
-*588 PHY_6
-*589 PHY_7
-*590 PHY_8
-*591 PHY_9
-*592 TAP_46
-*593 TAP_47
-*594 TAP_48
-*595 TAP_49
-*596 TAP_50
-*597 TAP_51
-*598 TAP_52
-*599 TAP_53
-*600 TAP_54
-*601 TAP_55
-*602 TAP_56
-*603 TAP_57
-*604 TAP_58
-*605 TAP_59
-*606 TAP_60
-*607 TAP_61
-*608 TAP_62
-*609 TAP_63
-*610 TAP_64
-*611 TAP_65
-*612 TAP_66
-*613 TAP_67
-*614 TAP_68
-*615 TAP_69
-*616 TAP_70
-*617 TAP_71
-*618 TAP_72
-*619 TAP_73
-*620 TAP_74
-*621 TAP_75
-*622 TAP_76
-*623 TAP_77
-*624 TAP_78
-*625 TAP_79
-*626 TAP_80
-*627 TAP_81
-*628 TAP_82
-*629 TAP_83
-*630 TAP_84
-*631 TAP_85
-*632 TAP_86
-*633 TAP_87
-*634 TAP_88
-*635 TAP_89
-*636 TAP_90
-*637 TAP_91
-*638 TAP_92
-*639 TAP_93
-*640 TAP_94
-*641 TAP_95
-*642 _164_
-*643 _165_
-*644 _166_
-*645 _167_
-*646 _168_
-*647 _169_
-*648 _170_
-*649 _171_
-*650 _172_
-*651 _173_
-*652 _174_
-*653 _175_
-*654 _176_
-*655 _177_
-*656 _178_
-*657 _179_
-*658 _180_
-*659 _181_
-*660 _182_
-*661 _183_
-*662 _184_
-*663 _185_
-*664 _186_
-*665 _187_
-*666 _188_
-*667 _189_
-*668 _190_
-*669 _191_
-*670 _192_
-*671 _193_
-*672 _194_
-*673 _195_
-*674 _196_
-*675 _197_
-*676 _198_
-*677 _199_
-*678 _200_
-*679 _201_
-*680 _202_
-*681 _203_
-*682 _204_
-*683 _205_
-*684 _206_
-*685 _207_
-*686 _208_
-*687 _209_
-*688 _210_
-*689 _211_
-*690 _212_
-*691 _213_
-*692 _214_
-*693 _215_
-*694 _216_
-*695 _217_
-*696 _218_
-*697 _219_
-*698 _220_
-*699 _221_
-*700 _222_
-*701 _223_
-*702 _224_
-*703 _225_
-*704 _226_
-*705 _227_
-*706 _228_
-*707 _229_
-*708 _230_
-*709 _231_
-*710 _232_
-*711 _233_
-*712 _234_
-*713 _235_
-*714 _236_
-*715 _237_
-*716 _238_
-*717 _239_
-*718 _240_
-*719 _241_
-*720 _242_
-*721 _243_
-*722 _244_
-*723 _245_
-*724 _246_
-*725 _247_
-*726 _248_
-*727 _249_
-*728 _250_
-*729 _251_
-*730 _252_
-*731 _253_
-*732 _254_
-*733 _255_
-*734 _256_
-*735 _257_
-*736 _258_
-*737 _259_
-*738 _260_
-*739 _261_
-*740 _262_
-*741 _263_
-*742 _264_
-*743 _265_
-*744 _266_
-*745 _267_
-*746 _268_
-*747 _269_
-*748 _270_
-*749 _271_
-*750 _272_
-*751 _273_
-*752 _274_
-*753 _275_
-*754 _276_
-*755 _277_
-*756 _278_
-*757 _279_
-*758 _280_
-*759 _281_
-*760 _282_
-*761 _283_
-*762 _284_
-*763 _285_
-*764 _286_
-*765 _287_
-*766 _288_
-*767 _289_
-*768 _290_
-*769 _291_
-*770 _292_
-*771 _293_
-*772 _294_
-*773 _295_
-*774 _296_
-*775 _297_
-*776 _298_
-*777 _299_
-*778 _300_
-*779 _301_
-*780 _302_
-*781 _303_
-*782 _304_
-*783 _305_
-*784 _306_
-*785 _307_
-*786 _308_
-*787 _309_
-*788 _310_
-*789 _311_
-*790 _312_
-*791 _313_
-*792 _314_
-*793 _315_
-*794 _316_
-*795 _317_
-*796 _318_
-*797 _319_
-*798 _320_
-*799 _321_
-*800 _322_
-*801 _323_
-*802 _324_
-*803 _325_
-*804 _326_
-*805 _327_
-*806 _328_
-*807 _329_
-*808 _330_
-*809 _331_
-*810 _332_
-*811 _333_
-*812 _334_
-*813 _335_
-*814 _336_
-*815 _337_
-*816 _338_
-*817 _339_
-*818 _340_
-*819 _341_
-*820 _342_
-*821 _343_
-*822 _344_
-*823 _345_
-*824 _346_
-*825 _347_
-*826 _348_
-*827 _349_
-*828 _350_
-*829 _351_
-*830 _352_
-*831 _353_
-*832 _354_
-*833 _355_
-*834 _356_
-*835 _357_
-*836 _358_
-*837 _359_
-*838 _360_
-*839 _361_
-*840 _362_
-*841 _363_
-*842 _364_
-*843 _365_
-*844 _366_
-*845 _367_
-*846 _368_
-*847 _369_
-*848 _370_
-*849 _371_
-*850 _372_
-*851 _373_
-*852 _374_
-*853 _375_
-*854 _376_
-*855 _377_
-*856 _378_
-*857 ringosc\.dstage\[0\]\.id\.delaybuf0
-*858 ringosc\.dstage\[0\]\.id\.delaybuf1
-*859 ringosc\.dstage\[0\]\.id\.delayen0
-*860 ringosc\.dstage\[0\]\.id\.delayen1
-*861 ringosc\.dstage\[0\]\.id\.delayenb0
-*862 ringosc\.dstage\[0\]\.id\.delayenb1
-*863 ringosc\.dstage\[0\]\.id\.delayint0
-*864 ringosc\.dstage\[10\]\.id\.delaybuf0
-*865 ringosc\.dstage\[10\]\.id\.delaybuf1
-*866 ringosc\.dstage\[10\]\.id\.delayen0
-*867 ringosc\.dstage\[10\]\.id\.delayen1
-*868 ringosc\.dstage\[10\]\.id\.delayenb0
-*869 ringosc\.dstage\[10\]\.id\.delayenb1
-*870 ringosc\.dstage\[10\]\.id\.delayint0
-*871 ringosc\.dstage\[11\]\.id\.delaybuf0
-*872 ringosc\.dstage\[11\]\.id\.delaybuf1
-*873 ringosc\.dstage\[11\]\.id\.delayen0
-*874 ringosc\.dstage\[11\]\.id\.delayen1
-*875 ringosc\.dstage\[11\]\.id\.delayenb0
-*876 ringosc\.dstage\[11\]\.id\.delayenb1
-*877 ringosc\.dstage\[11\]\.id\.delayint0
-*878 ringosc\.dstage\[1\]\.id\.delaybuf0
-*879 ringosc\.dstage\[1\]\.id\.delaybuf1
-*880 ringosc\.dstage\[1\]\.id\.delayen0
-*881 ringosc\.dstage\[1\]\.id\.delayen1
-*882 ringosc\.dstage\[1\]\.id\.delayenb0
-*883 ringosc\.dstage\[1\]\.id\.delayenb1
-*884 ringosc\.dstage\[1\]\.id\.delayint0
-*885 ringosc\.dstage\[2\]\.id\.delaybuf0
-*886 ringosc\.dstage\[2\]\.id\.delaybuf1
-*887 ringosc\.dstage\[2\]\.id\.delayen0
-*888 ringosc\.dstage\[2\]\.id\.delayen1
-*889 ringosc\.dstage\[2\]\.id\.delayenb0
-*890 ringosc\.dstage\[2\]\.id\.delayenb1
-*891 ringosc\.dstage\[2\]\.id\.delayint0
-*892 ringosc\.dstage\[3\]\.id\.delaybuf0
-*893 ringosc\.dstage\[3\]\.id\.delaybuf1
-*894 ringosc\.dstage\[3\]\.id\.delayen0
-*895 ringosc\.dstage\[3\]\.id\.delayen1
-*896 ringosc\.dstage\[3\]\.id\.delayenb0
-*897 ringosc\.dstage\[3\]\.id\.delayenb1
-*898 ringosc\.dstage\[3\]\.id\.delayint0
-*899 ringosc\.dstage\[4\]\.id\.delaybuf0
-*900 ringosc\.dstage\[4\]\.id\.delaybuf1
-*901 ringosc\.dstage\[4\]\.id\.delayen0
-*902 ringosc\.dstage\[4\]\.id\.delayen1
-*903 ringosc\.dstage\[4\]\.id\.delayenb0
-*904 ringosc\.dstage\[4\]\.id\.delayenb1
-*905 ringosc\.dstage\[4\]\.id\.delayint0
-*906 ringosc\.dstage\[5\]\.id\.delaybuf0
-*907 ringosc\.dstage\[5\]\.id\.delaybuf1
-*908 ringosc\.dstage\[5\]\.id\.delayen0
-*909 ringosc\.dstage\[5\]\.id\.delayen1
-*910 ringosc\.dstage\[5\]\.id\.delayenb0
-*911 ringosc\.dstage\[5\]\.id\.delayenb1
-*912 ringosc\.dstage\[5\]\.id\.delayint0
-*913 ringosc\.dstage\[6\]\.id\.delaybuf0
-*914 ringosc\.dstage\[6\]\.id\.delaybuf1
-*915 ringosc\.dstage\[6\]\.id\.delayen0
-*916 ringosc\.dstage\[6\]\.id\.delayen1
-*917 ringosc\.dstage\[6\]\.id\.delayenb0
-*918 ringosc\.dstage\[6\]\.id\.delayenb1
-*919 ringosc\.dstage\[6\]\.id\.delayint0
-*920 ringosc\.dstage\[7\]\.id\.delaybuf0
-*921 ringosc\.dstage\[7\]\.id\.delaybuf1
-*922 ringosc\.dstage\[7\]\.id\.delayen0
-*923 ringosc\.dstage\[7\]\.id\.delayen1
-*924 ringosc\.dstage\[7\]\.id\.delayenb0
-*925 ringosc\.dstage\[7\]\.id\.delayenb1
-*926 ringosc\.dstage\[7\]\.id\.delayint0
-*927 ringosc\.dstage\[8\]\.id\.delaybuf0
-*928 ringosc\.dstage\[8\]\.id\.delaybuf1
-*929 ringosc\.dstage\[8\]\.id\.delayen0
-*930 ringosc\.dstage\[8\]\.id\.delayen1
-*931 ringosc\.dstage\[8\]\.id\.delayenb0
-*932 ringosc\.dstage\[8\]\.id\.delayenb1
-*933 ringosc\.dstage\[8\]\.id\.delayint0
-*934 ringosc\.dstage\[9\]\.id\.delaybuf0
-*935 ringosc\.dstage\[9\]\.id\.delaybuf1
-*936 ringosc\.dstage\[9\]\.id\.delayen0
-*937 ringosc\.dstage\[9\]\.id\.delayen1
-*938 ringosc\.dstage\[9\]\.id\.delayenb0
-*939 ringosc\.dstage\[9\]\.id\.delayenb1
-*940 ringosc\.dstage\[9\]\.id\.delayint0
-*941 ringosc\.ibufp00
-*942 ringosc\.ibufp01
-*943 ringosc\.ibufp10
-*944 ringosc\.ibufp11
-*945 ringosc\.iss\.const1
-*946 ringosc\.iss\.ctrlen0
-*947 ringosc\.iss\.delaybuf0
-*948 ringosc\.iss\.delayen0
-*949 ringosc\.iss\.delayen1
-*950 ringosc\.iss\.delayenb0
-*951 ringosc\.iss\.delayenb1
-*952 ringosc\.iss\.delayint0
-*953 ringosc\.iss\.reseten0
+*510 FILLER_5_78
+*511 FILLER_5_87
+*512 FILLER_6_120
+*513 FILLER_6_95
+*514 FILLER_7_113
+*515 FILLER_7_124
+*516 FILLER_7_41
+*517 FILLER_7_47
+*518 FILLER_7_55
+*519 FILLER_7_89
+*520 FILLER_8_128
+*521 FILLER_8_134
+*522 FILLER_8_24
+*523 FILLER_8_42
+*524 FILLER_8_58
+*525 FILLER_8_70
+*526 FILLER_8_90
+*527 FILLER_9_111
+*528 FILLER_9_125
+*529 FILLER_9_135
+*530 FILLER_9_57
+*531 FILLER_9_78
+*532 PHY_0
+*533 PHY_1
+*534 PHY_10
+*535 PHY_11
+*536 PHY_12
+*537 PHY_13
+*538 PHY_14
+*539 PHY_15
+*540 PHY_16
+*541 PHY_17
+*542 PHY_18
+*543 PHY_19
+*544 PHY_2
+*545 PHY_20
+*546 PHY_21
+*547 PHY_22
+*548 PHY_23
+*549 PHY_24
+*550 PHY_25
+*551 PHY_26
+*552 PHY_27
+*553 PHY_28
+*554 PHY_29
+*555 PHY_3
+*556 PHY_30
+*557 PHY_31
+*558 PHY_32
+*559 PHY_33
+*560 PHY_34
+*561 PHY_35
+*562 PHY_36
+*563 PHY_37
+*564 PHY_38
+*565 PHY_39
+*566 PHY_4
+*567 PHY_40
+*568 PHY_41
+*569 PHY_42
+*570 PHY_43
+*571 PHY_44
+*572 PHY_45
+*573 PHY_5
+*574 PHY_6
+*575 PHY_7
+*576 PHY_8
+*577 PHY_9
+*578 TAP_46
+*579 TAP_47
+*580 TAP_48
+*581 TAP_49
+*582 TAP_50
+*583 TAP_51
+*584 TAP_52
+*585 TAP_53
+*586 TAP_54
+*587 TAP_55
+*588 TAP_56
+*589 TAP_57
+*590 TAP_58
+*591 TAP_59
+*592 TAP_60
+*593 TAP_61
+*594 TAP_62
+*595 TAP_63
+*596 TAP_64
+*597 TAP_65
+*598 TAP_66
+*599 TAP_67
+*600 TAP_68
+*601 TAP_69
+*602 TAP_70
+*603 TAP_71
+*604 TAP_72
+*605 TAP_73
+*606 TAP_74
+*607 TAP_75
+*608 TAP_76
+*609 TAP_77
+*610 TAP_78
+*611 TAP_79
+*612 TAP_80
+*613 TAP_81
+*614 TAP_82
+*615 TAP_83
+*616 TAP_84
+*617 TAP_85
+*618 TAP_86
+*619 TAP_87
+*620 TAP_88
+*621 TAP_89
+*622 TAP_90
+*623 TAP_91
+*624 TAP_92
+*625 TAP_93
+*626 TAP_94
+*627 TAP_95
+*628 _176_
+*629 _177_
+*630 _178_
+*631 _179_
+*632 _180_
+*633 _181_
+*634 _182_
+*635 _183_
+*636 _184_
+*637 _185_
+*638 _186_
+*639 _187_
+*640 _188_
+*641 _189_
+*642 _190_
+*643 _191_
+*644 _192_
+*645 _193_
+*646 _194_
+*647 _195_
+*648 _196_
+*649 _197_
+*650 _198_
+*651 _199_
+*652 _200_
+*653 _201_
+*654 _202_
+*655 _203_
+*656 _204_
+*657 _205_
+*658 _206_
+*659 _207_
+*660 _208_
+*661 _209_
+*662 _210_
+*663 _211_
+*664 _212_
+*665 _213_
+*666 _214_
+*667 _215_
+*668 _216_
+*669 _217_
+*670 _218_
+*671 _219_
+*672 _220_
+*673 _221_
+*674 _222_
+*675 _223_
+*676 _224_
+*677 _225_
+*678 _226_
+*679 _227_
+*680 _228_
+*681 _229_
+*682 _230_
+*683 _231_
+*684 _232_
+*685 _233_
+*686 _234_
+*687 _235_
+*688 _236_
+*689 _237_
+*690 _238_
+*691 _239_
+*692 _240_
+*693 _241_
+*694 _242_
+*695 _243_
+*696 _244_
+*697 _245_
+*698 _246_
+*699 _247_
+*700 _248_
+*701 _249_
+*702 _250_
+*703 _251_
+*704 _252_
+*705 _253_
+*706 _254_
+*707 _255_
+*708 _256_
+*709 _257_
+*710 _258_
+*711 _259_
+*712 _260_
+*713 _261_
+*714 _262_
+*715 _263_
+*716 _264_
+*717 _265_
+*718 _266_
+*719 _267_
+*720 _268_
+*721 _269_
+*722 _270_
+*723 _271_
+*724 _272_
+*725 _273_
+*726 _274_
+*727 _275_
+*728 _276_
+*729 _277_
+*730 _278_
+*731 _279_
+*732 _280_
+*733 _281_
+*734 _282_
+*735 _283_
+*736 _284_
+*737 _285_
+*738 _286_
+*739 _287_
+*740 _288_
+*741 _289_
+*742 _290_
+*743 _291_
+*744 _292_
+*745 _293_
+*746 _294_
+*747 _295_
+*748 _296_
+*749 _297_
+*750 _298_
+*751 _299_
+*752 _300_
+*753 _301_
+*754 _302_
+*755 _303_
+*756 _304_
+*757 _305_
+*758 _306_
+*759 _307_
+*760 _308_
+*761 _309_
+*762 _310_
+*763 _311_
+*764 _312_
+*765 _313_
+*766 _314_
+*767 _315_
+*768 _316_
+*769 _317_
+*770 _318_
+*771 _319_
+*772 _320_
+*773 _321_
+*774 _322_
+*775 _323_
+*776 _324_
+*777 _325_
+*778 _326_
+*779 _327_
+*780 _328_
+*781 _329_
+*782 _330_
+*783 _331_
+*784 _332_
+*785 _333_
+*786 _334_
+*787 _335_
+*788 _336_
+*789 _337_
+*790 _338_
+*791 _339_
+*792 _340_
+*793 _341_
+*794 _342_
+*795 _343_
+*796 _344_
+*797 _345_
+*798 _346_
+*799 _347_
+*800 _348_
+*801 _349_
+*802 _350_
+*803 _351_
+*804 _352_
+*805 _353_
+*806 _354_
+*807 _355_
+*808 _356_
+*809 _357_
+*810 _358_
+*811 _359_
+*812 _360_
+*813 _361_
+*814 _362_
+*815 _363_
+*816 _364_
+*817 _365_
+*818 _366_
+*819 _367_
+*820 _368_
+*821 _369_
+*822 _370_
+*823 _371_
+*824 _372_
+*825 _373_
+*826 _374_
+*827 _375_
+*828 _376_
+*829 _377_
+*830 _378_
+*831 _379_
+*832 _380_
+*833 _381_
+*834 _382_
+*835 _383_
+*836 _384_
+*837 _385_
+*838 _386_
+*839 _387_
+*840 _388_
+*841 _389_
+*842 _390_
+*843 _391_
+*844 _392_
+*845 _393_
+*846 _394_
+*847 _395_
+*848 _396_
+*849 _397_
+*850 _398_
+*851 _399_
+*852 _400_
+*853 _401_
+*854 clockp_buffer_0
+*855 clockp_buffer_1
+*856 ringosc\.dstage\[0\]\.id\.delaybuf0
+*857 ringosc\.dstage\[0\]\.id\.delaybuf1
+*858 ringosc\.dstage\[0\]\.id\.delayen0
+*859 ringosc\.dstage\[0\]\.id\.delayen1
+*860 ringosc\.dstage\[0\]\.id\.delayenb0
+*861 ringosc\.dstage\[0\]\.id\.delayenb1
+*862 ringosc\.dstage\[0\]\.id\.delayint0
+*863 ringosc\.dstage\[10\]\.id\.delaybuf0
+*864 ringosc\.dstage\[10\]\.id\.delaybuf1
+*865 ringosc\.dstage\[10\]\.id\.delayen0
+*866 ringosc\.dstage\[10\]\.id\.delayen1
+*867 ringosc\.dstage\[10\]\.id\.delayenb0
+*868 ringosc\.dstage\[10\]\.id\.delayenb1
+*869 ringosc\.dstage\[10\]\.id\.delayint0
+*870 ringosc\.dstage\[11\]\.id\.delaybuf0
+*871 ringosc\.dstage\[11\]\.id\.delaybuf1
+*872 ringosc\.dstage\[11\]\.id\.delayen0
+*873 ringosc\.dstage\[11\]\.id\.delayen1
+*874 ringosc\.dstage\[11\]\.id\.delayenb0
+*875 ringosc\.dstage\[11\]\.id\.delayenb1
+*876 ringosc\.dstage\[11\]\.id\.delayint0
+*877 ringosc\.dstage\[1\]\.id\.delaybuf0
+*878 ringosc\.dstage\[1\]\.id\.delaybuf1
+*879 ringosc\.dstage\[1\]\.id\.delayen0
+*880 ringosc\.dstage\[1\]\.id\.delayen1
+*881 ringosc\.dstage\[1\]\.id\.delayenb0
+*882 ringosc\.dstage\[1\]\.id\.delayenb1
+*883 ringosc\.dstage\[1\]\.id\.delayint0
+*884 ringosc\.dstage\[2\]\.id\.delaybuf0
+*885 ringosc\.dstage\[2\]\.id\.delaybuf1
+*886 ringosc\.dstage\[2\]\.id\.delayen0
+*887 ringosc\.dstage\[2\]\.id\.delayen1
+*888 ringosc\.dstage\[2\]\.id\.delayenb0
+*889 ringosc\.dstage\[2\]\.id\.delayenb1
+*890 ringosc\.dstage\[2\]\.id\.delayint0
+*891 ringosc\.dstage\[3\]\.id\.delaybuf0
+*892 ringosc\.dstage\[3\]\.id\.delaybuf1
+*893 ringosc\.dstage\[3\]\.id\.delayen0
+*894 ringosc\.dstage\[3\]\.id\.delayen1
+*895 ringosc\.dstage\[3\]\.id\.delayenb0
+*896 ringosc\.dstage\[3\]\.id\.delayenb1
+*897 ringosc\.dstage\[3\]\.id\.delayint0
+*898 ringosc\.dstage\[4\]\.id\.delaybuf0
+*899 ringosc\.dstage\[4\]\.id\.delaybuf1
+*900 ringosc\.dstage\[4\]\.id\.delayen0
+*901 ringosc\.dstage\[4\]\.id\.delayen1
+*902 ringosc\.dstage\[4\]\.id\.delayenb0
+*903 ringosc\.dstage\[4\]\.id\.delayenb1
+*904 ringosc\.dstage\[4\]\.id\.delayint0
+*905 ringosc\.dstage\[5\]\.id\.delaybuf0
+*906 ringosc\.dstage\[5\]\.id\.delaybuf1
+*907 ringosc\.dstage\[5\]\.id\.delayen0
+*908 ringosc\.dstage\[5\]\.id\.delayen1
+*909 ringosc\.dstage\[5\]\.id\.delayenb0
+*910 ringosc\.dstage\[5\]\.id\.delayenb1
+*911 ringosc\.dstage\[5\]\.id\.delayint0
+*912 ringosc\.dstage\[6\]\.id\.delaybuf0
+*913 ringosc\.dstage\[6\]\.id\.delaybuf1
+*914 ringosc\.dstage\[6\]\.id\.delayen0
+*915 ringosc\.dstage\[6\]\.id\.delayen1
+*916 ringosc\.dstage\[6\]\.id\.delayenb0
+*917 ringosc\.dstage\[6\]\.id\.delayenb1
+*918 ringosc\.dstage\[6\]\.id\.delayint0
+*919 ringosc\.dstage\[7\]\.id\.delaybuf0
+*920 ringosc\.dstage\[7\]\.id\.delaybuf1
+*921 ringosc\.dstage\[7\]\.id\.delayen0
+*922 ringosc\.dstage\[7\]\.id\.delayen1
+*923 ringosc\.dstage\[7\]\.id\.delayenb0
+*924 ringosc\.dstage\[7\]\.id\.delayenb1
+*925 ringosc\.dstage\[7\]\.id\.delayint0
+*926 ringosc\.dstage\[8\]\.id\.delaybuf0
+*927 ringosc\.dstage\[8\]\.id\.delaybuf1
+*928 ringosc\.dstage\[8\]\.id\.delayen0
+*929 ringosc\.dstage\[8\]\.id\.delayen1
+*930 ringosc\.dstage\[8\]\.id\.delayenb0
+*931 ringosc\.dstage\[8\]\.id\.delayenb1
+*932 ringosc\.dstage\[8\]\.id\.delayint0
+*933 ringosc\.dstage\[9\]\.id\.delaybuf0
+*934 ringosc\.dstage\[9\]\.id\.delaybuf1
+*935 ringosc\.dstage\[9\]\.id\.delayen0
+*936 ringosc\.dstage\[9\]\.id\.delayen1
+*937 ringosc\.dstage\[9\]\.id\.delayenb0
+*938 ringosc\.dstage\[9\]\.id\.delayenb1
+*939 ringosc\.dstage\[9\]\.id\.delayint0
+*940 ringosc\.ibufp00
+*941 ringosc\.ibufp01
+*942 ringosc\.ibufp10
+*943 ringosc\.ibufp11
+*944 ringosc\.iss\.const1
+*945 ringosc\.iss\.ctrlen0
+*946 ringosc\.iss\.delaybuf0
+*947 ringosc\.iss\.delayen0
+*948 ringosc\.iss\.delayen1
+*949 ringosc\.iss\.delayenb0
+*950 ringosc\.iss\.delayenb1
+*951 ringosc\.iss\.delayint0
+*952 ringosc\.iss\.reseten0
*PORTS
clockp[0] O
@@ -1005,10800 +1004,11966 @@ ext_trim[9] I
osc I
resetb I
-*D_NET *3 0.000789648
+*D_NET *3 0.000843927
*CONN
*P clockp[0] O
-*I *806:X O *D sky130_fd_sc_hd__buf_2
+*I *854:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
-1 clockp[0] 0.000394824
-2 *806:X 0.000394824
+1 clockp[0] 0.000421963
+2 *854:X 0.000421963
3 clockp[0] clockp[1] 0
*RES
-1 *806:X clockp[0] 22.4772
+1 *854:X clockp[0] 22.0693
*END
-*D_NET *4 0.00597287
+*D_NET *4 0.00615831
*CONN
*P clockp[1] O
-*I *944:Y O *D sky130_fd_sc_hd__clkinv_8
+*I *855:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
-1 clockp[1] 0.0013368
-2 *944:Y 0.000595063
-3 *4:10 0.00193187
-4 clockp[1] *655:A 2.652e-05
-5 clockp[1] *661:B1 0.000225835
-6 clockp[1] *662:B2 0
-7 clockp[1] *679:B2 0.00028516
-8 clockp[1] *692:A 3.88655e-06
-9 clockp[1] *692:B 0
-10 clockp[1] *693:A2 2.18741e-05
-11 clockp[1] *854:D 3.58321e-05
-12 clockp[1] *854:RESET_B 7.58739e-05
-13 clockp[1] *6:7 0
-14 clockp[1] *6:36 4.73136e-05
-15 clockp[1] *39:11 0
-16 clockp[1] *90:51 4.3116e-06
-17 clockp[1] *127:55 0
-18 clockp[1] *137:10 0
-19 clockp[1] *138:6 0.000367831
-20 clockp[1] *140:8 0
-21 *4:10 *643:A 0
-22 *4:10 *690:B1 6.89317e-05
-23 *4:10 *690:B2 0.000125695
-24 *4:10 *692:A 6.17553e-05
-25 *4:10 *805:A 7.06307e-05
-26 *4:10 *856:D 0
-27 *4:10 *5:22 0.000330596
-28 *4:10 *39:11 0
-29 *4:10 *113:8 0.00035709
-30 clockp[0] clockp[1] 0
+1 clockp[1] 0.000569779
+2 *855:X 0.00121015
+3 *4:8 0.00177993
+4 clockp[1] *826:B 0.000104613
+5 clockp[1] *6:7 0
+6 *4:8 *337:DIODE 6.66939e-05
+7 *4:8 *344:DIODE 4.84853e-05
+8 *4:8 *349:DIODE 0.000105256
+9 *4:8 *635:A0 0.000100831
+10 *4:8 *635:S 0
+11 *4:8 *657:A 0
+12 *4:8 *657:B 5.03801e-05
+13 *4:8 *661:A 0
+14 *4:8 *664:A2 0
+15 *4:8 *667:A1 0
+16 *4:8 *671:B 0
+17 *4:8 *673:A 0.000150625
+18 *4:8 *673:B 0.000182565
+19 *4:8 *677:A2 0
+20 *4:8 *678:B 0.000524053
+21 *4:8 *688:A 0
+22 *4:8 *828:B 0
+23 *4:8 *849:D 5.33786e-05
+24 *4:8 *852:D 0.000316031
+25 *4:8 *853:RESET_B 0.000334344
+26 *4:8 *5:10 4.32258e-05
+27 *4:8 *5:611 0
+28 *4:8 *7:29 0
+29 *4:8 *9:38 2.16719e-05
+30 *4:8 *32:27 0
+31 *4:8 *93:9 8.06331e-05
+32 *4:8 *109:16 0
+33 *4:8 *111:8 9.00741e-05
+34 *4:8 *113:12 0
+35 *4:8 *129:17 0.000204023
+36 *4:8 *222:10 0.000121573
+37 clockp[0] clockp[1] 0
*RES
-1 *944:Y *4:10 31.2298
-2 *4:10 clockp[1] 28.8458
+1 *855:X *4:8 48.7546
+2 *4:8 clockp[1] 1.8612
*END
-*D_NET *5 0.0457603
+*D_NET *5 0.0870251
*CONN
*P dco I
-*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *824:S I *D sky130_fd_sc_hd__mux2_1
-*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *818:S I *D sky130_fd_sc_hd__mux2_1
-*I *816:S I *D sky130_fd_sc_hd__mux2_1
-*I *814:S I *D sky130_fd_sc_hd__mux2_1
+*I *747:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *752:A1 I *D sky130_fd_sc_hd__a21o_2
*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *817:S I *D sky130_fd_sc_hd__mux2_1
-*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *815:S I *D sky130_fd_sc_hd__mux2_1
-*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *813:S I *D sky130_fd_sc_hd__mux2_1
-*I *809:S I *D sky130_fd_sc_hd__mux2_1
-*I *811:S I *D sky130_fd_sc_hd__mux2_1
-*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *833:S I *D sky130_fd_sc_hd__mux2_1
-*I *388:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *831:S I *D sky130_fd_sc_hd__mux2_1
-*I *384:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *825:S I *D sky130_fd_sc_hd__mux2_1
-*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *823:S I *D sky130_fd_sc_hd__mux2_1
-*I *819:S I *D sky130_fd_sc_hd__mux2_1
-*I *827:S I *D sky130_fd_sc_hd__mux2_1
-*I *821:S I *D sky130_fd_sc_hd__mux2_1
-*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *829:S I *D sky130_fd_sc_hd__mux2_1
-*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *812:S I *D sky130_fd_sc_hd__mux2_1
-*I *810:S I *D sky130_fd_sc_hd__mux2_1
-*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *822:S I *D sky130_fd_sc_hd__mux2_1
-*I *820:S I *D sky130_fd_sc_hd__mux2_1
-*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *808:S I *D sky130_fd_sc_hd__mux2_1
-*I *832:S I *D sky130_fd_sc_hd__mux2_1
-*I *386:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A1 I *D sky130_fd_sc_hd__a21o_2
*I *362:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *826:S I *D sky130_fd_sc_hd__mux2_1
*I *374:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *828:S I *D sky130_fd_sc_hd__mux2_1
-*I *830:S I *D sky130_fd_sc_hd__mux2_1
-*I *660:A I *D sky130_fd_sc_hd__nor2_2
-*I *326:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *765:B I *D sky130_fd_sc_hd__nand2b_2
+*I *768:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *758:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *741:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *766:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *795:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *764:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *762:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *778:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *392:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *745:A_N I *D sky130_fd_sc_hd__and2b_2
+*I *771:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *769:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *740:A I *D sky130_fd_sc_hd__nor2_2
+*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *413:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *815:A I *D sky130_fd_sc_hd__nor2_2
+*I *420:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *817:A I *D sky130_fd_sc_hd__nor2_2
+*I *814:A I *D sky130_fd_sc_hd__nor2_2
+*I *412:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *828:A I *D sky130_fd_sc_hd__nor2_2
+*I *426:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *826:A I *D sky130_fd_sc_hd__nor2_2
+*I *425:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *827:A I *D sky130_fd_sc_hd__nor2_2
+*I *809:A I *D sky130_fd_sc_hd__nor2_2
+*I *813:A I *D sky130_fd_sc_hd__nor2_2
+*I *411:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *400:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *805:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *816:A I *D sky130_fd_sc_hd__nor2_2
+*I *821:A I *D sky130_fd_sc_hd__nor2_2
+*I *419:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *414:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *416:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *818:A I *D sky130_fd_sc_hd__nor2_2
+*I *418:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *417:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *820:A I *D sky130_fd_sc_hd__nor2_2
+*I *819:A I *D sky130_fd_sc_hd__nor2_2
+*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *383:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *387:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *789:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *389:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *793:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *386:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *396:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *393:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *802:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:B I *D sky130_fd_sc_hd__nor2_2
+*I *786:B2 I *D sky130_fd_sc_hd__a32o_2
*I *382:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *806:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *402:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *397:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *804:A_N I *D sky130_fd_sc_hd__and3b_2
+*I *399:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *422:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *408:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *824:A I *D sky130_fd_sc_hd__nor2_2
+*I *810:A I *D sky130_fd_sc_hd__nor2_2
+*I *825:A I *D sky130_fd_sc_hd__nor2_2
+*I *423:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *823:A I *D sky130_fd_sc_hd__nor2_2
+*I *421:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *808:A I *D sky130_fd_sc_hd__nor2_2
+*I *407:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *424:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *406:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *410:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *812:A I *D sky130_fd_sc_hd__nor2_2
+*I *409:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *811:A I *D sky130_fd_sc_hd__nor2_2
+*I *415:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *822:A I *D sky130_fd_sc_hd__nor2_2
+*I *427:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *829:A I *D sky130_fd_sc_hd__nor2_2
+*I *428:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *830:A I *D sky130_fd_sc_hd__nor2_2
*CAP
-1 dco 0.00020678
-2 *370:DIODE 0.000108644
-3 *824:S 0.000126967
-4 *366:DIODE 0.00017301
-5 *358:DIODE 0.000216552
-6 *818:S 0
-7 *816:S 9.09498e-05
-8 *814:S 0
-9 *356:DIODE 0
-10 *817:S 0
-11 *360:DIODE 4.52247e-05
-12 *352:DIODE 0
-13 *815:S 0
-14 *348:DIODE 0
-15 *813:S 0.000284018
-16 *809:S 0
-17 *811:S 0.000140161
-18 *344:DIODE 0.000441427
-19 *340:DIODE 9.71006e-05
-20 *833:S 0
-21 *388:DIODE 0
-22 *831:S 4.2094e-05
-23 *384:DIODE 0.000269978
-24 *372:DIODE 0.000189113
-25 *825:S 0
-26 *368:DIODE 0
-27 *823:S 7.11558e-05
-28 *819:S 0
-29 *827:S 0
-30 *821:S 0
-31 *376:DIODE 7.47734e-05
-32 *364:DIODE 0
-33 *829:S 5.99479e-05
-34 *380:DIODE 0
-35 *346:DIODE 6.97636e-05
-36 *342:DIODE 0
-37 *812:S 0.000355184
-38 *810:S 0
-39 *350:DIODE 0
-40 *354:DIODE 0
-41 *822:S 0
-42 *820:S 0
-43 *338:DIODE 0
-44 *808:S 0.000215777
-45 *832:S 0
-46 *386:DIODE 0
-47 *362:DIODE 0
-48 *826:S 0
-49 *374:DIODE 5.2316e-05
-50 *378:DIODE 0
-51 *828:S 3.58865e-05
-52 *830:S 0
-53 *660:A 2.97371e-05
-54 *326:DIODE 0
-55 *382:DIODE 0.000147638
-56 *5:483 0.000356673
-57 *5:414 0.000335446
-58 *5:392 0.000691196
-59 *5:387 0.000208601
-60 *5:380 0.000476438
-61 *5:351 0.00093188
-62 *5:350 0.000851142
-63 *5:339 0.000718983
-64 *5:336 0.00127698
-65 *5:332 0.000629683
-66 *5:329 0.000279299
-67 *5:324 0.000475041
-68 *5:310 0.000339151
-69 *5:302 0.00019545
-70 *5:293 0.000376514
-71 *5:284 0.000380885
-72 *5:281 0.00038595
-73 *5:279 0.000354684
-74 *5:257 7.47734e-05
-75 *5:223 0.000428235
-76 *5:222 0.000307747
-77 *5:213 0.000343829
-78 *5:204 0.00040077
-79 *5:200 0.000471499
-80 *5:195 0.00037559
-81 *5:190 0.000335856
-82 *5:184 0.000256894
-83 *5:179 0.000658013
-84 *5:172 0.000340889
-85 *5:168 0.000974635
-86 *5:163 0.000961684
-87 *5:160 0.00025905
-88 *5:154 0.000270447
-89 *5:148 0.00065251
-90 *5:142 0.000562987
-91 *5:136 0.000170146
-92 *5:130 0.000376562
-93 *5:127 0.000368606
-94 *5:120 0.000210725
-95 *5:98 0.000453588
-96 *5:94 0.000319892
-97 *5:88 0.000554553
-98 *5:84 0.000610165
-99 *5:76 0.000615796
-100 *5:73 0.000832535
-101 *5:66 0.000287275
-102 *5:57 0.000342036
-103 *5:50 0.000214019
-104 *5:41 0.000344409
-105 *5:22 0.000360691
-106 *5:10 0.00060238
-107 *5:8 0.000138559
-108 *5:7 0.00044772
-109 *340:DIODE *809:A0 6.46921e-05
-110 *340:DIODE *872:A 0.00017407
-111 *344:DIODE *739:B2 5.56461e-05
-112 *344:DIODE *792:A 6.36477e-05
-113 *344:DIODE *222:11 1.85917e-05
-114 *344:DIODE *222:13 6.54943e-05
-115 *344:DIODE *244:8 5.48642e-05
-116 *346:DIODE *312:15 0.000103983
-117 *358:DIODE *822:A0 0.000318901
-118 *360:DIODE *770:B 1.88422e-05
-119 *366:DIODE *901:TE 3.49494e-05
-120 *366:DIODE *13:12 0.000382765
-121 *366:DIODE *278:8 3.37671e-05
-122 *370:DIODE *369:DIODE 1.00004e-05
-123 *370:DIODE *824:A0 7.62627e-06
-124 *370:DIODE *824:A1 1.66626e-05
-125 *370:DIODE *271:6 7.60183e-05
-126 *372:DIODE *371:DIODE 0.000477467
-127 *374:DIODE *373:DIODE 3.28898e-06
-128 *376:DIODE *243:20 0.000113968
-129 *382:DIODE *336:DIODE 1.84293e-05
-130 *382:DIODE *381:DIODE 7.40499e-05
-131 *384:DIODE *831:A0 2.84758e-05
-132 *384:DIODE *236:11 3.00829e-05
-133 *660:A *805:A 0.000106215
-134 *660:A *284:36 5.56461e-05
-135 *808:S *337:DIODE 2.41483e-05
-136 *808:S *385:DIODE 0
-137 *808:S *808:A0 0.000164829
-138 *808:S *826:A0 0
-139 *808:S *832:A1 1.00846e-05
-140 *808:S *15:5 0
-141 *808:S *258:9 0.000275256
-142 *808:S *284:36 8.79472e-05
-143 *812:S *345:DIODE 0.000112112
-144 *812:S *312:15 0.000158357
-145 *813:S *813:A1 1.31657e-05
-146 *813:S *864:A 5.56851e-05
-147 *813:S *313:8 0.000169041
-148 *816:S *816:A1 0.000159322
-149 *816:S *35:8 1.61631e-05
-150 *823:S *823:A1 3.41075e-05
-151 *823:S *19:8 0.000118166
-152 *824:S *824:A1 0.000211546
-153 *829:S *779:B 4.70559e-05
-154 *829:S *829:A0 6.36477e-05
-155 *829:S *201:11 6.08467e-05
-156 *831:S *725:A1 0
-157 *831:S *769:A3 2.14842e-06
-158 *831:S *119:25 1.16474e-05
-159 *5:7 *11:10 0
-160 *5:7 *12:8 0
-161 *5:8 *381:DIODE 3.5534e-06
-162 *5:8 *12:8 4.31485e-06
-163 *5:10 *381:DIODE 0.000103312
-164 *5:22 *805:A 0.000171288
-165 *5:22 *859:A 1.41291e-05
-166 *5:22 *944:A 0.000122378
-167 *5:22 *284:36 0.000152239
-168 *5:41 *377:DIODE 2.50491e-05
-169 *5:41 *861:TE_B 0.000152842
-170 *5:41 *12:8 5.14479e-05
-171 *5:50 *377:DIODE 1.77537e-06
-172 *5:50 *23:8 3.40703e-05
-173 *5:57 *23:8 4.77318e-05
-174 *5:66 *373:DIODE 5.53934e-05
-175 *5:73 *373:DIODE 1.50513e-05
-176 *5:73 *30:8 4.31485e-06
-177 *5:73 *264:8 0
-178 *5:76 *887:A 2.20688e-05
-179 *5:76 *889:TE_B 0.000383703
-180 *5:76 *891:A 6.50586e-05
-181 *5:76 *263:8 2.42273e-05
-182 *5:88 *884:A 2.41274e-06
-183 *5:88 *888:A 0
-184 *5:88 *889:A 0
-185 *5:88 *911:A 0
-186 *5:88 *13:12 1.44467e-05
-187 *5:88 *261:6 0
-188 *5:88 *266:6 0
-189 *5:98 *832:A1 1.43983e-05
-190 *5:98 *321:10 1.43848e-05
-191 *5:120 *888:A 0
-192 *5:120 *910:TE_B 0.000156823
-193 *5:120 *13:12 3.91529e-05
-194 *5:127 *892:A 0
-195 *5:127 *13:12 0.000132408
-196 *5:127 *263:8 0
-197 *5:130 *822:A1 1.7883e-05
-198 *5:136 *822:A0 0.000117376
-199 *5:136 *822:A1 0.000117376
-200 *5:136 *34:15 1.5962e-05
-201 *5:142 *818:A1 0.000146858
-202 *5:142 *822:A0 0.000239947
-203 *5:142 *906:A 0.000112367
-204 *5:142 *34:15 0.000508726
-205 *5:148 *901:A 2.20837e-05
-206 *5:148 *902:A 2.99929e-05
-207 *5:148 *902:TE 0
-208 *5:148 *902:Z 4.31539e-05
-209 *5:148 *35:8 2.01874e-05
-210 *5:148 *275:8 8.53106e-06
-211 *5:154 *35:8 4.13614e-05
-212 *5:160 *35:8 1.19618e-05
-213 *5:163 *814:A0 1.18084e-05
-214 *5:163 *37:13 0.000130454
-215 *5:163 *48:8 0
-216 *5:168 *814:A0 3.30938e-05
-217 *5:168 *915:A 1.87146e-05
-218 *5:168 *915:TE 0.000234308
-219 *5:168 *915:Z 0.000365242
-220 *5:168 *37:13 0.000126335
-221 *5:172 *817:A0 2.41961e-05
-222 *5:179 *817:A0 0.00016386
-223 *5:179 *817:A1 0.000214042
-224 *5:179 *823:A0 2.02222e-05
-225 *5:179 *926:A 1.54809e-05
-226 *5:179 *293:11 0.000399216
-227 *5:184 *375:DIODE 0.00025618
-228 *5:184 *807:A1 3.20407e-05
-229 *5:184 *823:A0 1.21985e-05
-230 *5:184 *827:A0 6.01618e-05
-231 *5:184 *922:A 6.46815e-05
-232 *5:184 *926:A 1.35641e-05
-233 *5:184 *55:26 4.04576e-06
-234 *5:190 *779:A 0.000199527
-235 *5:190 *817:A0 0
-236 *5:190 *827:A0 2.2594e-05
-237 *5:190 *18:11 5.03545e-06
-238 *5:190 *55:22 0.000113374
-239 *5:190 *312:15 0.000211464
-240 *5:195 *779:A 0.000377273
-241 *5:195 *817:A0 0.000103493
-242 *5:195 *829:A1 4.52469e-05
-243 *5:195 *17:9 5.8261e-05
-244 *5:195 *312:15 0.000377273
-245 *5:200 *817:A0 2.652e-05
-246 *5:200 *829:A1 3.00073e-05
-247 *5:200 *201:11 0.000197281
-248 *5:204 *201:11 0.000213676
-249 *5:204 *222:77 3.83172e-05
-250 *5:213 *763:A3 0.000160617
-251 *5:213 *764:A2 8.62625e-06
-252 *5:213 *16:9 3.10619e-05
-253 *5:213 *44:10 0.000118171
-254 *5:213 *222:77 0.000158371
-255 *5:213 *222:79 6.50586e-05
-256 *5:213 *223:64 0
-257 *5:222 *759:A3 7.77309e-06
-258 *5:222 *779:B 0
-259 *5:222 *810:A1 0.000113374
-260 *5:222 *914:A 2.652e-05
-261 *5:222 *16:9 1.53688e-05
-262 *5:222 *44:10 5.41227e-05
-263 *5:223 *312:15 9.97706e-05
-264 *5:279 *770:B 8.57967e-05
-265 *5:279 *55:26 0.000210531
-266 *5:284 *819:A0 6.93171e-05
-267 *5:293 *823:A0 0
-268 *5:293 *825:A0 0
-269 *5:293 *930:A 3.62414e-05
-270 *5:293 *24:14 0
-271 *5:302 *19:8 0.000171288
-272 *5:310 *371:DIODE 4.48447e-05
-273 *5:310 *19:8 0.000387915
-274 *5:324 *773:A2 0
-275 *5:324 *773:B1 6.99331e-05
-276 *5:324 *773:C1 2.82537e-05
-277 *5:324 *777:B 3.07658e-05
-278 *5:324 *819:A0 3.40414e-05
-279 *5:324 *307:6 4.53156e-05
-280 *5:324 *312:15 6.89449e-05
-281 *5:329 *773:A3 0
-282 *5:329 *773:B1 3.00073e-05
-283 *5:329 *773:C1 5.22654e-06
-284 *5:329 *815:A0 0.000399507
-285 *5:329 *21:16 3.58044e-05
-286 *5:329 *222:50 8.62625e-06
-287 *5:332 *351:DIODE 0.000116454
-288 *5:332 *864:A 0
-289 *5:336 *351:DIODE 1.07248e-05
-290 *5:336 *864:A 0
-291 *5:336 *866:TE 0.000226281
-292 *5:336 *869:TE_B 0
-293 *5:336 *222:18 0
-294 *5:336 *222:32 0
-295 *5:336 *243:20 0
-296 *5:336 *245:6 0
-297 *5:336 *245:18 0
-298 *5:336 *252:6 2.85637e-05
-299 *5:336 *252:8 4.79669e-05
-300 *5:339 *648:A 1.65872e-05
-301 *5:339 *782:A1 0.000311235
-302 *5:339 *833:A1 0.000415213
-303 *5:339 *873:A 0.000118166
-304 *5:339 *874:TE 1.41291e-05
-305 *5:339 *877:A 0.00011818
-306 *5:339 *29:11 0.000158357
-307 *5:339 *225:24 2.90773e-05
-308 *5:339 *247:7 0.000428134
-309 *5:350 *702:C 1.21602e-05
-310 *5:350 *708:A 5.99361e-05
-311 *5:350 *723:A2 0
-312 *5:350 *782:A1 7.12632e-06
-313 *5:350 *833:A0 3.13173e-05
-314 *5:350 *120:11 5.54675e-05
-315 *5:350 *225:40 6.45852e-05
-316 *5:351 *769:A3 2.58616e-05
-317 *5:351 *831:A0 4.25952e-05
-318 *5:351 *223:28 3.6669e-06
-319 *5:351 *223:84 1.7883e-05
-320 *5:351 *249:23 0.000507889
-321 *5:380 *869:TE_B 8.32204e-06
-322 *5:380 *872:A 0
-323 *5:380 *874:TE 2.38044e-05
-324 *5:380 *876:TE_B 3.11269e-05
-325 *5:380 *222:18 0
-326 *5:380 *244:8 7.82051e-05
-327 *5:380 *251:8 3.37843e-05
-328 *5:380 *252:8 0
-329 *5:387 *809:A0 4.45999e-05
-330 *5:387 *809:A1 0.000118166
-331 *5:392 *343:DIODE 0
-332 *5:392 *811:A0 3.58457e-05
-333 *5:392 *244:8 2.74956e-05
-334 *5:483 *369:DIODE 0.000101987
-335 *5:483 *887:TE 0
-336 *5:483 *264:8 0
-337 *4:10 *5:22 0.000330596
+1 dco 0.000645185
+2 *349:DIODE 0.00021008
+3 *358:DIODE 0
+4 *747:A1 0
+5 *752:A1 0
+6 *356:DIODE 0
+7 *754:A1 0.000112517
+8 *362:DIODE 0
+9 *374:DIODE 0
+10 *375:DIODE 3.34544e-05
+11 *765:B 0.000165699
+12 *768:B2 0.000143084
+13 *758:A1 0
+14 *364:DIODE 0.000152434
+15 *371:DIODE 0
+16 *360:DIODE 0
+17 *757:A1 2.62853e-05
+18 *751:A1 3.00142e-05
+19 *354:DIODE 0.000191073
+20 *351:DIODE 6.72891e-05
+21 *741:A2 5.67612e-05
+22 *347:DIODE 7.06727e-05
+23 *379:DIODE 4.7032e-05
+24 *766:A1 0
+25 *350:DIODE 0
+26 *798:B2 2.23151e-05
+27 *795:A1 0.000370592
+28 *764:A1 0
+29 *366:DIODE 0.000129358
+30 *761:A1 0
+31 *762:A1 2.54275e-05
+32 *368:DIODE 0
+33 *372:DIODE 3.92662e-05
+34 *778:A1 8.39598e-05
+35 *392:DIODE 0
+36 *745:A_N 3.23748e-05
+37 *771:A1 8.399e-05
+38 *769:A1 0.000113602
+39 *740:A 3.28322e-05
+40 *377:DIODE 0
+41 *413:DIODE 1.03866e-05
+42 *815:A 0
+43 *420:DIODE 0
+44 *817:A 7.47441e-05
+45 *814:A 3.93936e-05
+46 *412:DIODE 0
+47 *828:A 3.6848e-05
+48 *426:DIODE 0
+49 *826:A 0.000516927
+50 *425:DIODE 8.15745e-05
+51 *827:A 0.000196709
+52 *809:A 0.000215435
+53 *813:A 0
+54 *411:DIODE 0.000275922
+55 *400:DIODE 4.05326e-05
+56 *805:A1 1.64954e-05
+57 *816:A 0
+58 *821:A 1.26964e-05
+59 *419:DIODE 0
+60 *414:DIODE 0
+61 *416:DIODE 7.35679e-05
+62 *818:A 3.80696e-05
+63 *418:DIODE 0.000340172
+64 *417:DIODE 0
+65 *820:A 1.11423e-05
+66 *819:A 1.99857e-05
+67 *353:DIODE 0
+68 *800:A1 5.81347e-06
+69 *383:DIODE 0.000676379
+70 *387:DIODE 0
+71 *789:A1 0
+72 *389:DIODE 7.35457e-05
+73 *793:B2 1.67369e-05
+74 *386:DIODE 0
+75 *396:DIODE 0.000228607
+76 *393:DIODE 0
+77 *794:A1 0.000200163
+78 *802:B2 4.40563e-05
+79 *748:B 0
+80 *786:B2 0.000116251
+81 *382:DIODE 0.000260619
+82 *803:A1 0.000189446
+83 *806:A1 5.8453e-05
+84 *402:DIODE 4.19004e-05
+85 *397:DIODE 4.36033e-05
+86 *804:A_N 2.23854e-05
+87 *399:DIODE 0
+88 *422:DIODE 5.282e-05
+89 *408:DIODE 0
+90 *824:A 9.82021e-06
+91 *810:A 0
+92 *825:A 3.21587e-05
+93 *423:DIODE 0
+94 *823:A 0
+95 *421:DIODE 0.000780344
+96 *808:A 1.99857e-05
+97 *407:DIODE 0
+98 *424:DIODE 0.000143909
+99 *406:DIODE 0
+100 *410:DIODE 0
+101 *812:A 0.00011639
+102 *409:DIODE 0
+103 *811:A 0.000130051
+104 *415:DIODE 2.61224e-05
+105 *822:A 0.000130508
+106 *427:DIODE 0
+107 *829:A 5.71454e-05
+108 *428:DIODE 0
+109 *830:A 0
+110 *5:1008 0.000345576
+111 *5:1003 0.000468659
+112 *5:1001 0.000434324
+113 *5:971 0.000308783
+114 *5:969 0.000328766
+115 *5:965 0.000384535
+116 *5:949 0.000453914
+117 *5:943 0.000457678
+118 *5:935 0.000222297
+119 *5:930 0.000155323
+120 *5:928 0.000376012
+121 *5:904 0.000476096
+122 *5:902 0.000301638
+123 *5:894 0.000160572
+124 *5:891 0.000362713
+125 *5:888 0.000663247
+126 *5:876 0.000803718
+127 *5:838 0.000707727
+128 *5:817 0.000200493
+129 *5:806 0.000239467
+130 *5:803 0.000159822
+131 *5:801 0.00051905
+132 *5:796 0.000220493
+133 *5:785 0.000131446
+134 *5:780 0.000184349
+135 *5:761 0.000483293
+136 *5:758 0.000576516
+137 *5:749 0.000173662
+138 *5:739 0.000173443
+139 *5:731 0.000158509
+140 *5:727 7.92189e-05
+141 *5:726 0.000210681
+142 *5:716 0.000162169
+143 *5:714 0.000484374
+144 *5:700 0.000739045
+145 *5:688 1.93371e-05
+146 *5:685 0.000477041
+147 *5:613 0.00037928
+148 *5:611 0.000920188
+149 *5:606 0.000327422
+150 *5:604 0.000424429
+151 *5:592 0.00038209
+152 *5:590 0.000177201
+153 *5:561 0.000564439
+154 *5:544 0.000259513
+155 *5:534 0.000311716
+156 *5:504 0.000305545
+157 *5:487 0.000410506
+158 *5:446 0.000728634
+159 *5:409 0.000611667
+160 *5:398 0.000482399
+161 *5:397 0.000203158
+162 *5:393 0.000428349
+163 *5:384 0.00051947
+164 *5:377 0.000140943
+165 *5:356 0.00024422
+166 *5:351 0.000400929
+167 *5:346 0.000566972
+168 *5:331 0.000271341
+169 *5:311 0.000627209
+170 *5:307 0.000551962
+171 *5:290 0.000490049
+172 *5:281 0.000284049
+173 *5:279 0.000376507
+174 *5:266 0.00065133
+175 *5:261 0.000591532
+176 *5:259 0.000141456
+177 *5:257 0.000260933
+178 *5:252 0.000472318
+179 *5:241 0.00016705
+180 *5:235 0.000350468
+181 *5:229 0.000377721
+182 *5:227 0.000225533
+183 *5:223 0.000238561
+184 *5:222 0.000258716
+185 *5:208 0.000197509
+186 *5:196 3.00782e-05
+187 *5:194 0.000239961
+188 *5:191 0.000380947
+189 *5:180 0.0003092
+190 *5:175 0.000312162
+191 *5:164 0.000252497
+192 *5:154 0.000880109
+193 *5:146 0.000444653
+194 *5:142 0.000625476
+195 *5:140 0.000478262
+196 *5:129 0.000458929
+197 *5:109 0.000195199
+198 *5:108 0.000166548
+199 *5:105 0.000994033
+200 *5:88 0.00119309
+201 *5:77 0.000398106
+202 *5:72 0.000391877
+203 *5:70 0.000557744
+204 *5:66 0.000561396
+205 *5:51 0.000551078
+206 *5:43 0.000822522
+207 *5:37 0.000487848
+208 *5:27 0.000344455
+209 *5:23 0.000443951
+210 *5:21 3.33486e-05
+211 *5:15 0.000148766
+212 *5:10 0.000141101
+213 *5:7 0.000880949
+214 *347:DIODE *700:B 8.36572e-05
+215 *349:DIODE *32:27 3.52206e-05
+216 *354:DIODE *355:DIODE 1.17968e-05
+217 *354:DIODE *751:A2 1.51021e-05
+218 *354:DIODE *277:6 8.00806e-05
+219 *364:DIODE *758:A2 4.63699e-05
+220 *364:DIODE *36:10 2.42122e-05
+221 *366:DIODE *762:A2 8.41815e-05
+222 *366:DIODE *913:A 0.000227539
+223 *366:DIODE *915:A 0.000274288
+224 *366:DIODE *916:A 0.00014285
+225 *366:DIODE *35:26 0.000117836
+226 *366:DIODE *180:49 4.81186e-05
+227 *366:DIODE *312:16 0.00014183
+228 *372:DIODE *178:36 5.49995e-05
+229 *375:DIODE *908:TE 2.89114e-05
+230 *379:DIODE *766:A3 0.000142856
+231 *379:DIODE *334:14 1.98839e-05
+232 *382:DIODE *692:B 1.98839e-05
+233 *382:DIODE *779:B 5.33005e-05
+234 *382:DIODE *86:13 9.41642e-05
+235 *382:DIODE *130:21 2.95642e-05
+236 *382:DIODE *130:39 9.84057e-05
+237 *382:DIODE *142:29 1.90936e-05
+238 *382:DIODE *236:43 1.30999e-05
+239 *382:DIODE *236:67 1.21317e-05
+240 *383:DIODE *934:A 2.58997e-05
+241 *383:DIODE *935:A 0.00019625
+242 *383:DIODE *936:A 9.58632e-05
+243 *383:DIODE *936:TE 1.46231e-05
+244 *383:DIODE *936:Z 6.48792e-05
+245 *383:DIODE *939:A 0.000460989
+246 *383:DIODE *21:7 7.79781e-06
+247 *383:DIODE *22:8 5.06983e-05
+248 *383:DIODE *254:8 0.000101915
+249 *389:DIODE *384:DIODE 1.12578e-05
+250 *389:DIODE *788:C 0
+251 *389:DIODE *926:A 3.48235e-05
+252 *389:DIODE *207:12 5.38072e-05
+253 *396:DIODE *777:A 9.41642e-05
+254 *396:DIODE *780:A 0
+255 *396:DIODE *142:62 2.51133e-05
+256 *396:DIODE *143:63 7.39203e-06
+257 *396:DIODE *183:11 7.29712e-05
+258 *396:DIODE *187:33 1.53058e-05
+259 *396:DIODE *187:47 3.43988e-06
+260 *397:DIODE *131:20 5.33005e-05
+261 *400:DIODE *805:A2 5.71472e-05
+262 *402:DIODE *235:52 5.33005e-05
+263 *411:DIODE *686:B 0
+264 *411:DIODE *690:C 0
+265 *411:DIODE *839:CLK 2.09897e-05
+266 *411:DIODE *139:19 0.000314883
+267 *411:DIODE *141:8 7.05216e-05
+268 *413:DIODE *221:43 8.76257e-05
+269 *413:DIODE *333:235 0.00010096
+270 *415:DIODE *7:29 2.89016e-05
+271 *418:DIODE *872:TE 5.25578e-05
+272 *418:DIODE *872:Z 1.40306e-05
+273 *421:DIODE *848:D 0.000382923
+274 *421:DIODE *333:95 5.7256e-05
+275 *424:DIODE *89:34 9.33671e-05
+276 *425:DIODE *216:145 2.89114e-05
+277 *740:A *771:B2 7.83587e-05
+278 *740:A *132:8 5.41794e-05
+279 *740:A *175:22 1.08359e-05
+280 *741:A2 *741:A1 3.18676e-05
+281 *745:A_N *745:B 5.33005e-05
+282 *745:A_N *778:B1 5.03772e-05
+283 *745:A_N *132:30 5.33005e-05
+284 *751:A1 *751:B1 3.57366e-05
+285 *754:A1 *32:11 0.000134304
+286 *754:A1 *32:27 4.25684e-05
+287 *757:A1 *757:B1 3.37729e-05
+288 *762:A1 *762:A2 1.46576e-05
+289 *762:A1 *762:B2 4.38186e-05
+290 *762:A1 *764:A2 9.58632e-05
+291 *765:B *908:A 4.67437e-05
+292 *765:B *908:TE 2.84274e-05
+293 *765:B *912:A 8.08608e-06
+294 *765:B *917:TE_B 3.67279e-06
+295 *768:B2 *373:DIODE 0.000183726
+296 *768:B2 *768:B1 0.000216121
+297 *768:B2 *908:A 0.000146474
+298 *768:B2 *908:TE 7.2754e-05
+299 *768:B2 *179:32 0.000218246
+300 *769:A1 *376:DIODE 7.89682e-05
+301 *769:A1 *766:A3 6.05161e-06
+302 *769:A1 *769:B1 5.52238e-05
+303 *769:A1 *15:10 6.28619e-05
+304 *769:A1 *334:14 0.000175624
+305 *771:A1 *683:B 6.7e-06
+306 *771:A1 *771:A2 6.60111e-05
+307 *771:A1 *130:94 5.87491e-06
+308 *771:A1 *150:8 3.79258e-05
+309 *771:A1 *175:22 6.79842e-05
+310 *778:A1 *778:A2 0.000100823
+311 *778:A1 *86:40 0.000100823
+312 *778:A1 *150:32 5.33005e-05
+313 *786:B2 *130:39 8.76232e-05
+314 *786:B2 *131:62 3.74338e-05
+315 *786:B2 *236:67 9.64653e-05
+316 *793:B2 *212:23 2.85234e-05
+317 *793:B2 *325:7 2.85234e-05
+318 *794:A1 *794:A2 0
+319 *794:A1 *794:B1 2.89543e-05
+320 *794:A1 *800:A2 4.51317e-05
+321 *794:A1 *865:A 0.000191289
+322 *794:A1 *866:TE 5.20751e-05
+323 *794:A1 *26:17 0.000153836
+324 *794:A1 *251:19 5.74499e-06
+325 *794:A1 *326:5 5.52302e-05
+326 *795:A1 *795:A2 3.9228e-05
+327 *795:A1 *798:A1 0
+328 *795:A1 *922:A 0.000140719
+329 *795:A1 *922:TE 0
+330 *795:A1 *922:Z 3.5707e-05
+331 *795:A1 *16:5 0
+332 *795:A1 *180:62 3.5046e-05
+333 *795:A1 *312:16 6.22558e-06
+334 *795:A1 *313:8 0
+335 *798:B2 *785:C 5.49544e-05
+336 *800:A1 *792:C 1.01912e-05
+337 *800:A1 *207:12 1.24469e-05
+338 *802:B2 *800:A2 4.27338e-05
+339 *802:B2 *26:17 0.000182694
+340 *802:B2 *326:5 9.71197e-05
+341 *803:A1 *803:B1 0.000184944
+342 *803:A1 *948:TE 1.46231e-05
+343 *803:A1 *335:5 2.74389e-05
+344 *806:A1 *806:A2 5.55213e-05
+345 *808:A *823:B 0
+346 *808:A *333:84 1.04232e-05
+347 *809:A *738:A0 2.28598e-05
+348 *809:A *833:CLK 1.10956e-05
+349 *809:A *89:5 6.14317e-05
+350 *809:A *230:11 9.41642e-05
+351 *811:A *811:B 0.000188586
+352 *811:A *834:RESET_B 0.000144773
+353 *817:A *837:D 6.82912e-05
+354 *817:A *840:CLK 3.97677e-05
+355 *817:A *216:56 2.85234e-05
+356 *819:A *819:B 1.04232e-05
+357 *821:A *821:B 8.95839e-06
+358 *822:A *845:RESET_B 0.000104974
+359 *822:A *221:10 0
+360 *822:A *221:43 0
+361 *822:A *333:235 3.568e-05
+362 *824:A *824:B 9.99853e-05
+363 *825:A *825:B 1.3701e-05
+364 *826:A *651:A 3.48705e-05
+365 *826:A *651:B 2.89016e-05
+366 *826:A *673:A 0.00010096
+367 *826:A *121:12 9.90431e-05
+368 *826:A *222:17 0.000117553
+369 *826:A *222:21 1.39726e-05
+370 *827:A *405:DIODE 9.66977e-05
+371 *827:A *672:A 0.000227014
+372 *827:A *672:B 7.30592e-05
+373 *827:A *826:B 0.000307349
+374 *827:A *827:B 2.62951e-05
+375 *827:A *850:D 2.62951e-05
+376 *827:A *854:A 0.000204413
+377 *827:A *39:10 4.05356e-05
+378 *827:A *333:15 8.42609e-05
+379 *828:A *828:B 1.02301e-05
+380 *828:A *139:19 0
+381 *829:A *852:RESET_B 7.92378e-05
+382 *5:7 *11:8 0
+383 *5:7 *12:10 0
+384 *5:10 *32:27 1.08601e-05
+385 *5:15 *635:A0 4.58976e-05
+386 *5:15 *642:B 5.71472e-05
+387 *5:15 *830:B 0.000357054
+388 *5:15 *221:55 9.47028e-05
+389 *5:27 *830:B 8.18594e-05
+390 *5:27 *852:RESET_B 0.000123714
+391 *5:27 *11:8 2.06112e-05
+392 *5:27 *216:9 9.60875e-05
+393 *5:27 *216:13 1.33343e-05
+394 *5:27 *333:246 6.68298e-05
+395 *5:37 *216:9 2.23592e-05
+396 *5:43 *216:9 7.09928e-05
+397 *5:43 *216:43 0.000282025
+398 *5:51 *845:D 0.000149975
+399 *5:51 *216:43 1.24368e-05
+400 *5:66 *705:A1 0
+401 *5:66 *845:D 0.000119135
+402 *5:66 *129:63 1.19309e-05
+403 *5:66 *333:235 8.98897e-05
+404 *5:70 *685:C_N 0
+405 *5:70 *705:A1 0
+406 *5:70 *129:37 0
+407 *5:70 *129:48 0
+408 *5:70 *129:58 0
+409 *5:70 *171:10 7.72563e-05
+410 *5:70 *333:219 0.000290956
+411 *5:70 *333:235 3.17163e-05
+412 *5:72 *171:10 6.93556e-05
+413 *5:72 *333:201 4.65855e-05
+414 *5:72 *333:212 0.000167904
+415 *5:72 *333:219 3.2923e-05
+416 *5:77 *343:DIODE 4.72917e-05
+417 *5:77 *7:29 6.05161e-06
+418 *5:77 *171:10 4.56089e-05
+419 *5:77 *333:50 5.66157e-05
+420 *5:77 *333:55 2.59355e-05
+421 *5:77 *333:201 9.80405e-05
+422 *5:88 *731:A1 4.90803e-05
+423 *5:88 *834:RESET_B 0.000100831
+424 *5:88 *168:8 7.76554e-05
+425 *5:88 *217:64 0.000108295
+426 *5:88 *218:8 0.000288557
+427 *5:88 *333:50 6.8551e-05
+428 *5:105 *734:A 1.34703e-05
+429 *5:105 *811:B 6.57914e-05
+430 *5:105 *835:CLK 1.83053e-05
+431 *5:105 *835:D 5.49544e-05
+432 *5:105 *835:RESET_B 9.23695e-05
+433 *5:108 *739:A 0
+434 *5:108 *831:D 4.57445e-05
+435 *5:108 *216:83 7.98318e-05
+436 *5:108 *216:88 0.000124083
+437 *5:109 *216:88 2.89114e-05
+438 *5:129 *216:83 6.63763e-05
+439 *5:140 *739:A 0.000142856
+440 *5:140 *89:34 0.000117621
+441 *5:140 *230:11 4.18895e-05
+442 *5:146 *739:A 0
+443 *5:146 *739:B 0.000151333
+444 *5:146 *831:RESET_B 2.0714e-05
+445 *5:146 *230:8 7.23941e-05
+446 *5:146 *333:76 0.000276211
+447 *5:146 *333:84 2.44318e-05
+448 *5:154 *333:84 0.000105163
+449 *5:154 *333:95 1.54142e-05
+450 *5:164 *823:B 1.04232e-05
+451 *5:175 *833:CLK 6.19181e-05
+452 *5:175 *833:RESET_B 0
+453 *5:175 *29:8 0.000434797
+454 *5:175 *333:95 5.33005e-05
+455 *5:180 *88:5 3.67257e-05
+456 *5:191 *825:B 9.80173e-05
+457 *5:191 *833:RESET_B 0.000101545
+458 *5:191 *839:RESET_B 1.91512e-05
+459 *5:191 *88:5 4.69993e-05
+460 *5:191 *333:106 0.000125731
+461 *5:191 *333:123 2.31791e-05
+462 *5:194 *824:B 4.4873e-05
+463 *5:194 *833:RESET_B 6.05161e-06
+464 *5:196 *824:B 5.7661e-06
+465 *5:208 *824:B 4.73286e-05
+466 *5:222 *839:RESET_B 5.53406e-05
+467 *5:222 *29:8 0
+468 *5:222 *333:123 0.000197714
+469 *5:222 *333:144 2.28343e-05
+470 *5:223 *839:RESET_B 4.58976e-05
+471 *5:227 *821:B 1.55885e-05
+472 *5:227 *839:RESET_B 2.89114e-05
+473 *5:235 *804:B 1.15281e-05
+474 *5:235 *844:RESET_B 0.000225609
+475 *5:235 *29:8 0.000360601
+476 *5:235 *140:22 0
+477 *5:235 *236:10 0
+478 *5:241 *804:B 1.32552e-05
+479 *5:241 *804:C 1.33343e-05
+480 *5:252 *804:B 2.97829e-05
+481 *5:252 *804:C 0.000136951
+482 *5:252 *333:144 0.000132806
+483 *5:257 *333:144 1.12323e-05
+484 *5:257 *333:155 0.000153054
+485 *5:261 *819:B 3.19453e-05
+486 *5:261 *843:RESET_B 2.89016e-05
+487 *5:266 *695:A3 0
+488 *5:266 *695:B1 0
+489 *5:266 *716:C1 0.000124689
+490 *5:266 *806:A2 6.67257e-05
+491 *5:266 *819:B 2.89016e-05
+492 *5:266 *947:TE 0.000173804
+493 *5:266 *29:8 0.000770605
+494 *5:266 *145:5 6.05161e-06
+495 *5:266 *334:14 0.00026881
+496 *5:279 *806:A2 0.000130791
+497 *5:279 *234:97 2.91193e-05
+498 *5:279 *334:14 0.0001663
+499 *5:290 *806:A2 6.59012e-07
+500 *5:290 *806:B2 0
+501 *5:290 *235:52 0.00016641
+502 *5:307 *796:B1 7.31177e-05
+503 *5:307 *797:A 5.83233e-05
+504 *5:307 *234:97 4.92942e-05
+505 *5:307 *234:108 0.000117903
+506 *5:307 *334:14 6.81682e-05
+507 *5:311 *779:B 1.32552e-05
+508 *5:311 *796:B1 4.51726e-05
+509 *5:311 *142:29 1.58163e-05
+510 *5:311 *236:46 2.59355e-05
+511 *5:331 *786:A3 0.000120267
+512 *5:331 *806:B2 0.000120267
+513 *5:346 *786:A3 2.31791e-05
+514 *5:346 *791:A 0.000100823
+515 *5:346 *792:C 9.57659e-05
+516 *5:346 *806:B1 0.000182235
+517 *5:346 *806:B2 0.000118048
+518 *5:346 *83:20 9.30381e-05
+519 *5:346 *207:12 2.26973e-05
+520 *5:351 *869:A 0.000113074
+521 *5:351 *237:89 0
+522 *5:351 *256:21 6.45293e-05
+523 *5:351 *263:18 0.000476424
+524 *5:377 *794:A2 3.29037e-05
+525 *5:377 *801:A 1.00375e-05
+526 *5:377 *936:TE 7.73022e-05
+527 *5:384 *801:A 4.76908e-05
+528 *5:384 *936:TE 0.000129198
+529 *5:393 *789:B1 2.16663e-05
+530 *5:393 *921:Z 5.46383e-06
+531 *5:393 *929:TE 6.94441e-06
+532 *5:393 *930:TE_B 0.000139338
+533 *5:393 *931:A 0.000192997
+534 *5:393 *19:8 2.38501e-05
+535 *5:393 *316:8 9.56362e-05
+536 *5:393 *319:11 0.00042563
+537 *5:397 *384:DIODE 0.000136733
+538 *5:397 *921:Z 4.84159e-05
+539 *5:397 *19:8 4.34677e-05
+540 *5:397 *319:11 2.74737e-05
+541 *5:398 *384:DIODE 0.000105708
+542 *5:398 *207:12 0.000215701
+543 *5:409 *384:DIODE 9.1627e-05
+544 *5:409 *395:DIODE 0.000130945
+545 *5:409 *777:A 5.33005e-05
+546 *5:409 *790:A2 0.00020409
+547 *5:409 *790:B1 1.61405e-05
+548 *5:409 *790:B2 4.16148e-05
+549 *5:409 *130:45 7.33171e-05
+550 *5:409 *207:12 0.000196198
+551 *5:409 *263:18 0.000144243
+552 *5:446 *936:TE 3.69047e-06
+553 *5:487 *872:TE 1.93007e-05
+554 *5:504 *818:B 2.36643e-05
+555 *5:504 *841:RESET_B 5.49544e-05
+556 *5:504 *333:155 0
+557 *5:534 *821:B 1.75897e-05
+558 *5:544 *821:B 6.45471e-06
+559 *5:561 *686:B 2.26327e-05
+560 *5:561 *686:C 0.000310781
+561 *5:561 *739:B 7.6644e-05
+562 *5:561 *832:D 0
+563 *5:561 *839:CLK 0.000140933
+564 *5:561 *333:64 0.00014285
+565 *5:590 *814:B 0.000149489
+566 *5:590 *837:RESET_B 1.21273e-05
+567 *5:590 *139:19 0.000474126
+568 *5:590 *216:64 9.51514e-06
+569 *5:592 *660:A 3.69047e-06
+570 *5:592 *139:19 4.27935e-05
+571 *5:604 *637:S 3.27359e-05
+572 *5:604 *646:A 8.22448e-05
+573 *5:604 *648:B 0
+574 *5:604 *660:A 6.4443e-05
+575 *5:604 *828:B 1.92789e-05
+576 *5:604 *88:39 4.06365e-05
+577 *5:604 *104:8 0
+578 *5:604 *139:19 0.000743786
+579 *5:604 *219:37 6.57815e-05
+580 *5:604 *224:20 2.02794e-05
+581 *5:606 *828:B 3.4879e-05
+582 *5:606 *139:19 8.46829e-05
+583 *5:611 *337:DIODE 8.89385e-05
+584 *5:611 *338:DIODE 0.000145753
+585 *5:611 *639:S 0.000186407
+586 *5:611 *661:A 0.000149904
+587 *5:611 *673:B 8.56812e-05
+588 *5:611 *828:B 2.27416e-05
+589 *5:611 *139:19 0.00018077
+590 *5:613 *639:S 1.76039e-05
+591 *5:613 *672:A 6.81083e-05
+592 *5:613 *672:B 3.0285e-05
+593 *5:613 *673:B 4.66203e-05
+594 *5:613 *216:144 0
+595 *5:685 *838:RESET_B 0.000101545
+596 *5:685 *941:A 0
+597 *5:685 *333:245 8.6229e-06
+598 *5:688 *221:43 5.71472e-05
+599 *5:688 *333:235 5.52302e-05
+600 *5:700 *858:Z 0
+601 *5:700 *859:TE 0
+602 *5:700 *859:Z 6.66878e-05
+603 *5:700 *862:A 8.91963e-06
+604 *5:700 *940:A 4.41318e-05
+605 *5:700 *156:11 0
+606 *5:700 *234:52 3.75959e-05
+607 *5:700 *333:245 4.75956e-06
+608 *5:714 *683:A 2.44318e-05
+609 *5:714 *683:B 0.00018372
+610 *5:714 *700:B 0.000219045
+611 *5:714 *741:B1 4.16929e-05
+612 *5:714 *882:TE_B 7.97875e-05
+613 *5:714 *150:8 5.52238e-05
+614 *5:714 *234:52 5.29711e-05
+615 *5:714 *249:8 0
+616 *5:726 *771:B2 0.00012401
+617 *5:726 *774:C1 0
+618 *5:726 *16:5 0
+619 *5:726 *132:8 0.000185944
+620 *5:726 *132:29 0.000121423
+621 *5:726 *334:14 0.000112414
+622 *5:727 *766:A3 9.41642e-05
+623 *5:727 *334:14 3.97677e-05
+624 *5:731 *766:A3 4.43256e-05
+625 *5:731 *334:14 8.46829e-05
+626 *5:749 *766:A2 0.000191841
+627 *5:749 *766:B1 0.000217893
+628 *5:749 *150:8 7.34455e-06
+629 *5:749 *175:22 2.19551e-05
+630 *5:758 *766:B1 0.000115467
+631 *5:758 *175:22 6.19181e-05
+632 *5:761 *756:B1 2.73936e-05
+633 *5:761 *756:C1 0.000139907
+634 *5:761 *768:A3 7.48301e-06
+635 *5:761 *782:A1 3.40111e-05
+636 *5:761 *782:A2 7.77116e-06
+637 *5:761 *150:32 0.000139907
+638 *5:761 *185:13 1.02821e-05
+639 *5:780 *762:B1 2.59355e-05
+640 *5:780 *766:B1 0.000202811
+641 *5:780 *175:22 9.81026e-05
+642 *5:780 *176:28 4.08637e-05
+643 *5:785 *753:A2 3.2923e-05
+644 *5:785 *762:B1 2.59355e-05
+645 *5:785 *766:B1 0.000100248
+646 *5:785 *15:10 3.33175e-05
+647 *5:785 *176:28 5.52238e-05
+648 *5:796 *766:B1 7.58841e-05
+649 *5:796 *15:10 3.41848e-05
+650 *5:801 *764:B1 2.05612e-05
+651 *5:801 *764:B2 2.59355e-05
+652 *5:801 *766:B1 5.33005e-05
+653 *5:801 *784:A 1.0484e-05
+654 *5:803 *784:A 5.04841e-06
+655 *5:806 *762:A2 3.14003e-05
+656 *5:806 *762:B1 4.63317e-05
+657 *5:806 *762:B2 6.87975e-06
+658 *5:806 *764:A2 0.000236143
+659 *5:806 *175:22 7.52112e-05
+660 *5:817 *761:B2 2.46318e-05
+661 *5:817 *762:A2 0.000136452
+662 *5:817 *764:A2 3.15653e-05
+663 *5:817 *180:62 3.34366e-05
+664 *5:838 *764:A2 8.55493e-06
+665 *5:838 *784:A 0.000155855
+666 *5:838 *784:C 5.49995e-05
+667 *5:838 *785:C 9.84673e-05
+668 *5:838 *325:7 7.17469e-05
+669 *5:876 *830:B 1.08359e-05
+670 *5:876 *858:TE 0.000177451
+671 *5:876 *32:27 0
+672 *5:876 *248:6 4.56474e-05
+673 *5:888 *858:A 0
+674 *5:888 *879:TE 4.58976e-05
+675 *5:888 *879:Z 0
+676 *5:888 *881:TE_B 4.25716e-05
+677 *5:888 *890:A 0.000288293
+678 *5:888 *942:A 0
+679 *5:888 *32:27 0
+680 *5:888 *248:6 2.8266e-06
+681 *5:888 *269:8 0
+682 *5:891 *361:DIODE 2.34204e-05
+683 *5:891 *887:A 1.76135e-05
+684 *5:891 *891:A 0
+685 *5:891 *32:27 0.000190805
+686 *5:891 *274:10 7.79781e-06
+687 *5:894 *757:B1 1.24368e-05
+688 *5:902 *757:B1 5.7661e-06
+689 *5:904 *751:B1 0.000405727
+690 *5:904 *757:A2 8.25843e-06
+691 *5:904 *891:A 1.98839e-05
+692 *5:928 *361:DIODE 4.38511e-05
+693 *5:928 *32:27 0.000388139
+694 *5:928 *33:8 0
+695 *5:928 *283:6 3.79467e-05
+696 *5:935 *370:DIODE 5.19842e-06
+697 *5:943 *370:DIODE 2.46444e-05
+698 *5:949 *758:A2 3.04297e-05
+699 *5:949 *899:A 1.03904e-05
+700 *5:949 *902:A 9.67754e-05
+701 *5:949 *905:A 0.00030604
+702 *5:949 *37:10 0.000262764
+703 *5:949 *288:9 8.03955e-05
+704 *5:949 *293:16 2.37944e-05
+705 *5:949 *305:7 3.97326e-05
+706 *5:965 *370:DIODE 4.77904e-05
+707 *5:969 *908:A 4.26999e-05
+708 *5:969 *908:TE 6.05161e-06
+709 *5:969 *908:Z 0.000140882
+710 *5:1001 *752:B1 5.33005e-05
+711 *5:1001 *898:A 2.37005e-05
+712 *5:1001 *32:27 0.000104996
+713 *5:1001 *283:6 7.29128e-06
+714 *5:1001 *284:5 5.75774e-05
+715 *5:1003 *893:A 5.4959e-05
+716 *5:1003 *893:Z 4.71921e-05
+717 *5:1003 *895:TE_B 4.97967e-05
+718 *5:1003 *897:A 0.000143633
+719 *5:1003 *284:5 4.84159e-05
+720 *5:1008 *896:TE_B 6.47925e-05
+721 *5:1008 *32:11 2.06178e-05
+722 *4:8 *349:DIODE 0.000105256
+723 *4:8 *5:10 4.32258e-05
+724 *4:8 *5:611 0
*RES
-1 dco *5:7 4.12039
-2 *5:7 *5:8 0.378612
-3 *5:8 *5:10 3.90826
-4 *5:10 *382:DIODE 17.5503
-5 *5:10 *5:22 16.3155
-6 *5:22 *326:DIODE 9.24915
-7 *5:22 *660:A 11.1059
-8 *5:8 *830:S 13.7491
-9 *5:7 *5:41 6.6074
-10 *5:41 *828:S 14.4725
-11 *5:41 *5:50 2.24725
-12 *5:50 *378:DIODE 13.7491
-13 *5:50 *5:57 3.493
-14 *5:57 *374:DIODE 14.4725
-15 *5:57 *5:66 4.32351
-16 *5:66 *826:S 13.7491
-17 *5:66 *5:73 3.07775
-18 *5:73 *5:76 18.5339
-19 *5:76 *362:DIODE 9.24915
-20 *5:76 *5:84 5.2234
-21 *5:84 *5:88 13.1504
-22 *5:88 *386:DIODE 9.24915
-23 *5:88 *5:94 1.278
-24 *5:94 *5:98 5.59426
-25 *5:98 *832:S 9.24915
-26 *5:98 *808:S 25.8226
-27 *5:94 *338:DIODE 9.24915
-28 *5:84 *5:120 3.90826
-29 *5:120 *820:S 13.7491
-30 *5:120 *5:127 2.6625
-31 *5:127 *5:130 5.778
-32 *5:130 *5:136 3.39996
-33 *5:136 *822:S 9.24915
-34 *5:136 *5:142 7.37864
-35 *5:142 *5:148 18.0657
-36 *5:148 *354:DIODE 9.24915
-37 *5:148 *5:154 2.94181
-38 *5:154 *350:DIODE 9.24915
-39 *5:154 *5:160 1.278
-40 *5:160 *5:163 7.1625
-41 *5:163 *5:168 20.2265
-42 *5:168 *5:172 2.82124
-43 *5:172 *5:179 14.1447
-44 *5:179 *5:184 8.85855
-45 *5:184 *5:190 14.465
-46 *5:190 *5:195 13.2898
-47 *5:195 *5:200 8.30395
-48 *5:200 *5:204 4.07513
-49 *5:204 *5:213 10.4535
-50 *5:213 *810:S 13.7491
-51 *5:213 *5:222 9.23876
-52 *5:222 *5:223 1.278
-53 *5:223 *812:S 15.398
-54 *5:223 *342:DIODE 9.24915
-55 *5:222 *346:DIODE 10.5271
-56 *5:204 *380:DIODE 9.24915
-57 *5:200 *829:S 19.974
-58 *5:195 *5:257 4.5
-59 *5:257 *364:DIODE 9.24915
-60 *5:257 *376:DIODE 10.5271
-61 *5:190 *821:S 9.24915
-62 *5:184 *827:S 9.24915
-63 *5:179 *5:279 9.83842
-64 *5:279 *5:281 4.5
-65 *5:281 *5:284 3.3953
-66 *5:284 *819:S 13.7491
-67 *5:284 *5:293 10.8998
-68 *5:293 *823:S 11.6605
-69 *5:293 *5:302 1.8326
-70 *5:302 *368:DIODE 9.24915
-71 *5:302 *5:310 5.73894
-72 *5:310 *825:S 9.24915
-73 *5:310 *372:DIODE 15.5186
-74 *5:281 *5:324 7.54782
-75 *5:324 *5:329 11.077
-76 *5:329 *5:332 6.74725
-77 *5:332 *5:336 18.3743
-78 *5:336 *5:339 16.2764
-79 *5:339 *5:350 18.5881
-80 *5:350 *5:351 10.1517
-81 *5:351 *384:DIODE 13.3002
-82 *5:351 *831:S 19.2506
-83 *5:350 *388:DIODE 9.24915
-84 *5:339 *833:S 9.24915
-85 *5:336 *5:380 15.7107
-86 *5:380 *340:DIODE 12.7456
-87 *5:380 *5:387 2.94181
-88 *5:387 *5:392 12.0778
-89 *5:392 *344:DIODE 18.3398
-90 *5:392 *811:S 12.191
-91 *5:387 *809:S 9.24915
-92 *5:332 *5:414 5.2234
-93 *5:414 *813:S 17.2306
-94 *5:414 *348:DIODE 9.24915
-95 *5:329 *815:S 9.24915
-96 *5:324 *352:DIODE 13.7491
-97 *5:279 *360:DIODE 9.97254
-98 *5:172 *817:S 9.24915
-99 *5:168 *356:DIODE 9.24915
-100 *5:163 *814:S 13.7491
-101 *5:160 *816:S 12.4803
-102 *5:142 *818:S 9.24915
-103 *5:130 *358:DIODE 13.3002
-104 *5:127 *366:DIODE 20.5642
-105 *5:73 *5:483 3.493
-106 *5:483 *824:S 17.2697
-107 *5:483 *370:DIODE 16.8269
+1 dco *5:7 5.34327
+2 *5:7 *5:10 5.08036
+3 *5:10 *830:A 9.3
+4 *5:10 *5:15 3.82143
+5 *5:15 *428:DIODE 9.3
+6 *5:15 *5:21 0.535714
+7 *5:21 *5:23 4.5
+8 *5:23 *5:27 9.51786
+9 *5:27 *829:A 19.3357
+10 *5:27 *5:37 0.535714
+11 *5:37 *427:DIODE 9.3
+12 *5:37 *5:43 6.28571
+13 *5:43 *5:51 4.80357
+14 *5:51 *822:A 21.1839
+15 *5:51 *5:66 8.92857
+16 *5:66 *5:70 8.25
+17 *5:70 *5:72 3.16071
+18 *5:72 *5:77 8.21429
+19 *5:77 *415:DIODE 9.83571
+20 *5:77 *5:88 15.8036
+21 *5:88 *811:A 12.7464
+22 *5:88 *409:DIODE 9.3
+23 *5:88 *5:105 14.4821
+24 *5:105 *5:108 7.05357
+25 *5:108 *5:109 0.946429
+26 *5:109 *812:A 11.2821
+27 *5:109 *410:DIODE 9.3
+28 *5:108 *406:DIODE 9.3
+29 *5:105 *5:129 5.53571
+30 *5:129 *424:DIODE 11.0679
+31 *5:129 *407:DIODE 9.3
+32 *5:129 *5:140 3.82143
+33 *5:140 *5:142 4.5
+34 *5:142 *5:146 12.3214
+35 *5:146 *808:A 9.72857
+36 *5:146 *5:154 2.17857
+37 *5:154 *421:DIODE 20.0143
+38 *5:154 *5:164 0.428571
+39 *5:164 *823:A 9.3
+40 *5:164 *5:175 15.125
+41 *5:175 *423:DIODE 9.3
+42 *5:175 *5:180 0.946429
+43 *5:180 *825:A 10.0321
+44 *5:180 *5:191 8.625
+45 *5:191 *5:194 5.85714
+46 *5:194 *5:196 0.428571
+47 *5:196 *810:A 9.3
+48 *5:196 *824:A 10.2464
+49 *5:194 *5:208 3
+50 *5:208 *408:DIODE 9.3
+51 *5:208 *422:DIODE 10.2464
+52 *5:191 *5:222 7.35714
+53 *5:222 *5:223 0.946429
+54 *5:223 *5:227 3.01786
+55 *5:227 *5:229 0.535714
+56 *5:229 *5:235 16.2679
+57 *5:235 *399:DIODE 9.3
+58 *5:235 *5:241 0.535714
+59 *5:241 *804:A_N 9.72857
+60 *5:241 *5:252 7.65179
+61 *5:252 *5:257 7.54464
+62 *5:257 *5:259 0.732143
+63 *5:259 *5:261 2.17857
+64 *5:261 *5:266 18.25
+65 *5:266 *397:DIODE 14.3357
+66 *5:266 *5:279 3.21429
+67 *5:279 *5:281 4.5
+68 *5:281 *402:DIODE 9.83571
+69 *5:281 *5:290 3.51786
+70 *5:290 *806:A1 10.2464
+71 *5:290 *803:A1 12.7107
+72 *5:279 *5:307 9.23214
+73 *5:307 *5:311 7.5
+74 *5:311 *382:DIODE 17.6214
+75 *5:311 *786:B2 16.0857
+76 *5:307 *5:331 6.14286
+77 *5:331 *748:B 13.8
+78 *5:331 *5:346 6.15179
+79 *5:346 *5:351 7.948
+80 *5:351 *5:356 4.5
+81 *5:356 *802:B2 11.0679
+82 *5:356 *794:A1 23.4964
+83 *5:351 *5:377 1.33929
+84 *5:377 *393:DIODE 13.8
+85 *5:377 *5:384 2.55357
+86 *5:384 *5:393 14.5893
+87 *5:393 *5:397 6.26786
+88 *5:397 *5:398 2.85714
+89 *5:398 *5:409 15.9091
+90 *5:409 *396:DIODE 21.6393
+91 *5:409 *386:DIODE 9.3
+92 *5:398 *793:B2 14.3357
+93 *5:397 *389:DIODE 15.4429
+94 *5:393 *789:A1 9.3
+95 *5:384 *5:446 5.03571
+96 *5:446 *387:DIODE 9.3
+97 *5:446 *383:DIODE 31.2107
+98 *5:346 *800:A1 14.0768
+99 *5:307 *353:DIODE 9.3
+100 *5:261 *819:A 9.72857
+101 *5:259 *820:A 9.72857
+102 *5:257 *5:487 0.946429
+103 *5:487 *417:DIODE 9.3
+104 *5:487 *418:DIODE 13.5321
+105 *5:252 *5:504 7.38393
+106 *5:504 *818:A 10.0321
+107 *5:504 *416:DIODE 10.2464
+108 *5:229 *414:DIODE 9.3
+109 *5:227 *419:DIODE 9.3
+110 *5:223 *821:A 9.72857
+111 *5:222 *5:534 1.78571
+112 *5:534 *816:A 9.3
+113 *5:534 *5:544 3.55357
+114 *5:544 *805:A1 9.72857
+115 *5:544 *400:DIODE 10.2464
+116 *5:142 *5:561 11.4464
+117 *5:561 *411:DIODE 23.55
+118 *5:561 *813:A 9.3
+119 *5:140 *809:A 12.8714
+120 *5:72 *5:590 9.14286
+121 *5:590 *5:592 0.535714
+122 *5:592 *5:604 18.9464
+123 *5:604 *5:606 0.946429
+124 *5:606 *5:611 12.7679
+125 *5:611 *5:613 2.25
+126 *5:613 *827:A 30.2107
+127 *5:613 *425:DIODE 14.7464
+128 *5:611 *826:A 23.1214
+129 *5:606 *426:DIODE 9.3
+130 *5:604 *828:A 10.0321
+131 *5:592 *412:DIODE 9.3
+132 *5:590 *814:A 10.0321
+133 *5:70 *817:A 15.5857
+134 *5:66 *420:DIODE 13.8
+135 *5:43 *5:685 6.98214
+136 *5:685 *5:688 5.03571
+137 *5:688 *815:A 9.3
+138 *5:688 *413:DIODE 10.2464
+139 *5:685 *5:700 6.5
+140 *5:700 *377:DIODE 13.8
+141 *5:700 *5:714 12.6786
+142 *5:714 *5:716 4.5
+143 *5:716 *740:A 14.8357
+144 *5:716 *5:726 8.57143
+145 *5:726 *5:727 0.946429
+146 *5:727 *5:731 1.375
+147 *5:731 *769:A1 12.3
+148 *5:731 *5:739 4.5
+149 *5:739 *771:A1 15.8848
+150 *5:739 *5:749 3.16071
+151 *5:749 *745:A_N 14.7464
+152 *5:749 *5:758 1.64286
+153 *5:758 *5:761 9.55357
+154 *5:761 *392:DIODE 9.3
+155 *5:761 *778:A1 20.175
+156 *5:758 *5:780 7.89286
+157 *5:780 *5:785 6.375
+158 *5:785 *372:DIODE 14.3357
+159 *5:785 *5:796 5.53571
+160 *5:796 *368:DIODE 9.3
+161 *5:796 *5:801 2.17857
+162 *5:801 *5:803 0.428571
+163 *5:803 *5:806 7.96429
+164 *5:806 *762:A1 14.7464
+165 *5:806 *5:817 6.44643
+166 *5:817 *761:A1 9.3
+167 *5:817 *366:DIODE 15.1929
+168 *5:803 *764:A1 9.3
+169 *5:801 *5:838 5.60714
+170 *5:838 *795:A1 24.6393
+171 *5:838 *798:B2 9.83571
+172 *5:780 *350:DIODE 9.3
+173 *5:727 *766:A1 9.3
+174 *5:726 *379:DIODE 10.6571
+175 *5:714 *347:DIODE 10.2464
+176 *5:23 *5:876 4.67857
+177 *5:876 *741:A2 14.7464
+178 *5:876 *5:888 13
+179 *5:888 *5:891 7.05357
+180 *5:891 *5:894 5.44643
+181 *5:894 *351:DIODE 10.2464
+182 *5:894 *5:902 0.428571
+183 *5:902 *5:904 5.46429
+184 *5:904 *354:DIODE 21.4071
+185 *5:904 *751:A1 10.0321
+186 *5:902 *757:A1 10.0321
+187 *5:891 *5:928 4.98214
+188 *5:928 *5:930 4.5
+189 *5:930 *360:DIODE 9.3
+190 *5:930 *5:935 1.76786
+191 *5:935 *371:DIODE 9.3
+192 *5:935 *5:943 1.375
+193 *5:943 *5:949 17.4821
+194 *5:949 *364:DIODE 20.5857
+195 *5:949 *758:A1 9.3
+196 *5:943 *5:965 1.76786
+197 *5:965 *5:969 3.83929
+198 *5:969 *5:971 4.5
+199 *5:971 *768:B2 19.5679
+200 *5:971 *765:B 16.9607
+201 *5:969 *375:DIODE 9.83571
+202 *5:965 *374:DIODE 9.3
+203 *5:928 *5:1001 8.42857
+204 *5:1001 *5:1003 5.05357
+205 *5:1003 *5:1008 8.80357
+206 *5:1008 *362:DIODE 13.8
+207 *5:1008 *754:A1 16.1929
+208 *5:1003 *356:DIODE 9.3
+209 *5:1001 *752:A1 9.3
+210 *5:888 *747:A1 9.3
+211 *5:21 *358:DIODE 9.3
+212 *5:7 *349:DIODE 17.0589
*END
-*D_NET *6 0.00344704
+*D_NET *6 0.00460185
*CONN
*P div[0] I
-*I *323:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *655:A I *D sky130_fd_sc_hd__inv_2
-*I *688:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *333:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *687:A I *D sky130_fd_sc_hd__and2_2
+*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
-1 div[0] 0.000287775
-2 *323:DIODE 3.27515e-05
-3 *655:A 6.08552e-05
-4 *688:B1 0
-5 *333:DIODE 0.000237299
-6 *6:36 0.000429958
-7 *6:20 0.000396452
-8 *6:7 0.000585174
-9 *323:DIODE *162:13 6.50727e-05
-10 *333:DIODE *332:DIODE 2.01853e-05
-11 *333:DIODE *806:A 6.31664e-05
-12 *333:DIODE *90:51 0
-13 *655:A *662:B2 0
-14 *6:7 *7:8 0
-15 *6:20 *332:DIODE 2.32912e-05
-16 *6:20 *688:C1 1.07248e-05
-17 *6:20 *7:28 1.42447e-05
-18 *6:20 *144:18 1.56631e-05
-19 *6:36 *688:B2 0.000177657
-20 *6:36 *7:28 1.42827e-05
-21 *6:36 *90:51 0.000179286
-22 *6:36 *138:6 7.50722e-05
-23 *6:36 *144:18 0.00047703
-24 *6:36 *162:13 0.000207266
-25 clockp[1] *655:A 2.652e-05
-26 clockp[1] *6:7 0
-27 clockp[1] *6:36 4.73136e-05
+1 div[0] 0.000259808
+2 *687:A 0
+3 *344:DIODE 0.000500461
+4 *674:B2 0
+5 *346:DIODE 4.39925e-05
+6 *6:34 0.000597114
+7 *6:24 0.000414772
+8 *6:7 0.000621919
+9 *344:DIODE *337:DIODE 0.000733927
+10 *344:DIODE *338:DIODE 7.61932e-05
+11 *344:DIODE *654:B1 9.6087e-05
+12 *344:DIODE *661:B 0.000122917
+13 *344:DIODE *671:A 0
+14 *344:DIODE *673:A 1.76135e-05
+15 *344:DIODE *688:A 0
+16 *344:DIODE *7:8 0
+17 *344:DIODE *7:29 9.62864e-05
+18 *344:DIODE *104:8 3.08664e-05
+19 *344:DIODE *111:8 2.89114e-05
+20 *346:DIODE *826:B 6.56976e-05
+21 *6:7 *7:7 0
+22 *6:24 *671:A 0
+23 *6:24 *674:B1 3.4855e-05
+24 *6:24 *675:B 1.58163e-05
+25 *6:24 *826:B 6.99087e-05
+26 *6:24 *121:12 0.000131174
+27 *6:34 *674:A2 9.95494e-05
+28 *6:34 *674:B1 0.000258938
+29 *6:34 *687:B 3.99614e-06
+30 *6:34 *120:7 0.000186669
+31 *6:34 *123:5 4.58976e-05
+32 clockp[1] *6:7 0
+33 *4:8 *344:DIODE 4.84853e-05
*RES
-1 div[0] *6:7 4.34986
-2 *6:7 *333:DIODE 19.0037
-3 *6:7 *6:20 6.42648
-4 *6:20 *688:B1 9.24915
-5 *6:20 *6:36 21.4879
-6 *6:36 *655:A 19.6659
-7 *6:36 *323:DIODE 9.97254
+1 div[0] *6:7 4.1902
+2 *6:7 *346:DIODE 14.6839
+3 *6:7 *6:24 10.6696
+4 *6:24 *674:B2 9.3
+5 *6:24 *6:34 4.375
+6 *6:34 *344:DIODE 30.9429
+7 *6:34 *687:A 9.3
*END
-*D_NET *7 0.00442871
+*D_NET *7 0.00617662
*CONN
*P div[1] I
-*I *685:A I *D sky130_fd_sc_hd__nand2_2
-*I *689:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *334:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *688:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *331:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *332:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *674:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *671:A I *D sky130_fd_sc_hd__nand2_2
*CAP
-1 div[1] 0.000278271
-2 *685:A 0
-3 *689:A1 5.50208e-05
-4 *334:DIODE 0.000303305
-5 *688:A1 4.55359e-05
-6 *331:DIODE 5.38534e-05
-7 *332:DIODE 0.000171416
-8 *7:45 0.000635487
-9 *7:28 0.000588001
-10 *7:21 0.000319157
-11 *7:10 0.000263179
-12 *7:8 0.000370034
-13 *332:DIODE *688:C1 8.52652e-05
-14 *332:DIODE *204:82 1.61631e-05
-15 *334:DIODE *703:A2 2.41274e-06
-16 *334:DIODE *90:51 0.000423922
-17 *334:DIODE *146:5 7.92757e-06
-18 *688:A1 *687:A 0
-19 *688:A1 *144:18 5.22654e-06
-20 *688:A1 *144:25 3.49272e-05
-21 *688:A1 *148:6 1.44467e-05
-22 *689:A1 *683:A 5.92342e-05
-23 *689:A1 *703:C1 6.43474e-05
-24 *689:A1 *138:6 3.58321e-05
-25 *7:8 *703:D1 3.13173e-05
-26 *7:8 *8:7 0
-27 *7:10 *688:C1 7.50872e-05
-28 *7:10 *703:D1 5.22654e-06
-29 *7:10 *144:25 0
-30 *7:28 *688:B2 6.73186e-05
-31 *7:45 *687:A 0.000118485
-32 *7:45 *688:B2 9.39797e-05
-33 *7:45 *689:B1 1.66228e-05
-34 *7:45 *703:C1 1.07248e-05
-35 *7:45 *90:51 3.61282e-05
-36 *7:45 *138:6 5.66868e-06
-37 *7:45 *148:6 6.31809e-05
-38 *333:DIODE *332:DIODE 2.01853e-05
-39 *6:7 *7:8 0
-40 *6:20 *332:DIODE 2.32912e-05
-41 *6:20 *7:28 1.42447e-05
-42 *6:36 *7:28 1.42827e-05
+1 div[1] 0.000480236
+2 *343:DIODE 6.49489e-05
+3 *342:DIODE 0
+4 *674:A1 0
+5 *671:A 0.000121788
+6 *7:29 0.00150287
+7 *7:8 0.000201819
+8 *7:7 0.00199818
+9 *671:A *671:B 9.24395e-05
+10 *671:A *673:A 0
+11 *671:A *826:B 0
+12 *671:A *121:12 0
+13 *7:7 *8:7 0
+14 *7:8 *688:A 9.59532e-06
+15 *7:8 *121:12 0
+16 *7:29 *661:B 0.00122293
+17 *7:29 *688:A 8.86355e-05
+18 *7:29 *688:B 5.52302e-05
+19 *7:29 *688:C_N 3.34366e-05
+20 *7:29 *689:C 2.6949e-05
+21 *7:29 *111:8 9.90367e-05
+22 *7:29 *121:12 0
+23 *344:DIODE *671:A 0
+24 *344:DIODE *7:8 0
+25 *344:DIODE *7:29 9.62864e-05
+26 *415:DIODE *7:29 2.89016e-05
+27 *4:8 *7:29 0
+28 *5:77 *343:DIODE 4.72917e-05
+29 *5:77 *7:29 6.05161e-06
+30 *6:7 *7:7 0
+31 *6:24 *671:A 0
*RES
-1 div[1] *7:8 5.40599
-2 *7:8 *7:10 2.6625
-3 *7:10 *332:DIODE 17.9655
-4 *7:10 *7:21 4.5
-5 *7:21 *331:DIODE 9.97254
-6 *7:21 *7:28 4.05102
-7 *7:28 *688:A1 19.6659
-8 *7:28 *7:45 11.284
-9 *7:45 *334:DIODE 18.9094
-10 *7:45 *689:A1 15.9964
-11 *7:8 *685:A 13.7491
+1 div[1] *7:7 4.6226
+2 *7:7 *7:8 1.1875
+3 *7:8 *671:A 16.3536
+4 *7:8 *674:A1 13.8
+5 *7:7 *7:29 26.5804
+6 *7:29 *342:DIODE 9.3
+7 *7:29 *343:DIODE 10.2464
*END
-*D_NET *8 0.00186009
+*D_NET *8 0.00354614
*CONN
*P div[2] I
-*I *679:B1 I *D sky130_fd_sc_hd__a22oi_2
-*I *328:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *682:A1 I *D sky130_fd_sc_hd__o211a_2
-*I *330:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *665:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *668:A I *D sky130_fd_sc_hd__nor2_2
+*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
-1 div[2] 0.000243517
-2 *679:B1 0.000186065
-3 *328:DIODE 0
-4 *682:A1 9.94026e-05
-5 *330:DIODE 4.90967e-05
-6 *8:12 0.000245842
-7 *8:8 0.000110229
-8 *8:7 0.000442467
-9 *679:B1 *327:DIODE 9.78334e-05
-10 *679:B1 *679:A1 6.08467e-05
-11 *679:B1 *679:B2 1.63255e-05
-12 *679:B1 *136:5 4.01825e-05
-13 *679:B1 *154:8 0.000135423
-14 *682:A1 *682:A2 0
-15 *682:A1 *142:9 6.33254e-05
-16 *8:7 *9:7 0
-17 *8:8 *703:D1 3.5534e-06
-18 *8:8 *154:8 7.61444e-07
-19 *8:12 *703:D1 6.52182e-05
-20 *7:8 *8:7 0
+1 div[2] 0.00105392
+2 *665:B1 0.000152634
+3 *341:DIODE 0
+4 *668:A 0
+5 *338:DIODE 0.000119565
+6 *8:26 0.00022016
+7 *8:8 0.000132158
+8 *8:7 0.00113404
+9 *338:DIODE *337:DIODE 1.46824e-05
+10 *338:DIODE *639:S 0.000155777
+11 *338:DIODE *661:B 0.000139907
+12 *338:DIODE *11:8 0
+13 *665:B1 *9:55 1.45849e-05
+14 *665:B1 *113:9 0.000100165
+15 *8:7 *9:10 0
+16 *8:8 *337:DIODE 2.95076e-06
+17 *8:26 *337:DIODE 2.839e-05
+18 *8:26 *828:B 5.15946e-05
+19 *8:26 *9:55 3.67279e-06
+20 *344:DIODE *338:DIODE 7.61932e-05
+21 *5:611 *338:DIODE 0.000145753
+22 *7:7 *8:7 0
*RES
-1 div[2] *8:7 4.19688
-2 *8:7 *8:8 0.378612
-3 *8:8 *8:12 7.1625
-4 *8:12 *330:DIODE 9.97254
-5 *8:12 *682:A1 12.2754
-6 *8:8 *328:DIODE 13.7491
-7 *8:7 *679:B1 19.9027
+1 div[2] *8:7 5.7036
+2 *8:7 *8:8 0.276786
+3 *8:8 *338:DIODE 17.7107
+4 *8:8 *668:A 13.8
+5 *8:7 *8:26 5.99107
+6 *8:26 *341:DIODE 9.3
+7 *8:26 *665:B1 12.1393
*END
-*D_NET *9 0.0017481
+*D_NET *9 0.00658989
*CONN
*P div[3] I
-*I *329:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *680:A I *D sky130_fd_sc_hd__or2_2
-*I *679:A1 I *D sky130_fd_sc_hd__a22oi_2
-*I *327:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 div[3] 0.000256345
-2 *329:DIODE 9.92074e-05
-3 *680:A 0
-4 *679:A1 3.95129e-05
-5 *327:DIODE 0.000134284
-6 *9:26 0.000116797
-7 *9:8 0.000289748
-8 *9:7 0.000389886
-9 *327:DIODE *154:8 8.70662e-06
-10 *329:DIODE *154:8 4.2524e-05
-11 *679:A1 *136:5 4.47179e-05
-12 *9:7 *10:7 0
-13 *9:8 *680:B 5.65148e-05
-14 *9:8 *154:8 7.59763e-05
-15 *9:26 *680:B 1.58247e-05
-16 *9:26 *154:8 1.93781e-05
-17 *679:B1 *327:DIODE 9.78334e-05
-18 *679:B1 *679:A1 6.08467e-05
-19 *8:7 *9:7 0
-*RES
-1 div[3] *9:7 4.19688
-2 *9:7 *9:8 3.28538
-3 *9:8 *327:DIODE 16.7198
-4 *9:8 *679:A1 15.0271
-5 *9:7 *9:26 0.793864
-6 *9:26 *680:A 13.7491
-7 *9:26 *329:DIODE 16.9002
-*END
-
-*D_NET *10 0.00214954
-*CONN
-*P div[4] I
-*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *335:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *694:A I *D sky130_fd_sc_hd__nand2_2
-*I *696:B1 I *D sky130_fd_sc_hd__o221ai_2
-*CAP
-1 div[4] 0.000192509
-2 *336:DIODE 0.000342241
-3 *335:DIODE 0
-4 *694:A 0
-5 *696:B1 0.000291759
-6 *10:27 0.00036127
-7 *10:11 0.000482611
-8 *10:7 0.000402389
-9 *336:DIODE *381:DIODE 3.62662e-06
-10 *696:B1 *696:B2 4.55055e-05
-11 *696:B1 *154:8 9.19886e-06
-12 *10:7 *11:10 0
-13 *10:11 *154:8 0
-14 *382:DIODE *336:DIODE 1.84293e-05
-15 *9:7 *10:7 0
-*RES
-1 div[4] *10:7 4.04389
-2 *10:7 *10:11 8.78748
-3 *10:11 *696:B1 14.7228
-4 *10:11 *694:A 9.24915
-5 *10:7 *10:27 0.378612
-6 *10:27 *335:DIODE 13.7491
-7 *10:27 *336:DIODE 20.184
-*END
-
-*D_NET *11 0.00228023
-*CONN
-*P enable I
-*I *324:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *659:A I *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 enable 0.00104943
-2 *324:DIODE 8.02339e-05
-3 *659:A 0
-4 *11:10 0.00112967
-5 *11:10 *643:A 1.77537e-06
-6 *11:10 *805:A 0
-7 *11:10 *830:A0 0
-8 *11:10 *942:A 0
-9 *11:10 *320:6 1.91246e-05
-10 *5:7 *11:10 0
-11 *10:7 *11:10 0
-*RES
-1 enable *11:10 12.31
-2 *11:10 *659:A 9.24915
-3 *11:10 *324:DIODE 11.0817
-*END
-
-*D_NET *12 0.00197141
-*CONN
-*P ext_trim[0] I
-*I *830:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[0] 0.000457998
-2 *830:A1 0
-3 *381:DIODE 0.000292845
-4 *12:8 0.000750844
-5 *381:DIODE *830:A0 2.37478e-05
-6 *12:8 *377:DIODE 5.64215e-05
-7 *12:8 *861:TE_B 0.000149244
-8 *12:8 *23:8 0
-9 *336:DIODE *381:DIODE 3.62662e-06
-10 *382:DIODE *381:DIODE 7.40499e-05
-11 *5:7 *12:8 0
-12 *5:8 *381:DIODE 3.5534e-06
-13 *5:8 *12:8 4.31485e-06
-14 *5:10 *381:DIODE 0.000103312
-15 *5:41 *12:8 5.14479e-05
-*RES
-1 ext_trim[0] *12:8 9.635
-2 *12:8 *381:DIODE 21.0117
-3 *12:8 *830:A1 13.7491
-*END
-
-*D_NET *13 0.00671608
-*CONN
-*P ext_trim[10] I
-*I *810:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[10] 0.00198736
-2 *810:A1 0.000248565
-3 *341:DIODE 0
-4 *13:12 0.00223593
-5 *810:A1 *345:DIODE 1.80257e-05
-6 *810:A1 *766:B 3.66916e-05
-7 *810:A1 *914:A 2.65667e-05
-8 *810:A1 *16:9 4.70005e-05
-9 *810:A1 *44:13 0.000107496
-10 *810:A1 *44:27 1.03434e-05
-11 *810:A1 *160:43 0
-12 *810:A1 *201:58 9.89523e-05
-13 *13:12 *345:DIODE 5.83122e-05
-14 *13:12 *761:A1 6.67095e-06
-15 *13:12 *761:A2 3.51086e-06
-16 *13:12 *761:A3 1.58551e-05
-17 *13:12 *761:B1 3.78939e-05
-18 *13:12 *812:A0 6.50586e-05
-19 *13:12 *812:A1 6.50586e-05
-20 *13:12 *820:A0 4.69326e-06
-21 *13:12 *901:TE 0
-22 *13:12 *910:TE_B 0.00015321
-23 *13:12 *911:A 9.9028e-05
-24 *13:12 *33:11 0
-25 *13:12 *34:15 0.000690549
-26 *13:12 *284:8 1.71673e-05
-27 *13:12 *287:8 0
-28 *366:DIODE *13:12 0.000382765
-29 *5:88 *13:12 1.44467e-05
-30 *5:120 *13:12 3.91529e-05
-31 *5:127 *13:12 0.000132408
-32 *5:222 *810:A1 0.000113374
-*RES
-1 ext_trim[10] *13:12 45.6242
-2 *13:12 *341:DIODE 9.24915
-3 *13:12 *810:A1 24.9091
-*END
-
-*D_NET *14 0.00372734
-*CONN
-*P ext_trim[11] I
+*I *665:A1 I *D sky130_fd_sc_hd__a32o_2
*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *808:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[11] 0.00104107
-2 *337:DIODE 0.000120081
-3 *808:A1 0
-4 *14:11 0.00116115
-5 *337:DIODE *808:A0 0.000268812
-6 *14:11 *832:A0 0.000151741
-7 *14:11 *901:Z 5.92573e-05
-8 *14:11 *902:TE 0.000181357
-9 *14:11 *906:A 4.07982e-05
-10 *14:11 *908:A 0.000298488
-11 *14:11 *909:A 2.14657e-05
-12 *14:11 *913:A 1.66771e-05
-13 *14:11 *284:8 0.000115878
-14 *14:11 *284:36 0.00010984
-15 *14:11 *287:8 5.19521e-05
-16 *14:11 *287:12 2.19276e-05
-17 *14:11 *321:10 4.26859e-05
-18 *808:S *337:DIODE 2.41483e-05
-*RES
-1 ext_trim[11] *14:11 34.3493
-2 *14:11 *808:A1 9.24915
-3 *14:11 *337:DIODE 12.7456
-*END
-
-*D_NET *15 0.00467088
-*CONN
-*P ext_trim[12] I
-*I *832:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *385:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[12] 0.0010047
-2 *832:A1 0.000399312
-3 *385:DIODE 0.000135562
-4 *15:5 0.00153957
-5 *385:DIODE *808:A0 0.000228593
-6 *385:DIODE *225:81 9.75356e-05
-7 *832:A1 *826:A0 2.41483e-05
-8 *832:A1 *43:27 0.000171273
-9 *15:5 *762:A1 5.88052e-06
-10 *15:5 *762:A2 4.68822e-05
-11 *15:5 *814:A1 8.01597e-05
-12 *15:5 *818:A0 0.000444423
-13 *15:5 *822:A0 0
-14 *15:5 *826:A0 0
-15 *15:5 *37:13 0
-16 *15:5 *44:40 9.2346e-06
-17 *15:5 *201:63 7.14746e-05
-18 *15:5 *201:76 0.000195154
-19 *15:5 *222:90 1.11594e-05
-20 *15:5 *225:81 5.05252e-05
-21 *15:5 *225:96 0.000130808
-22 *15:5 *275:8 0
-23 *808:S *385:DIODE 0
-24 *808:S *832:A1 1.00846e-05
-25 *808:S *15:5 0
-26 *5:98 *832:A1 1.43983e-05
-*RES
-1 ext_trim[12] *15:5 27.2845
-2 *15:5 *385:DIODE 17.9683
-3 *15:5 *832:A1 20.0186
-*END
-
-*D_NET *16 0.00541648
-*CONN
-*P ext_trim[13] I
-*I *383:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *831:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[13] 0.000961636
-2 *383:DIODE 0.000379578
-3 *831:A1 0.000159344
-4 *16:9 0.00150056
-5 *383:DIODE *201:8 0.000118166
-6 *383:DIODE *250:9 0.000186161
-7 *831:A1 *768:A3 1.70077e-05
-8 *831:A1 *831:A0 3.00829e-05
-9 *831:A1 *48:8 3.67708e-05
-10 *831:A1 *119:25 1.6352e-05
-11 *831:A1 *119:38 9.69716e-06
-12 *831:A1 *223:28 2.83365e-05
-13 *831:A1 *223:35 4.51118e-05
-14 *16:9 *764:A2 4.33979e-05
-15 *16:9 *914:A 0.000208877
-16 *16:9 *917:A 0.000159968
-17 *16:9 *920:A 3.63588e-05
-18 *16:9 *924:TE_B 0
-19 *16:9 *37:13 0
-20 *16:9 *48:8 0.00118551
-21 *16:9 *223:35 2.652e-05
-22 *16:9 *223:64 0.000173617
-23 *810:A1 *16:9 4.70005e-05
-24 *5:213 *16:9 3.10619e-05
-25 *5:222 *16:9 1.53688e-05
-*RES
-1 ext_trim[13] *16:9 32.7561
-2 *16:9 *831:A1 17.9655
-3 *16:9 *383:DIODE 20.0186
-*END
-
-*D_NET *17 0.00366684
-*CONN
-*P ext_trim[14] I
-*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *829:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[14] 0.000899709
-2 *379:DIODE 0.000223732
-3 *829:A1 0.000181487
-4 *17:9 0.00130493
-5 *379:DIODE *810:A0 6.50727e-05
-6 *379:DIODE *160:35 5.82695e-05
-7 *829:A1 *775:D 8.62625e-06
-8 *829:A1 *779:B 0.000254075
-9 *829:A1 *817:A0 0
-10 *829:A1 *829:A0 0.000110297
-11 *829:A1 *222:77 0.000114523
-12 *17:9 *779:B 0.000106333
-13 *17:9 *817:A0 0
-14 *17:9 *919:A 8.92089e-05
-15 *17:9 *922:Z 0
-16 *17:9 *924:TE_B 0
-17 *17:9 *279:13 9.04668e-05
-18 *17:9 *286:13 2.65948e-05
-19 *5:195 *829:A1 4.52469e-05
-20 *5:195 *17:9 5.8261e-05
-21 *5:200 *829:A1 3.00073e-05
-*RES
-1 ext_trim[14] *17:9 22.79
-2 *17:9 *829:A1 20.1812
-3 *17:9 *379:DIODE 17.2456
-*END
-
-*D_NET *18 0.00429077
-*CONN
-*P ext_trim[15] I
-*I *827:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[15] 0.000543664
-2 *827:A1 0
-3 *375:DIODE 0.000434722
-4 *18:11 0.000978386
-5 *375:DIODE *770:B 0.000404059
-6 *375:DIODE *777:A 4.0752e-05
-7 *375:DIODE *807:A1 9.59526e-05
-8 *375:DIODE *827:A0 2.60631e-05
-9 *375:DIODE *55:22 0.000315016
-10 *375:DIODE *55:26 2.23042e-05
-11 *375:DIODE *62:7 0.000304887
-12 *18:11 *922:A 0.00045584
-13 *18:11 *923:TE 0.000162455
-14 *18:11 *24:14 0
-15 *18:11 *293:11 0.000107116
-16 *18:11 *300:8 0.000138334
-17 *5:184 *375:DIODE 0.00025618
-18 *5:190 *18:11 5.03545e-06
-*RES
-1 ext_trim[15] *18:11 21.8917
-2 *18:11 *375:DIODE 24.6093
-3 *18:11 *827:A1 9.24915
-*END
-
-*D_NET *19 0.002677
-*CONN
-*P ext_trim[16] I
-*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *825:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[16] 0.000312286
-2 *371:DIODE 0.000201101
-3 *825:A1 0
-4 *19:8 0.000513387
-5 *371:DIODE *20:8 6.92705e-05
-6 *19:8 *823:A1 0.000171288
-7 *19:8 *20:8 0.000209985
-8 *19:8 *272:8 0
-9 *372:DIODE *371:DIODE 0.000477467
-10 *823:S *19:8 0.000118166
-11 *5:302 *19:8 0.000171288
-12 *5:310 *371:DIODE 4.48447e-05
-13 *5:310 *19:8 0.000387915
-*RES
-1 ext_trim[16] *19:8 17.4307
-2 *19:8 *825:A1 9.24915
-3 *19:8 *371:DIODE 16.0973
-*END
-
-*D_NET *20 0.00180274
-*CONN
-*P ext_trim[17] I
-*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *823:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[17] 0.000522114
-2 *367:DIODE 0
-3 *823:A1 0.000136931
-4 *20:8 0.000659045
-5 *371:DIODE *20:8 6.92705e-05
-6 *823:S *823:A1 3.41075e-05
-7 *19:8 *823:A1 0.000171288
-8 *19:8 *20:8 0.000209985
-*RES
-1 ext_trim[17] *20:8 15.491
-2 *20:8 *823:A1 13.3484
-3 *20:8 *367:DIODE 9.24915
-*END
-
-*D_NET *21 0.00594135
-*CONN
-*P ext_trim[18] I
-*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *821:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[18] 0.00156503
-2 *363:DIODE 0
-3 *821:A1 0.000100694
-4 *21:22 0.00018458
-5 *21:16 0.00164891
-6 *821:A1 *779:A 0.000268798
-7 *21:16 *771:A2 4.39324e-05
-8 *21:16 *771:B1 6.08467e-05
-9 *21:16 *774:A4 6.50727e-05
-10 *21:16 *815:A0 0.000477044
-11 *21:16 *929:A 0.000109024
-12 *21:16 *934:A 0.000168186
-13 *21:16 *937:Z 0.000145529
-14 *21:16 *939:TE_B 0.000332677
-15 *21:16 *160:35 6.73022e-05
-16 *21:16 *222:50 4.82966e-05
-17 *21:16 *222:59 0.000393863
-18 *21:22 *774:A4 9.75356e-05
-19 *21:22 *779:A 6.50586e-05
-20 *21:22 *55:22 5.53934e-05
-21 *21:22 *222:59 7.77309e-06
-22 *5:329 *21:16 3.58044e-05
-*RES
-1 ext_trim[18] *21:16 47.0628
-2 *21:16 *21:22 11.9706
-3 *21:22 *821:A1 12.191
-4 *21:22 *363:DIODE 9.24915
-*END
-
-*D_NET *22 0.00308993
-*CONN
-*P ext_trim[19] I
-*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *819:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[19] 0.00107046
-2 *359:DIODE 0
-3 *819:A1 0.000135296
-4 *22:8 0.00120575
-5 *819:A1 *819:A0 4.89251e-05
-6 *22:8 *930:A 0.000286923
-7 *22:8 *930:Z 6.3657e-05
-8 *22:8 *932:A 0.000165521
-9 *22:8 *933:A 8.49163e-05
-10 *22:8 *314:8 2.84758e-05
-*RES
-1 ext_trim[19] *22:8 35.7214
-2 *22:8 *819:A1 12.7697
-3 *22:8 *359:DIODE 9.24915
-*END
-
-*D_NET *23 0.00157041
-*CONN
-*P ext_trim[1] I
-*I *828:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[1] 0.000461884
-2 *828:A1 0
-3 *377:DIODE 0.000240796
-4 *23:8 0.00070268
-5 *23:8 *882:TE_B 0
-6 *23:8 *30:8 0
-7 *5:41 *377:DIODE 2.50491e-05
-8 *5:50 *377:DIODE 1.77537e-06
-9 *5:50 *23:8 3.40703e-05
-10 *5:57 *23:8 4.77318e-05
-11 *12:8 *377:DIODE 5.64215e-05
-12 *12:8 *23:8 0
-*RES
-1 ext_trim[1] *23:8 8.88099
-2 *23:8 *377:DIODE 18.2442
-3 *23:8 *828:A1 13.7491
-*END
-
-*D_NET *24 0.00421965
-*CONN
-*P ext_trim[20] I
-*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *817:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[20] 0.00167747
-2 *355:DIODE 0
-3 *817:A1 0.000218792
-4 *24:14 0.00189627
-5 *817:A1 *300:8 4.24488e-05
-6 *24:14 *823:A0 6.1151e-05
-7 *24:14 *923:A 0.000109472
-8 *5:179 *817:A1 0.000214042
-9 *5:293 *24:14 0
-10 *18:11 *24:14 0
-*RES
-1 ext_trim[20] *24:14 17.6626
-2 *24:14 *817:A1 15.9526
-3 *24:14 *355:DIODE 9.24915
-*END
-
-*D_NET *25 0.00211501
-*CONN
-*P ext_trim[21] I
-*I *815:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[21] 0.000861744
-2 *815:A1 0
-3 *351:DIODE 0.000131097
-4 *25:8 0.00099284
-5 *351:DIODE *773:B1 0
-6 *351:DIODE *864:A 0
-7 *351:DIODE *936:TE 0
-8 *351:DIODE *243:20 0
-9 *351:DIODE *307:6 0
-10 *25:8 *770:A 2.14842e-06
-11 *25:8 *813:A0 0
-12 *25:8 *936:TE 0
-13 *25:8 *241:6 0
-14 *25:8 *307:6 0
-15 *5:332 *351:DIODE 0.000116454
-16 *5:336 *351:DIODE 1.07248e-05
-*RES
-1 ext_trim[21] *25:8 8.13786
-2 *25:8 *351:DIODE 17.2421
-3 *25:8 *815:A1 13.7491
-*END
-
-*D_NET *26 0.0013606
-*CONN
-*P ext_trim[22] I
-*I *813:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[22] 0.00031565
-2 *813:A1 0.00028802
-3 *347:DIODE 0
-4 *26:8 0.00060367
-5 *813:A1 *870:A 3.8079e-05
-6 *26:8 *867:Z 3.04571e-05
-7 *26:8 *870:A 7.15593e-05
-8 *813:S *813:A1 1.31657e-05
-*RES
-1 ext_trim[22] *26:8 7.66803
-2 *26:8 *347:DIODE 13.7491
-3 *26:8 *813:A1 19.6294
-*END
-
-*D_NET *27 0.00197567
-*CONN
-*P ext_trim[23] I
-*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *811:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[23] 0.000336911
-2 *343:DIODE 0.000270476
-3 *811:A1 0
-4 *27:8 0.000607387
-5 *343:DIODE *811:A0 0.000151741
-6 *343:DIODE *225:8 0.000273277
-7 *343:DIODE *225:24 0.000175802
-8 *27:8 *845:RESET_B 0
-9 *27:8 *225:8 0.000160073
-10 *5:392 *343:DIODE 0
-*RES
-1 ext_trim[23] *27:8 7.067
-2 *27:8 *811:A1 13.7491
-3 *27:8 *343:DIODE 21.8422
-*END
-
-*D_NET *28 0.00281528
-*CONN
-*P ext_trim[24] I
-*I *809:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *667:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *666:B1 I *D sky130_fd_sc_hd__a21oi_2
*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
-1 ext_trim[24] 0.000975435
-2 *809:A1 0.00027537
-3 *339:DIODE 0
-4 *28:10 0.0012508
-5 *28:10 *843:RESET_B 0
-6 *28:10 *848:RESET_B 0
-7 *28:10 *225:8 0.000195505
-8 *5:387 *809:A1 0.000118166
+1 div[3] 0.000296566
+2 *665:A1 0
+3 *337:DIODE 0.000716049
+4 *667:B1 4.60403e-05
+5 *340:DIODE 3.11495e-05
+6 *666:B1 0.000166443
+7 *339:DIODE 0
+8 *9:55 0.000961639
+9 *9:38 0.000215262
+10 *9:18 0.000425804
+11 *9:15 0.000920031
+12 *9:10 0.000849718
+13 *337:DIODE *661:A 5.59013e-05
+14 *337:DIODE *129:17 0.000191258
+15 *340:DIODE *105:7 3.41124e-06
+16 *340:DIODE *105:12 2.27416e-05
+17 *666:B1 *666:A1 6.05161e-06
+18 *666:B1 *116:7 1.92905e-05
+19 *667:B1 *678:B 5.33005e-05
+20 *667:B1 *105:7 2.95726e-05
+21 *9:10 *689:A 0
+22 *9:10 *10:7 0
+23 *9:10 *109:16 0
+24 *9:15 *665:B2 2.51343e-06
+25 *9:15 *676:A2 1.58163e-05
+26 *9:15 *115:7 6.44986e-05
+27 *9:18 *669:C 0
+28 *9:18 *678:B 0.000196262
+29 *9:18 *127:8 0
+30 *9:38 *644:A 0
+31 *9:38 *678:B 0.000101545
+32 *9:38 *105:7 1.66856e-05
+33 *9:38 *109:16 0
+34 *9:38 *127:8 0
+35 *9:55 *665:B2 8.25843e-06
+36 *9:55 *113:12 8.21558e-05
+37 *9:55 *129:17 0.000116413
+38 *338:DIODE *337:DIODE 1.46824e-05
+39 *344:DIODE *337:DIODE 0.000733927
+40 *665:B1 *9:55 1.45849e-05
+41 *4:8 *337:DIODE 6.66939e-05
+42 *4:8 *9:38 2.16719e-05
+43 *5:611 *337:DIODE 8.89385e-05
+44 *8:7 *9:10 0
+45 *8:8 *337:DIODE 2.95076e-06
+46 *8:26 *337:DIODE 2.839e-05
+47 *8:26 *9:55 3.67279e-06
*RES
-1 ext_trim[24] *28:10 29.6086
-2 *28:10 *339:DIODE 9.24915
-3 *28:10 *809:A1 16.1455
+1 div[3] *9:10 10.0371
+2 *9:10 *339:DIODE 9.3
+3 *9:10 *9:15 6.28571
+4 *9:15 *9:18 7.05357
+5 *9:18 *666:B1 16.55
+6 *9:18 *9:38 7.20536
+7 *9:38 *340:DIODE 10.0411
+8 *9:38 *667:B1 10.4518
+9 *9:15 *9:55 8.32143
+10 *9:55 *337:DIODE 25.8893
+11 *9:55 *665:A1 13.8
*END
-*D_NET *29 0.00807285
+*D_NET *10 0.00209728
*CONN
-*P ext_trim[25] I
-*I *387:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *833:A1 I *D sky130_fd_sc_hd__mux2_1
+*P div[4] I
+*I *659:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *677:B1 I *D sky130_fd_sc_hd__o21a_2
*CAP
-1 ext_trim[25] 0.00255147
-2 *387:DIODE 0
-3 *833:A1 0.000119929
-4 *29:11 0.000164859
-5 *29:8 0.0025964
-6 *833:A1 *717:A 0.000111708
-7 *833:A1 *830:A0 0.000171273
-8 *833:A1 *41:9 8.57221e-05
-9 *29:8 *653:A 3.52645e-06
-10 *29:8 *667:A 0
-11 *29:8 *704:D 0.000172165
-12 *29:8 *711:A 0
-13 *29:8 *712:C1 0.000330432
-14 *29:8 *715:A2 3.3556e-05
-15 *29:8 *715:B1 0.00012136
-16 *29:8 *718:B1 3.93117e-06
-17 *29:8 *718:B2 5.05252e-05
-18 *29:8 *719:B1 0.000134323
-19 *29:8 *738:C1 4.98393e-05
-20 *29:8 *809:A0 0.000165495
-21 *29:8 *850:D 0
-22 *29:8 *851:D 3.60268e-05
-23 *29:8 *853:CLK 0
-24 *29:8 *90:155 0
-25 *29:8 *118:14 1.87269e-05
-26 *29:8 *123:18 0.00017772
-27 *29:8 *126:20 0
-28 *29:8 *127:129 5.05252e-05
-29 *29:8 *157:114 7.56859e-06
-30 *29:8 *170:63 0
-31 *29:8 *173:8 0.000147913
-32 *29:8 *204:127 0
-33 *29:8 *204:209 0
-34 *29:8 *221:12 3.54287e-05
-35 *29:8 *221:23 3.61659e-05
-36 *29:8 *221:33 2.08194e-05
-37 *29:8 *221:46 3.51288e-06
-38 *29:8 *221:55 0
-39 *29:8 *225:33 2.95757e-05
-40 *29:11 *648:A 6.08467e-05
-41 *29:11 *118:7 7.92757e-06
-42 *5:339 *833:A1 0.000415213
-43 *5:339 *29:11 0.000158357
+1 div[4] 0.000204293
+2 *659:A1 0.000279497
+3 *336:DIODE 0
+4 *345:DIODE 0
+5 *677:B1 0.000216823
+6 *10:24 0.000372612
+7 *10:19 0.000110685
+8 *10:7 0.000438686
+9 *659:A1 *659:A2 9.67334e-07
+10 *659:A1 *659:B1 6.06291e-06
+11 *677:B1 *677:A1 5.33005e-05
+12 *677:B1 *92:8 0.000113377
+13 *677:B1 *105:12 0.000141985
+14 *677:B1 *106:5 0.0001399
+15 *10:7 *11:8 0
+16 *10:19 *92:8 1.90936e-05
+17 *9:10 *10:7 0
*RES
-1 ext_trim[25] *29:8 47.0732
-2 *29:8 *29:11 6.3326
-3 *29:11 *833:A1 15.7115
-4 *29:11 *387:DIODE 9.24915
+1 div[4] *10:7 4.04607
+2 *10:7 *677:B1 18.7018
+3 *10:7 *10:19 4.77679
+4 *10:19 *345:DIODE 9.3
+5 *10:19 *10:24 0.946429
+6 *10:24 *336:DIODE 9.3
+7 *10:24 *659:A1 13.0098
*END
-*D_NET *30 0.00101727
+*D_NET *11 0.00862716
*CONN
-*P ext_trim[2] I
-*I *826:A1 I *D sky130_fd_sc_hd__mux2_1
+*P enable I
+*I *807:A I *D sky130_fd_sc_hd__nand2_2
+*I *404:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 enable 0.00211253
+2 *807:A 0
+3 *404:DIODE 0.00111467
+4 *11:8 0.00322719
+5 *404:DIODE *850:D 3.71343e-05
+6 *404:DIODE *39:10 3.92757e-05
+7 *11:8 *635:S 0.000142933
+8 *11:8 *639:S 0.000165324
+9 *11:8 *645:B 0
+10 *11:8 *654:A2 0
+11 *11:8 *672:B 9.64979e-05
+12 *11:8 *828:B 0.00037804
+13 *11:8 *838:CLK 0.000173606
+14 *11:8 *850:D 0.000105572
+15 *11:8 *850:RESET_B 0
+16 *11:8 *852:RESET_B 1.82809e-05
+17 *11:8 *854:A 0
+18 *11:8 *88:82 8.15939e-05
+19 *11:8 *102:10 0
+20 *11:8 *104:8 0.00028754
+21 *11:8 *104:21 0.000139423
+22 *11:8 *113:9 0.000152041
+23 *11:8 *216:144 0.000221163
+24 *11:8 *333:245 2.30813e-05
+25 *11:8 *333:246 9.06613e-05
+26 *338:DIODE *11:8 0
+27 *5:7 *11:8 0
+28 *5:27 *11:8 2.06112e-05
+29 *10:7 *11:8 0
+*RES
+1 enable *11:8 31.4651
+2 *11:8 *404:DIODE 36.6929
+3 *11:8 *807:A 13.8
+*END
+
+*D_NET *12 0.00250667
+*CONN
+*P ext_trim[0] I
+*I *741:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[0] 0.000432463
+2 *741:A1 0.000452106
+3 *348:DIODE 0
+4 *12:10 0.000884569
+5 *741:A1 *741:B1 1.97695e-05
+6 *741:A1 *885:A 0.000139907
+7 *741:A1 *889:TE_B 7.88091e-05
+8 *741:A1 *942:A 0.000411948
+9 *741:A1 *274:10 5.52302e-05
+10 *12:10 *23:8 0
+11 *741:A2 *741:A1 3.18676e-05
+12 *5:7 *12:10 0
+*RES
+1 ext_trim[0] *12:10 9.39939
+2 *12:10 *348:DIODE 9.3
+3 *12:10 *741:A1 18.8179
+*END
+
+*D_NET *13 0.00244748
+*CONN
+*P ext_trim[10] I
+*I *765:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[10] 0.000477791
+2 *765:A_N 5.76808e-05
+3 *370:DIODE 0.000334101
+4 *13:9 0.000869573
+5 *370:DIODE *905:A 3.32662e-05
+6 *765:A_N *754:B1 7.57394e-05
+7 *13:9 *758:A2 0.000237483
+8 *13:9 *899:A 0.000168567
+9 *13:9 *905:A 1.82116e-05
+10 *13:9 *37:10 0
+11 *13:9 *293:16 9.74326e-05
+12 *5:935 *370:DIODE 5.19842e-06
+13 *5:943 *370:DIODE 2.46444e-05
+14 *5:965 *370:DIODE 4.77904e-05
+*RES
+1 ext_trim[10] *13:9 10.2857
+2 *13:9 *370:DIODE 18.4429
+3 *13:9 *765:A_N 15.1571
+*END
+
+*D_NET *14 0.00468522
+*CONN
+*P ext_trim[11] I
+*I *768:B1 I *D sky130_fd_sc_hd__a32o_2
*I *373:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
-1 ext_trim[2] 0.00027408
-2 *826:A1 0
-3 *373:DIODE 0.000195529
-4 *30:8 0.000469609
-5 *30:8 *31:7 0
-6 *374:DIODE *373:DIODE 3.28898e-06
-7 *5:66 *373:DIODE 5.53934e-05
-8 *5:73 *373:DIODE 1.50513e-05
-9 *5:73 *30:8 4.31485e-06
-10 *23:8 *30:8 0
+1 ext_trim[11] 0.00066456
+2 *768:B1 0.000118186
+3 *373:DIODE 0.00044891
+4 *14:7 0.00123166
+5 *373:DIODE *757:B1 9.22103e-06
+6 *373:DIODE *907:A 5.71472e-05
+7 *373:DIODE *908:Z 0.000243953
+8 *373:DIODE *909:A 9.41642e-05
+9 *373:DIODE *911:A 0.000187041
+10 *373:DIODE *179:32 1.02936e-05
+11 *373:DIODE *297:8 0.000262504
+12 *373:DIODE *300:6 0
+13 *373:DIODE *300:11 2.14757e-05
+14 *768:B1 *179:32 4.93302e-05
+15 *768:B1 *263:18 0.000132306
+16 *14:7 *753:A1 0
+17 *14:7 *792:A 9.77328e-06
+18 *14:7 *908:TE 1.08359e-05
+19 *14:7 *912:A 0.000175219
+20 *14:7 *916:A 0
+21 *14:7 *917:TE_B 0.000401259
+22 *14:7 *184:8 3.18227e-05
+23 *14:7 *302:8 0
+24 *14:7 *306:12 0.000125717
+25 *768:B2 *373:DIODE 0.000183726
+26 *768:B2 *768:B1 0.000216121
*RES
-1 ext_trim[2] *30:8 4.65198
-2 *30:8 *373:DIODE 17.6896
-3 *30:8 *826:A1 13.7491
+1 ext_trim[11] *14:7 18.6786
+2 *14:7 *373:DIODE 27.0679
+3 *14:7 *768:B1 12.6214
*END
-*D_NET *31 0.00107754
+*D_NET *15 0.00459954
+*CONN
+*P ext_trim[12] I
+*I *769:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[12] 0.000846795
+2 *769:A2 0
+3 *376:DIODE 0.000262084
+4 *15:10 0.00110888
+5 *376:DIODE *747:B1 0.000259596
+6 *376:DIODE *751:B1 0.000271339
+7 *376:DIODE *769:B1 2.44318e-05
+8 *376:DIODE *769:B2 5.52238e-05
+9 *15:10 *746:A 0.000282385
+10 *15:10 *753:A2 4.7451e-05
+11 *15:10 *757:B1 9.89509e-05
+12 *15:10 *758:B1 1.50223e-05
+13 *15:10 *762:A2 0
+14 *15:10 *764:B1 0.000399003
+15 *15:10 *766:B1 5.87541e-06
+16 *15:10 *769:B1 7.34457e-05
+17 *15:10 *914:TE 0.00017078
+18 *15:10 *35:26 6.77902e-05
+19 *15:10 *150:8 0.000113536
+20 *15:10 *179:19 1.01417e-05
+21 *15:10 *180:49 0.000168849
+22 *15:10 *186:6 0.000100831
+23 *15:10 *307:24 7.79781e-06
+24 *769:A1 *376:DIODE 7.89682e-05
+25 *769:A1 *15:10 6.28619e-05
+26 *5:785 *15:10 3.33175e-05
+27 *5:796 *15:10 3.41848e-05
+*RES
+1 ext_trim[12] *15:10 25.0893
+2 *15:10 *376:DIODE 16.3714
+3 *15:10 *769:A2 9.3
+*END
+
+*D_NET *16 0.00451938
+*CONN
+*P ext_trim[13] I
+*I *771:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[13] 0.00091642
+2 *771:A2 0.000226971
+3 *378:DIODE 0.000114027
+4 *16:5 0.00125742
+5 *378:DIODE *771:B2 3.69047e-06
+6 *378:DIODE *130:94 1.48591e-05
+7 *771:A2 *683:B 7.13226e-06
+8 *771:A2 *771:B1 6.82827e-05
+9 *771:A2 *130:94 3.69047e-06
+10 *771:A2 *150:8 6.95556e-05
+11 *771:A2 *278:12 0.000147295
+12 *771:A2 *334:14 0.000168416
+13 *16:5 *760:A 0.000368518
+14 *16:5 *761:B2 8.01911e-05
+15 *16:5 *773:A2 1.01075e-05
+16 *16:5 *774:C1 0.000125567
+17 *16:5 *783:A1 3.29363e-05
+18 *16:5 *784:B 1.16107e-05
+19 *16:5 *784:C 2.71192e-05
+20 *16:5 *785:A 1.09026e-05
+21 *16:5 *922:TE 1.69115e-05
+22 *16:5 *35:26 0
+23 *16:5 *130:52 4.42053e-05
+24 *16:5 *132:29 0
+25 *16:5 *142:47 8.00292e-05
+26 *16:5 *142:62 9.47478e-05
+27 *16:5 *150:32 2.06112e-05
+28 *16:5 *176:14 7.5779e-05
+29 *16:5 *176:23 0.000285875
+30 *16:5 *180:62 0
+31 *16:5 *185:13 3.09936e-05
+32 *16:5 *256:21 1.72694e-05
+33 *16:5 *306:12 0
+34 *16:5 *313:8 2.59278e-05
+35 *16:5 *314:11 7.6731e-05
+36 *16:5 *334:14 1.95772e-05
+37 *771:A1 *771:A2 6.60111e-05
+38 *795:A1 *16:5 0
+39 *5:726 *16:5 0
+*RES
+1 ext_trim[13] *16:5 20.8571
+2 *16:5 *378:DIODE 15.1571
+3 *16:5 *771:A2 22.3468
+*END
+
+*D_NET *17 0.00527801
+*CONN
+*P ext_trim[14] I
+*I *778:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[14] 0.000814029
+2 *778:A2 0.000391394
+3 *380:DIODE 0.000287002
+4 *17:9 0.00149243
+5 *380:DIODE *384:DIODE 8.68127e-05
+6 *380:DIODE *83:25 5.92829e-05
+7 *380:DIODE *143:28 0.000131491
+8 *380:DIODE *183:11 0.000114889
+9 *380:DIODE *299:26 9.60939e-05
+10 *778:A2 *750:A 4.48585e-05
+11 *778:A2 *750:C 1.57155e-05
+12 *778:A2 *778:B1 7.13226e-06
+13 *778:A2 *86:40 2.5184e-05
+14 *778:A2 *142:47 4.46186e-06
+15 *778:A2 *142:62 7.52956e-05
+16 *778:A2 *263:18 0.000458312
+17 *778:A2 *299:26 4.20523e-05
+18 *17:9 *395:DIODE 0.000122199
+19 *17:9 *760:B 1.94879e-05
+20 *17:9 *781:A1 1.85762e-05
+21 *17:9 *785:B 7.29646e-05
+22 *17:9 *785:C 4.30666e-05
+23 *17:9 *788:B 6.69638e-05
+24 *17:9 *798:A1 0.000204544
+25 *17:9 *798:A2 7.6997e-05
+26 *17:9 *798:B1 9.86094e-06
+27 *17:9 *143:28 3.1412e-05
+28 *17:9 *176:56 2.55781e-05
+29 *17:9 *178:15 8.44271e-06
+30 *17:9 *183:11 3.30638e-05
+31 *17:9 *200:8 0.000297597
+32 *17:9 *306:12 0
+33 *778:A1 *778:A2 0.000100823
+*RES
+1 ext_trim[14] *17:9 15.7054
+2 *17:9 *380:DIODE 19.1482
+3 *17:9 *778:A2 23.6332
+*END
+
+*D_NET *18 0.00392133
+*CONN
+*P ext_trim[15] I
+*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *786:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[15] 0.000649568
+2 *381:DIODE 0.000141418
+3 *786:B1 0.000338955
+4 *18:5 0.00112994
+5 *381:DIODE *791:A 4.46231e-06
+6 *381:DIODE *130:39 2.14757e-05
+7 *381:DIODE *206:8 9.60875e-05
+8 *786:B1 *743:A 2.9995e-05
+9 *786:B1 *750:A 4.37992e-05
+10 *786:B1 *775:B 0.000112241
+11 *786:B1 *776:B 4.05618e-05
+12 *786:B1 *790:A1 4.90142e-05
+13 *786:B1 *790:B1 7.92169e-05
+14 *786:B1 *87:41 4.36012e-05
+15 *786:B1 *130:39 3.66148e-05
+16 *786:B1 *197:8 0.000141668
+17 *786:B1 *236:67 0.000216982
+18 *786:B1 *278:12 2.06178e-05
+19 *18:5 *760:B 0
+20 *18:5 *788:C 4.17196e-05
+21 *18:5 *790:A1 6.35813e-05
+22 *18:5 *790:A2 2.06112e-05
+23 *18:5 *790:B1 0.000133817
+24 *18:5 *790:C1 2.8899e-05
+25 *18:5 *792:A 5.43725e-05
+26 *18:5 *921:A 3.07737e-05
+27 *18:5 *921:Z 0.000172285
+28 *18:5 *925:A 0.000100681
+29 *18:5 *926:A 0
+30 *18:5 *207:9 7.83659e-05
+31 *18:5 *312:16 0
+*RES
+1 ext_trim[15] *18:5 13.5714
+2 *18:5 *786:B1 21.4786
+3 *18:5 *381:DIODE 15.5679
+*END
+
+*D_NET *19 0.00535794
+*CONN
+*P ext_trim[16] I
+*I *789:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *384:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[16] 0.000387698
+2 *789:A2 0
+3 *384:DIODE 0.000993522
+4 *19:8 0.00138122
+5 *384:DIODE *704:A1 2.60785e-05
+6 *384:DIODE *750:A 0.000276211
+7 *384:DIODE *773:B1 0.000147114
+8 *384:DIODE *788:C 0.000211947
+9 *384:DIODE *789:B1 8.34321e-05
+10 *384:DIODE *790:A1 8.90816e-06
+11 *384:DIODE *800:B2 6.77303e-05
+12 *384:DIODE *921:Z 2.83425e-05
+13 *384:DIODE *130:39 3.42554e-05
+14 *384:DIODE *143:28 0.000157059
+15 *384:DIODE *177:6 0.000155787
+16 *384:DIODE *183:11 6.35819e-05
+17 *384:DIODE *206:8 5.85561e-05
+18 *384:DIODE *207:9 0.000137639
+19 *384:DIODE *207:12 5.41894e-05
+20 *384:DIODE *256:21 6.83242e-05
+21 *384:DIODE *263:18 0.000368545
+22 *19:8 *789:B1 9.84673e-05
+23 *19:8 *926:A 4.98743e-05
+24 *19:8 *285:10 0
+25 *380:DIODE *384:DIODE 8.68127e-05
+26 *389:DIODE *384:DIODE 1.12578e-05
+27 *5:393 *19:8 2.38501e-05
+28 *5:397 *384:DIODE 0.000136733
+29 *5:397 *19:8 4.34677e-05
+30 *5:398 *384:DIODE 0.000105708
+31 *5:409 *384:DIODE 9.1627e-05
+*RES
+1 ext_trim[16] *19:8 12.0357
+2 *19:8 *384:DIODE 42.9444
+3 *19:8 *789:A2 9.3
+*END
+
+*D_NET *20 0.00510964
+*CONN
+*P ext_trim[17] I
+*I *793:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *385:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[17] 0.000839414
+2 *793:B1 1.6401e-05
+3 *385:DIODE 0.000591063
+4 *20:15 0.00144688
+5 *385:DIODE *777:A 0.000127599
+6 *385:DIODE *790:B2 2.97578e-05
+7 *385:DIODE *792:A 0.000348885
+8 *385:DIODE *792:C 5.33005e-05
+9 *385:DIODE *793:A1 9.70737e-05
+10 *385:DIODE *793:A3 1.90984e-05
+11 *385:DIODE *207:12 0.000149518
+12 *793:B1 *212:23 3.22062e-05
+13 *793:B1 *325:7 6.30316e-05
+14 *20:15 *929:TE 0.000315231
+15 *20:15 *931:A 0.000169095
+16 *20:15 *207:12 2.37944e-05
+17 *20:15 *285:10 0
+18 *20:15 *321:16 0.000175002
+19 *20:15 *325:7 0.000612288
+*RES
+1 ext_trim[17] *20:15 24.9821
+2 *20:15 *385:DIODE 23.55
+3 *20:15 *793:B1 14.4116
+*END
+
+*D_NET *21 0.00409356
+*CONN
+*P ext_trim[18] I
+*I *388:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *794:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[18] 0.000572311
+2 *388:DIODE 0
+3 *794:A2 0.000631884
+4 *21:7 0.00120419
+5 *794:A2 *794:B1 8.7636e-06
+6 *794:A2 *794:B2 1.94945e-05
+7 *794:A2 *800:A2 0.000782785
+8 *794:A2 *801:A 0.000211235
+9 *794:A2 *863:A 9.40051e-05
+10 *794:A2 *865:A 7.13226e-06
+11 *794:A2 *935:A 3.45899e-05
+12 *794:A2 *254:8 1.34741e-05
+13 *21:7 *935:A 0.000472988
+14 *21:7 *22:8 0
+15 *383:DIODE *21:7 7.79781e-06
+16 *794:A1 *794:A2 0
+17 *5:377 *794:A2 3.29037e-05
+*RES
+1 ext_trim[18] *21:7 12.5
+2 *21:7 *794:A2 36.1795
+3 *21:7 *388:DIODE 13.8
+*END
+
+*D_NET *22 0.0057559
+*CONN
+*P ext_trim[19] I
+*I *390:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *795:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[19] 0.00155659
+2 *390:DIODE 0
+3 *795:A2 0.000364388
+4 *22:8 0.00192098
+5 *795:A2 *788:A 0.000110982
+6 *795:A2 *788:B 0.000120587
+7 *795:A2 *788:C 0.000146523
+8 *795:A2 *920:A 0.000180777
+9 *795:A2 *922:A 1.02937e-05
+10 *795:A2 *312:16 0.000293212
+11 *22:8 *928:A 0.000940218
+12 *22:8 *934:A 1.92905e-05
+13 *22:8 *939:A 2.13481e-06
+14 *383:DIODE *22:8 5.06983e-05
+15 *795:A1 *795:A2 3.9228e-05
+16 *21:7 *22:8 0
+*RES
+1 ext_trim[19] *22:8 26.9643
+2 *22:8 *795:A2 19.358
+3 *22:8 *390:DIODE 9.3
+*END
+
+*D_NET *23 0.00242606
+*CONN
+*P ext_trim[1] I
+*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *747:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[1] 0.000497436
+2 *352:DIODE 0.000170021
+3 *747:A2 0.00033829
+4 *23:8 0.00100575
+5 *352:DIODE *357:DIODE 0
+6 *352:DIODE *751:B1 0
+7 *352:DIODE *889:TE_B 1.97381e-05
+8 *352:DIODE *278:12 1.34792e-05
+9 *747:A2 *886:A 2.9911e-05
+10 *747:A2 *886:Z 0.000271345
+11 *747:A2 *890:A 6.23649e-05
+12 *23:8 *357:DIODE 0
+13 *23:8 *889:TE_B 1.77247e-05
+14 *23:8 *30:8 0
+15 *12:10 *23:8 0
+*RES
+1 ext_trim[1] *23:8 5.50653
+2 *23:8 *747:A2 18.6036
+3 *23:8 *352:DIODE 16.3536
+*END
+
+*D_NET *24 0.00605102
+*CONN
+*P ext_trim[20] I
+*I *391:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *798:B1 I *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 ext_trim[20] 0.00239423
+2 *391:DIODE 0
+3 *798:B1 0.00017758
+4 *24:12 0.00257181
+5 *798:B1 *785:C 0.000101715
+6 *798:B1 *920:A 9.54798e-06
+7 *798:B1 *921:TE 2.13474e-05
+8 *798:B1 *183:11 0.000140403
+9 *798:B1 *200:8 5.92791e-05
+10 *798:B1 *212:23 5.50052e-05
+11 *798:B1 *325:7 0.000188658
+12 *24:12 *760:B 5.12616e-05
+13 *24:12 *788:B 1.72148e-05
+14 *24:12 *920:A 0.000158314
+15 *24:12 *921:TE 4.6025e-06
+16 *24:12 *312:16 9.01871e-05
+17 *17:9 *798:B1 9.86094e-06
+*RES
+1 ext_trim[20] *24:12 10.6618
+2 *24:12 *798:B1 18.1973
+3 *24:12 *391:DIODE 13.8
+*END
+
+*D_NET *25 0.00293821
+*CONN
+*P ext_trim[21] I
+*I *394:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *800:A2 I *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 ext_trim[21] 0.000196066
+2 *394:DIODE 0
+3 *800:A2 0.000487718
+4 *25:10 0.000683784
+5 *800:A2 *395:DIODE 0.000165269
+6 *800:A2 *791:A 6.43697e-06
+7 *800:A2 *802:A2 0.000126008
+8 *800:A2 *802:B1 7.68161e-05
+9 *800:A2 *26:17 5.43089e-05
+10 *800:A2 *130:39 0
+11 *800:A2 *258:8 3.14193e-05
+12 *800:A2 *320:10 1.77294e-05
+13 *800:A2 *323:8 7.77652e-05
+14 *800:A2 *326:5 0.000137983
+15 *25:10 *863:A 6.2589e-06
+16 *794:A1 *800:A2 4.51317e-05
+17 *794:A2 *800:A2 0.000782785
+18 *802:B2 *800:A2 4.27338e-05
+*RES
+1 ext_trim[21] *25:10 8.75079
+2 *25:10 *800:A2 23.2866
+3 *25:10 *394:DIODE 9.3
+*END
+
+*D_NET *26 0.00792037
+*CONN
+*P ext_trim[22] I
+*I *802:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *395:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[22] 0.00137822
+2 *802:B1 4.00163e-05
+3 *395:DIODE 0.000984929
+4 *26:17 0.00240317
+5 *395:DIODE *785:C 4.31941e-05
+6 *395:DIODE *790:A2 0.000506596
+7 *395:DIODE *792:A 0.00018834
+8 *395:DIODE *792:C 7.48407e-05
+9 *395:DIODE *793:A3 0.000134458
+10 *395:DIODE *802:A1 5.52238e-05
+11 *395:DIODE *802:A3 0.000122226
+12 *395:DIODE *143:63 2.89016e-05
+13 *395:DIODE *183:11 0.00020036
+14 *395:DIODE *196:15 5.29722e-05
+15 *395:DIODE *263:18 4.94711e-05
+16 *395:DIODE *320:10 1.85198e-05
+17 *26:17 *794:B2 0
+18 *26:17 *864:A 0.000279004
+19 *26:17 *866:TE 0
+20 *26:17 *866:Z 2.11419e-05
+21 *26:17 *950:TE_B 3.63842e-05
+22 *26:17 *251:19 2.36167e-05
+23 *26:17 *257:6 0
+24 *26:17 *258:8 0.000226769
+25 *26:17 *258:10 0.000165946
+26 *794:A1 *26:17 0.000153836
+27 *800:A2 *395:DIODE 0.000165269
+28 *800:A2 *802:B1 7.68161e-05
+29 *800:A2 *26:17 5.43089e-05
+30 *802:B2 *26:17 0.000182694
+31 *5:409 *395:DIODE 0.000130945
+32 *17:9 *395:DIODE 0.000122199
+*RES
+1 ext_trim[22] *26:17 24.9179
+2 *26:17 *395:DIODE 36.5745
+3 *26:17 *802:B1 10.4696
+*END
+
+*D_NET *27 0.0029775
+*CONN
+*P ext_trim[23] I
+*I *398:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *803:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[23] 0.000522169
+2 *398:DIODE 0
+3 *803:A2 0.000520864
+4 *27:11 0.00104303
+5 *803:A2 *864:A 5.83926e-05
+6 *803:A2 *947:A 0
+7 *803:A2 *948:TE 1.83053e-05
+8 *803:A2 *948:Z 6.05999e-05
+9 *803:A2 *949:Z 6.53244e-05
+10 *803:A2 *262:22 0
+11 *27:11 *265:6 0.000471816
+12 *27:11 *265:12 0.000216997
+*RES
+1 ext_trim[23] *27:11 17.4829
+2 *27:11 *803:A2 26.425
+3 *27:11 *398:DIODE 9.3
+*END
+
+*D_NET *28 0.000902777
+*CONN
+*P ext_trim[24] I
+*I *805:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *401:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[24] 0.000271459
+2 *805:A2 0.000151356
+3 *401:DIODE 0
+4 *28:8 0.000422815
+5 *400:DIODE *805:A2 5.71472e-05
+*RES
+1 ext_trim[24] *28:8 5.00206
+2 *28:8 *401:DIODE 13.8
+3 *28:8 *805:A2 16.6036
+*END
+
+*D_NET *29 0.00819484
+*CONN
+*P ext_trim[25] I
+*I *806:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *403:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[25] 0.00235266
+2 *806:A2 0.000180475
+3 *403:DIODE 3.04135e-05
+4 *29:8 0.00256355
+5 *403:DIODE *681:A 5.33005e-05
+6 *806:A2 *806:B1 5.52238e-05
+7 *806:A2 *234:8 5.71474e-05
+8 *806:A2 *234:19 2.49715e-05
+9 *806:A2 *234:97 2.38674e-05
+10 *806:A2 *235:52 6.86693e-05
+11 *29:8 *429:DIODE 0.00012546
+12 *29:8 *686:A 0
+13 *29:8 *695:B1 0
+14 *29:8 *804:C 0
+15 *29:8 *833:CLK 4.038e-06
+16 *29:8 *833:D 0
+17 *29:8 *833:RESET_B 0
+18 *29:8 *839:D 0
+19 *29:8 *839:RESET_B 0
+20 *29:8 *841:RESET_B 0
+21 *29:8 *842:D 0
+22 *29:8 *844:RESET_B 0
+23 *29:8 *846:RESET_B 6.07232e-05
+24 *29:8 *38:7 6.8341e-05
+25 *29:8 *216:97 5.72433e-05
+26 *29:8 *232:11 0.000104236
+27 *29:8 *234:8 0.000544816
+28 *29:8 *236:10 0
+29 *29:8 *238:10 0
+30 *806:A1 *806:A2 5.55213e-05
+31 *5:175 *29:8 0.000434797
+32 *5:222 *29:8 0
+33 *5:235 *29:8 0.000360601
+34 *5:266 *806:A2 6.67257e-05
+35 *5:266 *29:8 0.000770605
+36 *5:279 *806:A2 0.000130791
+37 *5:290 *806:A2 6.59012e-07
+*RES
+1 ext_trim[25] *29:8 37.6239
+2 *29:8 *403:DIODE 14.3357
+3 *29:8 *806:A2 18.2687
+*END
+
+*D_NET *30 0.00175779
+*CONN
+*P ext_trim[2] I
+*I *751:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[2] 0.000270961
+2 *751:A2 1.83579e-05
+3 *355:DIODE 0.000317141
+4 *30:8 0.00060646
+5 *355:DIODE *357:DIODE 0.00018616
+6 *355:DIODE *888:TE_B 0.000195412
+7 *355:DIODE *277:6 0.000128161
+8 *30:8 *357:DIODE 8.23597e-06
+9 *30:8 *31:5 0
+10 *354:DIODE *355:DIODE 1.17968e-05
+11 *354:DIODE *751:A2 1.51021e-05
+12 *23:8 *30:8 0
+*RES
+1 ext_trim[2] *30:8 4.46699
+2 *30:8 *355:DIODE 21.1393
+3 *30:8 *751:A2 14.1036
+*END
+
+*D_NET *31 0.00289309
*CONN
*P ext_trim[3] I
-*I *824:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[3] 0.00018737
-2 *824:A1 0.000115867
-3 *369:DIODE 6.54337e-05
-4 *31:7 0.00036867
-5 *31:7 *32:10 0
-6 *370:DIODE *369:DIODE 1.00004e-05
-7 *370:DIODE *824:A1 1.66626e-05
-8 *824:S *824:A1 0.000211546
-9 *5:483 *369:DIODE 0.000101987
-10 *30:8 *31:7 0
-*RES
-1 ext_trim[3] *31:7 4.04389
-2 *31:7 *369:DIODE 15.7888
-3 *31:7 *824:A1 17.0696
-*END
-
-*D_NET *32 0.00251547
-*CONN
-*P ext_trim[4] I
-*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *822:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[4] 0.000883246
-2 *365:DIODE 0
-3 *822:A1 0.0001873
-4 *32:10 0.00107055
-5 *822:A1 *34:15 6.64392e-05
-6 *822:A1 *278:8 5.31465e-05
-7 *32:10 *33:11 5.9765e-05
-8 *32:10 *278:8 5.9765e-05
-9 *5:130 *822:A1 1.7883e-05
-10 *5:136 *822:A1 0.000117376
-11 *31:7 *32:10 0
-*RES
-1 ext_trim[4] *32:10 11.5123
-2 *32:10 *822:A1 14.8434
-3 *32:10 *365:DIODE 9.24915
-*END
-
-*D_NET *33 0.00399046
-*CONN
-*P ext_trim[5] I
-*I *820:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[5] 0.00139766
-2 *820:A1 0.000210895
-3 *361:DIODE 0
-4 *33:11 0.00160856
-5 *820:A1 *265:13 0.00013389
-6 *33:11 *892:A 4.40158e-05
-7 *33:11 *893:A 0.000172799
-8 *33:11 *897:A 8.23577e-05
-9 *33:11 *901:TE 7.35211e-05
-10 *33:11 *34:15 0
-11 *33:11 *265:13 3.00829e-05
-12 *33:11 *272:12 0
-13 *33:11 *273:15 0.000141958
-14 *33:11 *278:8 3.49586e-05
-15 *13:12 *33:11 0
-16 *32:10 *33:11 5.9765e-05
-*RES
-1 ext_trim[5] *33:11 21.2947
-2 *33:11 *361:DIODE 9.24915
-3 *33:11 *820:A1 12.7456
-*END
-
-*D_NET *34 0.00462433
-*CONN
-*P ext_trim[6] I
-*I *818:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *752:A2 I *D sky130_fd_sc_hd__a21o_2
*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
-1 ext_trim[6] 0.0014565
-2 *818:A1 0.000129306
-3 *357:DIODE 0
-4 *34:15 0.00158581
-5 *818:A1 *822:A0 2.41827e-05
-6 *822:A1 *34:15 6.64392e-05
-7 *5:136 *34:15 1.5962e-05
-8 *5:142 *818:A1 0.000146858
-9 *5:142 *34:15 0.000508726
-10 *13:12 *34:15 0.000690549
-11 *33:11 *34:15 0
+1 ext_trim[3] 0.000313593
+2 *752:A2 0.000344991
+3 *357:DIODE 0.000632977
+4 *31:5 0.00129156
+5 *357:DIODE *751:B1 0
+6 *357:DIODE *751:B2 0
+7 *357:DIODE *888:TE_B 5.33005e-05
+8 *357:DIODE *889:TE_B 0
+9 *357:DIODE *895:TE_B 0
+10 *357:DIODE *286:6 0
+11 *752:A2 *752:B1 1.97087e-05
+12 *752:A2 *286:15 4.25594e-05
+13 *31:5 *32:11 0
+14 *352:DIODE *357:DIODE 0
+15 *355:DIODE *357:DIODE 0.00018616
+16 *23:8 *357:DIODE 0
+17 *30:8 *357:DIODE 8.23597e-06
+18 *30:8 *31:5 0
*RES
-1 ext_trim[6] *34:15 31.7414
-2 *34:15 *357:DIODE 9.24915
-3 *34:15 *818:A1 12.7697
+1 ext_trim[3] *31:5 0.924333
+2 *31:5 *357:DIODE 28.0582
+3 *31:5 *752:A2 18.4139
*END
-*D_NET *35 0.00662843
+*D_NET *32 0.00640304
+*CONN
+*P ext_trim[4] I
+*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *754:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[4] 0.000316471
+2 *359:DIODE 0
+3 *754:A2 0
+4 *32:27 0.00170926
+5 *32:11 0.00202573
+6 *32:11 *896:TE_B 0.000114315
+7 *32:11 *33:8 0
+8 *32:27 *635:A0 3.0285e-05
+9 *32:27 *635:A1 2.04825e-05
+10 *32:27 *641:B 4.02415e-05
+11 *32:27 *747:B1 7.53309e-05
+12 *32:27 *830:B 0
+13 *32:27 *852:D 6.6336e-05
+14 *32:27 *887:A 1.78147e-05
+15 *32:27 *900:Z 6.44379e-05
+16 *32:27 *942:A 0.000208451
+17 *32:27 *33:8 0
+18 *32:27 *37:10 5.49995e-05
+19 *32:27 *216:13 2.89114e-05
+20 *32:27 *226:8 6.01582e-05
+21 *32:27 *226:19 3.55407e-05
+22 *32:27 *279:16 0.000218726
+23 *32:27 *288:9 0.000124099
+24 *32:27 *291:5 9.41642e-05
+25 *32:27 *297:8 1.91512e-05
+26 *32:27 *298:8 0.000150618
+27 *32:27 *300:6 0
+28 *32:27 *333:246 0
+29 *349:DIODE *32:27 3.52206e-05
+30 *754:A1 *32:11 0.000134304
+31 *754:A1 *32:27 4.25684e-05
+32 *4:8 *32:27 0
+33 *5:10 *32:27 1.08601e-05
+34 *5:876 *32:27 0
+35 *5:888 *32:27 0
+36 *5:891 *32:27 0.000190805
+37 *5:928 *32:27 0.000388139
+38 *5:1001 *32:27 0.000104996
+39 *5:1008 *32:11 2.06178e-05
+40 *31:5 *32:11 0
+*RES
+1 ext_trim[4] *32:11 10.6449
+2 *32:11 *754:A2 9.3
+3 *32:11 *32:27 45.1607
+4 *32:27 *359:DIODE 9.3
+*END
+
+*D_NET *33 0.00579848
+*CONN
+*P ext_trim[5] I
+*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *757:A2 I *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 ext_trim[5] 0.00132236
+2 *361:DIODE 0.000567458
+3 *757:A2 7.6334e-05
+4 *33:8 0.00196615
+5 *361:DIODE *751:B1 9.60939e-05
+6 *361:DIODE *751:B2 1.18064e-05
+7 *361:DIODE *886:A 0.000244535
+8 *361:DIODE *886:TE 2.89016e-05
+9 *361:DIODE *891:A 0.000146474
+10 *757:A2 *891:A 6.05161e-06
+11 *33:8 *751:B2 7.83587e-05
+12 *33:8 *752:B1 0.000270348
+13 *33:8 *898:A 0.000181586
+14 *33:8 *900:Z 0.000200771
+15 *33:8 *901:TE 0.000352472
+16 *33:8 *903:TE_B 4.5258e-05
+17 *33:8 *34:10 0
+18 *33:8 *35:26 0
+19 *33:8 *37:10 0
+20 *33:8 *283:6 0.000127996
+21 *5:891 *361:DIODE 2.34204e-05
+22 *5:904 *757:A2 8.25843e-06
+23 *5:928 *361:DIODE 4.38511e-05
+24 *5:928 *33:8 0
+25 *32:11 *33:8 0
+26 *32:27 *33:8 0
+*RES
+1 ext_trim[5] *33:8 17.5467
+2 *33:8 *757:A2 14.7643
+3 *33:8 *361:DIODE 22.3536
+*END
+
+*D_NET *34 0.00447449
+*CONN
+*P ext_trim[6] I
+*I *758:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
+*CAP
+1 ext_trim[6] 0.000425959
+2 *758:A2 0.000979567
+3 *363:DIODE 0
+4 *34:10 0.00140553
+5 *758:A2 *35:10 6.87574e-05
+6 *758:A2 *36:10 0.00113685
+7 *758:A2 *305:7 1.50354e-05
+8 *34:10 *35:10 0
+9 *34:10 *36:10 9.329e-05
+10 *34:10 *285:18 3.52194e-05
+11 *364:DIODE *758:A2 4.63699e-05
+12 *5:949 *758:A2 3.04297e-05
+13 *13:9 *758:A2 0.000237483
+14 *33:8 *34:10 0
+*RES
+1 ext_trim[6] *34:10 13.0729
+2 *34:10 *363:DIODE 9.3
+3 *34:10 *758:A2 33.8
+*END
+
+*D_NET *35 0.00554438
*CONN
*P ext_trim[7] I
-*I *816:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *761:A2 I *D sky130_fd_sc_hd__a22o_2
*CAP
-1 ext_trim[7] 0.000929793
-2 *816:A1 0.000123374
-3 *353:DIODE 0
-4 *35:8 0.00105317
-5 *816:A1 *299:7 0
-6 *35:8 *814:A1 4.88955e-05
-7 *35:8 *894:A 0.000640564
-8 *35:8 *894:TE 0.000123176
-9 *35:8 *894:Z 1.41291e-05
-10 *35:8 *901:A 0.000593901
-11 *35:8 *901:TE 0.000177325
-12 *35:8 *36:8 0.00267511
-13 *816:S *816:A1 0.000159322
-14 *816:S *35:8 1.61631e-05
-15 *5:148 *35:8 2.01874e-05
-16 *5:154 *35:8 4.13614e-05
-17 *5:160 *35:8 1.19618e-05
+1 ext_trim[7] 0.000602995
+2 *365:DIODE 0
+3 *761:A2 0
+4 *35:26 0.00106713
+5 *35:10 0.00167013
+6 *35:10 *900:TE 5.52302e-05
+7 *35:10 *36:10 0.000318101
+8 *35:10 *285:18 0
+9 *35:26 *762:A2 2.66026e-05
+10 *35:26 *36:10 0
+11 *35:26 *37:10 0
+12 *35:26 *180:49 0.000119753
+13 *35:26 *285:17 0.00143005
+14 *35:26 *285:18 0
+15 *366:DIODE *35:26 0.000117836
+16 *758:A2 *35:10 6.87574e-05
+17 *15:10 *35:26 6.77902e-05
+18 *16:5 *35:26 0
+19 *33:8 *35:26 0
+20 *34:10 *35:10 0
*RES
-1 ext_trim[7] *35:8 47.5186
-2 *35:8 *353:DIODE 9.24915
-3 *35:8 *816:A1 12.7697
+1 ext_trim[7] *35:10 17.0179
+2 *35:10 *35:26 44.2143
+3 *35:26 *761:A2 9.3
+4 *35:10 *365:DIODE 9.3
*END
-*D_NET *36 0.00731653
+*D_NET *36 0.00612196
*CONN
*P ext_trim[8] I
-*I *814:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *762:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
-1 ext_trim[8] 0.00176986
-2 *814:A1 0.000317648
-3 *349:DIODE 0
-4 *36:8 0.00208751
-5 *814:A1 *37:13 8.01597e-05
-6 *814:A1 *279:13 0.00025718
-7 *36:8 *272:12 0
-8 *15:5 *814:A1 8.01597e-05
-9 *35:8 *814:A1 4.88955e-05
-10 *35:8 *36:8 0.00267511
+1 ext_trim[8] 0.000565756
+2 *762:A2 0.000892207
+3 *367:DIODE 0
+4 *36:10 0.00145796
+5 *762:A2 *758:B1 6.93626e-06
+6 *762:A2 *762:B1 5.54817e-06
+7 *762:A2 *762:B2 1.55917e-05
+8 *762:A2 *914:A 7.33323e-06
+9 *762:A2 *915:A 0.000361914
+10 *762:A2 *918:A 7.0324e-05
+11 *762:A2 *180:62 1.17968e-05
+12 *762:A2 *312:16 9.90367e-05
+13 *36:10 *900:A 0.000573791
+14 *36:10 *900:TE 2.44318e-05
+15 *36:10 *901:TE 6.57914e-05
+16 *36:10 *285:18 9.77884e-05
+17 *364:DIODE *36:10 2.42122e-05
+18 *366:DIODE *762:A2 8.41815e-05
+19 *758:A2 *36:10 0.00113685
+20 *762:A1 *762:A2 1.46576e-05
+21 *5:806 *762:A2 3.14003e-05
+22 *5:817 *762:A2 0.000136452
+23 *15:10 *762:A2 0
+24 *34:10 *36:10 9.329e-05
+25 *35:10 *36:10 0.000318101
+26 *35:26 *762:A2 2.66026e-05
+27 *35:26 *36:10 0
*RES
-1 ext_trim[8] *36:8 38.2297
-2 *36:8 *349:DIODE 9.24915
-3 *36:8 *814:A1 25.4074
+1 ext_trim[8] *36:10 22.7679
+2 *36:10 *367:DIODE 9.3
+3 *36:10 *762:A2 31.5902
*END
-*D_NET *37 0.00649371
+*D_NET *37 0.00579174
*CONN
*P ext_trim[9] I
-*I *812:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *764:A2 I *D sky130_fd_sc_hd__a22o_2
+*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
-1 ext_trim[9] 0.000652839
-2 *812:A1 8.41956e-06
-3 *345:DIODE 0.000177916
-4 *37:13 0.000845528
-5 *37:10 0.00131203
-6 *345:DIODE *761:B1 3.23231e-06
-7 *345:DIODE *812:A0 3.53803e-05
-8 *345:DIODE *914:A 0.000113968
-9 *345:DIODE *312:15 0.000258128
-10 *37:10 *272:9 0.00216356
-11 *37:13 *761:A3 5.92192e-05
-12 *37:13 *814:A0 0
-13 *37:13 *818:A0 1.84969e-05
-14 *37:13 *824:A0 1.66771e-05
-15 *37:13 *43:8 0.000169093
-16 *37:13 *44:57 6.8762e-05
-17 *810:A1 *345:DIODE 1.80257e-05
-18 *812:S *345:DIODE 0.000112112
-19 *814:A1 *37:13 8.01597e-05
-20 *5:163 *37:13 0.000130454
-21 *5:168 *37:13 0.000126335
-22 *13:12 *345:DIODE 5.83122e-05
-23 *13:12 *812:A1 6.50586e-05
-24 *15:5 *37:13 0
-25 *16:9 *37:13 0
+1 ext_trim[9] 0.00147399
+2 *764:A2 0.000389388
+3 *369:DIODE 0
+4 *37:10 0.00186338
+5 *764:A2 *758:B1 0.000127806
+6 *764:A2 *760:A 8.08608e-06
+7 *764:A2 *761:B2 1.71619e-05
+8 *764:A2 *762:B2 0.000121986
+9 *764:A2 *784:A 7.13226e-06
+10 *37:10 *758:B1 0.000946806
+11 *37:10 *899:A 0
+12 *37:10 *900:Z 6.83578e-05
+13 *37:10 *902:A 2.24718e-05
+14 *37:10 *905:A 1.16543e-05
+15 *37:10 *288:9 3.5707e-05
+16 *37:10 *305:7 7.92229e-06
+17 *762:A1 *764:A2 9.58632e-05
+18 *5:806 *764:A2 0.000236143
+19 *5:817 *764:A2 3.15653e-05
+20 *5:838 *764:A2 8.55493e-06
+21 *5:949 *37:10 0.000262764
+22 *13:9 *37:10 0
+23 *32:27 *37:10 5.49995e-05
+24 *33:8 *37:10 0
+25 *35:26 *37:10 0
*RES
-1 ext_trim[9] *37:10 35.5227
-2 *37:10 *37:13 21.2811
-3 *37:13 *345:DIODE 17.2065
-4 *37:13 *812:A1 9.97254
+1 ext_trim[9] *37:10 26.6429
+2 *37:10 *369:DIODE 9.3
+3 *37:10 *764:A2 25.3223
*END
-*D_NET *38 0.00120671
+*D_NET *38 0.00336691
*CONN
*P osc I
-*I *834:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *389:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *429:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *846:D I *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 osc 0.000275126
-2 *834:D 0.00024632
-3 *389:DIODE 0
-4 *38:8 0.000521446
-5 *834:D *835:D 5.88662e-05
-6 *834:D *835:RESET_B 0
-7 *834:D *835:CLK 8.62555e-05
-8 *38:8 *835:CLK 4.30017e-06
-9 *38:8 *90:142 2.41274e-06
-10 *38:8 *90:155 1.19856e-05
+1 osc 0.00015231
+2 *429:DIODE 0.000634536
+3 *846:D 0.000461812
+4 *38:7 0.00124866
+5 *429:DIODE *847:D 4.93979e-05
+6 *429:DIODE *216:94 0.000181796
+7 *846:D *216:94 0.0003638
+8 *38:7 *846:RESET_B 8.08022e-05
+9 *29:8 *429:DIODE 0.00012546
+10 *29:8 *38:7 6.8341e-05
*RES
-1 osc *38:8 9.67184
-2 *38:8 *389:DIODE 9.24915
-3 *38:8 *834:D 24.4053
+1 osc *38:7 7.75
+2 *38:7 *846:D 16.0723
+3 *38:7 *429:DIODE 20.8
*END
-*D_NET *39 0.00520631
+*D_NET *39 0.0027554
*CONN
*P resetb I
-*I *659:B I *D sky130_fd_sc_hd__nand2_2
-*I *325:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *405:DIODE I *D sky130_fd_sc_hd__diode_2
+*I *807:B I *D sky130_fd_sc_hd__nand2_2
*CAP
-1 resetb 0.00103223
-2 *659:B 8.7919e-05
-3 *325:DIODE 4.31257e-05
-4 *39:11 0.00116328
-5 *325:DIODE *643:A 2.15184e-05
-6 *659:B *643:A 6.50586e-05
-7 *39:11 *643:A 0.000193093
-8 *39:11 *658:A2 3.51288e-06
-9 *39:11 *662:A2 0.000160328
-10 *39:11 *662:B2 0.00068818
-11 *39:11 *675:B1 0.000160467
-12 *39:11 *690:A2 1.91391e-05
-13 *39:11 *691:B1 0.000163359
-14 *39:11 *692:B 0.000226867
-15 *39:11 *856:D 8.12737e-05
-16 *39:11 *126:65 0
-17 *39:11 *127:55 0.00035923
-18 *39:11 *127:90 0.00019097
-19 *39:11 *130:8 0.00025439
-20 *39:11 *213:16 2.7961e-05
-21 *39:11 *213:27 2.41999e-06
-22 *39:11 *214:8 0.000261984
-23 clockp[1] *39:11 0
-24 *4:10 *39:11 0
+1 resetb 0.000282652
+2 *405:DIODE 0.000475626
+3 *807:B 0
+4 *39:10 0.000758278
+5 *405:DIODE *638:A0 0.000186662
+6 *405:DIODE *638:A1 0.00010096
+7 *405:DIODE *672:B 0
+8 *405:DIODE *736:A 5.71472e-05
+9 *405:DIODE *736:B 6.13217e-05
+10 *405:DIODE *736:C 0.000215365
+11 *405:DIODE *850:D 6.9665e-05
+12 *405:DIODE *88:39 7.32955e-05
+13 *405:DIODE *333:15 4.35597e-05
+14 *405:DIODE *333:27 0.000250668
+15 *39:10 *672:B 3.69047e-06
+16 *404:DIODE *39:10 3.92757e-05
+17 *827:A *405:DIODE 9.66977e-05
+18 *827:A *39:10 4.05356e-05
*RES
-1 resetb *39:11 41.4086
-2 *39:11 *325:DIODE 9.97254
-3 *39:11 *659:B 11.6846
+1 resetb *39:10 9.03571
+2 *39:10 *807:B 9.3
+3 *39:10 *405:DIODE 23.4607
*END
-*D_NET *40 0.00433196
+*D_NET *40 0.000460613
*CONN
-*I *807:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *771:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *710:B I *D sky130_fd_sc_hd__or2_2
-*I *782:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *709:X O *D sky130_fd_sc_hd__or2_2
+*I *831:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *808:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *807:A1 0.000226888
-2 *771:C1 0
-3 *710:B 0
-4 *782:C1 8.69281e-05
-5 *709:X 0.000173936
-6 *40:27 0.000369777
-7 *40:12 0.000253808
-8 *40:9 0.000483705
-9 *782:C1 *710:A 6.08467e-05
-10 *782:C1 *782:B1 1.47978e-05
-11 *782:C1 *122:38 0.000202719
-12 *782:C1 *165:5 0.0001139
-13 *807:A1 *770:A 3.29488e-05
-14 *807:A1 *807:S 0.000328289
-15 *807:A1 *823:A0 8.70662e-06
-16 *807:A1 *827:A0 2.10004e-05
-17 *807:A1 *55:26 0.000159038
-18 *807:A1 *118:93 0.000151779
-19 *807:A1 *225:206 1.07248e-05
-20 *40:9 *709:B 0.000160617
-21 *40:9 *164:20 1.4091e-06
-22 *40:12 *781:B1 0.000236233
-23 *40:12 *809:A0 8.46774e-05
-24 *40:12 *118:46 0.000397564
-25 *40:12 *225:206 2.82537e-05
-26 *40:27 *118:46 9.04083e-05
-27 *40:27 *118:93 0.000224523
-28 *40:27 *225:206 0.000280491
-29 *375:DIODE *807:A1 9.59526e-05
-30 *5:184 *807:A1 3.20407e-05
+1 *831:RESET_B 5.90337e-05
+2 *808:Y 5.90337e-05
+3 *831:RESET_B *230:8 0.000135163
+4 *831:RESET_B *333:76 0.000186669
+5 *5:146 *831:RESET_B 2.0714e-05
*RES
-1 *709:X *40:9 16.7151
-2 *40:9 *40:12 11.7303
-3 *40:12 *782:C1 12.9385
-4 *40:12 *710:B 9.24915
-5 *40:9 *40:27 5.98452
-6 *40:27 *771:C1 13.7491
-7 *40:27 *807:A1 22.3968
+1 *808:Y *831:RESET_B 11.4161
*END
-*D_NET *41 0.00974574
+*D_NET *41 0.000576984
*CONN
-*I *711:C I *D sky130_fd_sc_hd__or3_2
-*I *830:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *710:X O *D sky130_fd_sc_hd__or2_2
+*I *832:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *809:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *711:C 0.000895933
-2 *830:A0 0.0023628
-3 *710:X 0.000215391
-4 *41:9 0.00347412
-5 *711:C *654:A 4.7798e-05
-6 *711:C *702:C 0.000905287
-7 *711:C *712:B2 0
-8 *711:C *712:C1 0
-9 *711:C *713:A1 7.73818e-05
-10 *711:C *723:B2 0
-11 *711:C *728:B1 9.60366e-05
-12 *711:C *734:B 0
-13 *711:C *744:A2 3.23117e-05
-14 *711:C *744:B2 0
-15 *711:C *118:14 6.03391e-06
-16 *711:C *176:16 5.0124e-05
-17 *711:C *177:8 0
-18 *711:C *180:10 0
-19 *711:C *204:200 3.78294e-05
-20 *711:C *226:11 7.88354e-05
-21 *830:A0 *708:A 0.000115934
-22 *830:A0 *720:B1 5.39635e-06
-23 *830:A0 *723:B2 4.82966e-05
-24 *830:A0 *730:B1 2.14842e-06
-25 *830:A0 *119:5 0.000161234
-26 *830:A0 *119:72 0.000313495
-27 *830:A0 *120:11 6.11359e-06
-28 *830:A0 *225:40 4.81992e-06
-29 *830:A0 *225:44 2.65832e-06
-30 *830:A0 *249:23 0.000241368
-31 *830:A0 *322:7 3.1759e-05
-32 *41:9 *717:A 0.000136533
-33 *41:9 *719:B2 1.25775e-05
-34 *41:9 *118:46 4.3116e-06
-35 *41:9 *160:6 6.51423e-05
-36 *41:9 *165:14 3.33235e-05
-37 *381:DIODE *830:A0 2.37478e-05
-38 *833:A1 *830:A0 0.000171273
-39 *833:A1 *41:9 8.57221e-05
-40 *11:10 *830:A0 0
+1 *832:RESET_B 8.86336e-05
+2 *809:Y 8.86336e-05
+3 *832:RESET_B *686:B 2.82057e-05
+4 *832:RESET_B *738:A0 0.000173528
+5 *832:RESET_B *333:64 0.000197984
*RES
-1 *710:X *41:9 24.2687
-2 *41:9 *830:A0 39.2344
-3 *41:9 *711:C 44.581
+1 *809:Y *832:RESET_B 21.3268
*END
-*D_NET *42 0.00387885
+*D_NET *42 0.000888139
*CONN
-*I *828:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *767:X O *D sky130_fd_sc_hd__o31a_2
+*I *833:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
+*I *810:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *828:A0 0.00160679
-2 *767:X 0.00160679
-3 *828:A0 *857:A 6.45772e-05
-4 *828:A0 *880:A 3.83429e-05
-5 *828:A0 *880:TE 0.000122378
-6 *828:A0 *880:Z 6.50586e-05
-7 *828:A0 *881:TE 7.16754e-05
-8 *828:A0 *237:5 0.000107629
-9 *828:A0 *250:9 0.000195621
+1 *833:RESET_B 0.00030388
+2 *810:Y 0.00030388
+3 *833:RESET_B *839:RESET_B 4.46186e-06
+4 *833:RESET_B *89:5 2.51837e-05
+5 *833:RESET_B *333:95 0.000136763
+6 *833:RESET_B *333:106 6.37408e-06
+7 *5:175 *833:RESET_B 0
+8 *5:191 *833:RESET_B 0.000101545
+9 *5:194 *833:RESET_B 6.05161e-06
+10 *29:8 *833:RESET_B 0
*RES
-1 *767:X *828:A0 46.8554
+1 *810:Y *833:RESET_B 24.2911
*END
-*D_NET *43 0.00651871
-*CONN
-*I *763:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *764:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *826:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *765:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *767:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *762:X O *D sky130_fd_sc_hd__o21a_2
-*CAP
-1 *763:B1 0.000113414
-2 *764:B1 0
-3 *826:A0 0.001098
-4 *765:B1 0
-5 *767:B1 0.000155836
-6 *762:X 0.000341819
-7 *43:27 0.00123867
-8 *43:24 0.000254076
-9 *43:10 0.000239384
-10 *43:8 0.000425366
-11 *763:B1 *763:A1 6.08467e-05
-12 *763:B1 *764:A1 0.000213725
-13 *763:B1 *222:79 1.65872e-05
-14 *767:B1 *765:A4 3.67708e-05
-15 *767:B1 *767:A2 2.692e-05
-16 *767:B1 *119:38 0
-17 *826:A0 *760:A2 2.41274e-06
-18 *826:A0 *822:A0 2.82537e-05
-19 *826:A0 *832:A0 0.000271044
-20 *826:A0 *886:A 7.24449e-05
-21 *826:A0 *890:TE_B 0.000132047
-22 *826:A0 *908:A 9.75148e-06
-23 *826:A0 *909:Z 7.23987e-05
-24 *826:A0 *911:TE_B 5.05707e-05
-25 *826:A0 *912:A 6.01398e-05
-26 *826:A0 *201:63 1.77537e-06
-27 *826:A0 *225:96 9.04224e-05
-28 *826:A0 *264:8 1.84293e-05
-29 *826:A0 *266:9 0.000123176
-30 *826:A0 *284:36 0
-31 *43:8 *761:A3 0.000143912
-32 *43:8 *765:A4 0.000163997
-33 *43:8 *812:A0 3.10924e-05
-34 *43:8 *814:A0 3.94229e-05
-35 *43:8 *44:40 8.21849e-06
-36 *43:8 *222:90 7.87416e-05
-37 *43:8 *286:13 6.50727e-05
-38 *43:10 *765:A4 0.000148144
-39 *43:10 *225:81 0
-40 *43:27 *764:A1 0.000171273
-41 *43:27 *764:A3 0.000111708
-42 *43:27 *764:A4 3.83336e-05
-43 *808:S *826:A0 0
-44 *832:A1 *826:A0 2.41483e-05
-45 *832:A1 *43:27 0.000171273
-46 *15:5 *826:A0 0
-47 *37:13 *43:8 0.000169093
-*RES
-1 *762:X *43:8 24.1943
-2 *43:8 *43:10 2.6625
-3 *43:10 *767:B1 17.6574
-4 *43:10 *765:B1 13.7491
-5 *43:8 *43:24 4.5
-6 *43:24 *43:27 5.18434
-7 *43:27 *826:A0 48.5025
-8 *43:27 *764:B1 9.24915
-9 *43:24 *763:B1 12.7697
-*END
-
-*D_NET *44 0.00965391
-*CONN
-*I *766:B I *D sky130_fd_sc_hd__or2_2
-*I *757:B I *D sky130_fd_sc_hd__or2_2
-*I *824:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *762:B1 I *D sky130_fd_sc_hd__o21a_2
-*I *761:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *760:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *759:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *756:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *766:B 7.79526e-05
-2 *757:B 0
-3 *824:A0 0.00174778
-4 *762:B1 2.09004e-05
-5 *761:B1 4.07872e-05
-6 *760:B1 6.00455e-05
-7 *759:B1 1.06342e-05
-8 *756:X 0.000183845
-9 *44:57 0.00191086
-10 *44:40 0.000258607
-11 *44:31 0.000199404
-12 *44:27 0.000212212
-13 *44:13 0.000204828
-14 *44:10 0.000307496
-15 *759:B1 *759:A3 3.25765e-05
-16 *760:B1 *760:A2 6.50586e-05
-17 *760:B1 *760:A3 0.00021569
-18 *761:B1 *761:A3 0.000110364
-19 *762:B1 *265:13 2.16355e-05
-20 *762:B1 *286:13 3.39346e-05
-21 *766:B *759:A3 6.08467e-05
-22 *766:B *810:A0 0.000273772
-23 *766:B *160:43 0.000124919
-24 *766:B *201:58 6.49003e-05
-25 *824:A0 *818:A0 3.27606e-06
-26 *824:A0 *913:A 0.000945655
-27 *44:10 *759:A3 0.000146646
-28 *44:10 *764:A2 2.53624e-06
-29 *44:10 *769:A3 0.000175689
-30 *44:10 *225:76 6.50727e-05
-31 *44:10 *225:135 0.000217587
-32 *44:13 *759:A3 0.000169343
-33 *44:13 *201:58 9.82896e-06
-34 *44:27 *759:A1 6.50586e-05
-35 *44:27 *759:A3 7.92757e-06
-36 *44:27 *760:A3 1.64789e-05
-37 *44:27 *761:A3 7.92757e-06
-38 *44:27 *814:A0 1.43983e-05
-39 *44:27 *201:58 0.000156343
-40 *44:27 *201:63 0.000262402
-41 *44:31 *760:A2 0
-42 *44:31 *760:A3 3.01723e-05
-43 *44:31 *761:A3 0.000118166
-44 *44:31 *201:63 1.96574e-05
-45 *44:40 *761:A3 0.000122083
-46 *44:40 *818:A0 0.000148129
-47 *44:40 *222:90 8.62625e-06
-48 *44:57 *761:A3 2.95757e-05
-49 *44:57 *818:A0 0.000193813
-50 *345:DIODE *761:B1 3.23231e-06
-51 *370:DIODE *824:A0 7.62627e-06
-52 *810:A1 *766:B 3.66916e-05
-53 *810:A1 *44:13 0.000107496
-54 *810:A1 *44:27 1.03434e-05
-55 *5:213 *44:10 0.000118171
-56 *5:222 *44:10 5.41227e-05
-57 *13:12 *761:B1 3.78939e-05
-58 *15:5 *44:40 9.2346e-06
-59 *37:13 *824:A0 1.66771e-05
-60 *37:13 *44:57 6.8762e-05
-61 *43:8 *44:40 8.21849e-06
-*RES
-1 *756:X *44:10 25.7876
-2 *44:10 *44:13 2.38721
-3 *44:13 *759:B1 10.1654
-4 *44:13 *44:27 6.19709
-5 *44:27 *44:31 2.41132
-6 *44:31 *760:B1 11.6364
-7 *44:31 *44:40 7.57775
-8 *44:40 *761:B1 15.5576
-9 *44:40 *44:57 4.64105
-10 *44:57 *762:B1 14.4725
-11 *44:57 *824:A0 25.2713
-12 *44:27 *757:B 9.24915
-13 *44:10 *766:B 14.0477
-*END
-
-*D_NET *45 0.00278603
-*CONN
-*I *822:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *764:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *822:A0 0.000647422
-2 *764:X 0.000647422
-3 *822:A0 *762:A1 3.3239e-06
-4 *822:A0 *820:A0 0.000134069
-5 *822:A0 *906:A 0.000114271
-6 *822:A0 *909:A 0
-7 *822:A0 *909:Z 0
-8 *822:A0 *911:TE_B 0.000307046
-9 *822:A0 *225:96 8.37812e-05
-10 *822:A0 *225:105 9.57557e-06
-11 *822:A0 *284:36 0
-12 *822:A0 *287:8 0.000110458
-13 *358:DIODE *822:A0 0.000318901
-14 *818:A1 *822:A0 2.41827e-05
-15 *826:A0 *822:A0 2.82537e-05
-16 *5:136 *822:A0 0.000117376
-17 *5:142 *822:A0 0.000239947
-18 *15:5 *822:A0 0
-*RES
-1 *764:X *822:A0 48.8934
-*END
-
-*D_NET *46 0.00199186
-*CONN
-*I *820:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *761:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *820:A0 0.000404918
-2 *761:X 0.000404918
-3 *820:A0 *907:A 7.41247e-05
-4 *820:A0 *908:TE 6.55651e-05
-5 *820:A0 *908:Z 2.16355e-05
-6 *820:A0 *909:Z 2.29319e-05
-7 *820:A0 *910:TE_B 5.48756e-05
-8 *820:A0 *265:13 0.000804128
-9 *822:A0 *820:A0 0.000134069
-10 *13:12 *820:A0 4.69326e-06
-*RES
-1 *761:X *820:A0 40.4812
-*END
-
-*D_NET *47 0.00173967
-*CONN
-*I *818:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *757:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *818:A0 0.000454615
-2 *757:X 0.000454615
-3 *818:A0 *915:TE 7.92757e-06
-4 *818:A0 *292:7 1.43698e-05
-5 *824:A0 *818:A0 3.27606e-06
-6 *15:5 *818:A0 0.000444423
-7 *37:13 *818:A0 1.84969e-05
-8 *44:40 *818:A0 0.000148129
-9 *44:57 *818:A0 0.000193813
-*RES
-1 *757:X *818:A0 39.4707
-*END
-
-*D_NET *48 0.00328028
-*CONN
-*I *816:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *768:X O *D sky130_fd_sc_hd__a31o_2
-*CAP
-1 *816:A0 0
-2 *768:X 0.00066989
-3 *48:8 0.00066989
-4 *48:8 *759:A1 0.000134323
-5 *48:8 *768:A3 0.000143017
-6 *48:8 *812:A0 0
-7 *48:8 *814:A0 0.000173261
-8 *48:8 *913:A 1.83188e-05
-9 *48:8 *164:42 0.000249293
-10 *831:A1 *48:8 3.67708e-05
-11 *5:163 *48:8 0
-12 *16:9 *48:8 0.00118551
-*RES
-1 *768:X *48:8 43.3353
-2 *48:8 *816:A0 9.24915
-*END
-
-*D_NET *49 0.00138372
-*CONN
-*I *814:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *759:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *814:A0 0.000487942
-2 *759:X 0.000487942
-3 *814:A0 *812:A0 9.24241e-05
-4 *814:A0 *913:A 4.34267e-05
-5 *5:163 *814:A0 1.18084e-05
-6 *5:168 *814:A0 3.30938e-05
-7 *37:13 *814:A0 0
-8 *43:8 *814:A0 3.94229e-05
-9 *44:27 *814:A0 1.43983e-05
-10 *48:8 *814:A0 0.000173261
-*RES
-1 *759:X *814:A0 40.8502
-*END
-
-*D_NET *50 0.000788803
-*CONN
-*I *812:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *763:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *812:A0 0.000166011
-2 *763:X 0.000166011
-3 *812:A0 *759:A1 3.04973e-05
-4 *812:A0 *761:A3 0.000123582
-5 *812:A0 *765:A4 7.50722e-05
-6 *812:A0 *164:42 3.67528e-06
-7 *345:DIODE *812:A0 3.53803e-05
-8 *814:A0 *812:A0 9.24241e-05
-9 *13:12 *812:A0 6.50586e-05
-10 *43:8 *812:A0 3.10924e-05
-11 *48:8 *812:A0 0
-*RES
-1 *763:X *812:A0 33.3757
-*END
-
-*D_NET *51 0.00076079
-*CONN
-*I *810:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *766:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *810:A0 0.000168514
-2 *766:X 0.000168514
-3 *810:A0 *160:35 4.31703e-05
-4 *810:A0 *160:43 4.17467e-05
-5 *379:DIODE *810:A0 6.50727e-05
-6 *766:B *810:A0 0.000273772
-*RES
-1 *766:X *810:A0 23.6585
-*END
-
-*D_NET *52 0.00121037
-*CONN
-*I *808:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *765:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *808:A0 0.000106616
-2 *765:X 0.000106616
-3 *808:A0 *225:81 6.92705e-05
-4 *808:A0 *258:9 0.000265631
-5 *337:DIODE *808:A0 0.000268812
-6 *385:DIODE *808:A0 0.000228593
-7 *808:S *808:A0 0.000164829
-*RES
-1 *765:X *808:A0 26.4315
-*END
-
-*D_NET *53 0.000992693
-*CONN
-*I *832:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *760:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *832:A0 0.000210889
-2 *760:X 0.000210889
-3 *832:A0 *284:36 0.000148129
-4 *826:A0 *832:A0 0.000271044
-5 *14:11 *832:A0 0.000151741
-*RES
-1 *760:X *832:A0 33.1026
-*END
-
-*D_NET *54 0.000294661
-*CONN
-*I *831:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *769:X O *D sky130_fd_sc_hd__a31o_2
-*CAP
-1 *831:A0 8.38228e-05
-2 *769:X 8.38228e-05
-3 *831:A0 *223:28 2.58616e-05
-4 *384:DIODE *831:A0 2.84758e-05
-5 *831:A1 *831:A0 3.00829e-05
-6 *5:351 *831:A0 4.25952e-05
-*RES
-1 *769:X *831:A0 21.4401
-*END
-
-*D_NET *55 0.00856284
-*CONN
-*I *807:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *770:B I *D sky130_fd_sc_hd__nand2_2
-*I *702:C I *D sky130_fd_sc_hd__or3_2
-*I *701:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *807:A0 0
-2 *770:B 0.000122366
-3 *702:C 0.000593428
-4 *701:X 0.00011261
-5 *55:26 0.000187338
-6 *55:23 0.000149287
-7 *55:22 0.000790066
-8 *55:7 0.00141179
-9 *702:C *702:A 6.92705e-05
-10 *702:C *719:A1 0.000130777
-11 *702:C *719:A2 2.5386e-05
-12 *702:C *719:B2 3.26195e-05
-13 *702:C *782:A1 0.000113773
-14 *702:C *782:B1 1.91391e-05
-15 *702:C *833:A0 0
-16 *702:C *848:D 0.000180515
-17 *702:C *118:14 0.000670858
-18 *702:C *118:24 6.50586e-05
-19 *702:C *119:72 0
-20 *702:C *122:38 4.18215e-06
-21 *702:C *124:18 0.000110583
-22 *702:C *124:28 2.41483e-05
-23 *702:C *160:6 0
-24 *702:C *165:14 0.000171474
-25 *702:C *166:38 0.000112897
-26 *702:C *250:20 2.22075e-05
-27 *55:7 *700:A 1.65872e-05
-28 *55:7 *701:C 0.000112361
-29 *55:22 *699:A 0.00040973
-30 *55:22 *774:A3 3.21402e-05
-31 *55:22 *774:A4 4.3116e-06
-32 *55:22 *774:B1 0.00023344
-33 *55:22 *776:B1 2.29287e-05
-34 *55:22 *817:A0 0
-35 *55:22 *65:10 0.000139435
-36 *55:22 *119:81 0
-37 *55:22 *122:47 2.53624e-06
-38 *55:22 *166:38 0.00010969
-39 *55:22 *222:59 0
-40 *55:22 *243:20 4.51619e-05
-41 *55:22 *250:20 8.89497e-06
-42 *360:DIODE *770:B 1.88422e-05
-43 *375:DIODE *770:B 0.000404059
-44 *375:DIODE *55:22 0.000315016
-45 *375:DIODE *55:26 2.23042e-05
-46 *711:C *702:C 0.000905287
-47 *807:A1 *55:26 0.000159038
-48 *5:184 *55:26 4.04576e-06
-49 *5:190 *55:22 0.000113374
-50 *5:279 *770:B 8.57967e-05
-51 *5:279 *55:26 0.000210531
-52 *5:350 *702:C 1.21602e-05
-53 *21:22 *55:22 5.53934e-05
-*RES
-1 *701:X *55:7 15.5817
-2 *55:7 *702:C 40.5341
-3 *55:7 *55:22 34.0266
-4 *55:22 *55:23 104.301
-5 *55:23 *55:26 12.191
-6 *55:26 *770:B 14.4335
-7 *55:26 *807:A0 9.24915
-*END
-
-*D_NET *56 0.00054619
-*CONN
-*I *829:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *776:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *829:A0 5.37936e-05
-2 *776:X 5.37936e-05
-3 *829:A0 *775:D 0.00011818
-4 *829:A0 *201:11 0.000109364
-5 *829:A0 *222:77 3.71142e-05
-6 *829:A1 *829:A0 0.000110297
-7 *829:S *829:A0 6.36477e-05
-*RES
-1 *776:X *829:A0 21.9947
-*END
-
-*D_NET *57 0.00203173
-*CONN
-*I *827:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *774:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *773:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *827:A0 0.000258712
-2 *774:B1 0.000341113
-3 *773:X 0
-4 *57:4 0.000599825
-5 *774:B1 *817:A0 0
-6 *774:B1 *160:35 6.50586e-05
-7 *774:B1 *312:15 0.000264586
-8 *827:A0 *922:A 7.136e-05
-9 *827:A0 *926:A 6.27718e-05
-10 *827:A0 *293:11 5.04829e-06
-11 *375:DIODE *827:A0 2.60631e-05
-12 *807:A1 *827:A0 2.10004e-05
-13 *5:184 *827:A0 6.01618e-05
-14 *5:190 *827:A0 2.2594e-05
-15 *55:22 *774:B1 0.00023344
-*RES
-1 *773:X *57:4 9.24915
-2 *57:4 *774:B1 26.2379
-3 *57:4 *827:A0 24.8233
-*END
-
-*D_NET *58 0.000577843
-*CONN
-*I *777:A I *D sky130_fd_sc_hd__and2_2
-*I *807:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *777:A 0.000203241
-2 *807:X 0.000203241
-3 *777:A *777:B 4.00438e-05
-4 *777:A *823:A0 2.54919e-05
-5 *777:A *62:7 6.50727e-05
-6 *375:DIODE *777:A 4.0752e-05
-*RES
-1 *807:X *777:A 31.5781
-*END
-
-*D_NET *59 0.00175536
-*CONN
-*I *825:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *777:X O *D sky130_fd_sc_hd__and2_2
-*CAP
-1 *825:A0 0.000723797
-2 *777:X 0.000723797
-3 *825:A0 *770:A 6.18342e-05
-4 *825:A0 *773:B1 0.000113968
-5 *825:A0 *929:TE 0
-6 *825:A0 *930:Z 6.72449e-05
-7 *825:A0 *936:TE 5.67857e-05
-8 *825:A0 *312:15 7.92757e-06
-9 *5:293 *825:A0 0
-*RES
-1 *777:X *825:A0 42.9321
-*END
-
-*D_NET *60 0.00265941
-*CONN
-*I *823:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *778:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *823:A0 0.000818701
-2 *778:X 0.000818701
-3 *823:A0 *773:A2 0.000179964
-4 *823:A0 *777:B 0
-5 *823:A0 *807:S 0.000330147
-6 *823:A0 *166:52 8.86331e-05
-7 *823:A0 *201:27 0.000158371
-8 *823:A0 *225:206 0.000137128
-9 *777:A *823:A0 2.54919e-05
-10 *807:A1 *823:A0 8.70662e-06
-11 *5:179 *823:A0 2.02222e-05
-12 *5:184 *823:A0 1.21985e-05
-13 *5:293 *823:A0 0
-14 *24:14 *823:A0 6.1151e-05
-*RES
-1 *778:X *823:A0 47.3577
-*END
-
-*D_NET *61 0.000555729
-*CONN
-*I *821:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *779:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *821:A0 0.000116905
-2 *779:Y 0.000116905
-3 *821:A0 *779:A 0.000321919
-*RES
-1 *779:Y *821:A0 21.9947
-*END
-
-*D_NET *62 0.00159147
-*CONN
-*I *819:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *777:B I *D sky130_fd_sc_hd__and2_2
-*I *770:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *819:A0 0.000235577
-2 *777:B 0.000116264
-3 *770:Y 0.000111102
-4 *62:7 0.000462942
-5 *777:B *312:15 7.25274e-05
-6 *375:DIODE *62:7 0.000304887
-7 *777:A *777:B 4.00438e-05
-8 *777:A *62:7 6.50727e-05
-9 *819:A1 *819:A0 4.89251e-05
-10 *823:A0 *777:B 0
-11 *5:284 *819:A0 6.93171e-05
-12 *5:324 *777:B 3.07658e-05
-13 *5:324 *819:A0 3.40414e-05
-*RES
-1 *770:Y *62:7 17.2456
-2 *62:7 *777:B 16.8269
-3 *62:7 *819:A0 18.6595
-*END
-
-*D_NET *63 0.00210329
-*CONN
-*I *817:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *781:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *817:A0 0.000831209
-2 *781:X 0.000831209
-3 *817:A0 *775:D 2.21133e-05
-4 *817:A0 *776:B1 0
-5 *817:A0 *65:10 0
-6 *817:A0 *286:13 0.000100687
-7 *774:B1 *817:A0 0
-8 *829:A1 *817:A0 0
-9 *5:172 *817:A0 2.41961e-05
-10 *5:179 *817:A0 0.00016386
-11 *5:190 *817:A0 0
-12 *5:195 *817:A0 0.000103493
-13 *5:200 *817:A0 2.652e-05
-14 *17:9 *817:A0 0
-15 *55:22 *817:A0 0
-*RES
-1 *781:X *817:A0 45.5602
-*END
-
-*D_NET *64 0.00155795
-*CONN
-*I *773:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *815:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *771:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *773:C1 0.000150203
-2 *815:A0 8.79775e-05
-3 *771:X 0
-4 *64:5 0.00023818
-5 *773:C1 *773:A3 3.32802e-05
-6 *773:C1 *773:B1 5.10036e-05
-7 *815:A0 *222:50 6.50586e-05
-8 *815:A0 *222:59 2.22198e-05
-9 *5:324 *773:C1 2.82537e-05
-10 *5:329 *773:C1 5.22654e-06
-11 *5:329 *815:A0 0.000399507
-12 *21:16 *815:A0 0.000477044
-*RES
-1 *771:X *64:5 13.7491
-2 *64:5 *815:A0 19.9109
-3 *64:5 *773:C1 18.1559
-*END
-
-*D_NET *65 0.003599
-*CONN
-*I *778:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *813:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *776:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *774:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *778:B1 0
-2 *813:A0 0.000824513
-3 *776:C1 0
-4 *774:X 0.00015966
-5 *65:15 0.000929155
-6 *65:10 0.000264302
-7 *813:A0 *770:A 0
-8 *813:A0 *864:A 2.87136e-06
-9 *813:A0 *166:52 1.5714e-05
-10 *813:A0 *225:206 1.9101e-05
-11 *813:A0 *243:20 0.000123896
-12 *65:10 *776:B1 5.22654e-06
-13 *65:10 *243:20 0.000118166
-14 *65:15 *776:A3 0.000107496
-15 *65:15 *118:49 0.000351426
-16 *65:15 *118:73 0.000158371
-17 *65:15 *121:13 0.000379666
-18 *817:A0 *65:10 0
-19 *25:8 *813:A0 0
-20 *55:22 *65:10 0.000139435
-*RES
-1 *774:X *65:10 22.1896
-2 *65:10 *776:C1 9.24915
-3 *65:10 *65:15 5.71483
-4 *65:15 *813:A0 27.8591
-5 *65:15 *778:B1 9.24915
-*END
-
-*D_NET *66 0.000317636
-*CONN
-*I *811:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *804:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *811:A0 6.50246e-05
-2 *804:X 6.50246e-05
-3 *343:DIODE *811:A0 0.000151741
-4 *5:392 *811:A0 3.58457e-05
-*RES
-1 *804:X *811:A0 30.1608
-*END
-
-*D_NET *67 0.00472435
-*CONN
-*I *781:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *809:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *780:X O *D sky130_fd_sc_hd__and3_2
-*CAP
-1 *781:B1 0.000295656
-2 *809:A0 0.000583021
-3 *780:X 0
-4 *67:5 0.000878677
-5 *781:B1 *709:B 2.16355e-05
-6 *781:B1 *776:B1 4.30017e-06
-7 *781:B1 *780:A 0.000101262
-8 *781:B1 *781:A2 1.64789e-05
-9 *781:B1 *781:A3 0.000364342
-10 *781:B1 *164:28 6.89789e-05
-11 *781:B1 *225:206 0.00014533
-12 *809:A0 *652:A 0.000373061
-13 *809:A0 *719:B1 5.04829e-06
-14 *809:A0 *737:A0 6.08467e-05
-15 *809:A0 *737:S 4.17142e-05
-16 *809:A0 *780:A 1.03594e-05
-17 *809:A0 *872:A 0.000222979
-18 *809:A0 *874:A 0.000481241
-19 *809:A0 *118:14 0.000101118
-20 *809:A0 *118:46 0.000241585
-21 *809:A0 *122:7 7.98425e-06
-22 *809:A0 *225:33 7.5018e-05
-23 *809:A0 *225:187 2.80136e-05
-24 *340:DIODE *809:A0 6.46921e-05
-25 *5:387 *809:A0 4.45999e-05
-26 *29:8 *809:A0 0.000165495
-27 *40:12 *781:B1 0.000236233
-28 *40:12 *809:A0 8.46774e-05
-*RES
-1 *780:X *67:5 13.7491
-2 *67:5 *809:A0 35.1499
-3 *67:5 *781:B1 24.9208
-*END
-
-*D_NET *68 0.000323665
-*CONN
-*I *833:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *782:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *833:A0 7.22498e-05
-2 *782:X 7.22498e-05
-3 *833:A0 *782:A1 0
-4 *833:A0 *119:72 0.000147848
-5 *702:C *833:A0 0
-6 *5:350 *833:A0 3.13173e-05
-*RES
-1 *782:X *833:A0 30.1608
-*END
-
-*D_NET *69 0.00115379
+*D_NET *43 0.00056667
*CONN
*I *834:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *803:X O *D sky130_fd_sc_hd__buf_1
+*I *811:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *834:RESET_B 0.000227635
-2 *803:X 0.000227635
-3 *834:RESET_B *666:A1 3.20069e-06
-4 *834:RESET_B *666:B2 0
-5 *834:RESET_B *835:D 2.73369e-05
-6 *834:RESET_B *835:CLK 7.15593e-05
-7 *834:RESET_B *90:155 0.000156823
-8 *834:RESET_B *90:167 0.00043038
-9 *834:RESET_B *204:213 9.22013e-06
+1 *834:RESET_B 0.000143815
+2 *811:Y 0.000143815
+3 *834:RESET_B *834:D 0
+4 *834:RESET_B *217:64 3.34366e-05
+5 *811:A *834:RESET_B 0.000144773
+6 *5:88 *834:RESET_B 0.000100831
*RES
-1 *803:X *834:RESET_B 26.9573
+1 *811:Y *834:RESET_B 20.9964
*END
-*D_NET *70 0.000664113
+*D_NET *44 0.000476808
*CONN
*I *835:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *802:X O *D sky130_fd_sc_hd__buf_1
+*I *812:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *835:RESET_B 0.000327575
-2 *802:X 0.000327575
-3 *835:RESET_B *835:D 0
-4 *835:RESET_B *90:167 0
-5 *835:RESET_B *126:11 8.96314e-06
-6 *834:D *835:RESET_B 0
+1 *835:RESET_B 0.000189988
+2 *812:Y 0.000189988
+3 *835:RESET_B *735:A2 0
+4 *835:RESET_B *835:D 4.46186e-06
+5 *835:RESET_B *216:83 0
+6 *835:RESET_B *216:88 0
+7 *5:105 *835:RESET_B 9.23695e-05
*RES
-1 *802:X *835:RESET_B 25.3723
+1 *812:Y *835:RESET_B 22.0411
*END
-*D_NET *71 0.000272422
+*D_NET *45 0.000984019
*CONN
*I *836:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *801:X O *D sky130_fd_sc_hd__buf_1
+*I *813:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *836:RESET_B 0.000136211
-2 *801:X 0.000136211
+1 *836:RESET_B 0.00037216
+2 *813:Y 0.00037216
+3 *836:RESET_B *836:D 0.00014211
+4 *836:RESET_B *839:CLK 1.74352e-05
+5 *836:RESET_B *216:175 4.6096e-05
+6 *836:RESET_B *333:55 2.07232e-05
+7 *836:RESET_B *333:64 1.33343e-05
*RES
-1 *801:X *836:RESET_B 20.8045
+1 *813:Y *836:RESET_B 14.4339
*END
-*D_NET *72 0.0010343
+*D_NET *46 0.000561828
*CONN
*I *837:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *800:X O *D sky130_fd_sc_hd__buf_1
+*I *814:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *837:RESET_B 0.000263792
-2 *800:X 0.000263792
-3 *837:RESET_B *750:C 9.43419e-05
-4 *837:RESET_B *837:CLK 5.52256e-05
-5 *837:RESET_B *127:44 0.000206696
-6 *837:RESET_B *155:20 0.000150455
+1 *837:RESET_B 6.50515e-05
+2 *814:Y 6.50515e-05
+3 *837:RESET_B *814:B 0.000228876
+4 *837:RESET_B *216:64 4.87811e-05
+5 *837:RESET_B *220:8 0.000141941
+6 *5:590 *837:RESET_B 1.21273e-05
*RES
-1 *800:X *837:RESET_B 24.2166
+1 *814:Y *837:RESET_B 11.8268
*END
-*D_NET *73 0.000415552
+*D_NET *47 0.000846504
*CONN
*I *838:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *799:X O *D sky130_fd_sc_hd__buf_1
+*I *815:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *838:RESET_B 0.000148606
-2 *799:X 0.000148606
-3 *838:RESET_B *838:D 0
-4 *838:RESET_B *126:30 0
-5 *838:RESET_B *206:11 0.000100489
-6 *838:RESET_B *206:33 1.78514e-05
+1 *838:RESET_B 0.000244412
+2 *815:Y 0.000244412
+3 *838:RESET_B *941:A 0
+4 *838:RESET_B *216:46 0.000202835
+5 *838:RESET_B *221:10 0
+6 *838:RESET_B *221:43 5.33005e-05
+7 *5:685 *838:RESET_B 0.000101545
*RES
-1 *799:X *838:RESET_B 22.0503
+1 *815:Y *838:RESET_B 23.2107
*END
-*D_NET *74 0.00098068
+*D_NET *48 0.00076038
*CONN
*I *839:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *798:X O *D sky130_fd_sc_hd__buf_1
+*I *816:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *839:RESET_B 0.00032432
-2 *798:X 0.00032432
-3 *839:RESET_B *745:A 0.000122378
-4 *839:RESET_B *745:C 2.42273e-05
-5 *839:RESET_B *753:B1 1.1246e-05
-6 *839:RESET_B *195:13 0.00017419
+1 *839:RESET_B 0.000220208
+2 *816:Y 0.000220208
+3 *839:RESET_B *821:B 0.000140933
+4 *839:RESET_B *238:10 2.52688e-05
+5 *833:RESET_B *839:RESET_B 4.46186e-06
+6 *5:191 *839:RESET_B 1.91512e-05
+7 *5:222 *839:RESET_B 5.53406e-05
+8 *5:223 *839:RESET_B 4.58976e-05
+9 *5:227 *839:RESET_B 2.89114e-05
+10 *29:8 *839:RESET_B 0
*RES
-1 *798:X *839:RESET_B 25.7904
+1 *816:Y *839:RESET_B 23.3982
*END
-*D_NET *75 0.000366663
+*D_NET *49 0.000914314
*CONN
*I *840:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *797:X O *D sky130_fd_sc_hd__buf_1
+*I *817:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *840:RESET_B 0.000134963
-2 *797:X 0.000134963
-3 *840:RESET_B *90:82 3.00829e-05
-4 *840:RESET_B *156:10 0
-5 *840:RESET_B *204:47 6.66538e-05
+1 *840:RESET_B 0.000291724
+2 *817:Y 0.000291724
+3 *840:RESET_B *837:D 0.00022197
+4 *840:RESET_B *840:D 0.000108895
*RES
-1 *797:X *840:RESET_B 21.635
+1 *817:Y *840:RESET_B 14.0232
*END
-*D_NET *76 0.00036181
+*D_NET *50 0.00144475
*CONN
*I *841:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *796:X O *D sky130_fd_sc_hd__buf_1
+*I *818:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *841:RESET_B 9.45596e-05
-2 *796:X 9.45596e-05
-3 *841:RESET_B *90:24 0.000172691
-4 *841:RESET_B *204:11 0
+1 *841:RESET_B 0.00028397
+2 *818:Y 0.00028397
+3 *841:RESET_B *804:C 0.000100831
+4 *841:RESET_B *818:B 0.000136682
+5 *841:RESET_B *844:RESET_B 8.54468e-05
+6 *841:RESET_B *233:8 2.28709e-05
+7 *841:RESET_B *234:8 9.41642e-05
+8 *841:RESET_B *333:144 0.000381867
+9 *5:504 *841:RESET_B 5.49544e-05
+10 *29:8 *841:RESET_B 0
*RES
-1 *796:X *841:RESET_B 21.3269
+1 *818:Y *841:RESET_B 25.9339
*END
-*D_NET *77 0.000302782
+*D_NET *51 0.000340667
*CONN
*I *842:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *795:X O *D sky130_fd_sc_hd__buf_1
+*I *819:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *842:RESET_B 0.000117639
-2 *795:X 0.000117639
-3 *842:RESET_B *785:A 2.14065e-05
-4 *842:RESET_B *90:98 2.58757e-05
-5 *842:RESET_B *90:110 2.02222e-05
+1 *842:RESET_B 5.82277e-05
+2 *819:Y 5.82277e-05
+3 *842:RESET_B *804:C 0.000112106
+4 *842:RESET_B *234:8 0.000112106
*RES
-1 *795:X *842:RESET_B 20.8045
+1 *819:Y *842:RESET_B 20.8536
*END
-*D_NET *78 0.000494724
+*D_NET *52 0.000727886
*CONN
*I *843:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *794:X O *D sky130_fd_sc_hd__buf_1
+*I *820:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *843:RESET_B 0.000204089
-2 *794:X 0.000204089
-3 *843:RESET_B *794:A 2.41274e-06
-4 *843:RESET_B *801:A 1.43983e-05
-5 *843:RESET_B *90:194 6.97354e-05
-6 *28:10 *843:RESET_B 0
+1 *843:RESET_B 0.000158296
+2 *820:Y 0.000158296
+3 *843:RESET_B *804:C 0.00012401
+4 *843:RESET_B *819:B 5.52302e-05
+5 *843:RESET_B *235:5 1.69961e-05
+6 *843:RESET_B *235:9 2.81497e-05
+7 *843:RESET_B *263:21 9.60875e-05
+8 *843:RESET_B *333:162 1.52978e-05
+9 *843:RESET_B *333:177 4.66203e-05
+10 *5:261 *843:RESET_B 2.89016e-05
*RES
-1 *794:X *843:RESET_B 22.6049
+1 *820:Y *843:RESET_B 22.1839
*END
-*D_NET *79 0.000335465
+*D_NET *53 0.00111161
*CONN
*I *844:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *793:X O *D sky130_fd_sc_hd__buf_1
+*I *821:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *844:RESET_B 7.78235e-05
-2 *793:X 7.78235e-05
-3 *844:RESET_B *743:B1 3.31733e-05
-4 *844:RESET_B *845:CLK 0
-5 *844:RESET_B *157:105 0.000146645
+1 *844:RESET_B 0.000334397
+2 *821:Y 0.000334397
+3 *844:RESET_B *804:B 6.81335e-05
+4 *844:RESET_B *236:10 6.3622e-05
+5 *841:RESET_B *844:RESET_B 8.54468e-05
+6 *5:235 *844:RESET_B 0.000225609
+7 *29:8 *844:RESET_B 0
*RES
-1 *793:X *844:RESET_B 20.9116
+1 *821:Y *844:RESET_B 26.0232
*END
-*D_NET *80 0.000222582
+*D_NET *54 0.00022721
*CONN
*I *845:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *792:X O *D sky130_fd_sc_hd__buf_1
+*I *822:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *845:RESET_B 6.51276e-05
-2 *792:X 6.51276e-05
-3 *845:RESET_B *792:A 9.23267e-05
-4 *27:8 *845:RESET_B 0
+1 *845:RESET_B 3.32706e-05
+2 *822:Y 3.32706e-05
+3 *845:RESET_B *333:235 5.56943e-05
+4 *822:A *845:RESET_B 0.000104974
*RES
-1 *792:X *845:RESET_B 20.0811
+1 *822:Y *845:RESET_B 19.6393
*END
-*D_NET *81 0.000160888
+*D_NET *55 0.000445607
*CONN
*I *846:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *791:X O *D sky130_fd_sc_hd__buf_1
+*I *823:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *846:RESET_B 6.53623e-05
-2 *791:X 6.53623e-05
-3 *846:RESET_B *846:CLK 3.01634e-05
+1 *846:RESET_B 0.000139449
+2 *823:Y 0.000139449
+3 *846:RESET_B *216:94 2.51837e-05
+4 *29:8 *846:RESET_B 6.07232e-05
+5 *38:7 *846:RESET_B 8.08022e-05
*RES
-1 *791:X *846:RESET_B 20.0811
+1 *823:Y *846:RESET_B 21.3268
*END
-*D_NET *82 0.000623549
+*D_NET *56 0.00124682
*CONN
*I *847:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *790:X O *D sky130_fd_sc_hd__buf_1
+*I *824:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *847:RESET_B 0.000221902
-2 *790:X 0.000221902
-3 *847:RESET_B *847:D 9.90116e-05
-4 *847:RESET_B *204:40 5.56461e-05
-5 *847:RESET_B *204:47 2.50864e-05
+1 *847:RESET_B 0.000555311
+2 *824:Y 0.000555311
+3 *847:RESET_B *633:B 0
+4 *847:RESET_B *848:RESET_B 0.000136196
+5 *847:RESET_B *228:6 0
+6 *847:RESET_B *228:15 0
+7 *847:RESET_B *229:8 0
+8 *847:RESET_B *333:95 0
+9 *847:RESET_B *333:106 0
*RES
-1 *790:X *847:RESET_B 15.4583
+1 *824:Y *847:RESET_B 28.1393
*END
-*D_NET *83 0.000212944
+*D_NET *57 0.00122636
*CONN
*I *848:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *789:X O *D sky130_fd_sc_hd__buf_1
+*I *825:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *848:RESET_B 5.80502e-05
-2 *789:X 5.80502e-05
-3 *848:RESET_B *90:203 9.68437e-05
-4 *28:10 *848:RESET_B 0
+1 *848:RESET_B 0.00047513
+2 *825:Y 0.00047513
+3 *848:RESET_B *88:5 0.000139907
+4 *848:RESET_B *333:95 0
+5 *847:RESET_B *848:RESET_B 0.000136196
*RES
-1 *789:X *848:RESET_B 20.0811
+1 *825:Y *848:RESET_B 26.8536
*END
-*D_NET *84 0.000506038
+*D_NET *58 0.000372377
*CONN
*I *849:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *788:X O *D sky130_fd_sc_hd__buf_1
+*I *826:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *849:RESET_B 0.000163462
-2 *788:X 0.000163462
-3 *849:RESET_B *663:A2 5.25402e-05
-4 *849:RESET_B *667:B 7.58595e-05
-5 *849:RESET_B *90:113 0
-6 *849:RESET_B *90:142 5.0715e-05
+1 *849:RESET_B 0.000105102
+2 *826:Y 0.000105102
+3 *849:RESET_B *826:B 6.97972e-05
+4 *849:RESET_B *222:10 9.23759e-05
*RES
-1 *788:X *849:RESET_B 22.6049
+1 *826:Y *849:RESET_B 20.8268
*END
-*D_NET *85 0.000253582
+*D_NET *59 0.000405093
*CONN
*I *850:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *787:X O *D sky130_fd_sc_hd__buf_1
+*I *827:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *850:RESET_B 4.81064e-05
-2 *787:X 4.81064e-05
-3 *850:RESET_B *666:A1 7.86847e-05
-4 *850:RESET_B *666:B2 7.86847e-05
+1 *850:RESET_B 0.000142078
+2 *827:Y 0.000142078
+3 *850:RESET_B *638:A1 0
+4 *850:RESET_B *827:B 3.82055e-05
+5 *850:RESET_B *88:39 8.2731e-05
+6 *11:8 *850:RESET_B 0
*RES
-1 *787:X *850:RESET_B 20.1602
+1 *827:Y *850:RESET_B 21.4607
*END
-*D_NET *86 0.000622858
+*D_NET *60 0.00030159
*CONN
*I *851:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *786:X O *D sky130_fd_sc_hd__buf_1
+*I *828:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *851:RESET_B 0.000273885
-2 *786:X 0.000273885
-3 *851:RESET_B *90:179 0
-4 *851:RESET_B *204:209 0
-5 *851:RESET_B *204:211 0
-6 *851:RESET_B *204:213 0
-7 *851:RESET_B *220:9 7.50872e-05
+1 *851:RESET_B 8.92409e-05
+2 *828:Y 8.92409e-05
+3 *851:RESET_B *104:8 8.45518e-05
+4 *851:RESET_B *224:8 3.85567e-05
*RES
-1 *786:X *851:RESET_B 24.5968
+1 *828:Y *851:RESET_B 20.55
*END
-*D_NET *87 0.000432583
+*D_NET *61 0.000713802
*CONN
*I *852:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *785:X O *D sky130_fd_sc_hd__buf_1
+*I *829:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *852:RESET_B 0.000165832
-2 *785:X 0.000165832
-3 *852:RESET_B *664:A2 0
-4 *852:RESET_B *712:A2 6.50727e-05
-5 *852:RESET_B *842:D 0
-6 *852:RESET_B *210:10 3.58457e-05
+1 *852:RESET_B 0.000203819
+2 *829:Y 0.000203819
+3 *852:RESET_B *838:CLK 8.49318e-05
+4 *829:A *852:RESET_B 7.92378e-05
+5 *5:27 *852:RESET_B 0.000123714
+6 *11:8 *852:RESET_B 1.82809e-05
*RES
-1 *785:X *852:RESET_B 22.0503
+1 *829:Y *852:RESET_B 22.425
*END
-*D_NET *88 0.000415068
+*D_NET *62 0.000952119
*CONN
*I *853:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *784:X O *D sky130_fd_sc_hd__buf_1
+*I *830:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
-1 *853:RESET_B 0.000173604
-2 *784:X 0.000173604
-3 *853:RESET_B *663:A1 6.78596e-05
-4 *853:RESET_B *90:110 0
-5 *853:RESET_B *211:8 0
+1 *853:RESET_B 0.000191821
+2 *830:Y 0.000191821
+3 *853:RESET_B *635:S 9.10431e-06
+4 *853:RESET_B *642:B 5.52238e-05
+5 *853:RESET_B *852:CLK 0.000169804
+6 *4:8 *853:RESET_B 0.000334344
*RES
-1 *784:X *853:RESET_B 22.0503
+1 *830:Y *853:RESET_B 23.2107
*END
-*D_NET *89 0.000410107
+*D_NET *63 0.000329761
*CONN
-*I *854:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *783:X O *D sky130_fd_sc_hd__buf_1
+*I *831:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *739:X O *D sky130_fd_sc_hd__or2_2
*CAP
-1 *854:RESET_B 0.000127443
-2 *783:X 0.000127443
-3 *854:RESET_B *90:51 7.93468e-05
-4 clockp[1] *854:RESET_B 7.58739e-05
+1 *831:D 0.000101211
+2 *739:X 0.000101211
+3 *831:D *739:A 8.15939e-05
+4 *5:108 *831:D 4.57445e-05
*RES
-1 *783:X *854:RESET_B 22.0503
+1 *739:X *831:D 30.0821
*END
-*D_NET *90 0.0279793
+*D_NET *64 0.000467241
*CONN
-*I *790:A I *D sky130_fd_sc_hd__buf_1
-*I *791:A I *D sky130_fd_sc_hd__buf_1
-*I *796:A I *D sky130_fd_sc_hd__buf_1
-*I *795:A I *D sky130_fd_sc_hd__buf_1
-*I *784:A I *D sky130_fd_sc_hd__buf_1
-*I *802:A I *D sky130_fd_sc_hd__buf_1
-*I *792:A I *D sky130_fd_sc_hd__buf_1
-*I *793:A I *D sky130_fd_sc_hd__buf_1
-*I *789:A I *D sky130_fd_sc_hd__buf_1
-*I *801:A I *D sky130_fd_sc_hd__buf_1
-*I *794:A I *D sky130_fd_sc_hd__buf_1
-*I *786:A I *D sky130_fd_sc_hd__buf_1
-*I *787:A I *D sky130_fd_sc_hd__buf_1
-*I *803:A I *D sky130_fd_sc_hd__buf_1
-*I *788:A I *D sky130_fd_sc_hd__buf_1
-*I *799:A I *D sky130_fd_sc_hd__buf_1
-*I *798:A I *D sky130_fd_sc_hd__buf_1
-*I *785:A I *D sky130_fd_sc_hd__buf_1
-*I *797:A I *D sky130_fd_sc_hd__buf_1
-*I *800:A I *D sky130_fd_sc_hd__buf_1
-*I *783:A I *D sky130_fd_sc_hd__buf_1
-*I *855:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *805:A I *D sky130_fd_sc_hd__buf_1
-*I *660:Y O *D sky130_fd_sc_hd__nor2_2
+*I *832:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *738:X O *D sky130_fd_sc_hd__mux2_1
*CAP
-1 *790:A 5.80863e-05
-2 *791:A 0
-3 *796:A 0
-4 *795:A 0
-5 *784:A 4.68571e-05
-6 *802:A 0
-7 *792:A 0.000188337
-8 *793:A 0.000572279
-9 *789:A 3.61343e-05
-10 *801:A 2.48228e-05
-11 *794:A 5.85326e-05
-12 *786:A 0
-13 *787:A 0
-14 *803:A 2.18282e-05
-15 *788:A 0
-16 *799:A 0
-17 *798:A 9.62611e-06
-18 *785:A 0.000353756
-19 *797:A 2.64507e-05
-20 *800:A 4.9019e-05
-21 *783:A 0
-22 *855:RESET_B 0.00124944
-23 *805:A 0.000449066
-24 *660:Y 0
-25 *90:245 0.000112615
-26 *90:239 0.000104897
-27 *90:203 0.000983158
-28 *90:194 0.000698076
-29 *90:181 8.33553e-05
-30 *90:179 0.000827122
-31 *90:172 0.000443765
-32 *90:167 0.000489854
-33 *90:155 0.000566433
-34 *90:142 0.000566836
-35 *90:134 0.00052619
-36 *90:121 6.03556e-05
-37 *90:120 0.000591075
-38 *90:114 0.000871848
-39 *90:113 0.000677819
-40 *90:110 0.0010661
-41 *90:98 0.000881119
-42 *90:82 0.000796474
-43 *90:70 0.000654831
-44 *90:58 0.000643433
-45 *90:51 0.000922206
-46 *90:24 0.000989842
-47 *90:22 0.000823258
-48 *90:4 0.000873703
-49 *784:A *663:A2 0
-50 *785:A *664:A1 1.63255e-05
-51 *785:A *712:A1 0.000168313
-52 *785:A *712:A2 0.000100253
-53 *790:A *204:40 0.00021243
-54 *792:A *222:11 2.29125e-05
-55 *793:A *845:D 3.27877e-05
-56 *793:A *845:CLK 5.07314e-05
-57 *793:A *173:11 0
-58 *793:A *222:11 1.00617e-05
-59 *798:A *745:A 6.65788e-05
-60 *798:A *753:B1 2.88836e-05
-61 *800:A *750:C 5.23435e-05
-62 *800:A *116:16 1.37421e-05
-63 *800:A *204:47 0
-64 *800:A *209:8 5.79027e-06
-65 *805:A *113:8 0.000164829
-66 *805:A *284:36 4.60283e-05
-67 *805:A *320:6 0
-68 *855:RESET_B *755:A3 5.23577e-05
-69 *855:RESET_B *855:D 4.32761e-05
-70 *855:RESET_B *135:11 0.000106298
-71 *855:RESET_B *196:9 0.000111081
-72 *855:RESET_B *196:12 0.00014899
-73 *855:RESET_B *196:25 3.98646e-06
-74 *855:RESET_B *209:22 2.219e-05
-75 *90:22 *846:D 7.50872e-05
-76 *90:22 *846:CLK 0
-77 *90:22 *942:A 4.87301e-05
-78 *90:22 *284:36 0.000284983
-79 *90:24 *942:A 0
-80 *90:24 *204:11 0
-81 *90:24 *209:8 0
-82 *90:24 *209:22 0.000148144
-83 *90:51 *674:A 6.08467e-05
-84 *90:51 *687:A 0.000200221
-85 *90:51 *703:A1 0.000111722
-86 *90:51 *746:A 2.95999e-05
-87 *90:51 *752:A2 0
-88 *90:51 *839:D 8.62625e-06
-89 *90:51 *135:11 9.60366e-05
-90 *90:51 *138:6 3.74738e-05
-91 *90:51 *144:18 0
-92 *90:51 *146:5 0.000377689
-93 *90:58 *750:C 0.000160047
-94 *90:58 *208:42 1.65872e-05
-95 *90:58 *209:11 0.000253367
-96 *90:70 *750:C 4.66492e-05
-97 *90:70 *116:5 9.00181e-05
-98 *90:70 *127:44 1.04731e-05
-99 *90:82 *712:A1 0.000504253
-100 *90:82 *157:8 0.000384477
-101 *90:82 *158:9 0.000114584
-102 *90:82 *204:167 8.06202e-05
-103 *90:98 *158:9 0.000308814
-104 *90:110 *663:A2 5.05252e-05
-105 *90:110 *663:B1 0.00031994
-106 *90:110 *665:B2 0
-107 *90:110 *667:B 1.36691e-05
-108 *90:110 *744:B1 0.000340372
-109 *90:110 *853:D 7.28127e-05
-110 *90:110 *124:18 4.68256e-05
-111 *90:110 *127:26 0
-112 *90:113 *663:A2 0
-113 *90:113 *667:B 0
-114 *90:113 *849:D 0.000148144
-115 *90:114 *849:D 2.65667e-05
-116 *90:114 *206:11 0.000103943
-117 *90:120 *745:A 0.000119695
-118 *90:120 *753:B1 1.00937e-05
-119 *90:120 *754:B 3.14978e-05
-120 *90:120 *206:11 0.000104887
-121 *90:134 *667:A 4.82966e-05
-122 *90:134 *667:B 6.92705e-05
-123 *90:134 *849:D 5.07314e-05
-124 *90:142 *667:A 8.07303e-05
-125 *90:142 *667:B 4.33655e-05
-126 *90:155 *666:B2 3.67528e-06
-127 *90:155 *835:CLK 1.46079e-05
-128 *90:167 *204:213 0
-129 *90:167 *218:19 0
-130 *90:172 *126:11 0.000162739
-131 *90:179 *836:D 0.000117613
-132 *90:179 *204:213 0
-133 *90:179 *220:9 0
-134 *90:203 *848:D 0
-135 *90:239 *204:5 0.000171288
-136 *90:245 *204:5 0.000102003
-137 *90:245 *204:40 6.50727e-05
-138 clockp[1] *90:51 4.3116e-06
-139 *333:DIODE *90:51 0
-140 *334:DIODE *90:51 0.000423922
-141 *344:DIODE *792:A 6.36477e-05
-142 *660:A *805:A 0.000106215
-143 *834:RESET_B *90:155 0.000156823
-144 *834:RESET_B *90:167 0.00043038
-145 *835:RESET_B *90:167 0
-146 *840:RESET_B *90:82 3.00829e-05
-147 *841:RESET_B *90:24 0.000172691
-148 *842:RESET_B *785:A 2.14065e-05
-149 *842:RESET_B *90:98 2.58757e-05
-150 *842:RESET_B *90:110 2.02222e-05
-151 *843:RESET_B *794:A 2.41274e-06
-152 *843:RESET_B *801:A 1.43983e-05
-153 *843:RESET_B *90:194 6.97354e-05
-154 *845:RESET_B *792:A 9.23267e-05
-155 *848:RESET_B *90:203 9.68437e-05
-156 *849:RESET_B *90:113 0
-157 *849:RESET_B *90:142 5.0715e-05
-158 *851:RESET_B *90:179 0
-159 *853:RESET_B *90:110 0
-160 *854:RESET_B *90:51 7.93468e-05
-161 *4:10 *805:A 7.06307e-05
-162 *5:22 *805:A 0.000171288
-163 *6:36 *90:51 0.000179286
-164 *7:45 *90:51 3.61282e-05
-165 *11:10 *805:A 0
-166 *29:8 *90:155 0
-167 *38:8 *90:142 2.41274e-06
-168 *38:8 *90:155 1.19856e-05
+1 *832:D 0.000180974
+2 *738:X 0.000180974
+3 *832:D *832:CLK 6.05161e-06
+4 *832:D *88:19 9.92409e-05
+5 *5:561 *832:D 0
*RES
-1 *660:Y *90:4 9.24915
-2 *90:4 *805:A 30.5623
-3 *90:4 *90:22 17.7238
-4 *90:22 *90:24 9.30653
-5 *90:24 *855:RESET_B 14.1696
-6 *855:RESET_B *90:51 46.0989
-7 *90:51 *783:A 9.24915
-8 *90:24 *90:58 9.66022
-9 *90:58 *800:A 19.6659
-10 *90:58 *90:70 5.03966
-11 *90:70 *797:A 9.82786
-12 *90:70 *90:82 13.1176
-13 *90:82 *785:A 27.9452
-14 *90:82 *90:98 3.49641
-15 *90:98 *90:110 32.7516
-16 *90:110 *90:113 10.4845
-17 *90:113 *90:114 9.04245
-18 *90:114 *90:120 18.7256
-19 *90:120 *90:121 57.9449
-20 *90:121 *798:A 19.2217
-21 *90:114 *799:A 9.24915
-22 *90:113 *90:134 4.05102
-23 *90:134 *788:A 9.24915
-24 *90:134 *90:142 11.285
-25 *90:142 *803:A 9.82786
-26 *90:142 *90:155 13.2164
-27 *90:155 *787:A 9.24915
-28 *90:155 *90:167 17.3961
-29 *90:167 *786:A 9.24915
-30 *90:167 *90:172 1.8326
-31 *90:172 *90:179 13.3424
-32 *90:179 *90:181 4.5
-33 *90:181 *794:A 10.5271
-34 *90:181 *801:A 9.97254
-35 *90:179 *90:194 10.5523
-36 *90:194 *789:A 14.4725
-37 *90:194 *90:203 5.56926
-38 *90:203 *793:A 23.081
-39 *90:203 *792:A 18.7961
-40 *90:172 *802:A 9.24915
-41 *90:110 *784:A 14.7506
-42 *90:98 *795:A 9.24915
-43 *90:22 *90:239 6.3326
-44 *90:239 *796:A 9.24915
-45 *90:239 *90:245 1.8326
-46 *90:245 *791:A 9.24915
-47 *90:245 *790:A 11.6364
+1 *738:X *832:D 31.0107
*END
-*D_NET *91 0.000207886
+*D_NET *65 0.000591588
*CONN
-*I *856:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *805:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *856:RESET_B 6.46007e-05
-2 *805:X 6.46007e-05
-3 *856:RESET_B *113:8 7.86847e-05
-*RES
-1 *805:X *856:RESET_B 20.1602
-*END
-
-*D_NET *92 0.000690596
-*CONN
-*I *837:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *755:X O *D sky130_fd_sc_hd__a311o_2
-*CAP
-1 *837:D 0.000223178
-2 *755:X 0.000223178
-3 *837:D *127:54 0.000208627
-4 *837:D *135:11 3.56119e-05
-*RES
-1 *755:X *837:D 31.7175
-*END
-
-*D_NET *93 0.000265933
-*CONN
-*I *838:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *754:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *838:D 5.22717e-05
-2 *754:X 5.22717e-05
-3 *838:D *754:A 0.000130777
-4 *838:D *126:30 3.06126e-05
-5 *838:RESET_B *838:D 0
-*RES
-1 *754:X *838:D 29.7455
-*END
-
-*D_NET *94 0.00175214
-*CONN
-*I *839:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *752:X O *D sky130_fd_sc_hd__a31o_2
-*CAP
-1 *839:D 0.00051447
-2 *752:X 0.00051447
-3 *839:D *745:B 0.000169078
-4 *839:D *752:A3 6.89449e-05
-5 *839:D *753:B2 7.50722e-05
-6 *839:D *753:C1 0
-7 *839:D *116:20 0.000118485
-8 *839:D *126:38 0
-9 *839:D *135:11 4.55024e-05
-10 *839:D *146:5 0.000160462
-11 *839:D *204:67 7.70295e-05
-12 *90:51 *839:D 8.62625e-06
-*RES
-1 *752:X *839:D 48.5052
-*END
-
-*D_NET *95 0.00136694
-*CONN
-*I *840:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *749:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *840:D 0.000489835
-2 *749:X 0.000489835
-3 *840:D *747:B 6.92705e-05
-4 *840:D *197:7 0.000122378
-5 *840:D *204:178 2.65831e-05
-6 *840:D *208:7 0.000120742
-7 *840:D *208:11 4.82966e-05
-*RES
-1 *749:X *840:D 31.9776
-*END
-
-*D_NET *96 0.000184743
-*CONN
-*I *841:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *748:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *841:D 7.31185e-05
-2 *748:Y 7.31185e-05
-3 *841:D *642:A 2.0517e-05
-4 *841:D *658:A2 3.20069e-06
-5 *841:D *748:A1 1.47882e-05
-*RES
-1 *748:Y *841:D 29.3303
-*END
-
-*D_NET *97 0.00104602
-*CONN
-*I *842:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *744:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *842:D 0.000485101
-2 *744:X 0.000485101
-3 *842:D *210:10 7.58194e-05
-4 *852:RESET_B *842:D 0
-*RES
-1 *744:X *842:D 35.4604
-*END
-
-*D_NET *98 0.00119954
-*CONN
-*I *843:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *743:Y O *D sky130_fd_sc_hd__o22ai_2
-*CAP
-1 *843:D 0.000207832
-2 *743:Y 0.000207832
-3 *843:D *716:B2 1.02917e-05
-4 *843:D *742:A1_N 1.65872e-05
-5 *843:D *742:A2_N 0.000110458
-6 *843:D *743:B1 6.27782e-05
-7 *843:D *743:B2 0.000465801
-8 *843:D *124:40 5.795e-05
-9 *843:D *172:8 6.00124e-05
-*RES
-1 *743:Y *843:D 35.1817
-*END
-
-*D_NET *99 0.000281087
-*CONN
-*I *844:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *741:X O *D sky130_fd_sc_hd__a32o_2
-*CAP
-1 *844:D 5.07301e-05
-2 *741:X 5.07301e-05
-3 *844:D *741:B2 6.64609e-05
-4 *844:D *743:B1 5.92192e-05
-5 *844:D *118:14 5.39463e-05
-*RES
-1 *741:X *844:D 29.7455
-*END
-
-*D_NET *100 0.000516672
-*CONN
-*I *845:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *739:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *845:D 0.000196282
-2 *739:X 0.000196282
-3 *845:D *222:11 9.13202e-05
-4 *793:A *845:D 3.27877e-05
-*RES
-1 *739:X *845:D 31.8863
-*END
-
-*D_NET *101 0.00233526
-*CONN
-*I *846:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *735:X O *D sky130_fd_sc_hd__a32o_2
-*CAP
-1 *846:D 0.000980308
-2 *735:X 0.000980308
-3 *846:D *733:A2 5.04054e-06
-4 *846:D *846:CLK 2.89269e-05
-5 *846:D *947:A 8.9075e-05
-6 *846:D *949:A 4.73037e-06
-7 *846:D *119:22 0.000162583
-8 *846:D *284:36 9.19886e-06
-9 *90:22 *846:D 7.50872e-05
-*RES
-1 *735:X *846:D 43.1961
-*END
-
-*D_NET *102 0.000923142
-*CONN
-*I *847:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *733:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *847:D 0.000390651
-2 *733:Y 0.000390651
-3 *847:D *170:29 0
-4 *847:D *204:47 5.04829e-06
-5 *847:D *223:11 3.77804e-05
-6 *847:RESET_B *847:D 9.90116e-05
-*RES
-1 *733:Y *847:D 36.2853
-*END
-
-*D_NET *103 0.0031912
-*CONN
-*I *848:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *728:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *848:D 0.00113475
-2 *728:Y 0.00113475
-3 *848:D *653:A 0.000464127
-4 *848:D *702:A 6.95945e-06
-5 *848:D *716:B1 6.53891e-05
-6 *848:D *728:B1 0.000160617
-7 *848:D *118:24 1.777e-05
-8 *848:D *204:200 2.63247e-05
-9 *848:D *204:250 0
-10 *702:C *848:D 0.000180515
-11 *90:203 *848:D 0
-*RES
-1 *728:Y *848:D 46.7159
-*END
-
-*D_NET *104 0.000537329
-*CONN
-*I *849:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *667:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *849:D 0.000155944
-2 *667:X 0.000155944
-3 *90:113 *849:D 0.000148144
-4 *90:114 *849:D 2.65667e-05
-5 *90:134 *849:D 5.07314e-05
-*RES
-1 *667:X *849:D 31.9934
-*END
-
-*D_NET *105 0.000352436
-*CONN
-*I *850:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *666:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *850:D 0.000137173
-2 *666:X 0.000137173
-3 *850:D *666:A2 5.11839e-05
-4 *850:D *204:127 2.69064e-05
-5 *29:8 *850:D 0
-*RES
-1 *666:X *850:D 30.5058
-*END
-
-*D_NET *106 0.000542129
-*CONN
-*I *851:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *665:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *851:D 0.000154426
-2 *665:X 0.000154426
-3 *851:D *711:A 2.16355e-05
-4 *851:D *712:C1 9.60216e-05
-5 *851:D *851:CLK 6.08467e-05
-6 *851:D *127:116 1.87469e-05
-7 *29:8 *851:D 3.60268e-05
-*RES
-1 *665:X *851:D 31.7147
-*END
-
-*D_NET *107 0.000409438
-*CONN
-*I *852:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *664:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *852:D 0.000106794
-2 *664:X 0.000106794
-3 *852:D *664:A2 0.000130777
-4 *852:D *117:8 6.50727e-05
-5 *852:D *210:10 0
-*RES
-1 *664:X *852:D 30.4689
-*END
-
-*D_NET *108 0.000693782
-*CONN
-*I *853:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *663:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *853:D 0.000220856
-2 *663:X 0.000220856
-3 *853:D *663:A1 0.000171273
-4 *853:D *663:B1 7.98425e-06
-5 *853:D *665:B2 0
-6 *853:D *127:26 0
-7 *90:110 *853:D 7.28127e-05
-*RES
-1 *663:X *853:D 32.1327
-*END
-
-*D_NET *109 0.00174056
-*CONN
-*I *854:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *662:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *854:D 0.000602868
-2 *662:X 0.000602868
-3 *854:D *662:B2 2.7961e-05
-4 *854:D *670:A 0.000205006
-5 *854:D *670:B 1.00937e-05
-6 *854:D *204:82 0.000250884
-7 *854:D *212:7 5.04829e-06
-8 clockp[1] *854:D 3.58321e-05
-*RES
-1 *662:X *854:D 42.2844
-*END
-
-*D_NET *110 0.00146554
-*CONN
-*I *855:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *661:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *855:D 0.000309933
-2 *661:X 0.000309933
-3 *855:D *661:A1 9.91804e-05
-4 *855:D *661:A2 0.000211478
-5 *855:D *750:B 7.92757e-06
-6 *855:D *126:37 5.04829e-06
-7 *855:D *126:61 0.000299373
-8 *855:D *126:65 0.000113968
-9 *855:D *196:9 4.12753e-05
-10 *855:D *213:16 2.41483e-05
-11 *855:RESET_B *855:D 4.32761e-05
-*RES
-1 *661:X *855:D 30.3379
-*END
-
-*D_NET *111 0.00165083
-*CONN
-*I *856:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *658:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *856:D 0.000579378
-2 *658:X 0.000579378
-3 *856:D *690:B1 3.82232e-05
-4 *856:D *692:B 0.00019607
-5 *856:D *856:CLK 0.000171456
-6 *856:D *214:7 5.04829e-06
-7 *4:10 *856:D 0
-8 *39:11 *856:D 8.12737e-05
-*RES
-1 *658:X *856:D 43.771
-*END
-
-*D_NET *112 0.00271307
-*CONN
-*I *748:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *690:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *696:A1 I *D sky130_fd_sc_hd__o221ai_2
-*I *642:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *748:A1 0.000366167
-2 *690:B1 0.000122723
-3 *696:A1 9.36721e-06
-4 *642:Y 6.6862e-05
-5 *112:13 0.000326646
-6 *112:8 0.000627585
-7 *690:B1 *690:A1 4.17481e-05
-8 *690:B1 *690:B2 3.85049e-05
-9 *696:A1 *692:A 6.50586e-05
-10 *696:A1 *856:CLK 6.50586e-05
-11 *748:A1 *658:A2 0
-12 *748:A1 *856:CLK 1.03403e-05
-13 *748:A1 *204:11 7.11697e-05
-14 *112:8 *642:A 0.000195154
-15 *112:8 *643:A 8.62625e-06
-16 *112:8 *214:8 0.000191541
-17 *112:13 *692:A 0.000103983
-18 *112:13 *856:CLK 0.000280597
-19 *841:D *748:A1 1.47882e-05
-20 *856:D *690:B1 3.82232e-05
-21 *4:10 *690:B1 6.89317e-05
-*RES
-1 *642:Y *112:8 21.7421
-2 *112:8 *112:13 5.20845
-3 *112:13 *696:A1 9.97254
-4 *112:13 *690:B1 21.773
-5 *112:8 *748:A1 24.5474
-*END
-
-*D_NET *113 0.00186097
-*CONN
-*I *696:A2 I *D sky130_fd_sc_hd__o221ai_2
-*I *690:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *643:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *696:A2 0
-2 *690:B2 0.000192888
-3 *643:Y 0.000263444
-4 *113:8 0.000456332
-5 *690:B2 *690:A1 4.69454e-05
-6 *690:B2 *690:A2 1.16623e-05
-7 *690:B2 *692:A 0.000101564
-8 *690:B2 *693:B1_N 0
-9 *690:B2 *152:8 0
-10 *113:8 *856:CLK 2.33334e-05
-11 *113:8 *152:8 0
-12 *690:B1 *690:B2 3.85049e-05
-13 *805:A *113:8 0.000164829
-14 *856:RESET_B *113:8 7.86847e-05
-15 *4:10 *690:B2 0.000125695
-16 *4:10 *113:8 0.00035709
-*RES
-1 *643:Y *113:8 22.5361
-2 *113:8 *690:B2 19.541
-3 *113:8 *696:A2 13.7491
-*END
-
-*D_NET *114 0.00121072
-*CONN
-*I *751:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *745:C I *D sky130_fd_sc_hd__or3_2
-*I *644:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *751:B1 0
-2 *745:C 0.00037723
-3 *644:Y 2.74444e-05
-4 *114:5 0.000404675
-5 *745:C *645:A 0
-6 *745:C *745:A 4.24529e-05
-7 *745:C *753:B1 6.92705e-05
-8 *745:C *195:13 4.81015e-05
-9 *745:C *207:21 2.36494e-05
-10 *745:C *207:41 6.23338e-05
-11 *114:5 *135:11 1.31657e-05
-12 *114:5 *144:18 0.000118166
-13 *839:RESET_B *745:C 2.42273e-05
-*RES
-1 *644:Y *114:5 10.5271
-2 *114:5 *745:C 28.8634
-3 *114:5 *751:B1 9.24915
-*END
-
-*D_NET *115 0.00239889
-*CONN
-*I *753:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *751:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *745:A I *D sky130_fd_sc_hd__or3_2
-*I *645:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *753:A1 0
-2 *751:A1 0.000183476
-3 *745:A 0.000167902
-4 *645:Y 0
-5 *115:26 0.000359692
-6 *115:5 0.000344117
-7 *745:A *753:B1 6.1028e-05
-8 *745:A *754:B 3.84001e-05
-9 *751:A1 *751:A2 8.87122e-05
-10 *751:A1 *753:A2 2.65831e-05
-11 *751:A1 *753:B1 1.86988e-05
-12 *751:A1 *144:18 0.000459316
-13 *115:26 *745:B 0
-14 *115:26 *753:B1 7.35836e-08
-15 *115:26 *754:A 0
-16 *115:26 *754:B 0.000128067
-17 *115:26 *144:18 8.1971e-06
-18 *115:26 *204:67 0.00016352
-19 *745:C *745:A 4.24529e-05
-20 *798:A *745:A 6.65788e-05
-21 *839:RESET_B *745:A 0.000122378
-22 *90:120 *745:A 0.000119695
-*RES
-1 *645:Y *115:5 13.7491
-2 *115:5 *745:A 20.2109
-3 *115:5 *115:26 11.0458
-4 *115:26 *751:A1 16.5675
-5 *115:26 *753:A1 9.24915
-*END
-
-*D_NET *116 0.00543527
-*CONN
-*I *671:A I *D sky130_fd_sc_hd__nor2_2
-*I *753:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *686:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *751:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *745:B I *D sky130_fd_sc_hd__or3_2
-*I *755:B1 I *D sky130_fd_sc_hd__a311o_2
-*I *646:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *671:A 3.16828e-05
-2 *753:A2 0.000151597
-3 *686:A1 0
-4 *751:A2 9.17e-05
-5 *745:B 0.000213586
-6 *755:B1 5.19168e-05
-7 *646:Y 6.3592e-05
-8 *116:47 0.000207635
-9 *116:41 3.64194e-05
-10 *116:20 0.000438799
-11 *116:16 0.00051732
-12 *116:5 0.000487251
-13 *671:A *686:B1 0.000171273
-14 *671:A *752:B1 0.00027329
-15 *671:A *146:5 5.08751e-05
-16 *745:B *753:B1 0.000199884
-17 *745:B *753:C1 3.31733e-05
-18 *745:B *204:67 0
-19 *751:A2 *753:B1 0.000107496
-20 *753:A2 *753:B2 3.75603e-05
-21 *753:A2 *754:A 0
-22 *753:A2 *127:32 0
-23 *753:A2 *204:67 0.000162951
-24 *755:B1 *750:C 4.76794e-05
-25 *755:B1 *755:C1 4.76161e-06
-26 *755:B1 *127:44 2.58616e-05
-27 *755:B1 *127:54 7.67734e-06
-28 *755:B1 *208:30 3.52355e-05
-29 *755:B1 *208:42 3.42102e-05
-30 *755:B1 *209:11 0.000111352
-31 *116:5 *750:C 0.000157107
-32 *116:5 *127:44 0.000152751
-33 *116:16 *750:A 0.000108029
-34 *116:16 *750:C 1.90679e-05
-35 *116:16 *753:B2 0.000294805
-36 *116:16 *753:C1 1.55462e-05
-37 *116:16 *837:CLK 4.88617e-05
-38 *116:16 *126:61 0
-39 *116:16 *208:14 2.24632e-05
-40 *116:20 *753:B2 1.83627e-05
-41 *116:20 *753:C1 0.000170177
-42 *116:41 *752:B1 6.08467e-05
-43 *116:41 *146:5 6.50586e-05
-44 *116:47 *752:B1 0.000107496
-45 *116:47 *146:5 9.32983e-05
-46 *751:A1 *751:A2 8.87122e-05
-47 *751:A1 *753:A2 2.65831e-05
-48 *800:A *116:16 1.37421e-05
-49 *839:D *745:B 0.000169078
-50 *839:D *116:20 0.000118485
-51 *90:70 *116:5 9.00181e-05
-52 *115:26 *745:B 0
-*RES
-1 *646:Y *116:5 13.3002
-2 *116:5 *755:B1 11.6605
-3 *116:5 *116:16 14.637
-4 *116:16 *116:20 4.2258
-5 *116:20 *745:B 20.4571
-6 *116:20 *751:A2 16.7151
-7 *116:16 *116:41 5.2234
-8 *116:41 *686:A1 9.24915
-9 *116:41 *116:47 1.278
-10 *116:47 *753:A2 22.629
-11 *116:47 *671:A 12.191
-*END
-
-*D_NET *117 0.00313592
-*CONN
-*I *686:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *671:B I *D sky130_fd_sc_hd__nor2_2
-*I *647:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *686:A2 1.69719e-05
-2 *671:B 2.52541e-05
-3 *647:Y 0.000208899
-4 *117:21 6.77015e-05
-5 *117:20 0.000485177
-6 *117:8 0.0006686
-7 *671:B *754:A 1.44467e-05
-8 *671:B *126:30 5.92192e-05
-9 *671:B *198:8 1.09738e-05
-10 *686:A2 *752:B1 5.88657e-05
-11 *686:A2 *146:5 6.08467e-05
-12 *117:8 *664:A2 0.000193108
-13 *117:8 *712:A2 0.000324151
-14 *117:8 *126:30 9.75356e-05
-15 *117:8 *127:32 1.57871e-05
-16 *117:8 *198:8 2.33193e-05
-17 *117:8 *204:51 0.000149643
-18 *117:20 *686:B1 2.61147e-05
-19 *117:20 *752:B1 0.000564236
-20 *852:D *117:8 6.50727e-05
-*RES
-1 *647:Y *117:8 22.3996
-2 *117:8 *671:B 14.7506
-3 *117:8 *117:20 21.1278
-4 *117:20 *117:21 57.9449
-5 *117:21 *686:A2 19.2217
-*END
-
-*D_NET *118 0.0161403
-*CONN
-*I *770:A I *D sky130_fd_sc_hd__nand2_2
-*I *773:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *774:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *779:A I *D sky130_fd_sc_hd__nor2_2
-*I *778:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *772:C I *D sky130_fd_sc_hd__or3_2
-*I *776:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *775:D I *D sky130_fd_sc_hd__or4_2
-*I *728:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *725:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *713:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *648:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *770:A 0.000645259
-2 *773:A1 0
-3 *774:A4 0.000164695
-4 *779:A 0.00023677
-5 *778:A3 1.6785e-05
-6 *772:C 0.000273713
-7 *776:A1 2.06324e-05
-8 *775:D 0.000411257
-9 *728:A1 0
-10 *725:B1 0.000226103
-11 *713:A1 0.000129747
-12 *648:Y 9.16217e-05
-13 *118:96 0.000461487
-14 *118:95 6.00226e-05
-15 *118:93 0.000813043
-16 *118:73 0.00040492
-17 *118:49 0.000561473
-18 *118:48 0.000244005
-19 *118:46 0.000520707
-20 *118:24 0.00029453
-21 *118:14 0.000849652
-22 *118:7 0.00109602
-23 *713:A1 *713:A3 4.09271e-05
-24 *713:A1 *713:B1 3.44412e-06
-25 *713:A1 *170:63 0
-26 *725:B1 *724:A 0.000110297
-27 *725:B1 *725:A2 6.36477e-05
-28 *725:B1 *727:A1 1.65872e-05
-29 *725:B1 *158:35 0.000176145
-30 *725:B1 *170:10 9.35753e-06
-31 *725:B1 *170:22 2.75427e-05
-32 *725:B1 *171:15 8.62625e-06
-33 *725:B1 *171:25 2.1558e-06
-34 *725:B1 *204:200 0.000153404
-35 *770:A *773:A2 0.000271475
-36 *770:A *243:20 0
-37 *770:A *307:6 6.61709e-05
-38 *772:C *772:B 7.02442e-06
-39 *772:C *778:A1 2.16355e-05
-40 *772:C *121:13 5.51483e-06
-41 *774:A4 *773:A2 8.10016e-06
-42 *774:A4 *160:35 1.41291e-05
-43 *774:A4 *222:59 8.62625e-06
-44 *775:D *779:B 4.49217e-05
-45 *775:D *201:8 9.84167e-06
-46 *775:D *201:11 3.61993e-05
-47 *775:D *222:77 0.000271058
-48 *775:D *225:40 0
-49 *778:A3 *121:13 9.95922e-06
-50 *779:A *312:15 0.000117469
-51 *118:7 *648:A 2.16355e-05
-52 *118:14 *719:A1 0
-53 *118:14 *719:A2 8.52968e-05
-54 *118:14 *719:A3 6.50586e-05
-55 *118:14 *719:B1 5.05252e-05
-56 *118:14 *719:B2 6.12686e-06
-57 *118:14 *737:S 0.000152878
-58 *118:14 *741:B2 8.20492e-06
-59 *118:14 *170:63 0
-60 *118:14 *173:26 6.08697e-06
-61 *118:14 *175:10 0.000237068
-62 *118:24 *728:B1 2.41483e-05
-63 *118:24 *124:18 0.000366603
-64 *118:24 *204:200 0.000222149
-65 *118:46 *717:A 2.652e-05
-66 *118:46 *719:B2 0
-67 *118:46 *160:6 0.000273949
-68 *118:46 *160:35 0.000310094
-69 *118:49 *776:A3 6.49003e-05
-70 *118:49 *201:11 1.84293e-05
-71 *118:49 *201:17 9.82896e-06
-72 *118:49 *222:77 0.000501057
-73 *118:73 *121:13 3.58044e-05
-74 *118:93 *773:A2 1.66626e-05
-75 *118:93 *160:35 0.000191365
-76 *118:93 *222:59 2.22198e-05
-77 *118:93 *222:61 1.12605e-05
-78 *118:96 *773:A2 6.08467e-05
-79 *118:96 *312:15 4.0752e-05
-80 *702:C *118:14 0.000670858
-81 *702:C *118:24 6.50586e-05
-82 *711:C *713:A1 7.73818e-05
-83 *711:C *118:14 6.03391e-06
-84 *807:A1 *770:A 3.29488e-05
-85 *807:A1 *118:93 0.000151779
-86 *809:A0 *118:14 0.000101118
-87 *809:A0 *118:46 0.000241585
-88 *813:A0 *770:A 0
-89 *817:A0 *775:D 2.21133e-05
-90 *821:A0 *779:A 0.000321919
-91 *821:A1 *779:A 0.000268798
-92 *825:A0 *770:A 6.18342e-05
-93 *829:A0 *775:D 0.00011818
-94 *829:A1 *775:D 8.62625e-06
-95 *844:D *118:14 5.39463e-05
-96 *848:D *118:24 1.777e-05
-97 *5:190 *779:A 0.000199527
-98 *5:195 *779:A 0.000377273
-99 *21:16 *774:A4 6.50727e-05
-100 *21:22 *774:A4 9.75356e-05
-101 *21:22 *779:A 6.50586e-05
-102 *25:8 *770:A 2.14842e-06
-103 *29:8 *118:14 1.87269e-05
-104 *29:11 *118:7 7.92757e-06
-105 *40:12 *118:46 0.000397564
-106 *40:27 *118:46 9.04083e-05
-107 *40:27 *118:93 0.000224523
-108 *41:9 *118:46 4.3116e-06
-109 *55:22 *774:A4 4.3116e-06
-110 *65:15 *118:49 0.000351426
-111 *65:15 *118:73 0.000158371
-*RES
-1 *648:Y *118:7 15.0271
-2 *118:7 *118:14 28.618
-3 *118:14 *713:A1 17.2421
-4 *118:14 *118:24 9.10562
-5 *118:24 *725:B1 25.962
-6 *118:24 *728:A1 9.24915
-7 *118:7 *118:46 14.7781
-8 *118:46 *118:48 4.5
-9 *118:48 *118:49 6.82404
-10 *118:49 *775:D 31.1943
-11 *118:49 *776:A1 9.82786
-12 *118:48 *118:73 2.94181
-13 *118:73 *772:C 14.4817
-14 *118:73 *778:A3 9.82786
-15 *118:46 *118:93 7.23027
-16 *118:93 *118:95 4.5
-17 *118:95 *118:96 1.8326
-18 *118:96 *779:A 20.727
-19 *118:96 *774:A4 22.763
-20 *118:95 *773:A1 9.24915
-21 *118:93 *770:A 25.7632
-*END
-
-*D_NET *119 0.0101946
-*CONN
-*I *781:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *775:A I *D sky130_fd_sc_hd__or4_2
-*I *701:A I *D sky130_fd_sc_hd__or3_2
-*I *733:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *764:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *763:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *765:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *767:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *721:A1 I *D sky130_fd_sc_hd__o22a_2
-*I *649:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *781:A1 0
-2 *775:A 9.46193e-05
-3 *701:A 0
-4 *733:A1 0
-5 *764:A1 7.49022e-05
-6 *763:A1 0.000240273
-7 *765:A1 0
-8 *767:A1 0.000240499
-9 *721:A1 0.000130625
-10 *649:Y 0.00014273
-11 *119:81 0.000255693
-12 *119:72 0.000587456
-13 *119:45 0.000371354
-14 *119:38 0.000185594
-15 *119:25 0.000808255
-16 *119:22 0.000694034
-17 *119:8 0.000483742
-18 *119:5 0.000666537
-19 *721:A1 *720:A2 0.000101133
-20 *721:A1 *734:A 3.5534e-06
-21 *721:A1 *735:A3 1.74215e-05
-22 *721:A1 *157:29 4.59978e-05
-23 *721:A1 *158:47 2.94253e-05
-24 *763:A1 *222:77 0
-25 *763:A1 *222:79 3.57683e-05
-26 *764:A1 *764:A4 6.92705e-05
-27 *764:A1 *765:A4 9.98029e-06
-28 *764:A1 *164:42 5.05252e-05
-29 *764:A1 *222:79 0.00030153
-30 *767:A1 *767:A3 0.000300363
-31 *767:A1 *250:9 8.07791e-05
-32 *775:A *756:B 0.000184217
-33 *775:A *776:B1 3.61993e-05
-34 *775:A *781:A2 0.000251285
-35 *775:A *164:28 7.73707e-05
-36 *119:5 *322:7 9.75148e-06
-37 *119:8 *720:A1 3.42931e-05
-38 *119:8 *720:A2 5.41377e-05
-39 *119:8 *720:B1 0.000100222
-40 *119:8 *723:B2 0
-41 *119:8 *224:10 0
-42 *119:22 *730:A2 0.000309483
-43 *119:22 *733:A2 7.97098e-06
-44 *119:22 *735:A2 6.00782e-06
-45 *119:22 *735:A3 0.000314504
-46 *119:22 *157:29 0.000112125
-47 *119:22 *223:11 0.000337073
-48 *119:22 *223:22 6.10162e-05
-49 *119:25 *725:A1 0
-50 *119:25 *730:A1 0
-51 *119:25 *730:A2 0
-52 *119:25 *733:B1 1.2693e-05
-53 *119:25 *769:A3 0
-54 *119:25 *949:A 0
-55 *119:25 *952:A 0
-56 *119:25 *170:29 0
-57 *119:25 *186:10 0
-58 *119:25 *223:11 1.07248e-05
-59 *119:38 *765:A4 1.2601e-05
-60 *119:38 *767:A2 9.75356e-05
-61 *119:38 *768:A3 0.00019364
-62 *119:38 *164:42 2.6046e-05
-63 *119:45 *765:A4 3.08133e-05
-64 *119:45 *164:42 0.000122083
-65 *119:72 *701:B 3.31882e-05
-66 *119:72 *708:A 6.84784e-06
-67 *119:72 *723:B2 8.01837e-05
-68 *119:72 *782:A1 1.28344e-05
-69 *119:72 *120:11 0.000173882
-70 *119:72 *120:35 9.48649e-05
-71 *119:72 *166:38 0
-72 *119:81 *701:B 7.30564e-05
-73 *119:81 *756:B 2.72267e-05
-74 *119:81 *166:38 0
-75 *702:C *119:72 0
-76 *763:B1 *763:A1 6.08467e-05
-77 *763:B1 *764:A1 0.000213725
-78 *767:B1 *119:38 0
-79 *830:A0 *119:5 0.000161234
-80 *830:A0 *119:72 0.000313495
-81 *831:A1 *119:25 1.6352e-05
-82 *831:A1 *119:38 9.69716e-06
-83 *831:S *119:25 1.16474e-05
-84 *833:A0 *119:72 0.000147848
-85 *846:D *119:22 0.000162583
-86 *43:27 *764:A1 0.000171273
-87 *55:22 *119:81 0
-*RES
-1 *649:Y *119:5 11.6364
-2 *119:5 *119:8 7.57775
-3 *119:8 *721:A1 17.5597
-4 *119:8 *119:22 14.6758
-5 *119:22 *119:25 13.8065
-6 *119:25 *767:A1 18.9576
-7 *119:25 *119:38 4.73876
-8 *119:38 *765:A1 13.7491
-9 *119:38 *119:45 2.24725
-10 *119:45 *763:A1 17.6796
-11 *119:45 *764:A1 18.8017
-12 *119:22 *733:A1 9.24915
-13 *119:5 *119:72 15.6419
-14 *119:72 *701:A 13.7491
-15 *119:72 *119:81 8.40826
-16 *119:81 *775:A 15.0544
-17 *119:81 *781:A1 9.24915
-*END
-
-*D_NET *120 0.00447761
-*CONN
-*I *701:B I *D sky130_fd_sc_hd__or3_2
-*I *758:B I *D sky130_fd_sc_hd__or2_2
-*I *720:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *730:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *650:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *701:B 4.04466e-05
-2 *758:B 0.000216639
-3 *720:B1 0.000184979
-4 *730:A1 0.000366347
-5 *650:Y 0.000112671
-6 *120:35 0.000305751
-7 *120:11 0.000878699
-8 *120:7 0.000488709
-9 *701:B *756:B 4.4434e-05
-10 *720:B1 *720:A1 1.47033e-05
-11 *720:B1 *730:B1 0
-12 *720:B1 *735:A3 4.09708e-05
-13 *720:B1 *779:B 5.01737e-05
-14 *720:B1 *185:7 1.8456e-05
-15 *720:B1 *224:10 0
-16 *730:A1 *725:A1 2.22198e-05
-17 *730:A1 *322:7 0.000508618
-18 *758:B *250:9 0.000174698
-19 *120:7 *166:5 6.08467e-05
-20 *120:7 *166:32 0.000110458
-21 *120:11 *708:A 3.77686e-05
-22 *120:11 *723:B2 2.15348e-05
-23 *120:11 *756:B 8.80283e-06
-24 *120:11 *322:7 0.000193069
-25 *120:35 *756:B 3.44197e-05
-26 *830:A0 *720:B1 5.39635e-06
-27 *830:A0 *120:11 6.11359e-06
-28 *5:350 *120:11 5.54675e-05
-29 *119:8 *720:B1 0.000100222
-30 *119:25 *730:A1 0
-31 *119:72 *701:B 3.31882e-05
-32 *119:72 *120:11 0.000173882
-33 *119:72 *120:35 9.48649e-05
-34 *119:81 *701:B 7.30564e-05
-*RES
-1 *650:Y *120:7 15.5817
-2 *120:7 *120:11 11.4894
-3 *120:11 *730:A1 24.9655
-4 *120:11 *720:B1 23.1849
-5 *120:7 *120:35 1.832
-6 *120:35 *758:B 19.0876
-7 *120:35 *701:B 15.5811
-*END
-
-*D_NET *121 0.0045811
-*CONN
-*I *773:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *778:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *699:A I *D sky130_fd_sc_hd__or2_2
-*I *776:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *651:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *773:A3 0.000410481
-2 *778:A1 2.29696e-05
-3 *699:A 0.000197002
-4 *776:A3 4.69226e-05
-5 *651:Y 0.000112011
-6 *121:13 0.000393253
-7 *121:10 0.000172297
-8 *121:8 0.000522492
-9 *699:A *122:47 0.000144078
-10 *699:A *250:20 5.41227e-05
-11 *773:A3 *773:A2 0.000163982
-12 *773:A3 *773:B1 2.19549e-05
-13 *773:A3 *778:A2 4.79289e-05
-14 *773:A3 *780:C 6.8327e-05
-15 *773:A3 *166:52 5.82161e-05
-16 *773:A3 *222:50 1.35282e-05
-17 *773:A3 *222:59 5.41227e-05
-18 *773:A3 *312:15 2.17953e-06
-19 *776:A3 *201:17 0.000264572
-20 *778:A1 *778:A2 1.65872e-05
-21 *121:8 *651:A 1.43848e-05
-22 *121:8 *778:A2 0.00011191
-23 *121:8 *780:C 0.000110477
-24 *121:13 *772:B 1.15389e-05
-25 *121:13 *778:A2 1.41976e-05
-26 *121:13 *201:17 6.50727e-05
-27 *121:13 *201:27 0.000381912
-28 *121:13 *201:35 1.65872e-05
-29 *772:C *778:A1 2.16355e-05
-30 *772:C *121:13 5.51483e-06
-31 *773:C1 *773:A3 3.32802e-05
-32 *778:A3 *121:13 9.95922e-06
-33 *5:329 *773:A3 0
-34 *55:22 *699:A 0.00040973
-35 *65:15 *776:A3 0.000107496
-36 *65:15 *121:13 0.000379666
-37 *118:49 *776:A3 6.49003e-05
-38 *118:73 *121:13 3.58044e-05
-*RES
-1 *651:Y *121:8 16.7198
-2 *121:8 *121:10 4.5
-3 *121:10 *121:13 6.84815
-4 *121:13 *776:A3 12.191
-5 *121:13 *699:A 25.8947
-6 *121:10 *778:A1 9.97254
-7 *121:8 *773:A3 23.4587
-*END
-
-*D_NET *122 0.00626749
-*CONN
-*I *771:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *774:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *699:B I *D sky130_fd_sc_hd__or2_2
-*I *738:A1 I *D sky130_fd_sc_hd__o211a_2
-*I *718:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *652:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *771:A2 0.000342937
-2 *774:A2 0
-3 *699:B 0
-4 *738:A1 3.93458e-05
-5 *718:B1 0.000134031
-6 *652:Y 0.000221108
-7 *122:47 0.000607702
-8 *122:38 0.00101477
-9 *122:8 0.000286261
-10 *122:7 0.00108399
-11 *718:B1 *718:B2 6.50586e-05
-12 *718:B1 *738:B1 0.000275256
-13 *718:B1 *738:C1 3.67528e-06
-14 *718:B1 *221:33 8.90486e-05
-15 *718:B1 *221:46 0
-16 *718:B1 *222:18 0
-17 *771:A2 *771:B1 2.21251e-05
-18 *771:A2 *160:35 3.82228e-05
-19 *771:A2 *222:50 3.01683e-06
-20 *122:7 *652:A 6.44576e-05
-21 *122:7 *737:S 1.41976e-05
-22 *122:8 *737:A1 7.50872e-05
-23 *122:8 *738:C1 3.89332e-06
-24 *122:8 *221:33 1.55462e-05
-25 *122:8 *222:18 0
-26 *122:38 *706:A 8.8761e-05
-27 *122:38 *737:A1 4.70104e-05
-28 *122:38 *873:Z 0.000109421
-29 *122:38 *874:A 9.96342e-05
-30 *122:38 *160:6 3.72649e-05
-31 *122:38 *165:5 1.9898e-05
-32 *122:38 *221:33 9.22013e-06
-33 *122:38 *221:46 4.3937e-05
-34 *122:38 *221:55 0.000362153
-35 *122:38 *222:18 0
-36 *122:38 *249:23 5.03013e-06
-37 *122:38 *250:20 8.55614e-05
-38 *122:47 *774:A3 9.46387e-05
-39 *122:47 *781:A3 0.000170577
-40 *122:47 *160:6 3.84001e-05
-41 *122:47 *160:35 3.71118e-05
-42 *122:47 *222:61 0.000166385
-43 *122:47 *250:20 4.33979e-05
-44 *699:A *122:47 0.000144078
-45 *702:C *122:38 4.18215e-06
-46 *782:C1 *122:38 0.000202719
-47 *809:A0 *122:7 7.98425e-06
-48 *21:16 *771:A2 4.39324e-05
-49 *29:8 *718:B1 3.93117e-06
-50 *55:22 *122:47 2.53624e-06
-*RES
-1 *652:Y *122:7 17.2456
-2 *122:7 *122:8 2.6625
-3 *122:8 *718:B1 18.7428
-4 *122:8 *738:A1 14.6568
-5 *122:7 *122:38 25.9927
-6 *122:38 *699:B 13.7491
-7 *122:38 *122:47 14.2218
-8 *122:47 *774:A2 9.24915
-9 *122:47 *771:A2 15.0122
-*END
-
-*D_NET *123 0.00222397
-*CONN
-*I *716:A1 I *D sky130_fd_sc_hd__o22a_2
-*I *743:A1 I *D sky130_fd_sc_hd__o22ai_2
-*I *715:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *702:A I *D sky130_fd_sc_hd__or3_2
-*I *653:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *716:A1 0
-2 *743:A1 0
-3 *715:B1 0.000155945
-4 *702:A 4.42831e-05
-5 *653:Y 9.43371e-05
-6 *123:18 0.000238505
-7 *123:9 0.000226274
-8 *123:7 0.000193768
-9 *702:A *716:B1 5.88657e-05
-10 *702:A *124:28 0.00011628
-11 *715:B1 *712:B1 4.30017e-06
-12 *715:B1 *715:A2 0.000128357
-13 *715:B1 *157:114 7.02172e-06
-14 *123:7 *716:B2 5.99527e-05
-15 *123:9 *653:A 1.15389e-05
-16 *123:9 *716:A2 4.58003e-05
-17 *123:9 *716:B1 0.000167076
-18 *123:9 *716:B2 0.000164843
-19 *123:9 *157:114 3.82228e-05
-20 *123:18 *653:A 1.45944e-05
-21 *123:18 *715:A2 3.20264e-05
-22 *123:18 *157:114 4.66634e-05
-23 *702:C *702:A 6.92705e-05
-24 *848:D *702:A 6.95945e-06
-25 *29:8 *715:B1 0.00012136
-26 *29:8 *123:18 0.00017772
-*RES
-1 *653:Y *123:7 11.6605
-2 *123:7 *123:9 4.05102
-3 *123:9 *702:A 11.0817
-4 *123:9 *123:18 7.993
-5 *123:18 *715:B1 17.8531
-6 *123:18 *743:A1 13.7491
-7 *123:7 *716:A1 9.24915
-*END
-
-*D_NET *124 0.00546813
-*CONN
-*I *742:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *742:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *716:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *702:B I *D sky130_fd_sc_hd__or3_2
-*I *744:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *654:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *742:A1_N 0.000135829
-2 *742:B1 0
-3 *716:B1 4.06558e-05
-4 *702:B 2.06324e-05
-5 *744:B1 9.78682e-05
-6 *654:Y 0
-7 *124:40 0.000667209
-8 *124:29 8.61317e-05
-9 *124:28 0.000102729
-10 *124:18 0.00040442
-11 *124:7 0.000516692
-12 *124:4 0.00062367
-13 *716:B1 *653:A 6.50586e-05
-14 *742:A1_N *742:A2_N 0.000159038
-15 *742:A1_N *743:B2 2.1846e-05
-16 *744:B1 *744:B2 0.000337014
-17 *124:7 *158:9 1.58551e-05
-18 *124:18 *713:A3 6.50727e-05
-19 *124:18 *744:B2 0.00021364
-20 *124:18 *170:10 2.69064e-05
-21 *124:40 *715:A2 0.000183941
-22 *124:40 *743:A2 7.92757e-06
-23 *124:40 *743:B1 6.46815e-05
-24 *124:40 *743:B2 0.000112367
-25 *124:40 *158:9 0.00012826
-26 *702:A *716:B1 5.88657e-05
-27 *702:A *124:28 0.00011628
-28 *702:C *124:18 0.000110583
-29 *702:C *124:28 2.41483e-05
-30 *843:D *742:A1_N 1.65872e-05
-31 *843:D *124:40 5.795e-05
-32 *848:D *716:B1 6.53891e-05
-33 *90:110 *744:B1 0.000340372
-34 *90:110 *124:18 4.68256e-05
-35 *118:24 *124:18 0.000366603
-36 *123:9 *716:B1 0.000167076
-*RES
-1 *654:Y *124:4 9.24915
-2 *124:4 *124:7 5.778
-3 *124:7 *744:B1 19.7337
-4 *124:7 *124:18 15.2323
-5 *124:18 *702:B 9.82786
-6 *124:18 *124:28 11.0817
-7 *124:28 *124:29 57.9449
-8 *124:29 *716:B1 20.8855
-9 *124:4 *124:40 13.5034
-10 *124:40 *742:B1 9.24915
-11 *124:40 *742:A1_N 13.059
-*END
-
-*D_NET *125 0.000264775
-*CONN
-*I *703:A1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *655:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *703:A1 2.06659e-05
-2 *655:Y 2.06659e-05
-3 *703:A1 *146:5 0.000111722
-4 *90:51 *703:A1 0.000111722
-*RES
-1 *655:Y *703:A1 19.7763
-*END
-
-*D_NET *126 0.017861
-*CONN
-*I *665:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *666:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *663:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *664:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *750:D I *D sky130_fd_sc_hd__and4_2
-*I *658:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *749:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *662:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *661:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *753:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *752:A1 I *D sky130_fd_sc_hd__a31o_2
-*I *657:A I *D sky130_fd_sc_hd__inv_2
-*I *656:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *665:B2 8.83257e-05
-2 *666:A2 0.000129687
-3 *663:A2 0.000172568
-4 *664:A2 0.000104969
-5 *750:D 0
-6 *658:A2 0.000277811
-7 *749:C1 0.000188
-8 *662:A2 0.000437362
-9 *661:A2 7.62179e-05
-10 *753:C1 0.000206629
-11 *752:A1 3.91997e-05
-12 *657:A 4.85885e-05
-13 *656:X 0.000785553
-14 *126:87 0.000841468
-15 *126:65 0.000611141
-16 *126:61 0.00101074
-17 *126:38 0.000327021
-18 *126:37 0.000735034
-19 *126:33 0.000256409
-20 *126:30 0.00156613
-21 *126:25 0.00180096
-22 *126:20 0.000545231
-23 *126:19 0.000196551
-24 *126:11 0.000834142
-25 *657:A *127:7 6.50586e-05
-26 *658:A2 *642:A 2.95757e-05
-27 *658:A2 *690:A1 6.37047e-05
-28 *658:A2 *690:A2 2.05342e-06
-29 *658:A2 *209:30 6.08467e-05
-30 *658:A2 *209:34 3.02961e-05
-31 *661:A2 *661:A1 2.02722e-05
-32 *661:A2 *661:B2 0.000141256
-33 *662:A2 *662:B2 8.79741e-05
-34 *662:A2 *670:B 9.2172e-05
-35 *662:A2 *674:A 4.00504e-05
-36 *662:A2 *675:A2_N 0
-37 *662:A2 *675:B1 0.000169093
-38 *662:A2 *675:B2 7.50872e-05
-39 *662:A2 *130:8 0.000210977
-40 *662:A2 *133:26 3.03866e-05
-41 *662:A2 *162:13 0
-42 *662:A2 *207:41 0
-43 *662:A2 *212:14 4.4647e-05
-44 *662:A2 *212:33 1.6594e-05
-45 *663:A2 *663:A1 5.94977e-06
-46 *663:A2 *663:B1 1.67329e-05
-47 *663:A2 *667:B 0
-48 *664:A2 *664:A1 1.67329e-05
-49 *664:A2 *712:A2 1.67329e-05
-50 *664:A2 *204:51 1.8706e-05
-51 *664:A2 *210:10 0
-52 *665:B2 *853:CLK 0.000191541
-53 *665:B2 *127:26 0.000161951
-54 *665:B2 *127:116 0
-55 *666:A2 *204:127 2.65831e-05
-56 *666:A2 *218:19 0.000246924
-57 *749:C1 *658:B2 0
-58 *749:C1 *748:A2 0.000228593
-59 *749:C1 *748:B1 4.94594e-05
-60 *749:C1 *127:90 1.41976e-05
-61 *749:C1 *209:30 0.000344708
-62 *752:A1 *195:13 6.92705e-05
-63 *753:C1 *753:B1 5.41227e-05
-64 *753:C1 *195:13 6.24956e-05
-65 *126:11 *663:A1 0
-66 *126:11 *836:D 5.04829e-06
-67 *126:11 *850:CLK 1.09551e-05
-68 *126:11 *127:7 3.01683e-06
-69 *126:11 *219:7 7.88559e-05
-70 *126:20 *667:A 3.67528e-06
-71 *126:20 *667:B 4.36187e-05
-72 *126:20 *853:CLK 6.77777e-06
-73 *126:20 *127:26 2.95757e-05
-74 *126:20 *204:127 0.000116804
-75 *126:25 *667:A 1.82832e-05
-76 *126:25 *667:B 1.82832e-05
-77 *126:25 *204:127 1.87482e-05
-78 *126:30 *673:A1 0
-79 *126:30 *673:B1 0
-80 *126:30 *754:A 0.000101116
-81 *126:30 *131:16 0.000164017
-82 *126:30 *204:114 5.74686e-05
-83 *126:30 *204:127 2.63421e-05
-84 *126:30 *206:7 0.000122392
-85 *126:30 *206:33 0
-86 *126:33 *198:8 0.000164815
-87 *126:33 *204:52 0.000144695
-88 *126:37 *750:A 1.00937e-05
-89 *126:37 *750:B 0.000313481
-90 *126:37 *855:CLK 5.97411e-05
-91 *126:37 *204:52 4.56831e-05
-92 *126:38 *196:12 3.31882e-05
-93 *126:61 *750:A 3.20069e-06
-94 *126:61 *196:12 9.55049e-05
-95 *126:65 *213:16 8.62625e-06
-96 *126:87 *691:A2_N 9.78191e-05
-97 *126:87 *127:90 3.80615e-05
-98 *126:87 *208:49 1.59966e-05
-99 *126:87 *213:16 0.000109859
-100 *126:87 *213:27 5.77203e-05
-101 *671:B *126:30 5.92192e-05
-102 *745:B *753:C1 3.31733e-05
-103 *748:A1 *658:A2 0
-104 *784:A *663:A2 0
-105 *835:RESET_B *126:11 8.96314e-06
-106 *838:D *126:30 3.06126e-05
-107 *838:RESET_B *126:30 0
-108 *839:D *753:C1 0
-109 *839:D *126:38 0
-110 *841:D *658:A2 3.20069e-06
-111 *849:RESET_B *663:A2 5.25402e-05
-112 *850:D *666:A2 5.11839e-05
-113 *852:D *664:A2 0.000130777
-114 *852:RESET_B *664:A2 0
-115 *853:D *665:B2 0
-116 *855:D *661:A2 0.000211478
-117 *855:D *126:37 5.04829e-06
-118 *855:D *126:61 0.000299373
-119 *855:D *126:65 0.000113968
-120 *29:8 *126:20 0
-121 *39:11 *658:A2 3.51288e-06
-122 *39:11 *662:A2 0.000160328
-123 *39:11 *126:65 0
-124 *90:110 *663:A2 5.05252e-05
-125 *90:110 *665:B2 0
-126 *90:113 *663:A2 0
-127 *90:172 *126:11 0.000162739
-128 *116:16 *753:C1 1.55462e-05
-129 *116:16 *126:61 0
-130 *116:20 *753:C1 0.000170177
-131 *117:8 *664:A2 0.000193108
-132 *117:8 *126:30 9.75356e-05
-*RES
-1 *656:X *126:11 27.9369
-2 *126:11 *657:A 10.5271
-3 *126:11 *126:19 4.5
-4 *126:19 *126:20 3.493
-5 *126:20 *126:25 9.55251
-6 *126:25 *126:30 29.6408
-7 *126:30 *126:33 9.10562
-8 *126:33 *126:37 9.10562
-9 *126:37 *126:38 1.832
-10 *126:38 *752:A1 14.4725
-11 *126:38 *753:C1 19.7825
-12 *126:37 *126:61 18.7652
-13 *126:61 *126:65 7.19474
-14 *126:65 *661:A2 12.4321
-15 *126:65 *662:A2 32.6727
-16 *126:61 *126:87 9.37981
-17 *126:87 *749:C1 21.176
-18 *126:87 *658:A2 19.1559
-19 *126:33 *750:D 9.24915
-20 *126:30 *664:A2 18.2716
-21 *126:25 *663:A2 22.7716
-22 *126:20 *666:A2 18.62
-23 *126:19 *665:B2 17.6574
-*END
-
-*D_NET *127 0.0164354
-*CONN
-*I *704:B I *D sky130_fd_sc_hd__and4_2
-*I *666:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *665:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *755:C1 I *D sky130_fd_sc_hd__a311o_2
-*I *748:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *658:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *661:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *662:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *664:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *663:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *667:B I *D sky130_fd_sc_hd__or2_2
-*I *657:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *704:B 1.14333e-05
-2 *666:B2 0.000172079
-3 *665:A2 0
-4 *755:C1 1.81048e-05
-5 *748:B1 1.22163e-05
-6 *658:B2 1.37829e-05
-7 *661:B2 0.000188849
-8 *662:B2 0.000522875
-9 *664:B2 0
-10 *663:B2 0
-11 *667:B 0.000296984
-12 *657:Y 0
-13 *127:129 0.000401872
-14 *127:116 0.000390269
-15 *127:90 0.00021711
-16 *127:55 0.000905946
-17 *127:54 0.000883708
-18 *127:44 0.000770001
-19 *127:32 0.00192782
-20 *127:26 0.00196671
-21 *127:7 0.000369457
-22 *127:4 0.000192977
-23 *658:B2 *690:A1 3.96305e-06
-24 *658:B2 *209:34 1.09551e-05
-25 *661:B2 *661:A1 1.88157e-05
-26 *661:B2 *682:A2 1.21461e-06
-27 *662:B2 *670:A 0.000351506
-28 *662:B2 *670:B 9.79132e-05
-29 *662:B2 *137:10 9.24241e-05
-30 *662:B2 *207:21 7.24048e-05
-31 *666:B2 *666:A1 9.2346e-06
-32 *666:B2 *704:D 0.00017046
-33 *666:B2 *219:19 0.000108726
-34 *667:B *667:A 0.000175485
-35 *704:B *665:A1 6.50727e-05
-36 *704:B *665:B1 1.41976e-05
-37 *704:B *220:9 2.15348e-05
-38 *748:B1 *209:30 2.57986e-05
-39 *755:C1 *208:30 1.7012e-06
-40 *127:7 *663:A1 3.01683e-06
-41 *127:7 *850:CLK 0
-42 *127:32 *673:A2 0.000110342
-43 *127:32 *686:B1 8.65358e-05
-44 *127:32 *750:C 0
-45 *127:32 *754:A 8.98943e-05
-46 *127:32 *853:CLK 2.15184e-05
-47 *127:32 *131:16 1.65872e-05
-48 *127:32 *198:8 8.37979e-05
-49 *127:32 *204:51 4.87198e-05
-50 *127:32 *204:67 0
-51 *127:32 *205:20 7.95572e-05
-52 *127:32 *211:5 0.00011818
-53 *127:44 *646:A 8.5976e-05
-54 *127:44 *205:20 3.55179e-05
-55 *127:54 *691:A2_N 0.000161243
-56 *127:54 *135:19 5.8911e-05
-57 *127:54 *208:30 0.000118636
-58 *127:54 *208:42 0.000474608
-59 *127:54 *208:49 0.000211961
-60 *127:55 *661:B1 0
-61 *127:55 *129:8 0.000121494
-62 *127:90 *690:A1 2.6813e-05
-63 *127:90 *129:8 4.19841e-05
-64 *127:90 *135:19 0.000109427
-65 *127:90 *151:5 0.000107496
-66 *127:90 *209:30 1.92172e-05
-67 *127:90 *209:34 5.31074e-05
-68 *127:116 *665:A1 0.000107041
-69 *127:116 *665:B1 5.61116e-05
-70 *127:116 *853:CLK 0.000113583
-71 *127:129 *665:A1 0.000222631
-72 *127:129 *665:B1 0.000234021
-73 *127:129 *704:D 8.62625e-06
-74 clockp[1] *662:B2 0
-75 clockp[1] *127:55 0
-76 *655:A *662:B2 0
-77 *657:A *127:7 6.50586e-05
-78 *661:A2 *661:B2 0.000141256
-79 *662:A2 *662:B2 8.79741e-05
-80 *663:A2 *667:B 0
-81 *665:B2 *127:26 0.000161951
-82 *665:B2 *127:116 0
-83 *749:C1 *658:B2 0
-84 *749:C1 *748:B1 4.94594e-05
-85 *749:C1 *127:90 1.41976e-05
-86 *753:A2 *127:32 0
-87 *755:B1 *755:C1 4.76161e-06
-88 *755:B1 *127:44 2.58616e-05
-89 *755:B1 *127:54 7.67734e-06
-90 *834:RESET_B *666:B2 0
-91 *837:D *127:54 0.000208627
-92 *837:RESET_B *127:44 0.000206696
-93 *849:RESET_B *667:B 7.58595e-05
-94 *850:RESET_B *666:B2 7.86847e-05
-95 *851:D *127:116 1.87469e-05
-96 *853:D *127:26 0
-97 *854:D *662:B2 2.7961e-05
-98 *29:8 *127:129 5.05252e-05
-99 *39:11 *662:B2 0.00068818
-100 *39:11 *127:55 0.00035923
-101 *39:11 *127:90 0.00019097
-102 *90:70 *127:44 1.04731e-05
-103 *90:110 *667:B 1.36691e-05
-104 *90:110 *127:26 0
-105 *90:113 *667:B 0
-106 *90:134 *667:B 6.92705e-05
-107 *90:142 *667:B 4.33655e-05
-108 *90:155 *666:B2 3.67528e-06
-109 *116:5 *127:44 0.000152751
-110 *117:8 *127:32 1.57871e-05
-111 *126:11 *127:7 3.01683e-06
-112 *126:20 *667:B 4.36187e-05
-113 *126:20 *127:26 2.95757e-05
-114 *126:25 *667:B 1.82832e-05
-115 *126:87 *127:90 3.80615e-05
-*RES
-1 *657:Y *127:4 9.24915
-2 *127:4 *127:7 1.85672
-3 *127:7 *667:B 27.312
-4 *127:7 *663:B2 9.24915
-5 *127:4 *127:26 12.493
-6 *127:26 *127:32 33.274
-7 *127:32 *664:B2 13.7491
-8 *127:32 *127:44 12.4862
-9 *127:44 *127:54 18.1963
-10 *127:54 *127:55 6.81502
-11 *127:55 *662:B2 32.2854
-12 *127:55 *661:B2 18.3789
-13 *127:54 *127:90 20.2987
-14 *127:90 *658:B2 9.82786
-15 *127:90 *748:B1 9.97254
-16 *127:44 *755:C1 9.82786
-17 *127:26 *127:116 13.4256
-18 *127:116 *665:A2 9.24915
-19 *127:116 *127:129 10.9877
-20 *127:129 *666:B2 20.5642
-21 *127:129 *704:B 14.4725
-*END
-
-*D_NET *128 0.000923
-*CONN
-*I *691:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *669:B1 I *D sky130_fd_sc_hd__a21o_2
-*I *668:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *691:B1 8.34533e-05
-2 *669:B1 0
-3 *668:Y 3.81239e-05
-4 *128:5 0.000121577
-5 *691:B1 *668:B 5.08751e-05
-6 *691:B1 *691:A2_N 5.70488e-06
-7 *691:B1 *208:49 0.000118017
-8 *691:B1 *208:52 2.16355e-05
-9 *691:B1 *213:27 1.37566e-05
-10 *128:5 *668:B 0.000217923
-11 *128:5 *208:52 8.85759e-05
-12 *39:11 *691:B1 0.000163359
-*RES
-1 *668:Y *128:5 11.6364
-2 *128:5 *669:B1 9.24915
-3 *128:5 *691:B1 22.0503
-*END
-
-*D_NET *129 0.000834805
-*CONN
-*I *676:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *676:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *669:X O *D sky130_fd_sc_hd__a21o_2
-*CAP
-1 *676:B1 0
-2 *676:A1_N 6.16827e-05
-3 *669:X 0.000101908
-4 *129:8 0.000163591
-5 *676:A1_N *676:A2_N 0.00016386
-6 *676:A1_N *693:A2 0.000117515
-7 *676:A1_N *135:19 6.27718e-05
-8 *127:55 *129:8 0.000121494
-9 *127:90 *129:8 4.19841e-05
-*RES
-1 *669:X *129:8 21.3269
-2 *129:8 *676:A1_N 12.3115
-3 *129:8 *676:B1 9.24915
-*END
-
-*D_NET *130 0.00179688
-*CONN
-*I *675:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *677:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *670:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *675:B1 5.66371e-05
-2 *677:B1 5.19477e-05
-3 *670:Y 0.000215686
-4 *130:8 0.000324271
-5 *677:B1 *204:82 0.000158357
-6 *677:B1 *212:7 3.024e-05
-7 *130:8 *662:B1 0
-8 *130:8 *670:B 0.000164815
-9 *662:A2 *675:B1 0.000169093
-10 *662:A2 *130:8 0.000210977
-11 *39:11 *675:B1 0.000160467
-12 *39:11 *130:8 0.00025439
-*RES
-1 *670:Y *130:8 20.3205
-2 *130:8 *677:B1 15.5817
-3 *130:8 *675:B1 16.8269
-*END
-
-*D_NET *131 0.00194068
-*CONN
-*I *673:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *684:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *684:B1 I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *686:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *671:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *673:B1 0.000149048
-2 *684:A1_N 0
-3 *684:B1 5.60214e-05
-4 *686:B1 0.000107474
-5 *671:Y 0
-6 *131:23 0.000182663
-7 *131:16 0.000196499
-8 *131:4 0.000214336
-9 *673:B1 *673:B2 5.65074e-05
-10 *673:B1 *684:B2 5.31074e-05
-11 *673:B1 *132:9 0.000149532
-12 *684:B1 *684:B2 0.000169041
-13 *686:B1 *752:B1 1.65872e-05
-14 *131:16 *133:11 1.91246e-05
-15 *131:23 *684:B2 0.000106215
-16 *671:A *686:B1 0.000171273
-17 *117:20 *686:B1 2.61147e-05
-18 *126:30 *673:B1 0
-19 *126:30 *131:16 0.000164017
-20 *127:32 *686:B1 8.65358e-05
-21 *127:32 *131:16 1.65872e-05
-*RES
-1 *671:Y *131:4 9.24915
-2 *131:4 *686:B1 13.3002
-3 *131:4 *131:16 12.8011
-4 *131:16 *684:B1 11.0817
-5 *131:16 *131:23 1.278
-6 *131:23 *684:A1_N 9.24915
-7 *131:23 *673:B1 23.5748
-*END
-
-*D_NET *132 0.00136446
-*CONN
-*I *673:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *684:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *684:B2 I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *672:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *673:B2 6.25991e-05
-2 *684:A2_N 0
-3 *684:B2 9.52516e-05
-4 *672:X 0
-5 *132:9 0.000201491
-6 *132:5 0.000168839
-7 *673:B2 *673:A1 0.000146645
-8 *684:B2 *144:18 6.99486e-05
-9 *132:9 *673:A1 7.66539e-05
-10 *132:9 *206:33 8.62625e-06
-11 *673:B1 *673:B2 5.65074e-05
-12 *673:B1 *684:B2 5.31074e-05
-13 *673:B1 *132:9 0.000149532
-14 *684:B1 *684:B2 0.000169041
-15 *131:23 *684:B2 0.000106215
-*RES
-1 *672:X *132:5 13.7491
-2 *132:5 *132:9 8.30395
-3 *132:9 *684:B2 13.3002
-4 *132:9 *684:A2_N 9.24915
-5 *132:5 *673:B2 16.4116
-*END
-
-*D_NET *133 0.0040016
-*CONN
-*I *678:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *678:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *674:A I *D sky130_fd_sc_hd__inv_2
-*I *673:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *678:B1 0
-2 *678:A1_N 0.000101097
-3 *674:A 4.50219e-05
-4 *673:X 0.00136457
-5 *133:26 0.000358528
-6 *133:11 0.00166702
-7 *674:A *146:5 6.08467e-05
-8 *674:A *162:13 0
-9 *678:A1_N *678:A2_N 7.48633e-05
-10 *678:A1_N *144:18 2.51283e-05
-11 *133:26 *675:B2 0.00010167
-12 *133:26 *135:11 0
-13 *133:26 *144:18 5.24379e-05
-14 *662:A2 *674:A 4.00504e-05
-15 *662:A2 *133:26 3.03866e-05
-16 *90:51 *674:A 6.08467e-05
-17 *131:16 *133:11 1.91246e-05
-*RES
-1 *673:X *133:11 23.4394
-2 *133:11 *674:A 15.2664
-3 *133:11 *133:26 11.0447
-4 *133:26 *678:A1_N 12.3115
-5 *133:26 *678:B1 9.24915
-*END
-
-*D_NET *134 0.000330459
-*CONN
-*I *675:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *674:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *675:B2 7.68506e-05
-2 *674:Y 7.68506e-05
-3 *662:A2 *675:B2 7.50872e-05
-4 *133:26 *675:B2 0.00010167
-*RES
-1 *674:Y *675:B2 29.6384
-*END
-
-*D_NET *135 0.00335751
-*CONN
-*I *691:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *676:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *676:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *675:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *691:B2 0
-2 *676:B2 0
-3 *676:A2_N 5.07531e-05
-4 *675:X 0.000731193
-5 *135:19 0.000174633
-6 *135:11 0.000855072
-7 *135:11 *675:A1_N 2.7837e-05
-8 *135:11 *752:A3 1.43055e-05
-9 *135:11 *144:18 1.75637e-06
-10 *135:11 *151:5 0.00031463
-11 *135:11 *162:13 7.8406e-05
-12 *135:11 *196:9 0.000157469
-13 *135:11 *208:42 0.000140251
-14 *135:11 *208:49 8.3864e-05
-15 *135:19 *151:5 2.15591e-05
-16 *135:19 *208:49 1.41976e-05
-17 *676:A1_N *676:A2_N 0.00016386
-18 *676:A1_N *135:19 6.27718e-05
-19 *837:D *135:11 3.56119e-05
-20 *839:D *135:11 4.55024e-05
-21 *855:RESET_B *135:11 0.000106298
-22 *90:51 *135:11 9.60366e-05
-23 *114:5 *135:11 1.31657e-05
-24 *127:54 *135:19 5.8911e-05
-25 *127:90 *135:19 0.000109427
-26 *133:26 *135:11 0
-*RES
-1 *675:X *135:11 39.395
-2 *135:11 *135:19 4.26804
-3 *135:19 *676:A2_N 11.0817
-4 *135:19 *676:B2 9.24915
-5 *135:11 *691:B2 9.24915
-*END
-
-*D_NET *136 0.00135523
-*CONN
-*I *679:A2 I *D sky130_fd_sc_hd__a22oi_2
-*I *680:B I *D sky130_fd_sc_hd__or2_2
-*I *676:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *679:A2 3.6352e-05
-2 *680:B 0.000145765
-3 *676:X 0.000336055
-4 *136:5 0.000518172
-5 *136:5 *679:B2 9.07054e-05
-6 *136:5 *693:A2 6.46815e-05
-7 *136:5 *695:A2 6.25883e-06
-8 *679:A1 *136:5 4.47179e-05
-9 *679:B1 *136:5 4.01825e-05
-10 *9:8 *680:B 5.65148e-05
-11 *9:26 *680:B 1.58247e-05
-*RES
-1 *676:X *136:5 17.737
-2 *136:5 *680:B 22.0503
-3 *136:5 *679:A2 10.2378
-*END
-
-*D_NET *137 0.00071476
-*CONN
-*I *678:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *678:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *677:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *678:B2 0
-2 *678:A2_N 4.15923e-05
-3 *677:Y 0.000113978
-4 *137:10 0.000155571
-5 *678:A2_N *144:18 0.000171273
-6 *137:10 *204:82 6.50586e-05
-7 clockp[1] *137:10 0
-8 *662:B2 *137:10 9.24241e-05
-9 *678:A1_N *678:A2_N 7.48633e-05
-*RES
-1 *677:Y *137:10 20.8045
-2 *137:10 *678:A2_N 11.6605
-3 *137:10 *678:B2 9.24915
-*END
-
-*D_NET *138 0.00331162
-*CONN
-*I *679:B2 I *D sky130_fd_sc_hd__a22oi_2
-*I *682:A2 I *D sky130_fd_sc_hd__o211a_2
-*I *678:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *679:B2 0.000332846
-2 *682:A2 0.000460522
-3 *678:X 0.000191787
-4 *138:6 0.000985155
-5 *679:B2 *683:A 0
-6 *679:B2 *693:A2 6.24474e-06
-7 *679:B2 *695:A2 0.000159032
-8 *682:A2 *682:B1 1.09551e-05
-9 *682:A2 *689:A2 2.75108e-05
-10 *682:A2 *695:B2 0.000217951
-11 *682:A2 *142:9 4.33117e-06
-12 *138:6 *683:A 0
-13 clockp[1] *679:B2 0.00028516
-14 clockp[1] *138:6 0.000367831
-15 *661:B2 *682:A2 1.21461e-06
-16 *679:B1 *679:B2 1.63255e-05
-17 *682:A1 *682:A2 0
-18 *689:A1 *138:6 3.58321e-05
-19 *6:36 *138:6 7.50722e-05
-20 *7:45 *138:6 5.66868e-06
-21 *90:51 *138:6 3.74738e-05
-22 *136:5 *679:B2 9.07054e-05
-*RES
-1 *678:X *138:6 20.5642
-2 *138:6 *682:A2 21.4171
-3 *138:6 *679:B2 24.0875
-*END
-
-*D_NET *139 0.000828968
-*CONN
-*I *695:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *682:C1 I *D sky130_fd_sc_hd__o211a_2
-*I *679:Y O *D sky130_fd_sc_hd__a22oi_2
-*CAP
-1 *695:A1 0
-2 *682:C1 0.000150418
-3 *679:Y 0.000109873
-4 *139:6 0.000260292
-5 *682:C1 *682:B1 5.95349e-05
-6 *682:C1 *689:A2 3.31733e-05
-7 *682:C1 *689:B1 5.22654e-06
-8 *682:C1 *695:A2 5.03545e-06
-9 *682:C1 *695:B2 5.63629e-05
-10 *682:C1 *703:D1 0
-11 *139:6 *682:B1 6.57892e-05
-12 *139:6 *695:A2 4.77444e-05
-13 *139:6 *140:8 3.55179e-05
-*RES
-1 *679:Y *139:6 17.2421
-2 *139:6 *682:C1 18.5612
-3 *139:6 *695:A1 13.7491
-*END
-
-*D_NET *140 0.00236985
-*CONN
-*I *682:B1 I *D sky130_fd_sc_hd__o211a_2
-*I *681:A I *D sky130_fd_sc_hd__inv_2
-*I *680:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *682:B1 0.000322717
-2 *681:A 0
-3 *680:X 0.000431075
-4 *140:8 0.000753792
-5 *682:B1 *683:A 0.000236427
-6 *682:B1 *689:A2 5.99691e-05
-7 *682:B1 *689:B1 9.46343e-05
-8 *682:B1 *695:B2 1.19721e-05
-9 *682:B1 *142:9 0.000268812
-10 *140:8 *693:A1 1.41976e-05
-11 *140:8 *693:A2 0
-12 *140:8 *693:B1_N 4.45375e-06
-13 *140:8 *154:8 0
-14 clockp[1] *140:8 0
-15 *682:A2 *682:B1 1.09551e-05
-16 *682:C1 *682:B1 5.95349e-05
-17 *139:6 *682:B1 6.57892e-05
-18 *139:6 *140:8 3.55179e-05
-*RES
-1 *680:X *140:8 21.0173
-2 *140:8 *681:A 13.7491
-3 *140:8 *682:B1 25.2327
-*END
-
-*D_NET *141 0.000681628
-*CONN
-*I *695:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *681:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *695:A2 0.000231779
-2 *681:Y 0.000231779
-3 *679:B2 *695:A2 0.000159032
-4 *682:C1 *695:A2 5.03545e-06
-5 *136:5 *695:A2 6.25883e-06
-6 *139:6 *695:A2 4.77444e-05
-*RES
-1 *681:Y *695:A2 32.1327
-*END
-
-*D_NET *142 0.00224582
-*CONN
-*I *683:A I *D sky130_fd_sc_hd__inv_2
-*I *703:C1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *682:X O *D sky130_fd_sc_hd__o211a_2
-*CAP
-1 *683:A 0.000136007
-2 *703:C1 0.000183558
-3 *682:X 0.000402793
-4 *142:9 0.000722359
-5 *683:A *689:B1 4.57241e-06
-6 *703:C1 *685:B 0
-7 *703:C1 *689:B1 2.99929e-05
-8 *703:C1 *703:A2 5.04829e-06
-9 *703:C1 *162:13 4.81714e-05
-10 *142:9 *689:A2 6.12085e-06
-11 *679:B2 *683:A 0
-12 *682:A1 *142:9 6.33254e-05
-13 *682:A2 *142:9 4.33117e-06
-14 *682:B1 *683:A 0.000236427
-15 *682:B1 *142:9 0.000268812
-16 *689:A1 *683:A 5.92342e-05
-17 *689:A1 *703:C1 6.43474e-05
-18 *7:45 *703:C1 1.07248e-05
-19 *138:6 *683:A 0
-*RES
-1 *682:X *142:9 20.5973
-2 *142:9 *703:C1 18.7105
-3 *142:9 *683:A 18.4879
-*END
-
-*D_NET *143 0.00085682
-*CONN
-*I *695:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *683:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *695:B1 0.000372549
-2 *683:Y 0.000372549
-3 *695:B1 *155:20 0.000111722
-*RES
-1 *683:Y *695:B1 24.6773
-*END
-
-*D_NET *144 0.00672964
-*CONN
-*I *689:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *685:B I *D sky130_fd_sc_hd__nand2_2
-*I *688:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *684:Y O *D sky130_fd_sc_hd__o2bb2ai_2
-*CAP
-1 *689:A2 0.000209205
-2 *685:B 0.000101789
-3 *688:A2 0
-4 *684:Y 0.00188379
-5 *144:25 0.00047516
-6 *144:18 0.00204795
-7 *685:B *688:C1 3.072e-06
-8 *685:B *703:D1 6.92705e-05
-9 *685:B *162:13 2.41274e-06
-10 *689:A2 *689:B1 0.00010017
-11 *689:A2 *695:B2 0.000164829
-12 *689:A2 *703:D1 0
-13 *144:18 *675:A1_N 9.68043e-05
-14 *144:25 *688:C1 0
-15 *144:25 *689:B1 0
-16 *144:25 *703:D1 9.34396e-06
-17 *144:25 *148:6 0
-18 *678:A1_N *144:18 2.51283e-05
-19 *678:A2_N *144:18 0.000171273
-20 *682:A2 *689:A2 2.75108e-05
-21 *682:B1 *689:A2 5.99691e-05
-22 *682:C1 *689:A2 3.31733e-05
-23 *684:B2 *144:18 6.99486e-05
-24 *688:A1 *144:18 5.22654e-06
-25 *688:A1 *144:25 3.49272e-05
-26 *703:C1 *685:B 0
-27 *751:A1 *144:18 0.000459316
-28 *6:20 *144:18 1.56631e-05
-29 *6:36 *144:18 0.00047703
-30 *7:10 *144:25 0
-31 *90:51 *144:18 0
-32 *114:5 *144:18 0.000118166
-33 *115:26 *144:18 8.1971e-06
-34 *133:26 *144:18 5.24379e-05
-35 *135:11 *144:18 1.75637e-06
-36 *142:9 *689:A2 6.12085e-06
-*RES
-1 *684:Y *144:18 45.8326
-2 *144:18 *688:A2 13.7491
-3 *144:18 *144:25 4.32351
-4 *144:25 *685:B 16.1605
-5 *144:25 *689:A2 20.2242
-*END
-
-*D_NET *145 0.000440943
-*CONN
-*I *688:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *685:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *688:C1 0.000133397
-2 *685:Y 0.000133397
-3 *332:DIODE *688:C1 8.52652e-05
-4 *685:B *688:C1 3.072e-06
-5 *6:20 *688:C1 1.07248e-05
-6 *7:10 *688:C1 7.50872e-05
-7 *144:25 *688:C1 0
-*RES
-1 *685:Y *688:C1 30.8842
-*END
-
-*D_NET *146 0.00387092
-*CONN
-*I *687:A I *D sky130_fd_sc_hd__inv_2
-*I *703:A2 I *D sky130_fd_sc_hd__o2111ai_2
-*I *686:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *687:A 7.55718e-05
-2 *703:A2 4.66011e-05
-3 *686:Y 0.000454375
-4 *146:5 0.000576548
-5 *687:A *148:6 7.56859e-06
-6 *703:A2 *162:13 6.08467e-05
-7 *146:5 *746:A 0.000131277
-8 *146:5 *752:A3 0.000101954
-9 *146:5 *752:B1 3.57037e-05
-10 *146:5 *162:13 0.00104637
-11 *146:5 *195:13 1.92172e-05
-12 *334:DIODE *703:A2 2.41274e-06
-13 *334:DIODE *146:5 7.92757e-06
-14 *671:A *146:5 5.08751e-05
-15 *674:A *146:5 6.08467e-05
-16 *686:A2 *146:5 6.08467e-05
-17 *688:A1 *687:A 0
-18 *703:A1 *146:5 0.000111722
-19 *703:C1 *703:A2 5.04829e-06
-20 *839:D *146:5 0.000160462
-21 *7:45 *687:A 0.000118485
-22 *90:51 *687:A 0.000200221
-23 *90:51 *146:5 0.000377689
-24 *116:41 *146:5 6.50586e-05
-25 *116:47 *146:5 9.32983e-05
-*RES
-1 *686:Y *146:5 29.9383
-2 *146:5 *703:A2 10.5513
-3 *146:5 *687:A 21.7421
-*END
-
-*D_NET *147 0.000456797
-*CONN
-*I *688:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *687:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *688:B2 5.89208e-05
-2 *687:Y 5.89208e-05
-3 *6:36 *688:B2 0.000177657
-4 *7:28 *688:B2 6.73186e-05
-5 *7:45 *688:B2 9.39797e-05
-*RES
-1 *687:Y *688:B2 22.5493
-*END
-
-*D_NET *148 0.000763548
-*CONN
-*I *689:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *703:B1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *688:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *689:B1 0.000107347
-2 *703:B1 0
-3 *688:X 0.000106219
-4 *148:6 0.000213566
-5 *682:B1 *689:B1 9.46343e-05
-6 *682:C1 *689:B1 5.22654e-06
-7 *683:A *689:B1 4.57241e-06
-8 *687:A *148:6 7.56859e-06
-9 *688:A1 *148:6 1.44467e-05
-10 *689:A2 *689:B1 0.00010017
-11 *703:C1 *689:B1 2.99929e-05
-12 *7:45 *689:B1 1.66228e-05
-13 *7:45 *148:6 6.31809e-05
-14 *144:25 *689:B1 0
-15 *144:25 *148:6 0
-*RES
-1 *688:X *148:6 16.8269
-2 *148:6 *703:B1 13.7491
-3 *148:6 *689:B1 17.5503
-*END
-
-*D_NET *149 0.000763494
-*CONN
-*I *695:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *689:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *695:B2 0.000132182
-2 *689:Y 0.000132182
-3 *695:B2 *703:D1 4.80148e-05
-4 *682:A2 *695:B2 0.000217951
-5 *682:B1 *695:B2 1.19721e-05
-6 *682:C1 *695:B2 5.63629e-05
-7 *689:A2 *695:B2 0.000164829
-*RES
-1 *689:Y *695:B2 32.548
-*END
-
-*D_NET *150 0.00147421
-*CONN
-*I *692:A I *D sky130_fd_sc_hd__or2_2
-*I *693:A1 I *D sky130_fd_sc_hd__a21bo_2
-*I *690:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *692:A 0.000332847
-2 *693:A1 8.11653e-05
-3 *690:X 0
-4 *150:4 0.000414012
-5 *692:A *690:A2 2.63201e-05
-6 *692:A *693:A2 9.46343e-05
-7 *692:A *693:B1_N 0
-8 *692:A *856:CLK 5.24081e-05
-9 *693:A1 *690:A1 0
-10 *693:A1 *693:A2 0.000122378
-11 clockp[1] *692:A 3.88655e-06
-12 *690:B2 *692:A 0.000101564
-13 *696:A1 *692:A 6.50586e-05
-14 *4:10 *692:A 6.17553e-05
-15 *112:13 *692:A 0.000103983
-16 *140:8 *693:A1 1.41976e-05
-*RES
-1 *690:X *150:4 9.24915
-2 *150:4 *693:A1 11.6605
-3 *150:4 *692:A 27.4203
-*END
-
-*D_NET *151 0.00312776
-*CONN
-*I *692:B I *D sky130_fd_sc_hd__or2_2
-*I *693:A2 I *D sky130_fd_sc_hd__a21bo_2
-*I *691:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *692:B 0.000134032
-2 *693:A2 0.000412565
-3 *691:X 0.000337778
-4 *151:5 0.000884375
-5 *693:A2 *690:A2 6.50586e-05
-6 clockp[1] *692:B 0
-7 clockp[1] *693:A2 2.18741e-05
-8 *676:A1_N *693:A2 0.000117515
-9 *679:B2 *693:A2 6.24474e-06
-10 *692:A *693:A2 9.46343e-05
-11 *693:A1 *693:A2 0.000122378
-12 *856:D *692:B 0.00019607
-13 *39:11 *692:B 0.000226867
-14 *127:90 *151:5 0.000107496
-15 *135:11 *151:5 0.00031463
-16 *135:19 *151:5 2.15591e-05
-17 *136:5 *693:A2 6.46815e-05
-18 *140:8 *693:A2 0
-*RES
-1 *691:X *151:5 14.964
-2 *151:5 *693:A2 26.9346
-3 *151:5 *692:B 23.4032
-*END
-
-*D_NET *152 0.00177785
-*CONN
-*I *696:C1 I *D sky130_fd_sc_hd__o221ai_2
-*I *693:B1_N I *D sky130_fd_sc_hd__a21bo_2
-*I *692:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *696:C1 0
-2 *693:B1_N 0.000100492
-3 *692:X 0.000119037
-4 *152:8 0.000219529
-5 *693:B1_N *690:A2 0
-6 *693:B1_N *153:8 0.00012568
-7 *693:B1_N *154:8 1.78514e-05
-8 *152:8 *696:B2 0.000277502
-9 *152:8 *856:CLK 0.000317707
-10 *152:8 *154:8 1.45944e-05
-11 *152:8 *156:7 0.000580998
-12 *690:B2 *693:B1_N 0
-13 *690:B2 *152:8 0
-14 *692:A *693:B1_N 0
-15 *113:8 *152:8 0
-16 *140:8 *693:B1_N 4.45375e-06
-*RES
-1 *692:X *152:8 21.5747
-2 *152:8 *693:B1_N 17.0017
-3 *152:8 *696:C1 13.7491
-*END
-
-*D_NET *153 0.000840098
-*CONN
-*I *696:B2 I *D sky130_fd_sc_hd__o221ai_2
-*I *694:B I *D sky130_fd_sc_hd__nand2_2
-*I *693:X O *D sky130_fd_sc_hd__a21bo_2
-*CAP
-1 *696:B2 0.000115682
-2 *694:B 0
-3 *693:X 5.30495e-05
-4 *153:8 0.000168732
-5 *153:8 *154:8 5.39463e-05
-6 *693:B1_N *153:8 0.00012568
-7 *696:B1 *696:B2 4.55055e-05
-8 *152:8 *696:B2 0.000277502
-*RES
-1 *693:X *153:8 20.4964
-2 *153:8 *694:B 9.24915
-3 *153:8 *696:B2 13.903
-*END
-
-*D_NET *154 0.00206198
-*CONN
-*I *695:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *703:D1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *694:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *695:C1 0
-2 *703:D1 0.000330904
-3 *694:Y 0.000394933
-4 *154:8 0.000725837
-5 *327:DIODE *154:8 8.70662e-06
-6 *329:DIODE *154:8 4.2524e-05
-7 *679:B1 *154:8 0.000135423
-8 *682:C1 *703:D1 0
-9 *685:B *703:D1 6.92705e-05
-10 *689:A2 *703:D1 0
-11 *693:B1_N *154:8 1.78514e-05
-12 *695:B2 *703:D1 4.80148e-05
-13 *696:B1 *154:8 9.19886e-06
-14 *7:8 *703:D1 3.13173e-05
-15 *7:10 *703:D1 5.22654e-06
-16 *8:8 *703:D1 3.5534e-06
-17 *8:8 *154:8 7.61444e-07
-18 *8:12 *703:D1 6.52182e-05
-19 *9:8 *154:8 7.59763e-05
-20 *9:26 *154:8 1.93781e-05
-21 *10:11 *154:8 0
-22 *140:8 *154:8 0
-23 *144:25 *703:D1 9.34396e-06
-24 *152:8 *154:8 1.45944e-05
-25 *153:8 *154:8 5.39463e-05
-*RES
-1 *694:Y *154:8 25.0248
-2 *154:8 *703:D1 22.0204
-3 *154:8 *695:C1 13.7491
-*END
-
-*D_NET *155 0.00445785
-*CONN
-*I *697:A I *D sky130_fd_sc_hd__or2_2
-*I *695:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *697:A 0
-2 *695:X 0.00131448
-3 *155:20 0.00131448
-4 *155:20 *664:A1 0.000362216
-5 *155:20 *664:B1 6.08467e-05
-6 *155:20 *668:A 2.16355e-05
-7 *155:20 *668:B 0.000423908
-8 *155:20 *712:A2 0.000262293
-9 *155:20 *837:CLK 0.000200356
-10 *155:20 *162:13 0.000230538
-11 *155:20 *208:52 4.91225e-06
-12 *695:B1 *155:20 0.000111722
-13 *837:RESET_B *155:20 0.000150455
-*RES
-1 *695:X *155:20 47.7964
-2 *155:20 *697:A 13.7491
-*END
-
-*D_NET *156 0.0085373
-*CONN
-*I *712:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *697:B I *D sky130_fd_sc_hd__or2_2
-*I *696:Y O *D sky130_fd_sc_hd__o221ai_2
-*CAP
-1 *712:A1 0.000907
-2 *697:B 0
-3 *696:Y 0.00191703
-4 *156:10 0.0012304
-5 *156:7 0.00224043
-6 *712:A1 *712:A2 7.84191e-05
-7 *712:A1 *713:B1 6.46815e-05
-8 *712:A1 *842:CLK 1.6352e-05
-9 *712:A1 *204:167 0.000157785
-10 *712:A1 *204:178 1.37921e-05
-11 *712:A1 *226:7 6.27782e-05
-12 *156:7 *856:CLK 0.000158027
-13 *156:7 *204:11 0.000409852
-14 *156:10 *157:12 0
-15 *156:10 *157:21 0
-16 *156:10 *170:29 2.71953e-05
-17 *156:10 *204:47 0
-18 *156:10 *204:178 0
-19 *785:A *712:A1 0.000168313
-20 *840:RESET_B *156:10 0
-21 *90:82 *712:A1 0.000504253
-22 *152:8 *156:7 0.000580998
-*RES
-1 *696:Y *156:7 44.4211
-2 *156:7 *156:10 12.5608
-3 *156:10 *697:B 9.24915
-4 *156:10 *712:A1 38.7983
-*END
-
-*D_NET *157 0.0117757
-*CONN
-*I *698:A I *D sky130_fd_sc_hd__inv_2
-*I *725:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *738:A2 I *D sky130_fd_sc_hd__o211a_2
-*I *716:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *715:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *712:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *719:B1 I *D sky130_fd_sc_hd__o32a_2
-*I *718:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *723:B1 I *D sky130_fd_sc_hd__o32a_2
-*I *721:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *720:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *730:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *697:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *698:A 0
-2 *725:B2 7.72827e-05
-3 *738:A2 0
-4 *716:A2 7.18729e-05
-5 *715:B2 1.31378e-05
-6 *712:B1 0.000127773
-7 *719:B1 0.000144782
-8 *718:B2 5.02153e-05
-9 *723:B1 1.81917e-05
-10 *721:B2 0
-11 *720:B2 1.1898e-05
-12 *730:A2 0.000321563
-13 *697:X 0.000255928
-14 *157:114 0.000469235
-15 *157:105 0.000784192
-16 *157:98 0.000498863
-17 *157:75 0.000194998
-18 *157:73 0.000213934
-19 *157:66 0.000149797
-20 *157:65 0.000109806
-21 *157:55 0.000339716
-22 *157:42 8.29194e-05
-23 *157:41 7.10213e-05
-24 *157:29 0.000488636
-25 *157:23 0.000191504
-26 *157:21 0.000719256
-27 *157:12 0.000687611
-28 *157:8 0.00043388
-29 *712:B1 *712:A2 4.78319e-06
-30 *715:B2 *715:A2 0
-31 *718:B2 *221:23 5.22654e-06
-32 *718:B2 *221:33 6.08467e-05
-33 *719:B1 *719:A3 3.01683e-06
-34 *719:B1 *719:B2 4.80635e-06
-35 *719:B1 *737:S 0.000111708
-36 *720:B2 *735:A3 6.39797e-05
-37 *720:B2 *185:7 2.73375e-05
-38 *723:B1 *718:A2 1.09551e-05
-39 *725:B2 *724:A 6.64392e-05
-40 *725:B2 *725:A2 6.3657e-05
-41 *725:B2 *158:35 3.09374e-06
-42 *730:A2 *725:A1 0.000230407
-43 *730:A2 *735:B2 7.50722e-05
-44 *730:A2 *186:10 4.37999e-05
-45 *157:8 *158:9 9.35979e-05
-46 *157:8 *158:35 2.39287e-05
-47 *157:8 *204:178 0
-48 *157:12 *204:178 9.34396e-06
-49 *157:21 *721:B1 4.58907e-05
-50 *157:21 *725:A1 0.000142221
-51 *157:21 *158:35 0
-52 *157:21 *158:47 0
-53 *157:21 *170:29 0
-54 *157:21 *178:5 0.000110306
-55 *157:21 *224:6 7.50722e-05
-56 *157:29 *158:47 3.26138e-05
-57 *157:29 *224:10 0
-58 *157:55 *723:A1 0.00011818
-59 *157:55 *723:B2 1.41291e-05
-60 *157:55 *734:A 8.14711e-05
-61 *157:55 *158:47 2.65831e-05
-62 *157:55 *158:55 2.41483e-05
-63 *157:55 *158:67 2.11063e-05
-64 *157:55 *180:10 0.000113968
-65 *157:65 *718:A2 5.88594e-05
-66 *157:65 *158:67 3.99086e-06
-67 *157:73 *718:A2 0.000166522
-68 *157:73 *737:A1 0.000248762
-69 *157:73 *738:B1 3.99086e-06
-70 *157:73 *175:7 6.50727e-05
-71 *157:73 *221:33 0.000122972
-72 *157:98 *737:A1 0.000258142
-73 *157:98 *738:B1 1.00937e-05
-74 *157:98 *739:A2_N 0
-75 *157:98 *221:33 0.000193069
-76 *157:105 *653:A 9.09474e-05
-77 *157:105 *738:B1 5.96839e-05
-78 *157:105 *739:A2_N 0
-79 *157:105 *743:B1 8.90468e-05
-80 *157:105 *845:CLK 0
-81 *157:105 *173:8 9.37563e-05
-82 *157:114 *653:A 0.000292027
-83 *157:114 *711:A 8.62625e-06
-84 *157:114 *712:A2 0
-85 *157:114 *715:A2 0.000275764
-86 *157:114 *227:21 4.4885e-05
-87 *715:B1 *712:B1 4.30017e-06
-88 *715:B1 *157:114 7.02172e-06
-89 *718:B1 *718:B2 6.50586e-05
-90 *721:A1 *157:29 4.59978e-05
-91 *809:A0 *719:B1 5.04829e-06
-92 *844:RESET_B *157:105 0.000146645
-93 *29:8 *718:B2 5.05252e-05
-94 *29:8 *719:B1 0.000134323
-95 *29:8 *157:114 7.56859e-06
-96 *90:82 *157:8 0.000384477
-97 *118:14 *719:B1 5.05252e-05
-98 *119:22 *730:A2 0.000309483
-99 *119:22 *157:29 0.000112125
-100 *119:25 *730:A2 0
-101 *123:9 *716:A2 4.58003e-05
-102 *123:9 *157:114 3.82228e-05
-103 *123:18 *157:114 4.66634e-05
-104 *156:10 *157:12 0
-105 *156:10 *157:21 0
-*RES
-1 *697:X *157:8 21.4325
-2 *157:8 *157:12 4.16474
-3 *157:12 *157:21 14.9455
-4 *157:21 *157:23 0.578717
-5 *157:23 *157:29 12.9405
-6 *157:29 *730:A2 26.0691
-7 *157:29 *157:41 9.24915
-8 *157:41 *157:42 81.1229
-9 *157:42 *720:B2 19.2217
-10 *157:23 *721:B2 9.24915
-11 *157:21 *157:55 7.37864
-12 *157:55 *723:B1 9.82786
-13 *157:55 *157:65 10.5271
-14 *157:65 *157:66 57.9449
-15 *157:66 *157:73 14.0477
-16 *157:73 *157:75 4.5
-17 *157:75 *718:B2 15.474
-18 *157:75 *719:B1 18.2684
-19 *157:73 *157:98 4.48505
-20 *157:98 *157:105 20.1257
-21 *157:105 *157:114 18.5345
-22 *157:114 *712:B1 12.209
-23 *157:114 *715:B2 9.82786
-24 *157:105 *716:A2 10.5271
-25 *157:98 *738:A2 9.24915
-26 *157:12 *725:B2 16.1458
-27 *157:8 *698:A 13.7491
-*END
-
-*D_NET *158 0.00912215
-*CONN
-*I *737:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *718:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *720:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *721:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *725:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *715:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *713:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *698:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *737:A1 0.000488414
-2 *718:A2 0.000131939
-3 *720:A2 7.77574e-05
-4 *721:A2 0
-5 *725:A2 3.03627e-05
-6 *715:A2 0.000267254
-7 *713:A2 2.12792e-05
-8 *698:Y 0
-9 *158:67 0.00101771
-10 *158:55 0.000526165
-11 *158:47 0.000351504
-12 *158:35 0.000547239
-13 *158:9 0.000621461
-14 *158:5 0.000549349
-15 *715:A2 *743:A2 0.000304838
-16 *715:A2 *170:60 6.91907e-05
-17 *715:A2 *227:11 6.63851e-05
-18 *718:A2 *723:B2 1.03434e-05
-19 *718:A2 *221:33 0
-20 *720:A2 *720:A1 1.67329e-05
-21 *720:A2 *729:B 4.3116e-06
-22 *720:A2 *735:A3 6.86533e-05
-23 *725:A2 *724:A 3.89073e-05
-24 *725:A2 *727:A1 0.000216458
-25 *737:A1 *175:7 6.50727e-05
-26 *737:A1 *221:33 0.000122098
-27 *158:9 *170:5 6.27332e-05
-28 *158:9 *170:10 0.000105163
-29 *158:9 *170:60 6.0497e-05
-30 *158:35 *171:15 6.84074e-06
-31 *158:47 *726:A 0.000132607
-32 *158:47 *734:A 3.5756e-05
-33 *158:47 *171:15 1.51779e-05
-34 *158:55 *734:A 1.09551e-05
-35 *158:67 *723:B2 0.000217951
-36 *158:67 *734:A 5.73392e-05
-37 *715:B1 *715:A2 0.000128357
-38 *715:B2 *715:A2 0
-39 *721:A1 *720:A2 0.000101133
-40 *721:A1 *158:47 2.94253e-05
-41 *723:B1 *718:A2 1.09551e-05
-42 *725:B1 *725:A2 6.36477e-05
-43 *725:B1 *158:35 0.000176145
-44 *725:B2 *725:A2 6.3657e-05
-45 *725:B2 *158:35 3.09374e-06
-46 *29:8 *715:A2 3.3556e-05
-47 *90:82 *158:9 0.000114584
-48 *90:98 *158:9 0.000308814
-49 *119:8 *720:A2 5.41377e-05
-50 *122:8 *737:A1 7.50872e-05
-51 *122:38 *737:A1 4.70104e-05
-52 *123:18 *715:A2 3.20264e-05
-53 *124:7 *158:9 1.58551e-05
-54 *124:40 *715:A2 0.000183941
-55 *124:40 *158:9 0.00012826
-56 *157:8 *158:9 9.35979e-05
-57 *157:8 *158:35 2.39287e-05
-58 *157:21 *158:35 0
-59 *157:21 *158:47 0
-60 *157:29 *158:47 3.26138e-05
-61 *157:55 *158:47 2.65831e-05
-62 *157:55 *158:55 2.41483e-05
-63 *157:55 *158:67 2.11063e-05
-64 *157:65 *718:A2 5.88594e-05
-65 *157:65 *158:67 3.99086e-06
-66 *157:73 *718:A2 0.000166522
-67 *157:73 *737:A1 0.000248762
-68 *157:98 *737:A1 0.000258142
-69 *157:114 *715:A2 0.000275764
-*RES
-1 *698:Y *158:5 13.7491
-2 *158:5 *158:9 16.3443
-3 *158:9 *713:A2 9.82786
-4 *158:9 *715:A2 29.9279
-5 *158:5 *158:35 6.03337
-6 *158:35 *725:A2 16.1364
-7 *158:35 *158:47 12.0384
-8 *158:47 *721:A2 9.24915
-9 *158:47 *158:55 1.71204
-10 *158:55 *720:A2 21.1106
-11 *158:55 *158:67 6.26943
-12 *158:67 *718:A2 13.2761
-13 *158:67 *737:A1 27.875
-*END
-
-*D_NET *159 0.000928283
-*CONN
-*I *700:A I *D sky130_fd_sc_hd__inv_2
-*I *701:C I *D sky130_fd_sc_hd__or3_2
-*I *699:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *700:A 0.000121402
-2 *701:C 0.000126345
-3 *699:X 0
-4 *159:4 0.000247746
-5 *700:A *166:38 1.99131e-05
-6 *700:A *250:9 0.000154145
-7 *701:C *250:9 0.000129784
-8 *55:7 *700:A 1.65872e-05
-9 *55:7 *701:C 0.000112361
-*RES
-1 *699:X *159:4 9.24915
-2 *159:4 *701:C 13.3243
-3 *159:4 *700:A 12.7697
-*END
-
-*D_NET *160 0.00964298
-*CONN
-*I *766:A I *D sky130_fd_sc_hd__or2_2
-*I *761:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *765:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *782:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *717:A I *D sky130_fd_sc_hd__nor2_2
-*I *700:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *766:A 1.97543e-05
-2 *761:A3 0.000330619
-3 *765:A4 0.000167373
-4 *782:A1 0.000361098
-5 *717:A 7.19925e-05
-6 *700:Y 0
-7 *160:43 0.00107783
-8 *160:35 0.00105035
-9 *160:6 0.000627081
-10 *160:5 0.000644745
-11 *765:A4 *164:42 0
-12 *782:A1 *166:38 3.5534e-06
-13 *160:6 *710:A 1.5714e-05
-14 *160:35 *781:A3 0.000170577
-15 *160:35 *222:61 0.00022094
-16 *160:35 *243:20 0.000711255
-17 *160:43 *201:58 0.000490324
-18 *160:43 *201:63 0.000215753
-19 *160:43 *243:20 0.000130087
-20 *379:DIODE *160:35 5.82695e-05
-21 *702:C *782:A1 0.000113773
-22 *702:C *160:6 0
-23 *761:B1 *761:A3 0.000110364
-24 *764:A1 *765:A4 9.98029e-06
-25 *766:B *160:43 0.000124919
-26 *767:B1 *765:A4 3.67708e-05
-27 *771:A2 *160:35 3.82228e-05
-28 *774:A4 *160:35 1.41291e-05
-29 *774:B1 *160:35 6.50586e-05
-30 *810:A0 *160:35 4.31703e-05
-31 *810:A0 *160:43 4.17467e-05
-32 *810:A1 *160:43 0
-33 *812:A0 *761:A3 0.000123582
-34 *812:A0 *765:A4 7.50722e-05
-35 *833:A0 *782:A1 0
-36 *833:A1 *717:A 0.000111708
-37 *5:339 *782:A1 0.000311235
-38 *5:350 *782:A1 7.12632e-06
-39 *13:12 *761:A3 1.58551e-05
-40 *21:16 *160:35 6.73022e-05
-41 *37:13 *761:A3 5.92192e-05
-42 *41:9 *717:A 0.000136533
-43 *41:9 *160:6 6.51423e-05
-44 *43:8 *761:A3 0.000143912
-45 *43:8 *765:A4 0.000163997
-46 *43:10 *765:A4 0.000148144
-47 *44:27 *761:A3 7.92757e-06
-48 *44:31 *761:A3 0.000118166
-49 *44:40 *761:A3 0.000122083
-50 *44:57 *761:A3 2.95757e-05
-51 *118:46 *717:A 2.652e-05
-52 *118:46 *160:6 0.000273949
-53 *118:46 *160:35 0.000310094
-54 *118:93 *160:35 0.000191365
-55 *119:38 *765:A4 1.2601e-05
-56 *119:45 *765:A4 3.08133e-05
-57 *119:72 *782:A1 1.28344e-05
-58 *122:38 *160:6 3.72649e-05
-59 *122:47 *160:6 3.84001e-05
-60 *122:47 *160:35 3.71118e-05
-*RES
-1 *700:Y *160:5 13.7491
-2 *160:5 *160:6 6.39977
-3 *160:6 *717:A 16.4439
-4 *160:6 *782:A1 30.3737
-5 *160:5 *160:35 23.9582
-6 *160:35 *160:43 16.3637
-7 *160:43 *765:A4 19.7337
-8 *160:43 *761:A3 31.8787
-9 *160:35 *766:A 9.82786
-*END
-
-*D_NET *161 0.000346073
-*CONN
-*I *713:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *702:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *713:A3 0.000101317
-2 *702:X 0.000101317
-3 *713:A3 *170:63 3.74397e-05
-4 *713:A1 *713:A3 4.09271e-05
-5 *124:18 *713:A3 6.50727e-05
-*RES
-1 *702:X *713:A3 30.0537
-*END
-
-*D_NET *162 0.00844552
-*CONN
-*I *712:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *703:Y O *D sky130_fd_sc_hd__o2111ai_2
-*CAP
-1 *712:A2 0.00136399
-2 *703:Y 0.00117819
-3 *162:13 0.00254218
-4 *712:A2 *664:A1 0.000157962
-5 *712:A2 *664:B1 1.29759e-05
-6 *712:A2 *713:B1 7.25324e-06
-7 *712:A2 *753:B2 0.000158371
-8 *712:A2 *205:20 0.00027103
-9 *162:13 *196:9 0.000162782
-10 *323:DIODE *162:13 6.50727e-05
-11 *662:A2 *162:13 0
-12 *664:A2 *712:A2 1.67329e-05
-13 *674:A *162:13 0
-14 *685:B *162:13 2.41274e-06
-15 *703:A2 *162:13 6.08467e-05
-16 *703:C1 *162:13 4.81714e-05
-17 *712:A1 *712:A2 7.84191e-05
-18 *712:B1 *712:A2 4.78319e-06
-19 *785:A *712:A2 0.000100253
-20 *852:RESET_B *712:A2 6.50727e-05
-21 *6:36 *162:13 0.000207266
-22 *117:8 *712:A2 0.000324151
-23 *135:11 *162:13 7.8406e-05
-24 *146:5 *162:13 0.00104637
-25 *155:20 *712:A2 0.000262293
-26 *155:20 *162:13 0.000230538
-27 *157:114 *712:A2 0
-*RES
-1 *703:Y *162:13 47.1948
-2 *162:13 *712:A2 39.8247
-*END
-
-*D_NET *163 0.00103152
-*CONN
-*I *712:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *704:X O *D sky130_fd_sc_hd__and4_2
-*CAP
-1 *712:C1 0.000224496
-2 *704:X 0.000224496
-3 *712:C1 *665:B1 0.000111708
-4 *712:C1 *712:B2 4.43615e-05
-5 *711:C *712:C1 0
-6 *851:D *712:C1 9.60216e-05
-7 *29:8 *712:C1 0.000330432
-*RES
-1 *704:X *712:C1 34.7608
-*END
-
-*D_NET *164 0.00837628
-*CONN
-*I *772:A I *D sky130_fd_sc_hd__or3_2
-*I *709:A I *D sky130_fd_sc_hd__or2_2
-*I *775:C I *D sky130_fd_sc_hd__or4_2
-*I *759:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *763:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *768:A3 I *D sky130_fd_sc_hd__a31o_2
-*I *706:A I *D sky130_fd_sc_hd__inv_2
-*I *705:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *772:A 0.000181062
-2 *709:A 4.40512e-05
-3 *775:C 0
-4 *759:A1 0.000105193
-5 *763:A4 4.51842e-05
-6 *768:A3 9.51947e-05
-7 *706:A 0.000225352
-8 *705:X 4.99032e-05
-9 *164:42 0.000292734
-10 *164:32 0.000382648
-11 *164:28 0.000963444
-12 *164:20 0.0010963
-13 *164:17 0.000473616
-14 *164:7 0.000333907
-15 *706:A *778:A2 6.34599e-05
-16 *706:A *873:Z 0.00015607
-17 *706:A *221:55 2.25867e-05
-18 *706:A *222:18 0
-19 *706:A *222:32 0
-20 *706:A *249:23 9.87197e-05
-21 *706:A *250:20 2.21161e-05
-22 *709:A *651:A 1.03434e-05
-23 *709:A *709:B 4.80635e-06
-24 *763:A4 *764:A2 6.08467e-05
-25 *768:A3 *767:A3 0.000169041
-26 *768:A3 *250:9 0.000164843
-27 *772:A *778:A2 2.26567e-05
-28 *772:A *866:TE 0
-29 *772:A *222:32 0
-30 *772:A *222:50 0.00019108
-31 *772:A *243:20 5.11783e-05
-32 *164:7 *705:A 9.19886e-06
-33 *164:17 *778:A2 2.47663e-05
-34 *164:20 *651:A 6.73186e-05
-35 *164:20 *709:B 5.20546e-06
-36 *164:28 *709:B 3.99086e-06
-37 *164:28 *756:B 2.06458e-05
-38 *164:28 *776:B1 2.52287e-06
-39 *164:28 *781:A2 0.0002646
-40 *164:28 *781:A3 0.000421676
-41 *164:32 *756:B 8.82765e-05
-42 *164:32 *775:B 6.29128e-05
-43 *164:32 *225:76 0.000353686
-44 *164:32 *258:9 0.000453457
-45 *764:A1 *164:42 5.05252e-05
-46 *765:A4 *164:42 0
-47 *775:A *164:28 7.73707e-05
-48 *781:B1 *164:28 6.89789e-05
-49 *812:A0 *759:A1 3.04973e-05
-50 *812:A0 *164:42 3.67528e-06
-51 *831:A1 *768:A3 1.70077e-05
-52 *40:9 *164:20 1.4091e-06
-53 *44:27 *759:A1 6.50586e-05
-54 *48:8 *759:A1 0.000134323
-55 *48:8 *768:A3 0.000143017
-56 *48:8 *164:42 0.000249293
-57 *119:38 *768:A3 0.00019364
-58 *119:38 *164:42 2.6046e-05
-59 *119:45 *164:42 0.000122083
-60 *122:38 *706:A 8.8761e-05
-*RES
-1 *705:X *164:7 14.4725
-2 *164:7 *706:A 21.0145
-3 *164:7 *164:17 1.41674
-4 *164:17 *164:20 7.99641
-5 *164:20 *164:28 14.8055
-6 *164:28 *164:32 11.8786
-7 *164:32 *768:A3 19.0748
-8 *164:32 *164:42 4.73876
-9 *164:42 *763:A4 14.4725
-10 *164:42 *759:A1 17.135
-11 *164:28 *775:C 9.24915
-12 *164:20 *709:A 10.6477
-13 *164:17 *772:A 19.3917
-*END
-
-*D_NET *165 0.00210841
-*CONN
-*I *717:B I *D sky130_fd_sc_hd__nor2_2
-*I *719:B2 I *D sky130_fd_sc_hd__o32a_2
-*I *782:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *706:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *717:B 0
-2 *719:B2 0.000193323
-3 *782:A2 4.38123e-05
-4 *706:Y 9.6009e-05
-5 *165:14 0.00030292
-6 *165:5 0.000249419
-7 *719:B2 *719:A1 0.000104731
-8 *719:B2 *719:A3 1.43848e-05
-9 *719:B2 *737:S 2.41274e-06
-10 *719:B2 *185:7 0
-11 *782:A2 *782:B1 6.08467e-05
-12 *782:A2 *166:32 2.58616e-05
-13 *782:A2 *249:23 0.00015607
-14 *165:5 *710:A 6.27718e-05
-15 *165:5 *782:B1 1.41976e-05
-16 *165:5 *249:23 0.000386928
-17 *702:C *719:B2 3.26195e-05
-18 *702:C *165:14 0.000171474
-19 *719:B1 *719:B2 4.80635e-06
-20 *782:C1 *165:5 0.0001139
-21 *41:9 *719:B2 1.25775e-05
-22 *41:9 *165:14 3.33235e-05
-23 *118:14 *719:B2 6.12686e-06
-24 *118:46 *719:B2 0
-25 *122:38 *165:5 1.9898e-05
-*RES
-1 *706:Y *165:5 13.8548
-2 *165:5 *782:A2 11.0817
-3 *165:5 *165:14 7.993
-4 *165:14 *719:B2 19.1721
-5 *165:14 *717:B 13.7491
-*END
-
-*D_NET *166 0.00799332
-*CONN
-*I *782:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *780:B I *D sky130_fd_sc_hd__and3_2
-*I *773:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *771:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *709:B I *D sky130_fd_sc_hd__or2_2
-*I *756:B I *D sky130_fd_sc_hd__or2_2
-*I *708:A I *D sky130_fd_sc_hd__inv_2
-*I *707:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *782:A3 0
-2 *780:B 0
-3 *773:A2 0.000287896
-4 *771:A3 0
-5 *709:B 7.77013e-05
-6 *756:B 0.000399561
-7 *708:A 0.000153372
-8 *707:X 6.39684e-05
-9 *166:52 0.000459291
-10 *166:41 0.000305763
-11 *166:38 0.000695504
-12 *166:32 0.000822344
-13 *166:7 0.000552933
-14 *166:5 0.000247475
-15 *708:A *720:A1 0.000196819
-16 *708:A *723:B2 0.000122682
-17 *708:A *781:A2 2.43314e-05
-18 *756:B *776:B1 8.42898e-05
-19 *756:B *779:B 0
-20 *756:B *781:A2 0.000288851
-21 *773:A2 *243:20 2.11494e-05
-22 *773:A2 *312:15 0.000107496
-23 *166:5 *223:90 6.27782e-05
-24 *166:5 *223:98 6.27718e-05
-25 *166:5 *249:23 7.92757e-06
-26 *166:32 *782:B1 1.47978e-05
-27 *166:32 *249:23 7.99701e-05
-28 *166:38 *780:A 1.4091e-06
-29 *166:38 *780:C 1.41976e-05
-30 *166:38 *250:9 5.481e-05
-31 *166:41 *780:C 0.000170577
-32 *166:41 *225:206 0.000170577
-33 *166:52 *780:C 0.000199738
-34 *166:52 *225:206 0.000110758
-35 *700:A *166:38 1.99131e-05
-36 *701:B *756:B 4.4434e-05
-37 *702:C *166:38 0.000112897
-38 *709:A *709:B 4.80635e-06
-39 *770:A *773:A2 0.000271475
-40 *773:A3 *773:A2 0.000163982
-41 *773:A3 *166:52 5.82161e-05
-42 *774:A4 *773:A2 8.10016e-06
-43 *775:A *756:B 0.000184217
-44 *781:B1 *709:B 2.16355e-05
-45 *782:A1 *166:38 3.5534e-06
-46 *782:A2 *166:32 2.58616e-05
-47 *813:A0 *166:52 1.5714e-05
-48 *823:A0 *773:A2 0.000179964
-49 *823:A0 *166:52 8.86331e-05
-50 *830:A0 *708:A 0.000115934
-51 *5:324 *773:A2 0
-52 *5:350 *708:A 5.99361e-05
-53 *40:9 *709:B 0.000160617
-54 *55:22 *166:38 0.00010969
-55 *118:93 *773:A2 1.66626e-05
-56 *118:96 *773:A2 6.08467e-05
-57 *119:72 *708:A 6.84784e-06
-58 *119:72 *166:38 0
-59 *119:81 *756:B 2.72267e-05
-60 *119:81 *166:38 0
-61 *120:7 *166:5 6.08467e-05
-62 *120:7 *166:32 0.000110458
-63 *120:11 *708:A 3.77686e-05
-64 *120:11 *756:B 8.80283e-06
-65 *120:35 *756:B 3.44197e-05
-66 *164:20 *709:B 5.20546e-06
-67 *164:28 *709:B 3.99086e-06
-68 *164:28 *756:B 2.06458e-05
-69 *164:32 *756:B 8.82765e-05
-*RES
-1 *707:X *166:5 11.0817
-2 *166:5 *166:7 4.5
-3 *166:7 *708:A 19.3507
-4 *166:7 *756:B 26.6113
-5 *166:5 *166:32 5.18434
-6 *166:32 *166:38 19.5929
-7 *166:38 *166:41 7.57775
-8 *166:41 *709:B 16.1605
-9 *166:41 *166:52 5.56926
-10 *166:52 *771:A3 13.7491
-11 *166:52 *773:A2 26.6915
-12 *166:38 *780:B 9.24915
-13 *166:32 *782:A3 9.24915
-*END
-
-*D_NET *167 0.00414333
-*CONN
-*I *723:B2 I *D sky130_fd_sc_hd__o32a_2
-*I *779:B I *D sky130_fd_sc_hd__nor2_2
-*I *708:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *723:B2 0.000321306
-2 *779:B 0.000879024
-3 *708:Y 0
-4 *167:4 0.00120033
-5 *723:B2 *720:A1 2.58696e-05
-6 *723:B2 *729:B 8.16827e-05
-7 *723:B2 *734:A 0.000171273
-8 *723:B2 *176:16 4.58124e-05
-9 *723:B2 *177:8 9.60216e-05
-10 *723:B2 *322:7 0.000160617
-11 *779:B *720:A1 6.65341e-05
-12 *779:B *781:A2 7.71831e-05
-13 *779:B *224:21 0
-14 *779:B *224:38 0
-15 *779:B *225:69 0
-16 *708:A *723:B2 0.000122682
-17 *711:C *723:B2 0
-18 *718:A2 *723:B2 1.03434e-05
-19 *720:B1 *779:B 5.01737e-05
-20 *756:B *779:B 0
-21 *775:D *779:B 4.49217e-05
-22 *829:A1 *779:B 0.000254075
-23 *829:S *779:B 4.70559e-05
-24 *830:A0 *723:B2 4.82966e-05
-25 *5:222 *779:B 0
-26 *17:9 *779:B 0.000106333
-27 *119:8 *723:B2 0
-28 *119:72 *723:B2 8.01837e-05
-29 *120:11 *723:B2 2.15348e-05
-30 *157:55 *723:B2 1.41291e-05
-31 *158:67 *723:B2 0.000217951
-*RES
-1 *708:Y *167:4 9.24915
-2 *167:4 *779:B 38.8408
-3 *167:4 *723:B2 30.7348
-*END
-
-*D_NET *168 0.000492523
-*CONN
-*I *712:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *711:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *712:B2 0.000196258
-2 *711:X 0.000196258
-3 *712:B2 *711:A 5.56461e-05
-4 *711:C *712:B2 0
-5 *712:C1 *712:B2 4.43615e-05
-*RES
-1 *711:X *712:B2 31.4388
-*END
-
-*D_NET *169 0.000506531
-*CONN
-*I *713:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *712:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *713:B1 0.000215576
-2 *712:X 0.000215576
-3 *712:A1 *713:B1 6.46815e-05
-4 *712:A2 *713:B1 7.25324e-06
-5 *713:A1 *713:B1 3.44412e-06
-*RES
-1 *712:X *713:B1 31.5781
-*END
-
-*D_NET *170 0.0104356
-*CONN
-*I *743:A2 I *D sky130_fd_sc_hd__o22ai_2
-*I *738:B1 I *D sky130_fd_sc_hd__o211a_2
-*I *741:A1 I *D sky130_fd_sc_hd__a32o_2
-*I *744:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *714:A I *D sky130_fd_sc_hd__inv_2
-*I *735:A1 I *D sky130_fd_sc_hd__a32o_2
-*I *733:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *728:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *713:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *743:A2 0.000174798
-2 *738:B1 0.000335054
-3 *741:A1 7.65268e-05
-4 *744:A2 0.000216391
-5 *714:A 0
-6 *735:A1 0.000214625
-7 *733:A2 0.000278847
-8 *728:A2 0
-9 *713:X 0
-10 *170:63 0.000828592
-11 *170:60 0.000820553
-12 *170:29 0.000893104
-13 *170:22 0.00103788
-14 *170:10 0.000928265
-15 *170:5 0.00061664
-16 *170:4 0.000338978
-17 *733:A2 *223:11 3.24105e-05
-18 *735:A1 *735:B2 2.16355e-05
-19 *735:A1 *223:11 1.96466e-05
-20 *735:A1 *223:22 1.20637e-05
-21 *738:B1 *173:26 6.79599e-05
-22 *738:B1 *221:23 7.14746e-05
-23 *738:B1 *221:33 1.00981e-05
-24 *741:A1 *173:11 0.000111722
-25 *743:A2 *743:B2 5.98993e-05
-26 *744:A2 *654:A 0.000104943
-27 *744:A2 *744:B2 0.000427334
-28 *744:A2 *226:11 0.000200433
-29 *170:10 *744:B2 0
-30 *170:22 *724:A 0.000515001
-31 *170:22 *725:A1 2.82583e-05
-32 *170:22 *744:B2 0
-33 *170:22 *171:25 8.62625e-06
-34 *170:29 *725:A1 0
-35 *170:29 *732:A2 5.41227e-05
-36 *170:29 *733:B1 5.33266e-05
-37 *170:29 *171:6 4.61732e-05
-38 *170:29 *171:72 8.93454e-05
-39 *170:29 *186:10 0.000208976
-40 *170:63 *741:B2 0.000139435
-41 *170:63 *743:B1 5.56367e-05
-42 *170:63 *173:26 1.07248e-05
-43 *170:63 *175:10 9.57557e-06
-44 *170:63 *221:12 0.000139968
-45 *170:63 *221:23 4.33979e-05
-46 *711:C *744:A2 3.23117e-05
-47 *713:A1 *170:63 0
-48 *713:A3 *170:63 3.74397e-05
-49 *715:A2 *743:A2 0.000304838
-50 *715:A2 *170:60 6.91907e-05
-51 *718:B1 *738:B1 0.000275256
-52 *725:B1 *170:10 9.35753e-06
-53 *725:B1 *170:22 2.75427e-05
-54 *846:D *733:A2 5.04054e-06
-55 *847:D *170:29 0
-56 *29:8 *170:63 0
-57 *118:14 *170:63 0
-58 *119:22 *733:A2 7.97098e-06
-59 *119:25 *170:29 0
-60 *124:18 *170:10 2.69064e-05
-61 *124:40 *743:A2 7.92757e-06
-62 *156:10 *170:29 2.71953e-05
-63 *157:21 *170:29 0
-64 *157:73 *738:B1 3.99086e-06
-65 *157:98 *738:B1 1.00937e-05
-66 *157:105 *738:B1 5.96839e-05
-67 *158:9 *170:5 6.27332e-05
-68 *158:9 *170:10 0.000105163
-69 *158:9 *170:60 6.0497e-05
-*RES
-1 *713:X *170:4 9.24915
-2 *170:4 *170:5 1.8326
-3 *170:5 *170:10 10.1043
-4 *170:10 *728:A2 13.7491
-5 *170:10 *170:22 16.2237
-6 *170:22 *170:29 21.1062
-7 *170:29 *733:A2 13.7342
-8 *170:29 *735:A1 12.191
-9 *170:22 *714:A 9.24915
-10 *170:5 *744:A2 26.6181
-11 *170:4 *170:60 4.07513
-12 *170:60 *170:63 15.0523
-13 *170:63 *741:A1 15.0271
-14 *170:63 *738:B1 20.7602
-15 *170:60 *743:A2 14.2888
-*END
-
-*D_NET *171 0.00859807
-*CONN
-*I *732:C1 I *D sky130_fd_sc_hd__a221o_2
-*I *735:B2 I *D sky130_fd_sc_hd__a32o_2
-*I *727:C1 I *D sky130_fd_sc_hd__a221o_2
-*I *741:B2 I *D sky130_fd_sc_hd__a32o_2
-*I *743:B1 I *D sky130_fd_sc_hd__o22ai_2
-*I *744:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *714:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *732:C1 0.000126728
-2 *735:B2 9.30778e-05
-3 *727:C1 0
-4 *741:B2 7.33463e-05
-5 *743:B1 0.000477791
-6 *744:B2 0.000338282
-7 *714:Y 4.94391e-05
-8 *171:72 0.000347696
-9 *171:43 0.000949424
-10 *171:25 0.000941497
-11 *171:15 0.000605433
-12 *171:6 0.000577836
-13 *732:C1 *725:A1 2.01653e-05
-14 *732:C1 *732:B2 8.36075e-05
-15 *732:C1 *178:5 3.88473e-05
-16 *735:B2 *725:A1 4.20667e-05
-17 *735:B2 *732:A2 1.04747e-05
-18 *735:B2 *186:10 0.000165495
-19 *735:B2 *223:11 6.08467e-05
-20 *741:B2 *736:B 6.50586e-05
-21 *741:B2 *740:A 6.50586e-05
-22 *741:B2 *175:10 1.44611e-05
-23 *743:B1 *653:A 3.37623e-05
-24 *743:B1 *845:CLK 5.66868e-06
-25 *743:B1 *204:206 0.000145053
-26 *743:B1 *204:207 7.02001e-05
-27 *743:B1 *204:250 1.65078e-05
-28 *743:B1 *221:12 0.000189542
-29 *744:B2 *204:200 4.20662e-05
-30 *171:6 *725:A1 4.27003e-05
-31 *171:15 *724:A 0.000122378
-32 *171:15 *726:A 0.000157741
-33 *171:15 *727:A1 1.92336e-05
-34 *171:15 *727:B2 0.000338976
-35 *171:15 *735:A3 3.20069e-06
-36 *171:15 *180:10 0
-37 *171:25 *724:A 0.00011818
-38 *171:25 *727:A1 4.89898e-06
-39 *171:25 *180:10 1.77537e-06
-40 *171:43 *724:A 1.52648e-05
-41 *171:43 *727:A1 4.30017e-06
-42 *171:43 *727:A2 0.000116842
-43 *171:43 *204:200 1.82679e-05
-44 *171:43 *204:206 1.71232e-05
-45 *171:72 *725:A1 9.74251e-05
-46 *171:72 *732:A2 4.00504e-05
-47 *711:C *744:B2 0
-48 *725:B1 *171:15 8.62625e-06
-49 *725:B1 *171:25 2.1558e-06
-50 *730:A2 *735:B2 7.50722e-05
-51 *735:A1 *735:B2 2.16355e-05
-52 *744:A2 *744:B2 0.000427334
-53 *744:B1 *744:B2 0.000337014
-54 *843:D *743:B1 6.27782e-05
-55 *844:D *741:B2 6.64609e-05
-56 *844:D *743:B1 5.92192e-05
-57 *844:RESET_B *743:B1 3.31733e-05
-58 *118:14 *741:B2 8.20492e-06
-59 *124:18 *744:B2 0.00021364
-60 *124:40 *743:B1 6.46815e-05
-61 *157:105 *743:B1 8.90468e-05
-62 *158:35 *171:15 6.84074e-06
-63 *158:47 *171:15 1.51779e-05
-64 *170:10 *744:B2 0
-65 *170:22 *744:B2 0
-66 *170:22 *171:25 8.62625e-06
-67 *170:29 *171:6 4.61732e-05
-68 *170:29 *171:72 8.93454e-05
-69 *170:63 *741:B2 0.000139435
-70 *170:63 *743:B1 5.56367e-05
-*RES
-1 *714:Y *171:6 15.5811
-2 *171:6 *171:15 24.6798
-3 *171:15 *171:25 12.7379
-4 *171:25 *744:B2 30.8777
-5 *171:25 *171:43 12.2162
-6 *171:43 *743:B1 38.5283
-7 *171:43 *741:B2 17.135
-8 *171:15 *727:C1 9.24915
-9 *171:6 *171:72 4.53113
-10 *171:72 *735:B2 17.7579
-11 *171:72 *732:C1 17.2719
-*END
-
-*D_NET *172 0.00252509
-*CONN
-*I *716:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *742:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *742:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *715:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *716:B2 0.000181177
-2 *742:A2_N 3.0613e-05
-3 *742:B2 0
-4 *715:X 0.000264642
-5 *172:10 3.0613e-05
-6 *172:8 0.000445819
-7 *716:B2 *653:A 0.000313495
-8 *716:B2 *204:207 0.000203781
-9 *742:A2_N *743:B2 2.08274e-05
-10 *172:8 *204:207 0.000197462
-11 *172:8 *204:209 5.41377e-05
-12 *172:8 *227:11 0.000217923
-13 *742:A1_N *742:A2_N 0.000159038
-14 *843:D *716:B2 1.02917e-05
-15 *843:D *742:A2_N 0.000110458
-16 *843:D *172:8 6.00124e-05
-17 *123:7 *716:B2 5.99527e-05
-18 *123:9 *716:B2 0.000164843
-*RES
-1 *715:X *172:8 20.4599
-2 *172:8 *172:10 4.5
-3 *172:10 *742:B2 9.24915
-4 *172:10 *742:A2_N 11.0817
-5 *172:8 *716:B2 21.1538
-*END
-
-*D_NET *173 0.00363093
-*CONN
-*I *736:A I *D sky130_fd_sc_hd__or2_2
-*I *737:S I *D sky130_fd_sc_hd__mux2_1
-*I *719:A3 I *D sky130_fd_sc_hd__o32a_2
-*I *740:A I *D sky130_fd_sc_hd__nand2_2
-*I *716:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *736:A 2.31893e-05
-2 *737:S 0.000191282
-3 *719:A3 3.53856e-05
-4 *740:A 0.000182766
-5 *716:X 0.000193674
-6 *173:26 0.000437372
-7 *173:11 0.00049572
-8 *173:8 0.000319113
-9 *736:A *741:A2 2.15348e-05
-10 *737:S *652:A 3.82228e-05
-11 *737:S *738:C1 0.000160617
-12 *740:A *736:B 1.92336e-05
-13 *740:A *740:B 4.30291e-05
-14 *740:A *741:A3 7.92757e-06
-15 *173:8 *653:A 1.07248e-05
-16 *173:11 *736:B 0.000207747
-17 *173:11 *741:A2 0.000172058
-18 *173:11 *741:B1 2.43387e-05
-19 *173:26 *719:A2 4.55535e-05
-20 *173:26 *175:10 7.09395e-05
-21 *173:26 *221:23 2.19131e-05
-22 *719:B1 *719:A3 3.01683e-06
-23 *719:B1 *737:S 0.000111708
-24 *719:B2 *719:A3 1.43848e-05
-25 *719:B2 *737:S 2.41274e-06
-26 *738:B1 *173:26 6.79599e-05
-27 *741:A1 *173:11 0.000111722
-28 *741:B2 *740:A 6.50586e-05
-29 *793:A *173:11 0
-30 *809:A0 *737:S 4.17142e-05
-31 *29:8 *173:8 0.000147913
-32 *118:14 *719:A3 6.50586e-05
-33 *118:14 *737:S 0.000152878
-34 *118:14 *173:26 6.08697e-06
-35 *122:7 *737:S 1.41976e-05
-36 *157:105 *173:8 9.37563e-05
-37 *170:63 *173:26 1.07248e-05
-*RES
-1 *716:X *173:8 24.6489
-2 *173:8 *173:11 4.62973
-3 *173:11 *740:A 13.3243
-4 *173:11 *173:26 14.7327
-5 *173:26 *719:A3 10.5513
-6 *173:26 *737:S 15.5427
-7 *173:8 *736:A 9.97254
-*END
-
-*D_NET *174 0.000311475
-*CONN
-*I *719:A1 I *D sky130_fd_sc_hd__o32a_2
-*I *717:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *719:A1 3.79836e-05
-2 *717:Y 3.79836e-05
-3 *702:C *719:A1 0.000130777
-4 *719:B2 *719:A1 0.000104731
-5 *118:14 *719:A1 0
-*RES
-1 *717:Y *719:A1 29.7455
-*END
-
-*D_NET *175 0.00210412
-*CONN
-*I *719:A2 I *D sky130_fd_sc_hd__o32a_2
-*I *736:B I *D sky130_fd_sc_hd__or2_2
-*I *740:B I *D sky130_fd_sc_hd__nand2_2
-*I *718:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *719:A2 8.17795e-05
-2 *736:B 8.97491e-05
-3 *740:B 5.1502e-05
-4 *718:X 9.34923e-06
-5 *175:10 0.000246659
-6 *175:7 0.000196537
-7 *736:B *741:A3 0.000338989
-8 *736:B *741:B1 2.43387e-05
-9 *740:B *741:A3 0.000111722
-10 *702:C *719:A2 2.5386e-05
-11 *737:A1 *175:7 6.50727e-05
-12 *740:A *736:B 1.92336e-05
-13 *740:A *740:B 4.30291e-05
-14 *741:B2 *736:B 6.50586e-05
-15 *741:B2 *175:10 1.44611e-05
-16 *118:14 *719:A2 8.52968e-05
-17 *118:14 *175:10 0.000237068
-18 *157:73 *175:7 6.50727e-05
-19 *170:63 *175:10 9.57557e-06
-20 *173:11 *736:B 0.000207747
-21 *173:26 *719:A2 4.55535e-05
-22 *173:26 *175:10 7.09395e-05
-*RES
-1 *718:X *175:7 14.4725
-2 *175:7 *175:10 8.82351
-3 *175:10 *740:B 11.1059
-4 *175:10 *736:B 14.6023
-5 *175:7 *719:A2 16.4116
-*END
-
-*D_NET *176 0.0015781
-*CONN
-*I *723:A3 I *D sky130_fd_sc_hd__o32a_2
-*I *734:A I *D sky130_fd_sc_hd__nand2_2
-*I *729:A I *D sky130_fd_sc_hd__or2_2
-*I *719:X O *D sky130_fd_sc_hd__o32a_2
-*CAP
-1 *723:A3 0
-2 *734:A 0.000163254
-3 *729:A 2.52739e-05
-4 *719:X 7.22101e-05
-5 *176:16 0.000290526
-6 *176:5 0.000224756
-7 *729:A *185:7 2.65667e-05
-8 *734:A *723:A1 0.00011818
-9 *734:A *726:A 3.20069e-06
-10 *734:A *735:A3 0.000171263
-11 *176:5 *185:7 2.65831e-05
-12 *176:16 *177:8 0
-13 *711:C *176:16 5.0124e-05
-14 *721:A1 *734:A 3.5534e-06
-15 *723:B2 *734:A 0.000171273
-16 *723:B2 *176:16 4.58124e-05
-17 *157:55 *734:A 8.14711e-05
-18 *158:47 *734:A 3.5756e-05
-19 *158:55 *734:A 1.09551e-05
-20 *158:67 *734:A 5.73392e-05
-*RES
-1 *719:X *176:5 11.0817
-2 *176:5 *729:A 9.97254
-3 *176:5 *176:16 12.493
-4 *176:16 *734:A 25.402
-5 *176:16 *723:A3 9.24915
-*END
-
-*D_NET *177 0.00140511
-*CONN
-*I *729:B I *D sky130_fd_sc_hd__or2_2
-*I *723:A1 I *D sky130_fd_sc_hd__o32a_2
-*I *734:B I *D sky130_fd_sc_hd__nand2_2
-*I *720:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *729:B 5.66094e-05
-2 *723:A1 1.23957e-05
-3 *734:B 8.26747e-05
-4 *720:X 5.29682e-05
-5 *177:8 0.000165853
-6 *177:7 0.00018036
-7 *729:B *735:A3 4.70104e-05
-8 *729:B *185:7 2.16355e-05
-9 *734:B *723:A2 2.33103e-06
-10 *734:B *735:A3 0.000171263
-11 *734:B *180:10 5.53789e-05
-12 *177:7 *735:A3 5.04829e-06
-13 *177:7 *185:7 9.80242e-07
-14 *177:8 *723:A2 1.05272e-06
-15 *177:8 *735:A3 0.000131175
-16 *711:C *734:B 0
-17 *711:C *177:8 0
-18 *720:A2 *729:B 4.3116e-06
-19 *723:B2 *729:B 8.16827e-05
-20 *723:B2 *177:8 9.60216e-05
-21 *734:A *723:A1 0.00011818
-22 *157:55 *723:A1 0.00011818
-23 *176:16 *177:8 0
-*RES
-1 *720:X *177:7 14.4725
-2 *177:7 *177:8 2.6625
-3 *177:8 *734:B 16.8269
-4 *177:8 *723:A1 15.0271
-5 *177:7 *729:B 15.8893
-*END
-
-*D_NET *178 0.00282724
-*CONN
-*I *723:A2 I *D sky130_fd_sc_hd__o32a_2
-*I *732:B1 I *D sky130_fd_sc_hd__a221o_2
-*I *722:A I *D sky130_fd_sc_hd__inv_2
-*I *721:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *723:A2 0.000582867
-2 *732:B1 0
-3 *722:A 0.000326668
-4 *721:X 0
-5 *178:5 0.000408959
-6 *178:4 0.000665159
-7 *722:A *731:A 3.01683e-06
-8 *722:A *732:A1 0.000393422
-9 *722:A *732:B2 0.000132365
-10 *723:A2 *224:6 1.77894e-05
-11 *178:5 *721:B1 6.08467e-05
-12 *178:5 *732:B2 8.36075e-05
-13 *732:C1 *178:5 3.88473e-05
-14 *734:B *723:A2 2.33103e-06
-15 *5:350 *723:A2 0
-16 *157:21 *178:5 0.000110306
-17 *177:8 *723:A2 1.05272e-06
-*RES
-1 *721:X *178:4 9.24915
-2 *178:4 *178:5 2.94181
-3 *178:5 *722:A 16.7001
-4 *178:5 *732:B1 9.24915
-5 *178:4 *723:A2 26.7117
-*END
-
-*D_NET *179 0.000604136
-*CONN
-*I *732:A1 I *D sky130_fd_sc_hd__a221o_2
-*I *722:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *732:A1 4.1456e-05
-2 *722:Y 4.1456e-05
-3 *732:A1 *732:A2 1.90494e-05
-4 *732:A1 *732:B2 0.000108753
-5 *722:A *732:A1 0.000393422
-*RES
-1 *722:Y *732:A1 21.9947
-*END
-
-*D_NET *180 0.00228134
-*CONN
-*I *727:B1 I *D sky130_fd_sc_hd__a221o_2
-*I *724:A I *D sky130_fd_sc_hd__inv_2
-*I *723:X O *D sky130_fd_sc_hd__o32a_2
-*CAP
-1 *727:B1 0
-2 *724:A 0.00015375
-3 *723:X 0.000343638
-4 *180:10 0.000497388
-5 *724:A *725:A1 2.65667e-05
-6 *724:A *727:A1 5.22117e-05
-7 *724:A *727:B2 3.23428e-05
-8 *180:10 *735:A3 1.78514e-05
-9 *180:10 *204:200 0
-10 *711:C *180:10 0
-11 *725:A2 *724:A 3.89073e-05
-12 *725:B1 *724:A 0.000110297
-13 *725:B2 *724:A 6.64392e-05
-14 *734:B *180:10 5.53789e-05
-15 *157:55 *180:10 0.000113968
-16 *170:22 *724:A 0.000515001
-17 *171:15 *724:A 0.000122378
-18 *171:15 *180:10 0
-19 *171:25 *724:A 0.00011818
-20 *171:25 *180:10 1.77537e-06
-21 *171:43 *724:A 1.52648e-05
-*RES
-1 *723:X *180:10 25.5117
-2 *180:10 *724:A 17.7852
-3 *180:10 *727:B1 9.24915
-*END
-
-*D_NET *181 0.00147068
-*CONN
-*I *727:A1 I *D sky130_fd_sc_hd__a221o_2
-*I *724:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *727:A1 0.000242026
-2 *724:Y 0.000242026
-3 *727:A1 *725:A1 0.000114518
-4 *727:A1 *727:A2 0.000432734
-5 *727:A1 *727:B2 0.000125687
-6 *724:A *727:A1 5.22117e-05
-7 *725:A2 *727:A1 0.000216458
-8 *725:B1 *727:A1 1.65872e-05
-9 *171:15 *727:A1 1.92336e-05
-10 *171:25 *727:A1 4.89898e-06
-11 *171:43 *727:A1 4.30017e-06
-*RES
-1 *724:Y *727:A1 27.9748
-*END
-
-*D_NET *182 0.00169558
-*CONN
-*I *727:A2 I *D sky130_fd_sc_hd__a221o_2
-*I *726:A I *D sky130_fd_sc_hd__inv_2
-*I *725:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *727:A2 0.000282008
-2 *726:A 0.000138482
-3 *725:X 0
-4 *182:4 0.00042049
-5 *726:A *735:A3 1.14755e-05
-6 *727:A1 *727:A2 0.000432734
-7 *734:A *726:A 3.20069e-06
-8 *158:47 *726:A 0.000132607
-9 *171:15 *726:A 0.000157741
-10 *171:43 *727:A2 0.000116842
-*RES
-1 *725:X *182:4 9.24915
-2 *182:4 *726:A 22.7442
-3 *182:4 *727:A2 15.4523
-*END
-
-*D_NET *183 0.00105384
-*CONN
-*I *727:B2 I *D sky130_fd_sc_hd__a221o_2
-*I *726:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *727:B2 0.000278418
-2 *726:Y 0.000278418
-3 *724:A *727:B2 3.23428e-05
-4 *727:A1 *727:B2 0.000125687
-5 *171:15 *727:B2 0.000338976
-*RES
-1 *726:Y *727:B2 34.1643
-*END
-
-*D_NET *184 0.000626454
-*CONN
-*I *728:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *727:X O *D sky130_fd_sc_hd__a221o_2
-*CAP
-1 *728:B1 6.78236e-05
-2 *727:X 6.78236e-05
-3 *728:B1 *204:200 0.000210005
-4 *711:C *728:B1 9.60366e-05
-5 *848:D *728:B1 0.000160617
-6 *118:24 *728:B1 2.41483e-05
-*RES
-1 *727:X *728:B1 31.1629
-*END
-
-*D_NET *185 0.00175979
-*CONN
-*I *730:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *735:A2 I *D sky130_fd_sc_hd__a32o_2
-*I *729:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *730:B1 6.6631e-05
-2 *735:A2 5.05522e-05
-3 *729:X 0.000564184
-4 *185:7 0.000681367
-5 *730:B1 *224:10 9.32927e-05
-6 *735:A2 *735:A3 4.17531e-06
-7 *185:7 *720:A1 0.000113968
-8 *185:7 *735:A3 5.59012e-05
-9 *719:B2 *185:7 0
-10 *720:B1 *730:B1 0
-11 *720:B1 *185:7 1.8456e-05
-12 *720:B2 *185:7 2.73375e-05
-13 *729:A *185:7 2.65667e-05
-14 *729:B *185:7 2.16355e-05
-15 *830:A0 *730:B1 2.14842e-06
-16 *119:22 *735:A2 6.00782e-06
-17 *176:5 *185:7 2.65831e-05
-18 *177:7 *185:7 9.80242e-07
-*RES
-1 *729:X *185:7 18.3157
-2 *185:7 *735:A2 9.97254
-3 *185:7 *730:B1 20.0811
-*END
-
-*D_NET *186 0.0025139
-*CONN
-*I *731:A I *D sky130_fd_sc_hd__inv_2
-*I *732:A2 I *D sky130_fd_sc_hd__a221o_2
-*I *730:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *731:A 0.000149158
-2 *732:A2 2.56545e-05
-3 *730:Y 0.000368183
-4 *186:10 0.000542995
-5 *731:A *732:B2 0.000493179
-6 *731:A *733:B1 6.92705e-05
-7 *731:A *223:11 0.000275256
-8 *732:A2 *732:B2 1.67329e-05
-9 *186:10 *649:A 2.41274e-06
-10 *186:10 *322:7 2.60704e-05
-11 *722:A *731:A 3.01683e-06
-12 *730:A2 *186:10 4.37999e-05
-13 *732:A1 *732:A2 1.90494e-05
-14 *735:B2 *732:A2 1.04747e-05
-15 *735:B2 *186:10 0.000165495
-16 *119:25 *186:10 0
-17 *170:29 *732:A2 5.41227e-05
-18 *170:29 *186:10 0.000208976
-19 *171:72 *732:A2 4.00504e-05
-*RES
-1 *730:Y *186:10 22.4237
-2 *186:10 *732:A2 14.9496
-3 *186:10 *731:A 21.176
-*END
-
-*D_NET *187 0.00118435
-*CONN
-*I *732:B2 I *D sky130_fd_sc_hd__a221o_2
-*I *731:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *732:B2 0.000133055
-2 *731:Y 0.000133055
-3 *722:A *732:B2 0.000132365
-4 *731:A *732:B2 0.000493179
-5 *732:A1 *732:B2 0.000108753
-6 *732:A2 *732:B2 1.67329e-05
-7 *732:C1 *732:B2 8.36075e-05
-8 *178:5 *732:B2 8.36075e-05
-*RES
-1 *731:Y *732:B2 25.7323
-*END
-
-*D_NET *188 0.000484501
-*CONN
-*I *733:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *732:X O *D sky130_fd_sc_hd__a221o_2
-*CAP
-1 *733:B1 0.000117919
-2 *732:X 0.000117919
-3 *733:B1 *223:11 0.000113374
-4 *731:A *733:B1 6.92705e-05
-5 *119:25 *733:B1 1.2693e-05
-6 *170:29 *733:B1 5.33266e-05
-*RES
-1 *732:X *733:B1 30.8842
-*END
-
-*D_NET *189 0.00173669
-*CONN
-*I *735:A3 I *D sky130_fd_sc_hd__a32o_2
-*I *734:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *735:A3 0.000287787
-2 *734:Y 0.000287787
-3 *735:A3 *720:A1 3.72206e-05
-4 *720:A2 *735:A3 6.86533e-05
-5 *720:B1 *735:A3 4.09708e-05
-6 *720:B2 *735:A3 6.39797e-05
-7 *721:A1 *735:A3 1.74215e-05
-8 *726:A *735:A3 1.14755e-05
-9 *729:B *735:A3 4.70104e-05
-10 *734:A *735:A3 0.000171263
-11 *734:B *735:A3 0.000171263
-12 *735:A2 *735:A3 4.17531e-06
-13 *119:22 *735:A3 0.000314504
-14 *171:15 *735:A3 3.20069e-06
-15 *177:7 *735:A3 5.04829e-06
-16 *177:8 *735:A3 0.000131175
-17 *180:10 *735:A3 1.78514e-05
-18 *185:7 *735:A3 5.59012e-05
-*RES
-1 *734:Y *735:A3 39.8888
-*END
-
-*D_NET *190 0.000664816
-*CONN
-*I *741:A2 I *D sky130_fd_sc_hd__a32o_2
-*I *736:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *741:A2 0.000235612
-2 *736:X 0.000235612
-3 *736:A *741:A2 2.15348e-05
-4 *173:11 *741:A2 0.000172058
-*RES
-1 *736:X *741:A2 22.5734
-*END
-
-*D_NET *191 0.000718546
-*CONN
-*I *738:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *833:D I *D sky130_fd_sc_hd__dfrtp_2
*I *737:X O *D sky130_fd_sc_hd__mux2_1
*CAP
-1 *738:C1 0.000193574
-2 *737:X 0.000193574
-3 *738:C1 *221:33 0.000113374
-4 *718:B1 *738:C1 3.67528e-06
-5 *737:S *738:C1 0.000160617
-6 *29:8 *738:C1 4.98393e-05
-7 *122:8 *738:C1 3.89332e-06
+1 *833:D 0.000224369
+2 *737:X 0.000224369
+3 *833:D *88:19 0.00014285
+4 *833:D *232:11 0
+5 *833:D *333:64 0
+6 *833:D *333:76 0
+7 *29:8 *833:D 0
*RES
-1 *737:X *738:C1 31.9934
+1 *737:X *833:D 31.2071
*END
-*D_NET *192 0.00117829
+*D_NET *66 0.000568658
*CONN
-*I *739:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *739:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *738:X O *D sky130_fd_sc_hd__o211a_2
+*I *834:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *736:Y O *D sky130_fd_sc_hd__nand3_2
*CAP
-1 *739:B2 6.02212e-05
-2 *739:A2_N 0.000319575
-3 *738:X 0
-4 *192:4 0.000379796
-5 *739:A2_N *222:13 0.000119957
-6 *739:A2_N *222:18 5.56461e-05
-7 *739:B2 *222:13 6.92705e-05
-8 *739:B2 *222:18 0.00011818
-9 *344:DIODE *739:B2 5.56461e-05
-10 *157:98 *739:A2_N 0
-11 *157:105 *739:A2_N 0
+1 *834:D 0.000227181
+2 *736:Y 0.000227181
+3 *834:D *638:A0 0
+4 *834:D *736:A 0.000114296
+5 *834:D *812:B 0
+6 *834:D *217:64 0
+7 *834:D *218:8 0
+8 *834:D *333:41 0
+9 *834:RESET_B *834:D 0
*RES
-1 *738:X *192:4 9.24915
-2 *192:4 *739:A2_N 15.2533
-3 *192:4 *739:B2 12.2151
+1 *736:Y *834:D 31.975
*END
-*D_NET *193 0.0009399
-*CONN
-*I *741:A3 I *D sky130_fd_sc_hd__a32o_2
-*I *740:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *741:A3 0.000240631
-2 *740:Y 0.000240631
-3 *736:B *741:A3 0.000338989
-4 *740:A *741:A3 7.92757e-06
-5 *740:B *741:A3 0.000111722
-*RES
-1 *740:Y *741:A3 24.9606
-*END
-
-*D_NET *194 0.00131586
-*CONN
-*I *743:B2 I *D sky130_fd_sc_hd__o22ai_2
-*I *742:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *743:B2 0.00031756
-2 *742:X 0.00031756
-3 *742:A1_N *743:B2 2.1846e-05
-4 *742:A2_N *743:B2 2.08274e-05
-5 *743:A2 *743:B2 5.98993e-05
-6 *843:D *743:B2 0.000465801
-7 *124:40 *743:B2 0.000112367
-*RES
-1 *742:X *743:B2 28.1436
-*END
-
-*D_NET *195 0.00204141
-*CONN
-*I *752:A2 I *D sky130_fd_sc_hd__a31o_2
-*I *746:A I *D sky130_fd_sc_hd__inv_2
-*I *745:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *752:A2 1.60516e-05
-2 *746:A 9.49325e-05
-3 *745:X 0.00039199
-4 *195:13 0.000502975
-5 *746:A *752:A3 4.88469e-05
-6 *195:13 *752:A3 9.536e-05
-7 *195:13 *753:B1 0.000357105
-8 *195:13 *207:41 0
-9 *745:C *195:13 4.81015e-05
-10 *752:A1 *195:13 6.92705e-05
-11 *753:C1 *195:13 6.24956e-05
-12 *839:RESET_B *195:13 0.00017419
-13 *90:51 *746:A 2.95999e-05
-14 *90:51 *752:A2 0
-15 *146:5 *746:A 0.000131277
-16 *146:5 *195:13 1.92172e-05
-*RES
-1 *745:X *195:13 30.6904
-2 *195:13 *746:A 12.3839
-3 *195:13 *752:A2 9.82786
-*END
-
-*D_NET *196 0.00378781
-*CONN
-*I *750:B I *D sky130_fd_sc_hd__and4_2
-*I *747:B I *D sky130_fd_sc_hd__nand2_2
-*I *749:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *755:A2 I *D sky130_fd_sc_hd__a311o_2
-*I *746:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *750:B 0.000235424
-2 *747:B 8.30016e-05
-3 *749:A2 0
-4 *755:A2 0
-5 *746:Y 0.000314216
-6 *196:25 0.000272206
-7 *196:12 0.000414679
-8 *196:9 0.000775115
-9 *747:B *747:A 0
-10 *747:B *197:7 0.000174803
-11 *747:B *208:11 0.000145722
-12 *196:12 *750:A 6.5545e-05
-13 *196:25 *750:A 3.44412e-06
-14 *196:25 *755:A3 2.1032e-05
-15 *196:25 *208:14 7.8293e-05
-16 *196:25 *209:22 5.93684e-05
-17 *840:D *747:B 6.92705e-05
-18 *855:D *750:B 7.92757e-06
-19 *855:D *196:9 4.12753e-05
-20 *855:RESET_B *196:9 0.000111081
-21 *855:RESET_B *196:12 0.00014899
-22 *855:RESET_B *196:25 3.98646e-06
-23 *126:37 *750:B 0.000313481
-24 *126:38 *196:12 3.31882e-05
-25 *126:61 *196:12 9.55049e-05
-26 *135:11 *196:9 0.000157469
-27 *162:13 *196:9 0.000162782
-*RES
-1 *746:Y *196:9 24.8233
-2 *196:9 *196:12 11.7303
-3 *196:12 *755:A2 13.7491
-4 *196:12 *196:25 9.31204
-5 *196:25 *749:A2 9.24915
-6 *196:25 *747:B 12.9385
-7 *196:9 *750:B 13.3002
-*END
-
-*D_NET *197 0.0011647
-*CONN
-*I *749:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *748:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *747:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *749:B2 0
-2 *748:A2 0.000127552
-3 *747:Y 0.000169273
-4 *197:7 0.000296825
-5 *748:A2 *209:22 1.47046e-05
-6 *748:A2 *209:30 3.05758e-05
-7 *747:B *197:7 0.000174803
-8 *749:C1 *748:A2 0.000228593
-9 *840:D *197:7 0.000122378
-*RES
-1 *747:Y *197:7 13.8789
-2 *197:7 *748:A2 13.3002
-3 *197:7 *749:B2 9.24915
-*END
-
-*D_NET *198 0.00309612
-*CONN
-*I *752:B1 I *D sky130_fd_sc_hd__a31o_2
-*I *754:A I *D sky130_fd_sc_hd__or2_2
-*I *750:X O *D sky130_fd_sc_hd__and4_2
-*CAP
-1 *752:B1 0.000120853
-2 *754:A 0.0003461
-3 *750:X 0.000161988
-4 *198:8 0.000628941
-5 *754:A *754:B 0.000102073
-6 *754:A *204:67 0
-7 *671:A *752:B1 0.00027329
-8 *671:B *754:A 1.44467e-05
-9 *671:B *198:8 1.09738e-05
-10 *686:A2 *752:B1 5.88657e-05
-11 *686:B1 *752:B1 1.65872e-05
-12 *753:A2 *754:A 0
-13 *838:D *754:A 0.000130777
-14 *115:26 *754:A 0
-15 *116:41 *752:B1 6.08467e-05
-16 *116:47 *752:B1 0.000107496
-17 *117:8 *198:8 2.33193e-05
-18 *117:20 *752:B1 0.000564236
-19 *126:30 *754:A 0.000101116
-20 *126:33 *198:8 0.000164815
-21 *127:32 *754:A 8.98943e-05
-22 *127:32 *198:8 8.37979e-05
-23 *146:5 *752:B1 3.57037e-05
-*RES
-1 *750:X *198:8 17.4137
-2 *198:8 *754:A 24.7167
-3 *198:8 *752:B1 20.5732
-*END
-
-*D_NET *199 0.000744834
-*CONN
-*I *752:A3 I *D sky130_fd_sc_hd__a31o_2
-*I *751:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *752:A3 0.000207711
-2 *751:Y 0.000207711
-3 *746:A *752:A3 4.88469e-05
-4 *839:D *752:A3 6.89449e-05
-5 *135:11 *752:A3 1.43055e-05
-6 *146:5 *752:A3 0.000101954
-7 *195:13 *752:A3 9.536e-05
-*RES
-1 *751:Y *752:A3 32.9557
-*END
-
-*D_NET *200 0.000781979
-*CONN
-*I *754:B I *D sky130_fd_sc_hd__or2_2
-*I *753:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *754:B 0.00022768
-2 *753:X 0.00022768
-3 *754:B *206:11 2.65831e-05
-4 *745:A *754:B 3.84001e-05
-5 *754:A *754:B 0.000102073
-6 *90:120 *754:B 3.14978e-05
-7 *115:26 *754:B 0.000128067
-*RES
-1 *753:X *754:B 34.6215
-*END
-
-*D_NET *201 0.00969077
-*CONN
-*I *759:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *762:A2 I *D sky130_fd_sc_hd__o21a_2
-*I *761:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *760:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *772:B I *D sky130_fd_sc_hd__or3_2
-*I *780:C I *D sky130_fd_sc_hd__and3_2
-*I *778:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *774:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *776:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *758:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *759:A2 0
-2 *762:A2 0.000146953
-3 *761:A2 8.23441e-06
-4 *760:A2 9.23389e-05
-5 *772:B 0.000159375
-6 *780:C 0.000304409
-7 *778:A4 0
-8 *774:A3 4.90535e-05
-9 *776:A2 0
-10 *758:X 0.000292045
-11 *201:76 0.000262198
-12 *201:63 0.000397361
-13 *201:58 0.000408253
-14 *201:35 0.000532676
-15 *201:27 0.000208315
-16 *201:17 0.000331524
-17 *201:11 0.000331784
-18 *201:8 0.000691023
-19 *760:A2 *760:A3 2.41483e-05
-20 *760:A2 *911:TE_B 1.09551e-05
-21 *760:A2 *225:96 4.91225e-06
-22 *762:A2 *762:A1 0.000128033
-23 *762:A2 *286:13 0.000203756
-24 *772:B *778:A2 6.08467e-05
-25 *774:A3 *243:20 1.91391e-05
-26 *780:C *778:A2 9.45864e-05
-27 *780:C *780:A 2.15141e-05
-28 *780:C *225:206 1.1246e-05
-29 *201:8 *225:69 2.4815e-05
-30 *201:8 *225:135 2.78316e-05
-31 *201:8 *250:9 0.000120962
-32 *201:8 *258:9 0.000169108
-33 *201:11 *222:77 3.84937e-05
-34 *201:58 *759:A3 1.90218e-05
-35 *201:58 *225:135 4.3116e-06
-36 *201:63 *760:A3 0.000213725
-37 *201:63 *225:96 0.000111708
-38 *201:63 *225:105 1.44467e-05
-39 *201:76 *762:A1 2.19276e-05
-40 *201:76 *225:105 5.65074e-05
-41 *383:DIODE *201:8 0.000118166
-42 *760:B1 *760:A2 6.50586e-05
-43 *766:B *201:58 6.49003e-05
-44 *772:C *772:B 7.02442e-06
-45 *773:A3 *780:C 6.8327e-05
-46 *775:D *201:8 9.84167e-06
-47 *775:D *201:11 3.61993e-05
-48 *776:A3 *201:17 0.000264572
-49 *810:A1 *201:58 9.89523e-05
-50 *823:A0 *201:27 0.000158371
-51 *826:A0 *760:A2 2.41274e-06
-52 *826:A0 *201:63 1.77537e-06
-53 *829:A0 *201:11 0.000109364
-54 *829:S *201:11 6.08467e-05
-55 *5:200 *201:11 0.000197281
-56 *5:204 *201:11 0.000213676
-57 *13:12 *761:A2 3.51086e-06
-58 *15:5 *762:A2 4.68822e-05
-59 *15:5 *201:63 7.14746e-05
-60 *15:5 *201:76 0.000195154
-61 *44:13 *201:58 9.82896e-06
-62 *44:27 *201:58 0.000156343
-63 *44:27 *201:63 0.000262402
-64 *44:31 *760:A2 0
-65 *44:31 *201:63 1.96574e-05
-66 *55:22 *774:A3 3.21402e-05
-67 *118:49 *201:11 1.84293e-05
-68 *118:49 *201:17 9.82896e-06
-69 *121:8 *780:C 0.000110477
-70 *121:13 *772:B 1.15389e-05
-71 *121:13 *201:17 6.50727e-05
-72 *121:13 *201:27 0.000381912
-73 *121:13 *201:35 1.65872e-05
-74 *122:47 *774:A3 9.46387e-05
-75 *160:43 *201:58 0.000490324
-76 *160:43 *201:63 0.000215753
-77 *166:38 *780:C 1.41976e-05
-78 *166:41 *780:C 0.000170577
-79 *166:52 *780:C 0.000199738
-*RES
-1 *758:X *201:8 21.8422
-2 *201:8 *201:11 11.8786
-3 *201:11 *776:A2 9.24915
-4 *201:11 *201:17 4.05102
-5 *201:17 *774:A3 20.0811
-6 *201:17 *201:27 4.60562
-7 *201:27 *778:A4 9.24915
-8 *201:27 *201:35 1.30211
-9 *201:35 *780:C 27.6014
-10 *201:35 *772:B 11.6364
-11 *201:8 *201:58 12.438
-12 *201:58 *201:63 12.2103
-13 *201:63 *760:A2 16.1605
-14 *201:63 *201:76 4.2258
-15 *201:76 *761:A2 13.9421
-16 *201:76 *762:A2 18.3836
-17 *201:58 *759:A2 9.24915
-*END
-
-*D_NET *202 0.00176491
-*CONN
-*I *773:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *772:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *773:B1 0.000420833
-2 *772:X 0.000420833
-3 *773:B1 *222:50 2.534e-05
-4 *773:B1 *243:20 5.74984e-05
-5 *773:B1 *307:6 0.000167615
-6 *773:B1 *312:15 0.000385928
-7 *351:DIODE *773:B1 0
-8 *773:A3 *773:B1 2.19549e-05
-9 *773:C1 *773:B1 5.10036e-05
-10 *825:A0 *773:B1 0.000113968
-11 *5:324 *773:B1 6.99331e-05
-12 *5:329 *773:B1 3.00073e-05
-*RES
-1 *772:X *773:B1 40.9451
-*END
-
-*D_NET *203 0.000954705
-*CONN
-*I *776:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *775:X O *D sky130_fd_sc_hd__or4_2
-*CAP
-1 *776:B1 0.00039058
-2 *775:X 0.00039058
-3 *776:B1 *781:A2 7.98425e-06
-4 *776:B1 *781:A3 1.00937e-05
-5 *756:B *776:B1 8.42898e-05
-6 *775:A *776:B1 3.61993e-05
-7 *781:B1 *776:B1 4.30017e-06
-8 *817:A0 *776:B1 0
-9 *55:22 *776:B1 2.29287e-05
-10 *65:10 *776:B1 5.22654e-06
-11 *164:28 *776:B1 2.52287e-06
-*RES
-1 *775:X *776:B1 34.3512
-*END
-
-*D_NET *204 0.0306545
-*CONN
-*I *847:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *844:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *845:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *848:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *843:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *851:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *836:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *834:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *835:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *840:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *842:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *852:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *837:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *853:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *850:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *849:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *838:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *839:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *854:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *806:A I *D sky130_fd_sc_hd__buf_2
-*I *855:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *846:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *841:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *856:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *942:Y O *D sky130_fd_sc_hd__clkinv_8
-*CAP
-1 *847:CLK 0
-2 *844:CLK 0
-3 *845:CLK 0.000200803
-4 *848:CLK 5.41691e-05
-5 *843:CLK 0
-6 *851:CLK 0.000558095
-7 *836:CLK 4.68729e-05
-8 *834:CLK 0
-9 *835:CLK 0.000131755
-10 *840:CLK 2.69375e-05
-11 *842:CLK 0.00010348
-12 *852:CLK 0
-13 *837:CLK 0.00074318
-14 *853:CLK 0.000176336
-15 *850:CLK 8.30535e-06
-16 *849:CLK 1.82006e-05
-17 *838:CLK 0
-18 *839:CLK 0
-19 *854:CLK 0
-20 *806:A 0.0001844
-21 *855:CLK 6.77012e-05
-22 *846:CLK 0.000348601
-23 *841:CLK 0
-24 *856:CLK 0.000673077
-25 *942:Y 0.000113285
-26 *204:250 0.000286087
-27 *204:213 0.000410952
-28 *204:211 0.000456673
-29 *204:209 0.000862059
-30 *204:207 0.000485888
-31 *204:206 0.00109302
-32 *204:200 0.00125391
-33 *204:178 0.000851751
-34 *204:167 0.000868423
-35 *204:127 0.000921801
-36 *204:114 0.00157578
-37 *204:102 0.000934862
-38 *204:82 0.00157549
-39 *204:67 0.00187617
-40 *204:60 0.0011138
-41 *204:52 0.00021308
-42 *204:51 0.000318654
-43 *204:47 0.00119627
-44 *204:40 0.000720023
-45 *204:11 0.00107015
-46 *204:7 0.000745673
-47 *204:5 0.000254971
-48 *836:CLK *220:9 2.85274e-05
-49 *837:CLK *750:C 0.000231729
-50 *837:CLK *753:B2 0.000114955
-51 *848:CLK *221:12 2.41274e-06
-52 *851:CLK *711:A 8.84017e-05
-53 *855:CLK *750:A 2.65667e-05
-54 *204:47 *646:A 5.0317e-05
-55 *204:51 *646:A 9.29919e-05
-56 *204:51 *205:20 0.000101224
-57 *204:67 *750:C 3.31733e-05
-58 *204:67 *753:B2 0.000313195
-59 *204:82 *677:A1 4.41793e-05
-60 *204:82 *677:A2 0.000114584
-61 *204:82 *207:17 6.52652e-05
-62 *204:82 *212:7 1.46263e-05
-63 *204:114 *206:7 9.32179e-05
-64 *204:206 *221:12 8.2383e-06
-65 *204:207 *227:21 0
-66 *204:209 *711:A 0
-67 *204:213 *666:A1 0
-68 *204:213 *219:19 0
-69 *332:DIODE *204:82 1.61631e-05
-70 *333:DIODE *806:A 6.31664e-05
-71 *664:A2 *204:51 1.8706e-05
-72 *665:B2 *853:CLK 0.000191541
-73 *666:A2 *204:127 2.65831e-05
-74 *677:B1 *204:82 0.000158357
-75 *692:A *856:CLK 5.24081e-05
-76 *696:A1 *856:CLK 6.50586e-05
-77 *711:C *204:200 3.78294e-05
-78 *712:A1 *842:CLK 1.6352e-05
-79 *712:A1 *204:167 0.000157785
-80 *712:A1 *204:178 1.37921e-05
-81 *716:B2 *204:207 0.000203781
-82 *725:B1 *204:200 0.000153404
-83 *728:B1 *204:200 0.000210005
-84 *743:B1 *845:CLK 5.66868e-06
-85 *743:B1 *204:206 0.000145053
-86 *743:B1 *204:207 7.02001e-05
-87 *743:B1 *204:250 1.65078e-05
-88 *744:B2 *204:200 4.20662e-05
-89 *745:B *204:67 0
-90 *748:A1 *856:CLK 1.03403e-05
-91 *748:A1 *204:11 7.11697e-05
-92 *753:A2 *204:67 0.000162951
-93 *754:A *204:67 0
-94 *790:A *204:40 0.00021243
-95 *793:A *845:CLK 5.07314e-05
-96 *800:A *204:47 0
-97 *834:D *835:CLK 8.62555e-05
-98 *834:RESET_B *835:CLK 7.15593e-05
-99 *834:RESET_B *204:213 9.22013e-06
-100 *837:RESET_B *837:CLK 5.52256e-05
-101 *839:D *204:67 7.70295e-05
-102 *840:D *204:178 2.65831e-05
-103 *840:RESET_B *204:47 6.66538e-05
-104 *841:RESET_B *204:11 0
-105 *844:RESET_B *845:CLK 0
-106 *846:D *846:CLK 2.89269e-05
-107 *846:RESET_B *846:CLK 3.01634e-05
-108 *847:D *204:47 5.04829e-06
-109 *847:RESET_B *204:40 5.56461e-05
-110 *847:RESET_B *204:47 2.50864e-05
-111 *848:D *204:200 2.63247e-05
-112 *848:D *204:250 0
-113 *850:D *204:127 2.69064e-05
-114 *851:D *851:CLK 6.08467e-05
-115 *851:RESET_B *204:209 0
-116 *851:RESET_B *204:211 0
-117 *851:RESET_B *204:213 0
-118 *854:D *204:82 0.000250884
-119 *856:D *856:CLK 0.000171456
-120 *29:8 *853:CLK 0
-121 *29:8 *204:127 0
-122 *29:8 *204:209 0
-123 *38:8 *835:CLK 4.30017e-06
-124 *90:22 *846:CLK 0
-125 *90:24 *204:11 0
-126 *90:82 *204:167 8.06202e-05
-127 *90:155 *835:CLK 1.46079e-05
-128 *90:167 *204:213 0
-129 *90:179 *204:213 0
-130 *90:239 *204:5 0.000171288
-131 *90:245 *204:5 0.000102003
-132 *90:245 *204:40 6.50727e-05
-133 *112:13 *856:CLK 0.000280597
-134 *113:8 *856:CLK 2.33334e-05
-135 *115:26 *204:67 0.00016352
-136 *116:16 *837:CLK 4.88617e-05
-137 *117:8 *204:51 0.000149643
-138 *118:24 *204:200 0.000222149
-139 *126:11 *850:CLK 1.09551e-05
-140 *126:20 *853:CLK 6.77777e-06
-141 *126:20 *204:127 0.000116804
-142 *126:25 *204:127 1.87482e-05
-143 *126:30 *204:114 5.74686e-05
-144 *126:30 *204:127 2.63421e-05
-145 *126:33 *204:52 0.000144695
-146 *126:37 *855:CLK 5.97411e-05
-147 *126:37 *204:52 4.56831e-05
-148 *127:7 *850:CLK 0
-149 *127:32 *853:CLK 2.15184e-05
-150 *127:32 *204:51 4.87198e-05
-151 *127:32 *204:67 0
-152 *127:116 *853:CLK 0.000113583
-153 *137:10 *204:82 6.50586e-05
-154 *152:8 *856:CLK 0.000317707
-155 *155:20 *837:CLK 0.000200356
-156 *156:7 *856:CLK 0.000158027
-157 *156:7 *204:11 0.000409852
-158 *156:10 *204:47 0
-159 *156:10 *204:178 0
-160 *157:8 *204:178 0
-161 *157:12 *204:178 9.34396e-06
-162 *157:105 *845:CLK 0
-163 *171:43 *204:200 1.82679e-05
-164 *171:43 *204:206 1.71232e-05
-165 *172:8 *204:207 0.000197462
-166 *172:8 *204:209 5.41377e-05
-167 *180:10 *204:200 0
-*RES
-1 *942:Y *204:5 12.7456
-2 *204:5 *204:7 4.5
-3 *204:7 *204:11 17.7294
-4 *204:11 *856:CLK 35.1331
-5 *204:11 *841:CLK 9.24915
-6 *204:7 *846:CLK 22.263
-7 *204:5 *204:40 5.16022
-8 *204:40 *204:47 17.4583
-9 *204:47 *204:51 10.0693
-10 *204:51 *204:52 4.60562
-11 *204:52 *855:CLK 11.0817
-12 *204:52 *204:60 4.5
-13 *204:60 *204:67 15.9561
-14 *204:67 *204:82 30.4309
-15 *204:82 *806:A 23.0201
-16 *204:82 *854:CLK 9.24915
-17 *204:67 *839:CLK 9.24915
-18 *204:67 *204:102 2.38721
-19 *204:102 *838:CLK 9.24915
-20 *204:102 *204:114 14.5161
-21 *204:114 *849:CLK 9.82786
-22 *204:114 *204:127 16.7887
-23 *204:127 *850:CLK 14.0506
-24 *204:127 *853:CLK 19.2091
-25 *204:60 *837:CLK 28.9959
-26 *204:51 *852:CLK 9.24915
-27 *204:47 *204:167 16.3786
-28 *204:167 *842:CLK 15.9964
-29 *204:167 *204:178 12.0412
-30 *204:178 *840:CLK 9.82786
-31 *204:178 *204:200 22.9403
-32 *204:200 *204:206 16.1949
-33 *204:206 *204:207 9.30653
-34 *204:207 *204:209 4.32351
-35 *204:209 *204:211 2.6625
-36 *204:211 *204:213 6.39977
-37 *204:213 *835:CLK 18.5201
-38 *204:213 *834:CLK 13.7491
-39 *204:211 *836:CLK 15.0271
-40 *204:209 *851:CLK 22.671
-41 *204:207 *843:CLK 13.7491
-42 *204:206 *204:250 1.00149
-43 *204:250 *848:CLK 15.0271
-44 *204:250 *845:CLK 18.5201
-45 *204:200 *844:CLK 9.24915
-46 *204:40 *847:CLK 9.24915
-*END
-
-*D_NET *205 0.00312228
-*CONN
-*I *646:A I *D sky130_fd_sc_hd__inv_2
-*I *664:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *753:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *837:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *646:A 0.000108869
-2 *664:B1 2.26212e-05
-3 *753:B2 0.000321697
-4 *837:Q 0
-5 *205:20 0.000319452
-6 *205:4 0.000509659
-7 *753:B2 *753:B1 3.72254e-05
-8 *712:A2 *664:B1 1.29759e-05
-9 *712:A2 *753:B2 0.000158371
-10 *712:A2 *205:20 0.00027103
-11 *753:A2 *753:B2 3.75603e-05
-12 *837:CLK *753:B2 0.000114955
-13 *839:D *753:B2 7.50722e-05
-14 *116:16 *753:B2 0.000294805
-15 *116:20 *753:B2 1.83627e-05
-16 *127:32 *205:20 7.95572e-05
-17 *127:44 *646:A 8.5976e-05
-18 *127:44 *205:20 3.55179e-05
-19 *155:20 *664:B1 6.08467e-05
-20 *204:47 *646:A 5.0317e-05
-21 *204:51 *646:A 9.29919e-05
-22 *204:51 *205:20 0.000101224
-23 *204:67 *753:B2 0.000313195
-*RES
-1 *837:Q *205:4 9.24915
-2 *205:4 *753:B2 29.1312
-3 *205:4 *205:20 9.27381
-4 *205:20 *664:B1 14.4725
-5 *205:20 *646:A 17.1444
-*END
-
-*D_NET *206 0.00588753
-*CONN
-*I *673:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *672:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *663:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *672:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *645:A I *D sky130_fd_sc_hd__inv_2
-*I *753:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *838:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *673:A1 0.000192642
-2 *672:B1 0
-3 *663:B1 0.000233361
-4 *672:A1_N 0
-5 *645:A 3.5247e-05
-6 *753:B1 0.00041687
-7 *838:Q 4.53787e-05
-8 *206:42 0.000329404
-9 *206:36 0.000116205
-10 *206:33 0.000347581
-11 *206:11 0.000872965
-12 *206:7 0.000601003
-13 *663:B1 *663:A1 0.000148489
-14 *673:A1 *672:A2_N 5.92342e-05
-15 *673:A1 *673:A2 9.27195e-05
-16 *673:A1 *211:8 0.000114955
-17 *206:33 *672:A2_N 5.92192e-05
-18 *206:42 *663:A1 2.29454e-05
-19 *206:42 *211:18 9.82435e-05
-20 *663:A2 *663:B1 1.67329e-05
-21 *673:B2 *673:A1 0.000146645
-22 *745:A *753:B1 6.1028e-05
-23 *745:B *753:B1 0.000199884
-24 *745:C *645:A 0
-25 *745:C *753:B1 6.92705e-05
-26 *751:A1 *753:B1 1.86988e-05
-27 *751:A2 *753:B1 0.000107496
-28 *753:B2 *753:B1 3.72254e-05
-29 *753:C1 *753:B1 5.41227e-05
-30 *754:B *206:11 2.65831e-05
-31 *798:A *753:B1 2.88836e-05
-32 *838:RESET_B *206:11 0.000100489
-33 *838:RESET_B *206:33 1.78514e-05
-34 *839:RESET_B *753:B1 1.1246e-05
-35 *853:D *663:B1 7.98425e-06
-36 *90:110 *663:B1 0.00031994
-37 *90:114 *206:11 0.000103943
-38 *90:120 *753:B1 1.00937e-05
-39 *90:120 *206:11 0.000104887
-40 *115:26 *753:B1 7.35836e-08
-41 *126:30 *673:A1 0
-42 *126:30 *206:7 0.000122392
-43 *126:30 *206:33 0
-44 *132:9 *673:A1 7.66539e-05
-45 *132:9 *206:33 8.62625e-06
-46 *195:13 *753:B1 0.000357105
-47 *204:114 *206:7 9.32179e-05
-*RES
-1 *838:Q *206:7 16.691
-2 *206:7 *206:11 16.205
-3 *206:11 *753:B1 32.485
-4 *206:11 *645:A 10.2378
-5 *206:7 *206:33 3.493
-6 *206:33 *206:36 4.84964
-7 *206:36 *672:A1_N 9.24915
-8 *206:36 *206:42 3.31557
-9 *206:42 *663:B1 17.2065
-10 *206:42 *672:B1 9.24915
-11 *206:33 *673:A1 20.4599
-*END
-
-*D_NET *207 0.00297805
-*CONN
-*I *644:A I *D sky130_fd_sc_hd__inv_2
-*I *675:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *662:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *670:A I *D sky130_fd_sc_hd__nor2_2
-*I *677:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *839:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *644:A 0
-2 *675:A1_N 4.78175e-05
-3 *662:B1 7.01979e-05
-4 *670:A 0.000162084
-5 *677:A1 0.00011824
-6 *839:Q 0
-7 *207:41 0.000227831
-8 *207:21 0.000410295
-9 *207:17 0.000502928
-10 *207:4 0.000263142
-11 *662:B1 *212:14 4.38386e-05
-12 *670:A *670:B 2.50842e-05
-13 *677:A1 *677:A2 0.000111802
-14 *677:A1 *212:7 4.58003e-05
-15 *662:A2 *207:41 0
-16 *662:B2 *670:A 0.000351506
-17 *662:B2 *207:21 7.24048e-05
-18 *745:C *207:21 2.36494e-05
-19 *745:C *207:41 6.23338e-05
-20 *854:D *670:A 0.000205006
-21 *130:8 *662:B1 0
-22 *135:11 *675:A1_N 2.7837e-05
-23 *144:18 *675:A1_N 9.68043e-05
-24 *195:13 *207:41 0
-25 *204:82 *677:A1 4.41793e-05
-26 *204:82 *207:17 6.52652e-05
-*RES
-1 *839:Q *207:4 9.24915
-2 *207:4 *677:A1 13.2037
-3 *207:4 *207:17 6.88721
-4 *207:17 *207:21 8.7192
-5 *207:21 *670:A 14.8434
-6 *207:21 *662:B1 19.6659
-7 *207:17 *207:41 8.82351
-8 *207:41 *675:A1_N 11.2626
-9 *207:41 *644:A 9.24915
-*END
-
-*D_NET *208 0.00556179
-*CONN
-*I *747:A I *D sky130_fd_sc_hd__nand2_2
-*I *749:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *691:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *669:A1 I *D sky130_fd_sc_hd__a21o_2
-*I *661:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *668:A I *D sky130_fd_sc_hd__nor2_2
-*I *755:A1 I *D sky130_fd_sc_hd__a311o_2
-*I *750:A I *D sky130_fd_sc_hd__and4_2
-*I *840:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *747:A 1.33251e-05
-2 *749:A1 0
-3 *691:A1_N 0
-4 *669:A1 0
-5 *661:B1 0.000148653
-6 *668:A 3.02589e-05
-7 *755:A1 0
-8 *750:A 0.000278899
-9 *840:Q 0.00010876
-10 *208:52 0.000505524
-11 *208:49 0.000423396
-12 *208:42 0.000251613
-13 *208:30 0.000195055
-14 *208:14 0.000453638
-15 *208:11 0.000254924
-16 *208:7 0.000242496
-17 *208:11 *209:30 0
-18 *208:14 *209:8 0.000163567
-19 *208:30 *209:11 9.81288e-06
-20 *208:42 *209:11 0.000110458
-21 *208:49 *691:A2_N 7.26748e-05
-22 *208:52 *668:B 3.8485e-05
-23 clockp[1] *661:B1 0.000225835
-24 *691:B1 *208:49 0.000118017
-25 *691:B1 *208:52 2.16355e-05
-26 *747:B *747:A 0
-27 *747:B *208:11 0.000145722
-28 *755:B1 *208:30 3.52355e-05
-29 *755:B1 *208:42 3.42102e-05
-30 *755:C1 *208:30 1.7012e-06
-31 *840:D *208:7 0.000120742
-32 *840:D *208:11 4.82966e-05
-33 *855:CLK *750:A 2.65667e-05
-34 *90:58 *208:42 1.65872e-05
-35 *116:16 *750:A 0.000108029
-36 *116:16 *208:14 2.24632e-05
-37 *126:37 *750:A 1.00937e-05
-38 *126:61 *750:A 3.20069e-06
-39 *126:87 *208:49 1.59966e-05
-40 *127:54 *208:30 0.000118636
-41 *127:54 *208:42 0.000474608
-42 *127:54 *208:49 0.000211961
-43 *127:55 *661:B1 0
-44 *128:5 *208:52 8.85759e-05
-45 *135:11 *208:42 0.000140251
-46 *135:11 *208:49 8.3864e-05
-47 *135:19 *208:49 1.41976e-05
-48 *155:20 *668:A 2.16355e-05
-49 *155:20 *208:52 4.91225e-06
-50 *196:12 *750:A 6.5545e-05
-51 *196:25 *750:A 3.44412e-06
-52 *196:25 *208:14 7.8293e-05
-*RES
-1 *840:Q *208:7 12.7697
-2 *208:7 *208:11 3.52053
-3 *208:11 *208:14 8.82351
-4 *208:14 *750:A 20.7599
-5 *208:14 *208:30 6.35672
-6 *208:30 *755:A1 9.24915
-7 *208:30 *208:42 8.1382
-8 *208:42 *208:49 13.6465
-9 *208:49 *208:52 5.59426
-10 *208:52 *668:A 9.97254
-11 *208:52 *661:B1 22.1574
-12 *208:49 *669:A1 9.24915
-13 *208:42 *691:A1_N 9.24915
-14 *208:11 *749:A1 9.24915
-15 *208:7 *747:A 9.82786
-*END
-
-*D_NET *209 0.00579962
-*CONN
-*I *750:C I *D sky130_fd_sc_hd__and4_2
-*I *642:A I *D sky130_fd_sc_hd__inv_2
-*I *658:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *690:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *749:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *755:A3 I *D sky130_fd_sc_hd__a311o_2
-*I *841:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *750:C 0.000297799
-2 *642:A 0.000202998
-3 *658:B1 0
-4 *690:A1 0.000264827
-5 *749:B1 0
-6 *755:A3 2.73514e-05
-7 *841:Q 0.000216455
-8 *209:34 0.000283815
-9 *209:30 0.000514235
-10 *209:22 0.000377426
-11 *209:11 0.000161436
-12 *209:8 0.000563163
-13 *642:A *643:A 3.66465e-05
-14 *642:A *690:A2 3.5534e-06
-15 *642:A *942:A 0
-16 *642:A *214:8 3.1709e-05
-17 *658:A2 *642:A 2.95757e-05
-18 *658:A2 *690:A1 6.37047e-05
-19 *658:A2 *209:30 6.08467e-05
-20 *658:A2 *209:34 3.02961e-05
-21 *658:B2 *690:A1 3.96305e-06
-22 *658:B2 *209:34 1.09551e-05
-23 *690:B1 *690:A1 4.17481e-05
-24 *690:B2 *690:A1 4.69454e-05
-25 *693:A1 *690:A1 0
-26 *748:A2 *209:22 1.47046e-05
-27 *748:A2 *209:30 3.05758e-05
-28 *748:B1 *209:30 2.57986e-05
-29 *749:C1 *209:30 0.000344708
-30 *755:B1 *750:C 4.76794e-05
-31 *755:B1 *209:11 0.000111352
-32 *800:A *750:C 5.23435e-05
-33 *800:A *209:8 5.79027e-06
-34 *837:RESET_B *750:C 9.43419e-05
-35 *837:CLK *750:C 0.000231729
-36 *841:D *642:A 2.0517e-05
-37 *855:RESET_B *755:A3 5.23577e-05
-38 *855:RESET_B *209:22 2.219e-05
-39 *90:24 *209:8 0
-40 *90:24 *209:22 0.000148144
-41 *90:58 *750:C 0.000160047
-42 *90:58 *209:11 0.000253367
-43 *90:70 *750:C 4.66492e-05
-44 *112:8 *642:A 0.000195154
-45 *116:5 *750:C 0.000157107
-46 *116:16 *750:C 1.90679e-05
-47 *127:32 *750:C 0
-48 *127:90 *690:A1 2.6813e-05
-49 *127:90 *209:30 1.92172e-05
-50 *127:90 *209:34 5.31074e-05
-51 *196:25 *755:A3 2.1032e-05
-52 *196:25 *209:22 5.93684e-05
-53 *204:67 *750:C 3.31733e-05
-54 *208:11 *209:30 0
-55 *208:14 *209:8 0.000163567
-56 *208:30 *209:11 9.81288e-06
-57 *208:42 *209:11 0.000110458
-*RES
-1 *841:Q *209:8 23.4032
-2 *209:8 *209:11 7.44181
-3 *209:11 *755:A3 14.7506
-4 *209:11 *209:22 7.75257
-5 *209:22 *749:B1 9.24915
-6 *209:22 *209:30 8.50593
-7 *209:30 *209:34 1.30211
-8 *209:34 *690:A1 16.893
-9 *209:34 *658:B1 9.24915
-10 *209:30 *642:A 24.6489
-11 *209:8 *750:C 28.2819
-*END
-
-*D_NET *210 0.00160652
-*CONN
-*I *664:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *647:A I *D sky130_fd_sc_hd__inv_2
-*I *852:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *664:A1 6.82194e-05
-2 *647:A 0
-3 *852:Q 0.000402591
-4 *210:10 0.00047081
-5 *664:A2 *664:A1 1.67329e-05
-6 *664:A2 *210:10 0
-7 *712:A2 *664:A1 0.000157962
-8 *785:A *664:A1 1.63255e-05
-9 *842:D *210:10 7.58194e-05
-10 *852:D *210:10 0
-11 *852:RESET_B *210:10 3.58457e-05
-12 *155:20 *664:A1 0.000362216
-*RES
-1 *852:Q *210:10 28.0116
-2 *210:10 *647:A 9.24915
-3 *210:10 *664:A1 13.8789
-*END
-
-*D_NET *211 0.00233987
-*CONN
-*I *673:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *663:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *672:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *672:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *853:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *673:A2 0.000136004
-2 *663:A1 0.000257246
-3 *672:B2 0
-4 *672:A2_N 5.10461e-05
-5 *853:Q 2.91477e-05
-6 *211:18 0.000339109
-7 *211:8 0.000211326
-8 *211:5 0.000243568
-9 *663:A2 *663:A1 5.94977e-06
-10 *663:B1 *663:A1 0.000148489
-11 *673:A1 *672:A2_N 5.92342e-05
-12 *673:A1 *673:A2 9.27195e-05
-13 *673:A1 *211:8 0.000114955
-14 *853:D *663:A1 0.000171273
-15 *853:RESET_B *663:A1 6.78596e-05
-16 *853:RESET_B *211:8 0
-17 *126:11 *663:A1 0
-18 *127:7 *663:A1 3.01683e-06
-19 *127:32 *673:A2 0.000110342
-20 *127:32 *211:5 0.00011818
-21 *206:33 *672:A2_N 5.92192e-05
-22 *206:42 *663:A1 2.29454e-05
-23 *206:42 *211:18 9.82435e-05
-*RES
-1 *853:Q *211:5 10.5271
-2 *211:5 *211:8 6.74725
-3 *211:8 *672:A2_N 15.5811
-4 *211:8 *211:18 6.88721
-5 *211:18 *672:B2 9.24915
-6 *211:18 *663:A1 17.6164
-7 *211:5 *673:A2 14.1019
-*END
-
-*D_NET *212 0.00258108
-*CONN
-*I *675:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *677:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *662:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *670:B I *D sky130_fd_sc_hd__nor2_2
-*I *854:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *675:A2_N 0.000107604
-2 *677:A2 1.8268e-05
-3 *662:A1 0
-4 *670:B 0.000164325
-5 *854:Q 0.000315325
-6 *212:33 0.000258488
-7 *212:14 0.000308097
-8 *212:7 0.000591712
-9 *662:A2 *670:B 9.2172e-05
-10 *662:A2 *675:A2_N 0
-11 *662:A2 *212:14 4.4647e-05
-12 *662:A2 *212:33 1.6594e-05
-13 *662:B1 *212:14 4.38386e-05
-14 *662:B2 *670:B 9.79132e-05
-15 *670:A *670:B 2.50842e-05
-16 *677:A1 *677:A2 0.000111802
-17 *677:A1 *212:7 4.58003e-05
-18 *677:B1 *212:7 3.024e-05
-19 *854:D *670:B 1.00937e-05
-20 *854:D *212:7 5.04829e-06
-21 *130:8 *670:B 0.000164815
-22 *204:82 *677:A2 0.000114584
-23 *204:82 *212:7 1.46263e-05
-*RES
-1 *854:Q *212:7 18.3548
-2 *212:7 *212:14 8.06628
-3 *212:14 *670:B 15.0122
-4 *212:14 *662:A1 9.24915
-5 *212:7 *212:33 2.88234
-6 *212:33 *677:A2 15.0271
-7 *212:33 *675:A2_N 15.9964
-*END
-
-*D_NET *213 0.0027532
-*CONN
-*I *691:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *669:A2 I *D sky130_fd_sc_hd__a21o_2
-*I *668:B I *D sky130_fd_sc_hd__nor2_2
-*I *661:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *855:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *691:A2_N 0.000147175
-2 *669:A2 0
-3 *668:B 8.27281e-05
-4 *661:A1 0.000292764
-5 *855:Q 0
-6 *213:27 0.000167823
-7 *213:16 0.000210964
-8 *213:4 0.000400351
-9 *661:A2 *661:A1 2.02722e-05
-10 *661:B2 *661:A1 1.88157e-05
-11 *691:B1 *668:B 5.08751e-05
-12 *691:B1 *691:A2_N 5.70488e-06
-13 *691:B1 *213:27 1.37566e-05
-14 *855:D *661:A1 9.91804e-05
-15 *855:D *213:16 2.41483e-05
-16 *39:11 *213:16 2.7961e-05
-17 *39:11 *213:27 2.41999e-06
-18 *126:65 *213:16 8.62625e-06
-19 *126:87 *691:A2_N 9.78191e-05
-20 *126:87 *213:16 0.000109859
-21 *126:87 *213:27 5.77203e-05
-22 *127:54 *691:A2_N 0.000161243
-23 *128:5 *668:B 0.000217923
-24 *155:20 *668:B 0.000423908
-25 *208:49 *691:A2_N 7.26748e-05
-26 *208:52 *668:B 3.8485e-05
-*RES
-1 *855:Q *213:4 9.24915
-2 *213:4 *661:A1 14.6987
-3 *213:4 *213:16 7.47064
-4 *213:16 *668:B 18.9335
-5 *213:16 *213:27 1.00149
-6 *213:27 *669:A2 13.7491
-7 *213:27 *691:A2_N 17.4137
-*END
-
-*D_NET *214 0.00284633
-*CONN
-*I *643:A I *D sky130_fd_sc_hd__inv_2
-*I *658:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *690:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *856:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *643:A 0.000222208
-2 *658:A1 0
-3 *690:A2 0.000469408
-4 *856:Q 0.000138913
-5 *214:8 0.000589648
-6 *214:7 0.000481362
-7 *643:A *942:A 0
-8 *325:DIODE *643:A 2.15184e-05
-9 *642:A *643:A 3.66465e-05
-10 *642:A *690:A2 3.5534e-06
-11 *642:A *214:8 3.1709e-05
-12 *658:A2 *690:A2 2.05342e-06
-13 *659:B *643:A 6.50586e-05
-14 *690:B2 *690:A2 1.16623e-05
-15 *692:A *690:A2 2.63201e-05
-16 *693:A2 *690:A2 6.50586e-05
-17 *693:B1_N *690:A2 0
-18 *856:D *214:7 5.04829e-06
-19 *4:10 *643:A 0
-20 *11:10 *643:A 1.77537e-06
-21 *39:11 *643:A 0.000193093
-22 *39:11 *690:A2 1.91391e-05
-23 *39:11 *214:8 0.000261984
-24 *112:8 *643:A 8.62625e-06
-25 *112:8 *214:8 0.000191541
-*RES
-1 *856:Q *214:7 15.5817
-2 *214:7 *214:8 5.15401
-3 *214:8 *690:A2 23.3831
-4 *214:8 *658:A1 13.7491
-5 *214:7 *643:A 20.4599
-*END
-
-*D_NET *215 0.00132566
+*D_NET *67 0.000392454
*CONN
*I *835:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *735:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *835:D 0.000152068
+2 *735:Y 0.000152068
+3 *835:D *733:B1 2.89016e-05
+4 *835:D *735:A2 0
+5 *835:RESET_B *835:D 4.46186e-06
+6 *5:105 *835:D 5.49544e-05
+*RES
+1 *735:Y *835:D 30.0107
+*END
+
+*D_NET *68 0.0013037
+*CONN
+*I *836:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *733:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *836:D 0.000371397
+2 *733:Y 0.000371397
+3 *836:D *733:A2 0.000274288
+4 *836:D *88:19 0.00010538
+5 *836:D *216:175 6.05161e-06
+6 *836:D *333:55 3.30803e-05
+7 *836:RESET_B *836:D 0.00014211
+*RES
+1 *733:Y *836:D 33.8321
+*END
+
+*D_NET *69 0.00156919
+*CONN
+*I *837:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *729:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *837:D 0.000362443
+2 *729:X 0.000362443
+3 *837:D *840:CLK 1.0484e-05
+4 *837:D *840:D 6.05161e-06
+5 *837:D *139:19 0.000202891
+6 *837:D *171:10 0.000169971
+7 *837:D *216:56 2.33087e-05
+8 *837:D *216:64 0.000141337
+9 *817:A *837:D 6.82912e-05
+10 *840:RESET_B *837:D 0.00022197
+*RES
+1 *729:X *837:D 37.0821
+*END
+
+*D_NET *70 0.000672732
+*CONN
+*I *838:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *727:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *838:D 0.000230658
+2 *727:X 0.000230658
+3 *838:D *645:B 0
+4 *838:D *220:36 0.000205366
+5 *838:D *225:8 0
+6 *838:D *225:13 6.05161e-06
+*RES
+1 *727:X *838:D 32.6536
+*END
+
+*D_NET *71 0.000308456
+*CONN
+*I *839:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *724:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *839:D 0.000136311
+2 *724:X 0.000136311
+3 *839:D *690:A 6.92148e-06
+4 *839:D *724:B1 2.89114e-05
+5 *839:D *238:10 0
+6 *29:8 *839:D 0
+*RES
+1 *724:X *839:D 29.7786
+*END
+
+*D_NET *72 0.00091465
+*CONN
+*I *840:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *722:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *840:D 0.000297667
+2 *722:X 0.000297667
+3 *840:D *685:C_N 0.000125818
+4 *840:D *721:B 2.07491e-05
+5 *840:D *722:A1 3.18676e-05
+6 *840:D *129:23 2.59355e-05
+7 *840:D *171:10 0
+8 *837:D *840:D 6.05161e-06
+9 *840:RESET_B *840:D 0.000108895
+*RES
+1 *722:X *840:D 33.1893
+*END
+
+*D_NET *73 0.00135638
+*CONN
+*I *841:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *719:X O *D sky130_fd_sc_hd__o2bb2a_2
+*CAP
+1 *841:D 0.000411624
+2 *719:X 0.000411624
+3 *841:D *684:B 9.32966e-05
+4 *841:D *723:B 5.0769e-05
+5 *841:D *841:CLK 9.84729e-05
+6 *841:D *844:D 0.000150618
+7 *841:D *145:15 6.05161e-06
+8 *841:D *145:24 2.14474e-05
+9 *841:D *233:8 0.00010133
+10 *841:D *238:28 1.11451e-05
+*RES
+1 *719:X *841:D 36.2786
+*END
+
+*D_NET *74 0.00229748
+*CONN
+*I *842:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *717:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *842:D 0.000403788
+2 *717:Y 0.000403788
+3 *842:D *681:A 0.000139337
+4 *842:D *695:A2 0.000390583
+5 *842:D *695:A3 0.000403972
+6 *842:D *695:B1 0.000148196
+7 *842:D *716:B1 0.000125731
+8 *842:D *236:10 8.56716e-05
+9 *842:D *236:19 0.000196411
+10 *29:8 *842:D 0
+*RES
+1 *717:Y *842:D 40.9214
+*END
+
+*D_NET *75 0.000404447
+*CONN
+*I *843:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *714:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *843:D 0.000172658
+2 *714:X 0.000172658
+3 *843:D *235:9 5.91306e-05
+*RES
+1 *714:X *843:D 20.7964
+*END
+
+*D_NET *76 0.000786656
+*CONN
+*I *844:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *712:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *844:D 0.000116018
+2 *712:X 0.000116018
+3 *844:D *684:B 0
+4 *844:D *685:D_N 0
+5 *844:D *843:CLK 4.38511e-05
+6 *844:D *145:15 0.000153054
+7 *844:D *145:24 0.000197984
+8 *844:D *216:222 9.11365e-06
+9 *841:D *844:D 0.000150618
+*RES
+1 *712:X *844:D 31.975
+*END
+
+*D_NET *77 0.00191823
+*CONN
+*I *845:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *709:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *845:D 0.000613642
+2 *709:X 0.000613642
+3 *845:D *705:A1 0.000200413
+4 *845:D *705:B1 3.57844e-05
+5 *845:D *706:B 6.75007e-05
+6 *845:D *129:63 0.000110707
+7 *845:D *237:12 7.43578e-06
+8 *5:51 *845:D 0.000149975
+9 *5:66 *845:D 0.000119135
+*RES
+1 *709:X *845:D 37.8187
+*END
+
+*D_NET *78 0.000665896
+*CONN
+*I *849:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *639:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *849:D 0.000186494
+2 *639:X 0.000186494
+3 *849:D *651:A 0.000137983
+4 *849:D *673:B 0.000101545
+5 *4:8 *849:D 5.33786e-05
+*RES
+1 *639:X *849:D 30.8321
+*END
+
+*D_NET *79 0.00123684
+*CONN
+*I *850:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *638:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *850:D 0.000499088
+2 *638:X 0.000499088
+3 *404:DIODE *850:D 3.71343e-05
+4 *405:DIODE *850:D 6.9665e-05
+5 *827:A *850:D 2.62951e-05
+6 *11:8 *850:D 0.000105572
+*RES
+1 *638:X *850:D 35.0464
+*END
+
+*D_NET *80 0.000432042
+*CONN
+*I *851:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *637:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *851:D 0.000153429
+2 *637:X 0.000153429
+3 *851:D *647:A 7.74068e-05
+4 *851:D *88:65 4.77782e-05
+*RES
+1 *637:X *851:D 30.0107
+*END
+
+*D_NET *81 0.00107271
+*CONN
+*I *852:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *636:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *852:D 0.000311801
+2 *636:X 0.000311801
+3 *852:D *643:A 3.18676e-05
+4 *852:D *643:B 3.48705e-05
+5 *852:D *828:B 0
+6 *4:8 *852:D 0.000316031
+7 *32:27 *852:D 6.6336e-05
+*RES
+1 *636:X *852:D 33.85
+*END
+
+*D_NET *82 0.00037241
+*CONN
+*I *853:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *635:X O *D sky130_fd_sc_hd__mux2_1
+*CAP
+1 *853:D 0.000140519
+2 *635:X 0.000140519
+3 *853:D *635:S 0
+4 *853:D *659:C1 3.52194e-05
+5 *853:D *853:CLK 5.6153e-05
+*RES
+1 *635:X *853:D 29.6402
+*END
+
+*D_NET *83 0.00624188
+*CONN
+*I *776:A I *D sky130_fd_sc_hd__or2_2
+*I *744:A I *D sky130_fd_sc_hd__nand2_2
+*I *694:A I *D sky130_fd_sc_hd__or4_2
+*I *772:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *748:A I *D sky130_fd_sc_hd__nor2_2
+*I *628:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *776:A 3.88931e-05
+2 *744:A 0
+3 *694:A 5.23541e-05
+4 *772:C1 0.000107674
+5 *748:A 0
+6 *628:Y 0.000384459
+7 *83:30 0.000412341
+8 *83:25 0.000532207
+9 *83:20 0.000555297
+10 *83:8 0.000620969
+11 *694:A *773:B1 1.39841e-05
+12 *694:A *84:23 9.7659e-05
+13 *694:A *143:5 0.000139907
+14 *694:A *143:9 8.76257e-05
+15 *772:C1 *694:C 1.035e-05
+16 *772:C1 *770:B 0
+17 *772:C1 *772:B1 1.46576e-05
+18 *772:C1 *773:B1 2.80052e-05
+19 *772:C1 *84:23 9.8232e-05
+20 *772:C1 *86:13 7.13226e-06
+21 *772:C1 *143:28 0.000166422
+22 *776:A *197:26 5.04841e-06
+23 *83:8 *786:A2 0.000150628
+24 *83:8 *786:A3 5.48376e-05
+25 *83:8 *806:B1 5.64168e-05
+26 *83:8 *181:18 2.77173e-05
+27 *83:8 *196:15 9.60875e-05
+28 *83:8 *237:100 2.14757e-05
+29 *83:8 *237:106 4.27437e-05
+30 *83:20 *750:A 0.00014183
+31 *83:20 *750:B 8.46829e-05
+32 *83:20 *786:A3 3.34295e-05
+33 *83:20 *799:B 5.33005e-05
+34 *83:20 *806:B1 0.00013966
+35 *83:20 *197:8 1.39841e-05
+36 *83:20 *234:115 0.000216121
+37 *83:25 *743:A 2.59355e-05
+38 *83:25 *750:B 0.000551762
+39 *83:25 *142:29 2.15339e-05
+40 *83:25 *143:28 0.000163673
+41 *83:25 *176:14 1.74854e-05
+42 *83:25 *178:15 9.59406e-05
+43 *83:25 *183:11 1.00073e-05
+44 *83:25 *197:8 1.92789e-05
+45 *83:25 *299:26 4.60485e-05
+46 *83:30 *772:A1 8.64748e-05
+47 *83:30 *773:B1 5.86175e-05
+48 *83:30 *84:26 0
+49 *83:30 *142:29 2.06178e-05
+50 *83:30 *143:9 0.000100685
+51 *83:30 *143:28 0.000351419
+52 *83:30 *176:14 1.39436e-05
+53 *380:DIODE *83:25 5.92829e-05
+54 *5:346 *83:20 9.30381e-05
+*RES
+1 *628:Y *83:8 19.175
+2 *83:8 *748:A 13.8
+3 *83:8 *83:20 10.6964
+4 *83:20 *83:25 12.2143
+5 *83:25 *83:30 10.4286
+6 *83:30 *772:C1 21.3045
+7 *83:30 *694:A 11.4786
+8 *83:25 *744:A 13.8
+9 *83:20 *776:A 10.0321
+*END
+
+*D_NET *84 0.00917841
+*CONN
+*I *742:B I *D sky130_fd_sc_hd__or3_2
+*I *759:B I *D sky130_fd_sc_hd__or3_2
+*I *774:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *696:A I *D sky130_fd_sc_hd__xnor2_2
+*I *695:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *629:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *742:B 0.000116915
+2 *759:B 0
+3 *774:A2 0.000236438
+4 *696:A 0
+5 *695:A1 0.000895591
+6 *629:Y 3.92213e-05
+7 *84:26 0.00131636
+8 *84:23 0.00118708
+9 *84:10 0.000714028
+10 *84:7 0.000340289
+11 *695:A1 *695:A2 0.000180789
+12 *695:A1 *711:A 0.000157777
+13 *695:A1 *712:A1 0.000266473
+14 *695:A1 *712:S 2.28598e-05
+15 *695:A1 *145:5 1.74352e-05
+16 *695:A1 *145:7 2.50243e-05
+17 *742:B *742:C 8.11028e-06
+18 *742:B *743:A 7.46461e-05
+19 *742:B *775:B 2.89016e-05
+20 *742:B *187:33 3.84268e-05
+21 *774:A2 *773:A2 6.20091e-06
+22 *774:A2 *774:A1 1.44931e-05
+23 *774:A2 *774:B1 2.82057e-05
+24 *774:A2 *86:8 3.17148e-05
+25 *774:A2 *86:40 0.000229173
+26 *774:A2 *142:29 2.30693e-05
+27 *774:A2 *142:47 1.89507e-06
+28 *774:A2 *237:40 4.56437e-05
+29 *774:A2 *237:54 0.000149319
+30 *84:7 *742:C 5.52302e-05
+31 *84:10 *742:C 1.33116e-05
+32 *84:10 *759:A 6.12311e-05
+33 *84:10 *770:B 8.61547e-06
+34 *84:10 *131:62 0.000105788
+35 *84:10 *237:75 9.60337e-06
+36 *84:23 *704:A1 4.11173e-05
+37 *84:23 *770:B 4.08518e-05
+38 *84:23 *773:B1 0.000129283
+39 *84:23 *85:5 0.000139907
+40 *84:23 *86:13 0.000387796
+41 *84:23 *130:94 2.6269e-05
+42 *84:23 *131:31 5.52302e-05
+43 *84:23 *131:62 1.84099e-05
+44 *84:23 *143:5 8.43535e-06
+45 *84:26 *631:A 5.01876e-06
+46 *84:26 *705:A1 0
+47 *84:26 *708:B1 0.000148955
+48 *84:26 *86:8 7.83587e-05
+49 *84:26 *129:58 8.06427e-05
+50 *84:26 *129:90 0.000148196
+51 *84:26 *129:121 0.00026
+52 *84:26 *129:132 0.000164951
+53 *84:26 *134:17 8.79776e-05
+54 *84:26 *145:58 0.000412347
+55 *84:26 *234:47 6.59135e-05
+56 *84:26 *237:12 9.82074e-06
+57 *84:26 *237:33 7.32699e-05
+58 *84:26 *237:40 0.000149911
+59 *694:A *84:23 9.7659e-05
+60 *772:C1 *84:23 9.8232e-05
+61 *83:30 *84:26 0
+*RES
+1 *629:Y *84:7 14.3357
+2 *84:7 *84:10 3.08929
+3 *84:10 *84:23 27.5
+4 *84:23 *84:26 18.2857
+5 *84:26 *695:A1 24.4429
+6 *84:26 *696:A 9.3
+7 *84:23 *774:A2 18.4562
+8 *84:10 *759:B 13.8
+9 *84:7 *742:B 15.9786
+*END
+
+*D_NET *85 0.00268184
+*CONN
+*I *759:C I *D sky130_fd_sc_hd__or3_2
+*I *694:B I *D sky130_fd_sc_hd__or4_2
+*I *743:B I *D sky130_fd_sc_hd__nor2_2
+*I *630:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *759:C 0.000125168
+2 *694:B 0
+3 *743:B 0.000237109
+4 *630:Y 0
+5 *85:5 0.000442609
+6 *85:4 0.000330669
+7 *743:B *704:A1 0.000491129
+8 *743:B *770:B 0.000181474
+9 *743:B *773:B1 5.41797e-06
+10 *743:B *187:33 0.000260152
+11 *743:B *299:26 4.38058e-05
+12 *759:C *692:A 3.19897e-06
+13 *759:C *772:B1 4.18156e-05
+14 *759:C *86:13 9.25187e-05
+15 *759:C *131:62 0.000118052
+16 *759:C *235:61 0.000115935
+17 *85:5 *131:31 4.13496e-05
+18 *85:5 *143:5 1.15281e-05
+19 *84:23 *85:5 0.000139907
+*RES
+1 *630:Y *85:4 9.3
+2 *85:4 *85:5 2.58929
+3 *85:5 *743:B 25.1036
+4 *85:5 *694:B 9.3
+5 *85:4 *759:C 21.5679
+*END
+
+*D_NET *86 0.0086223
+*CONN
+*I *790:A1 I *D sky130_fd_sc_hd__o221a_2
+*I *782:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *692:A I *D sky130_fd_sc_hd__nor2_2
+*I *717:A I *D sky130_fd_sc_hd__xnor2_2
+*I *631:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *790:A1 0.000412508
+2 *782:A1 9.14767e-05
+3 *692:A 8.55284e-05
+4 *717:A 0.000243251
+5 *631:Y 0.000132744
+6 *86:40 0.000853643
+7 *86:13 0.000716791
+8 *86:8 0.000870413
+9 *692:A *692:B 3.08382e-06
+10 *692:A *772:B1 1.62487e-05
+11 *692:A *87:28 3.10885e-05
+12 *692:A *87:41 4.47218e-05
+13 *692:A *235:61 6.49408e-05
+14 *717:A *702:A 5.33005e-05
+15 *717:A *704:B1 9.58632e-05
+16 *717:A *716:A1 3.14003e-05
+17 *717:A *87:8 0.000204527
+18 *717:A *87:28 8.64583e-05
+19 *717:A *131:20 7.88827e-05
+20 *717:A *131:62 7.80714e-06
+21 *717:A *235:61 0.000119895
+22 *782:A1 *783:A1 0.000222666
+23 *782:A1 *150:32 0.000222666
+24 *790:A1 *777:A 5.33005e-05
+25 *790:A1 *783:A1 5.33005e-05
+26 *790:A1 *783:B1 0.000264153
+27 *790:A1 *783:B2 1.00733e-05
+28 *790:A1 *787:A2 1.15281e-05
+29 *790:A1 *788:C 4.28123e-05
+30 *790:A1 *790:A2 9.54798e-06
+31 *790:A1 *791:A 0.000440711
+32 *790:A1 *792:A 5.33005e-05
+33 *790:A1 *130:39 0.000126548
+34 *790:A1 *143:29 1.64621e-05
+35 *790:A1 *143:36 3.68699e-05
+36 *790:A1 *150:32 0.000405678
+37 *790:A1 *178:15 1.64621e-05
+38 *790:A1 *178:20 2.23592e-05
+39 *790:A1 *187:44 9.76221e-05
+40 *790:A1 *206:8 0.000121871
+41 *86:8 *700:B 0.000100261
+42 *86:13 *759:A 5.71472e-05
+43 *86:13 *772:A1 6.26774e-06
+44 *86:13 *772:A2 6.46214e-05
+45 *86:13 *772:B1 0.000132713
+46 *86:13 *773:B1 8.0789e-07
+47 *86:13 *130:94 5.49544e-05
+48 *86:40 *773:B1 0
+49 *86:40 *130:76 0.000527444
+50 *86:40 *142:47 0
+51 *382:DIODE *86:13 9.41642e-05
+52 *384:DIODE *790:A1 8.90816e-06
+53 *759:C *692:A 3.19897e-06
+54 *759:C *86:13 9.25187e-05
+55 *772:C1 *86:13 7.13226e-06
+56 *774:A2 *86:8 3.17148e-05
+57 *774:A2 *86:40 0.000229173
+58 *778:A1 *86:40 0.000100823
+59 *778:A2 *86:40 2.5184e-05
+60 *786:B1 *790:A1 4.90142e-05
+61 *5:761 *782:A1 3.40111e-05
+62 *18:5 *790:A1 6.35813e-05
+63 *84:23 *86:13 0.000387796
+64 *84:26 *86:8 7.83587e-05
+*RES
+1 *631:Y *86:8 16.0857
+2 *86:8 *86:13 17.3393
+3 *86:13 *717:A 19.5321
+4 *86:13 *692:A 16.0946
+5 *86:8 *86:40 12.2143
+6 *86:40 *782:A1 12.1482
+7 *86:40 *790:A1 31.3
+*END
+
+*D_NET *87 0.00774984
+*CONN
+*I *799:B I *D sky130_fd_sc_hd__or3_2
+*I *750:B I *D sky130_fd_sc_hd__or3_2
+*I *692:B I *D sky130_fd_sc_hd__nor2_2
+*I *702:A I *D sky130_fd_sc_hd__xnor2_2
+*I *716:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *632:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *799:B 3.54071e-05
+2 *750:B 0.000525505
+3 *692:B 4.96846e-05
+4 *702:A 0.000198179
+5 *716:A1 0.000167306
+6 *632:Y 0.000304242
+7 *87:41 0.000742263
+8 *87:28 0.000292684
+9 *87:8 0.000465246
+10 *87:7 0.000465652
+11 *692:B *779:B 2.30099e-05
+12 *702:A *704:B1 0.000212075
+13 *702:A *704:B2 0.000433731
+14 *702:A *131:20 0.000182799
+15 *702:A *131:31 0.000526676
+16 *702:A *152:5 5.37709e-05
+17 *716:A1 *704:A3 4.42291e-05
+18 *716:A1 *704:B1 5.36422e-05
+19 *716:A1 *716:A2 0.000252217
+20 *716:A1 *718:A 0
+21 *716:A1 *233:28 9.78703e-05
+22 *716:A1 *246:22 0.000254134
+23 *87:7 *235:52 0.000438788
+24 *87:8 *704:B1 0.00016632
+25 *87:8 *130:21 4.56437e-05
+26 *87:28 *130:21 9.65182e-05
+27 *87:28 *130:39 2.15339e-05
+28 *87:41 *742:C 4.16551e-05
+29 *87:41 *743:A 0
+30 *87:41 *130:39 0.000322185
+31 *87:41 *235:61 8.44271e-06
+32 *87:41 *278:12 2.06178e-05
+33 *382:DIODE *692:B 1.98839e-05
+34 *692:A *692:B 3.08382e-06
+35 *692:A *87:28 3.10885e-05
+36 *692:A *87:41 4.47218e-05
+37 *717:A *702:A 5.33005e-05
+38 *717:A *716:A1 3.14003e-05
+39 *717:A *87:8 0.000204527
+40 *717:A *87:28 8.64583e-05
+41 *786:B1 *87:41 4.36012e-05
+42 *83:20 *750:B 8.46829e-05
+43 *83:20 *799:B 5.33005e-05
+44 *83:25 *750:B 0.000551762
+*RES
+1 *632:Y *87:7 18.0321
+2 *87:7 *87:8 2.85714
+3 *87:8 *716:A1 19.3982
+4 *87:8 *702:A 22.1571
+5 *87:7 *87:28 1.64286
+6 *87:28 *692:B 14.7643
+7 *87:28 *87:41 8.875
+8 *87:41 *750:B 15.9964
+9 *87:41 *799:B 9.83571
+*END
+
+*D_NET *88 0.0171592
+*CONN
+*I *737:S I *D sky130_fd_sc_hd__mux2_1
+*I *727:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *635:S I *D sky130_fd_sc_hd__mux2_1
+*I *636:S I *D sky130_fd_sc_hd__mux2_1
+*I *729:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *637:S I *D sky130_fd_sc_hd__mux2_1
+*I *736:B I *D sky130_fd_sc_hd__nand3_2
+*I *638:S I *D sky130_fd_sc_hd__mux2_1
+*I *639:S I *D sky130_fd_sc_hd__mux2_1
+*I *633:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *737:S 0
+2 *727:B1 2.23854e-05
+3 *635:S 0.000720304
+4 *636:S 0
+5 *729:C1 0
+6 *637:S 5.39313e-06
+7 *736:B 8.3806e-05
+8 *638:S 0
+9 *639:S 0.00100497
+10 *633:Y 0.000233385
+11 *88:82 0.00118376
+12 *88:77 0.000759692
+13 *88:65 0.000412127
+14 *88:39 0.000748989
+15 *88:35 0.000670576
+16 *88:21 0.00140968
+17 *88:19 0.00296711
+18 *88:5 0.0026575
+19 *635:S *642:A 0
+20 *635:S *657:A 0.000115699
+21 *635:S *659:C1 0
+22 *635:S *852:CLK 8.71047e-05
+23 *635:S *225:8 0
+24 *635:S *333:245 0
+25 *639:S *216:144 1.76135e-05
+26 *639:S *222:21 3.18676e-05
+27 *736:B *638:A1 4.18895e-05
+28 *736:B *736:A 5.52238e-05
+29 *736:B *333:27 0.000115971
+30 *88:5 *825:B 7.32272e-05
+31 *88:5 *232:11 1.92789e-05
+32 *88:19 *686:A 1.32552e-05
+33 *88:19 *733:A2 2.51343e-06
+34 *88:19 *733:B1 1.52978e-05
+35 *88:19 *737:A0 0.000310686
+36 *88:19 *738:A0 0.000136958
+37 *88:19 *832:CLK 3.30803e-05
+38 *88:19 *171:10 0
+39 *88:19 *171:12 0
+40 *88:19 *216:73 0
+41 *88:19 *216:79 0
+42 *88:19 *216:81 0
+43 *88:19 *232:11 2.24763e-05
+44 *88:39 *638:A1 5.71472e-05
+45 *88:39 *648:B 0
+46 *88:39 *649:B 0
+47 *88:39 *654:C1 6.09572e-05
+48 *88:39 *100:10 7.92879e-05
+49 *88:39 *102:10 1.37292e-05
+50 *88:39 *104:8 0
+51 *88:39 *219:23 0
+52 *88:39 *219:34 0
+53 *88:39 *223:17 0
+54 *88:39 *333:27 0.000102207
+55 *88:65 *647:A 3.8663e-05
+56 *88:65 *660:A 6.75721e-05
+57 *88:65 *729:B1 5.54817e-06
+58 *88:65 *219:34 2.02339e-05
+59 *88:77 *647:A 5.24684e-06
+60 *88:77 *727:A2 6.05161e-06
+61 *88:77 *729:B1 7.89337e-05
+62 *88:77 *851:CLK 5.76547e-05
+63 *88:77 *169:14 9.10431e-06
+64 *88:77 *216:46 0.000388153
+65 *88:77 *220:19 0
+66 *88:77 *220:20 1.40034e-05
+67 *88:77 *221:10 3.67452e-05
+68 *88:77 *221:14 7.83462e-05
+69 *88:82 *645:A 0.00012389
+70 *88:82 *662:A 0.000271898
+71 *88:82 *220:20 3.69047e-06
+72 *338:DIODE *639:S 0.000155777
+73 *405:DIODE *736:B 6.13217e-05
+74 *405:DIODE *88:39 7.32955e-05
+75 *832:D *88:19 9.92409e-05
+76 *833:D *88:19 0.00014285
+77 *836:D *88:19 0.00010538
+78 *848:RESET_B *88:5 0.000139907
+79 *850:RESET_B *88:39 8.2731e-05
+80 *851:D *88:65 4.77782e-05
+81 *853:D *635:S 0
+82 *853:RESET_B *635:S 9.10431e-06
+83 *4:8 *635:S 0
+84 *5:180 *88:5 3.67257e-05
+85 *5:191 *88:5 4.69993e-05
+86 *5:604 *637:S 3.27359e-05
+87 *5:604 *88:39 4.06365e-05
+88 *5:611 *639:S 0.000186407
+89 *5:613 *639:S 1.76039e-05
+90 *11:8 *635:S 0.000142933
+91 *11:8 *639:S 0.000165324
+92 *11:8 *88:82 8.15939e-05
+*RES
+1 *633:Y *88:5 14.3536
+2 *88:5 *88:19 29.5182
+3 *88:19 *88:21 0.623533
+4 *88:21 *639:S 25.757
+5 *88:21 *88:35 3.41
+6 *88:35 *88:39 18.0804
+7 *88:39 *638:S 9.3
+8 *88:39 *736:B 11.9071
+9 *88:35 *637:S 14.0768
+10 *88:19 *88:65 6.77607
+11 *88:65 *729:C1 13.8
+12 *88:65 *88:77 11.7679
+13 *88:77 *88:82 13.1429
+14 *88:82 *636:S 13.8
+15 *88:82 *635:S 26.9272
+16 *88:77 *727:B1 9.72857
+17 *88:5 *737:S 9.3
+*END
+
+*D_NET *89 0.00596326
+*CONN
+*I *738:S I *D sky130_fd_sc_hd__mux2_1
+*I *735:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *733:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *686:C I *D sky130_fd_sc_hd__and3_2
+*I *739:B I *D sky130_fd_sc_hd__or2_2
+*I *634:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *738:S 0
+2 *735:B1 0
+3 *733:B1 0.000225622
+4 *686:C 0.000168968
+5 *739:B 0.000329523
+6 *634:X 0.00053847
+7 *89:34 0.000509293
+8 *89:7 0.000498491
+9 *89:5 0.00082214
+10 *686:C *686:B 0.00031588
+11 *686:C *333:64 9.60939e-05
+12 *733:B1 *732:A 0.000159282
+13 *733:B1 *732:B 4.55687e-05
+14 *733:B1 *733:A2 2.77173e-05
+15 *733:B1 *735:A2 4.1331e-06
+16 *733:B1 *171:12 0
+17 *733:B1 *216:81 0
+18 *733:B1 *216:131 0.00014258
+19 *739:B *686:B 4.49293e-05
+20 *739:B *739:A 0
+21 *739:B *216:88 5.33334e-05
+22 *739:B *230:8 0.000100823
+23 *739:B *333:76 0
+24 *89:5 *738:A0 8.17274e-05
+25 *89:5 *833:CLK 0.000217894
+26 *89:5 *232:11 0.000259596
+27 *89:34 *738:A0 0.0001399
+28 *89:34 *739:A 7.22263e-05
+29 *89:34 *216:131 0.000228508
+30 *424:DIODE *89:34 9.33671e-05
+31 *809:A *89:5 6.14317e-05
+32 *833:RESET_B *89:5 2.51837e-05
+33 *835:D *733:B1 2.89016e-05
+34 *5:140 *89:34 0.000117621
+35 *5:146 *739:B 0.000151333
+36 *5:561 *686:C 0.000310781
+37 *5:561 *739:B 7.6644e-05
+38 *88:19 *733:B1 1.52978e-05
+*RES
+1 *634:X *89:5 21.3357
+2 *89:5 *89:7 4.5
+3 *89:7 *739:B 20.9964
+4 *89:7 *686:C 18.8179
+5 *89:5 *89:34 7.25
+6 *89:34 *733:B1 23.6929
+7 *89:34 *735:B1 9.3
+8 *89:5 *738:S 9.3
+*END
+
+*D_NET *90 0.0033591
+*CONN
+*I *642:A I *D sky130_fd_sc_hd__and2_2
+*I *659:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *640:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *642:A 3.80456e-05
+2 *659:C1 0.000197441
+3 *640:Y 0.00104052
+4 *90:15 0.001276
+5 *642:A *642:B 1.02504e-05
+6 *659:C1 *657:A 0
+7 *659:C1 *659:A2 2.00751e-05
+8 *659:C1 *659:B1 5.80706e-06
+9 *659:C1 *92:6 6.72785e-05
+10 *659:C1 *92:8 4.57352e-05
+11 *90:15 *635:A0 8.68409e-05
+12 *90:15 *635:A1 1.79326e-05
+13 *90:15 *640:B 0.000446434
+14 *90:15 *221:55 1.66568e-05
+15 *90:15 *225:8 5.48674e-05
+16 *635:S *642:A 0
+17 *635:S *659:C1 0
+18 *853:D *659:C1 3.52194e-05
+*RES
+1 *640:Y *90:15 28.5857
+2 *90:15 *659:C1 18.175
+3 *90:15 *642:A 14.5321
+*END
+
+*D_NET *91 0.00123365
+*CONN
+*I *642:B I *D sky130_fd_sc_hd__and2_2
+*I *641:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *642:B 0.000505734
+2 *641:X 0.000505734
+3 *642:B *635:A0 5.52302e-05
+4 *642:B *830:B 4.43256e-05
+5 *642:A *642:B 1.02504e-05
+6 *853:RESET_B *642:B 5.52238e-05
+7 *5:15 *642:B 5.71472e-05
+*RES
+1 *641:X *642:B 36.2429
+*END
+
+*D_NET *92 0.0024516
+*CONN
+*I *657:A I *D sky130_fd_sc_hd__and2_2
+*I *656:A I *D sky130_fd_sc_hd__nor2_2
+*I *658:A I *D sky130_fd_sc_hd__nand2_2
+*I *642:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *657:A 0.000413833
+2 *656:A 0
+3 *658:A 8.73733e-05
+4 *642:X 0.000126194
+5 *92:8 0.000279967
+6 *92:6 0.000732621
+7 *657:A *657:B 5.80706e-06
+8 *657:A *659:A2 0
+9 *657:A *853:CLK 0.000184882
+10 *658:A *658:B 0.000177234
+11 *92:8 *658:B 1.02504e-05
+12 *92:8 *659:B1 0
+13 *92:8 *105:12 7.22594e-05
+14 *635:S *657:A 0.000115699
+15 *659:C1 *657:A 0
+16 *659:C1 *92:6 6.72785e-05
+17 *659:C1 *92:8 4.57352e-05
+18 *677:B1 *92:8 0.000113377
+19 *4:8 *657:A 0
+20 *10:19 *92:8 1.90936e-05
+*RES
+1 *642:X *92:6 16.3536
+2 *92:6 *92:8 4.375
+3 *92:8 *658:A 16.05
+4 *92:8 *656:A 13.8
+5 *92:6 *657:A 29.0857
+*END
+
+*D_NET *93 0.00190465
+*CONN
+*I *662:A I *D sky130_fd_sc_hd__or2_2
+*I *644:A I *D sky130_fd_sc_hd__inv_2
+*I *643:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *662:A 0.000280999
+2 *644:A 2.23854e-05
+3 *643:Y 0.000115087
+4 *93:9 0.000418472
+5 *662:A *645:B 3.34295e-05
+6 *662:A *647:A 0
+7 *662:A *655:A1 0.00031344
+8 *662:A *655:B1 2.84026e-05
+9 *662:A *662:B 5.93525e-05
+10 *662:A *95:5 2.84109e-05
+11 *662:A *105:7 0.000100625
+12 *662:A *220:36 0
+13 *93:9 *667:A1 1.74352e-05
+14 *93:9 *667:A2 5.62995e-05
+15 *93:9 *828:B 0
+16 *93:9 *105:7 7.77751e-05
+17 *4:8 *93:9 8.06331e-05
+18 *9:38 *644:A 0
+19 *88:82 *662:A 0.000271898
+*RES
+1 *643:Y *93:9 21.6036
+2 *93:9 *644:A 9.72857
+3 *93:9 *662:A 26.0143
+*END
+
+*D_NET *94 0.000760009
+*CONN
+*I *655:A1 I *D sky130_fd_sc_hd__a31o_2
+*I *644:Y O *D sky130_fd_sc_hd__inv_2
+*CAP
+1 *655:A1 0.000133861
+2 *644:Y 0.000133861
+3 *655:A1 *664:A2 0.000178847
+4 *662:A *655:A1 0.00031344
+*RES
+1 *644:Y *655:A1 22.3321
+*END
+
+*D_NET *95 0.000403357
+*CONN
+*I *662:B I *D sky130_fd_sc_hd__or2_2
+*I *655:B1 I *D sky130_fd_sc_hd__a31o_2
+*I *645:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *662:B 8.64603e-05
+2 *655:B1 3.14856e-05
+3 *645:X 2.56497e-05
+4 *95:5 0.000143596
+5 *662:B *104:21 0
+6 *662:A *655:B1 2.84026e-05
+7 *662:A *662:B 5.93525e-05
+8 *662:A *95:5 2.84109e-05
+*RES
+1 *645:X *95:5 9.83571
+2 *95:5 *655:B1 9.83571
+3 *95:5 *662:B 19.9429
+*END
+
+*D_NET *96 0.00169281
+*CONN
+*I *660:A I *D sky130_fd_sc_hd__nor2_2
+*I *646:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *660:A 0.000416624
+2 *646:Y 0.000416624
+3 *660:A *646:A 0.000223675
+4 *660:A *168:8 0
+5 *660:A *219:34 5.1937e-05
+6 *660:A *219:37 0.000139331
+7 *660:A *224:20 0.000180207
+8 *660:A *224:28 0.000128706
+9 *5:592 *660:A 3.69047e-06
+10 *5:604 *660:A 6.4443e-05
+11 *88:65 *660:A 6.75721e-05
+*RES
+1 *646:Y *660:A 36.2429
+*END
+
+*D_NET *97 0.00160285
+*CONN
+*I *655:A2 I *D sky130_fd_sc_hd__a31o_2
+*I *663:A I *D sky130_fd_sc_hd__nand3_2
+*I *664:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *647:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *655:A2 5.70154e-05
+2 *663:A 0
+3 *664:A1 5.4166e-05
+4 *647:X 0.000333077
+5 *97:17 0.0001801
+6 *97:7 0.000516026
+7 *655:A2 *104:21 8.00806e-05
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 0.000114578
+10 *97:7 *664:B1 4.64978e-05
+11 *97:7 *728:A_N 0
+12 *97:7 *112:5 8.85131e-05
+13 *97:7 *129:17 2.65105e-05
+14 *97:17 *663:C 0
+15 *97:17 *664:B1 0.000106291
+*RES
+1 *647:X *97:7 13.9607
+2 *97:7 *97:17 2.875
+3 *97:17 *664:A1 10.8893
+4 *97:17 *663:A 9.3
+5 *97:7 *655:A2 19.3357
+*END
+
+*D_NET *98 0.00123478
+*CONN
+*I *660:B I *D sky130_fd_sc_hd__nor2_2
+*I *654:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *648:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *660:B 8.28291e-05
+2 *654:B1 0.0001335
+3 *648:X 0
+4 *98:4 0.00021633
+5 *654:B1 *648:A 7.77652e-05
+6 *654:B1 *661:B 0.000442628
+7 *660:B *661:B 0.000185643
+8 *344:DIODE *654:B1 9.6087e-05
+*RES
+1 *648:X *98:4 9.3
+2 *98:4 *654:B1 13.9607
+3 *98:4 *660:B 11.4964
+*END
+
+*D_NET *99 0.00196335
+*CONN
+*I *654:C1 I *D sky130_fd_sc_hd__a211o_2
+*I *653:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *649:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *654:C1 7.86728e-05
+2 *653:B1 0.000440288
+3 *649:X 0.000193487
+4 *99:5 0.000712448
+5 *653:B1 *653:A1 0.000354754
+6 *653:B1 *100:11 6.79915e-05
+7 *653:B1 *120:7 2.15245e-05
+8 *654:C1 *654:A1 3.3231e-05
+9 *88:39 *654:C1 6.09572e-05
+*RES
+1 *649:X *99:5 11.4786
+2 *99:5 *653:B1 15.4964
+3 *99:5 *654:C1 19.9429
+*END
+
+*D_NET *100 0.0024709
+*CONN
+*I *670:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *650:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *670:A 0
+2 *653:A1 8.52459e-05
+3 *654:A1 0.000113696
+4 *650:X 0.0002391
+5 *100:11 0.000241486
+6 *100:10 0.000281645
+7 *653:A1 *653:A2 0.00028029
+8 *653:A1 *102:11 1.51571e-05
+9 *653:A1 *120:7 1.74352e-05
+10 *654:A1 *654:A2 0.000165505
+11 *100:10 *102:10 0.000126439
+12 *100:10 *216:144 0.000271302
+13 *100:11 *102:11 9.83388e-05
+14 *653:B1 *653:A1 0.000354754
+15 *653:B1 *100:11 6.79915e-05
+16 *654:C1 *654:A1 3.3231e-05
+17 *88:39 *100:10 7.92879e-05
+*RES
+1 *650:X *100:10 22.8357
+2 *100:10 *100:11 1.35714
+3 *100:11 *654:A1 20.55
+4 *100:11 *653:A1 13.1393
+5 *100:10 *670:A 9.3
+*END
+
+*D_NET *101 0.000548154
+*CONN
+*I *673:A I *D sky130_fd_sc_hd__nand2_2
+*I *651:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *673:A 0.000139477
+2 *651:Y 0.000139477
+3 *673:A *671:B 0
+4 *344:DIODE *673:A 1.76135e-05
+5 *671:A *673:A 0
+6 *826:A *673:A 0.00010096
+7 *4:8 *673:A 0.000150625
+*RES
+1 *651:Y *673:A 30.4929
+*END
+
+*D_NET *102 0.00170684
+*CONN
+*I *670:B I *D sky130_fd_sc_hd__xnor2_2
+*I *653:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *654:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *652:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *670:B 0
+2 *653:A2 0.000118432
+3 *654:A2 0.000146115
+4 *652:X 0.00011624
+5 *102:11 0.000334638
+6 *102:10 0.000186332
+7 *653:A2 *120:7 2.89016e-05
+8 *102:10 *216:144 5.49489e-05
+9 *102:10 *217:11 2.1768e-05
+10 *653:A1 *653:A2 0.00028029
+11 *653:A1 *102:11 1.51571e-05
+12 *654:A1 *654:A2 0.000165505
+13 *11:8 *654:A2 0
+14 *11:8 *102:10 0
+15 *88:39 *102:10 1.37292e-05
+16 *100:10 *102:10 0.000126439
+17 *100:11 *102:11 9.83388e-05
+*RES
+1 *652:X *102:10 21.0857
+2 *102:10 *102:11 1.35714
+3 *102:11 *654:A2 20.8536
+4 *102:11 *653:A2 12.3179
+5 *102:10 *670:B 9.3
+*END
+
+*D_NET *103 0.000345165
+*CONN
+*I *661:A I *D sky130_fd_sc_hd__xnor2_2
+*I *653:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *661:A 6.96801e-05
+2 *653:X 6.96801e-05
+3 *337:DIODE *661:A 5.59013e-05
+4 *4:8 *661:A 0
+5 *5:611 *661:A 0.000149904
+*RES
+1 *653:X *661:A 29.5464
+*END
+
+*D_NET *104 0.00232598
+*CONN
+*I *655:A3 I *D sky130_fd_sc_hd__a31o_2
+*I *664:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *663:B I *D sky130_fd_sc_hd__nand3_2
+*I *654:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *655:A3 0
+2 *664:A2 0.000159553
+3 *663:B 0
+4 *654:X 0.000376892
+5 *104:21 0.000266044
+6 *104:8 0.000483383
+7 *664:A2 *667:A2 5.56943e-05
+8 *664:A2 *828:B 0.000128154
+9 *104:8 *661:B 5.49544e-05
+10 *344:DIODE *104:8 3.08664e-05
+11 *655:A1 *664:A2 0.000178847
+12 *655:A2 *104:21 8.00806e-05
+13 *662:B *104:21 0
+14 *851:RESET_B *104:8 8.45518e-05
+15 *4:8 *664:A2 0
+16 *5:604 *104:8 0
+17 *11:8 *104:8 0.00028754
+18 *11:8 *104:21 0.000139423
+19 *88:39 *104:8 0
+*RES
+1 *654:X *104:8 21.7464
+2 *104:8 *663:B 13.8
+3 *104:8 *104:21 7.10714
+4 *104:21 *664:A2 21.7107
+5 *104:21 *655:A3 9.3
+*END
+
+*D_NET *105 0.00291303
+*CONN
+*I *657:B I *D sky130_fd_sc_hd__and2_2
+*I *656:B I *D sky130_fd_sc_hd__nor2_2
+*I *658:B I *D sky130_fd_sc_hd__nand2_2
+*I *655:X O *D sky130_fd_sc_hd__a31o_2
+*CAP
+1 *657:B 9.71001e-05
+2 *656:B 0
+3 *658:B 0.000111195
+4 *655:X 0.000409105
+5 *105:12 0.000545752
+6 *105:7 0.000940762
+7 *657:B *659:A2 0
+8 *657:B *109:16 0
+9 *658:B *659:B1 5.52302e-05
+10 *105:12 *659:B1 0
+11 *105:12 *677:A1 4.51611e-05
+12 *340:DIODE *105:7 3.41124e-06
+13 *340:DIODE *105:12 2.27416e-05
+14 *657:A *657:B 5.80706e-06
+15 *658:A *658:B 0.000177234
+16 *662:A *105:7 0.000100625
+17 *667:B1 *105:7 2.95726e-05
+18 *677:B1 *105:12 0.000141985
+19 *4:8 *657:B 5.03801e-05
+20 *9:38 *105:7 1.66856e-05
+21 *92:8 *658:B 1.02504e-05
+22 *92:8 *105:12 7.22594e-05
+23 *93:9 *105:7 7.77751e-05
+*RES
+1 *655:X *105:7 14.7821
+2 *105:7 *105:12 10.5893
+3 *105:12 *658:B 16.5857
+4 *105:12 *656:B 13.8
+5 *105:7 *657:B 20.2464
+*END
+
+*D_NET *106 0.00130021
+*CONN
+*I *677:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *659:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *656:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *677:A1 7.35353e-05
+2 *659:A2 0.000209226
+3 *656:Y 5.01934e-05
+4 *106:5 0.000332955
+5 *659:A2 *659:B1 0.000218734
+6 *659:A2 *109:16 8.59056e-05
+7 *677:A1 *127:8 4.13496e-05
+8 *106:5 *127:8 2.89114e-05
+9 *657:A *659:A2 0
+10 *657:B *659:A2 0
+11 *659:A1 *659:A2 9.67334e-07
+12 *659:C1 *659:A2 2.00751e-05
+13 *677:B1 *677:A1 5.33005e-05
+14 *677:B1 *106:5 0.0001399
+15 *105:12 *677:A1 4.51611e-05
+*RES
+1 *656:Y *106:5 10.6571
+2 *106:5 *659:A2 22.5277
+3 *106:5 *677:A1 11.0679
+*END
+
+*D_NET *107 0.000725472
+*CONN
+*I *677:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *657:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *677:A2 0.000283848
+2 *657:X 0.000283848
+3 *677:A2 *109:16 0.000157775
+4 *4:8 *677:A2 0
+*RES
+1 *657:X *677:A2 31.5286
+*END
+
+*D_NET *108 0.00117195
+*CONN
+*I *659:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *658:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *659:B1 0.000383105
+2 *658:Y 0.000383105
+3 *659:B1 *689:A 2.72967e-05
+4 *659:B1 *109:16 9.26094e-05
+5 *658:B *659:B1 5.52302e-05
+6 *659:A1 *659:B1 6.06291e-06
+7 *659:A2 *659:B1 0.000218734
+8 *659:C1 *659:B1 5.80706e-06
+9 *92:8 *659:B1 0
+10 *105:12 *659:B1 0
+*RES
+1 *658:Y *659:B1 35.0732
+*END
+
+*D_NET *109 0.00229376
+*CONN
+*I *679:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *688:C_N I *D sky130_fd_sc_hd__or3b_2
+*I *659:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *679:B1 0
+2 *688:C_N 0.000157632
+3 *659:X 0.000441857
+4 *109:16 0.000599489
+5 *688:C_N *688:B 5.03772e-05
+6 *688:C_N *689:B 3.72204e-05
+7 *688:C_N *689:C 0.000134669
+8 *688:C_N *111:8 0
+9 *109:16 *669:A 0.000225953
+10 *109:16 *689:A 9.73058e-05
+11 *109:16 *689:B 4.6025e-06
+12 *109:16 *127:8 0.000174932
+13 *657:B *109:16 0
+14 *659:A2 *109:16 8.59056e-05
+15 *659:B1 *109:16 9.26094e-05
+16 *677:A2 *109:16 0.000157775
+17 *4:8 *109:16 0
+18 *7:29 *688:C_N 3.34366e-05
+19 *9:10 *109:16 0
+20 *9:38 *109:16 0
+*RES
+1 *659:X *109:16 25.3
+2 *109:16 *688:C_N 17.3
+3 *109:16 *679:B1 13.8
+*END
+
+*D_NET *110 0.00270984
+*CONN
+*I *661:B I *D sky130_fd_sc_hd__xnor2_2
+*I *660:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *661:B 0.000270435
+2 *660:Y 0.000270435
+3 *338:DIODE *661:B 0.000139907
+4 *344:DIODE *661:B 0.000122917
+5 *654:B1 *661:B 0.000442628
+6 *660:B *661:B 0.000185643
+7 *7:29 *661:B 0.00122293
+8 *104:8 *661:B 5.49544e-05
+*RES
+1 *660:Y *661:B 30.6536
+*END
+
+*D_NET *111 0.00164645
+*CONN
+*I *665:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *668:B I *D sky130_fd_sc_hd__nor2_2
+*I *661:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *665:B2 8.68735e-05
+2 *668:B 0.000100834
+3 *661:Y 0.000171922
+4 *111:8 0.000359629
+5 *665:B2 *678:A 0.000113615
+6 *665:B2 *678:B 0.000121583
+7 *668:B *118:8 0.000261935
+8 *668:B *139:19 0.000137447
+9 *111:8 *678:A 3.27359e-05
+10 *111:8 *678:B 3.10819e-05
+11 *111:8 *689:B 0
+12 *344:DIODE *111:8 2.89114e-05
+13 *688:C_N *111:8 0
+14 *4:8 *111:8 9.00741e-05
+15 *7:29 *111:8 9.90367e-05
+16 *9:15 *665:B2 2.51343e-06
+17 *9:55 *665:B2 8.25843e-06
+*RES
+1 *661:Y *111:8 17.6036
+2 *111:8 *668:B 16.8179
+3 *111:8 *665:B2 15.9786
+*END
+
+*D_NET *112 0.00147755
+*CONN
+*I *663:C I *D sky130_fd_sc_hd__nand3_2
+*I *664:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *662:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *663:C 2.30042e-05
+2 *664:B1 0.000148073
+3 *662:X 3.76099e-05
+4 *112:5 0.000208687
+5 *664:B1 *666:A2 5.7661e-06
+6 *664:B1 *129:17 0.000480459
+7 *112:5 *129:17 0.000218072
+8 *664:A1 *663:C 0
+9 *664:A1 *664:B1 0.000114578
+10 *97:7 *664:B1 4.64978e-05
+11 *97:7 *112:5 8.85131e-05
+12 *97:17 *663:C 0
+13 *97:17 *664:B1 0.000106291
+*RES
+1 *662:X *112:5 11.4786
+2 *112:5 *664:B1 14.3714
+3 *112:5 *663:C 9.72857
+*END
+
+*D_NET *113 0.00217555
+*CONN
+*I *665:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *667:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *666:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *663:Y O *D sky130_fd_sc_hd__nand3_2
+*CAP
+1 *665:A2 0
+2 *667:A1 0.000130441
+3 *666:A1 0.000156505
+4 *663:Y 0.000160053
+5 *113:12 0.000397884
+6 *113:9 0.000270991
+7 *666:A1 *666:A2 9.90431e-05
+8 *666:A1 *114:15 1.02936e-05
+9 *666:A1 *129:17 1.48278e-05
+10 *667:A1 *667:A2 0.00018337
+11 *113:9 *828:B 0.000152041
+12 *113:12 *665:A3 0.000127478
+13 *113:12 *667:A2 6.91157e-05
+14 *113:12 *129:17 4.56594e-05
+15 *665:B1 *113:9 0.000100165
+16 *666:B1 *666:A1 6.05161e-06
+17 *4:8 *667:A1 0
+18 *4:8 *113:12 0
+19 *9:55 *113:12 8.21558e-05
+20 *11:8 *113:9 0.000152041
+21 *93:9 *667:A1 1.74352e-05
+*RES
+1 *663:Y *113:9 22.425
+2 *113:9 *113:12 7.35714
+3 *113:12 *666:A1 16.5143
+4 *113:12 *667:A1 16.3893
+5 *113:9 *665:A2 9.3
+*END
+
+*D_NET *114 0.00153514
+*CONN
+*I *667:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *665:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *666:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *664:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *667:A2 0.000143937
+2 *665:A3 4.75964e-05
+3 *666:A2 8.14848e-05
+4 *664:X 0
+5 *114:15 0.000296808
+6 *114:4 0.00018676
+7 *665:A3 *828:B 4.38314e-05
+8 *667:A2 *828:B 3.15739e-05
+9 *114:15 *129:17 9.60875e-05
+10 *664:A2 *667:A2 5.56943e-05
+11 *664:B1 *666:A2 5.7661e-06
+12 *666:A1 *666:A2 9.90431e-05
+13 *666:A1 *114:15 1.02936e-05
+14 *667:A1 *667:A2 0.00018337
+15 *93:9 *667:A2 5.62995e-05
+16 *113:12 *665:A3 0.000127478
+17 *113:12 *667:A2 6.91157e-05
+*RES
+1 *664:X *114:4 9.3
+2 *114:4 *666:A2 10.9786
+3 *114:4 *114:15 5.85714
+4 *114:15 *665:A3 15.4429
+5 *114:15 *667:A2 17.7286
+*END
+
+*D_NET *115 0.00134715
+*CONN
+*I *678:A I *D sky130_fd_sc_hd__and2_2
+*I *676:B1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *669:A I *D sky130_fd_sc_hd__or3_2
+*I *665:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *678:A 7.50627e-05
+2 *676:B1 0
+3 *669:A 5.90274e-05
+4 *665:X 0
+5 *115:7 0.000225559
+6 *115:4 0.000241595
+7 *669:A *127:8 0.000225953
+8 *678:A *679:A1 0
+9 *678:A *118:8 2.98475e-05
+10 *115:7 *679:A1 5.33005e-05
+11 *665:B2 *678:A 0.000113615
+12 *9:15 *115:7 6.44986e-05
+13 *109:16 *669:A 0.000225953
+14 *111:8 *678:A 3.27359e-05
+*RES
+1 *665:X *115:4 9.3
+2 *115:4 *115:7 3.01786
+3 *115:7 *669:A 21.1571
+4 *115:7 *676:B1 9.3
+5 *115:4 *678:A 20.2464
+*END
+
+*D_NET *116 0.000534076
+*CONN
+*I *669:B I *D sky130_fd_sc_hd__or3_2
+*I *676:C1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *666:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *669:B 0
+2 *676:C1 4.40969e-05
+3 *666:Y 8.65255e-05
+4 *116:7 0.000130622
+5 *676:C1 *669:C 0.00012677
+6 *676:C1 *127:8 0.00012677
+7 *666:B1 *116:7 1.92905e-05
+*RES
+1 *666:Y *116:7 10.675
+2 *116:7 *676:C1 19.9429
+3 *116:7 *669:B 9.3
+*END
+
+*D_NET *117 0.00148392
+*CONN
+*I *678:B I *D sky130_fd_sc_hd__and2_2
+*I *667:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *678:B 0.000228046
+2 *667:X 0.000228046
+3 *678:B *669:C 0
+4 *665:B2 *678:B 0.000121583
+5 *667:B1 *678:B 5.33005e-05
+6 *4:8 *678:B 0.000524053
+7 *9:18 *678:B 0.000196262
+8 *9:38 *678:B 0.000101545
+9 *111:8 *678:B 3.10819e-05
+*RES
+1 *667:X *678:B 34.9393
+*END
+
+*D_NET *118 0.00171036
+*CONN
+*I *669:C I *D sky130_fd_sc_hd__or3_2
+*I *676:D1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *668:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *669:C 0.000151773
+2 *676:D1 0
+3 *668:Y 0.000384901
+4 *118:8 0.000536674
+5 *669:C *127:8 5.02346e-05
+6 *118:8 *679:A1 7.74068e-05
+7 *118:8 *127:8 2.2927e-05
+8 *118:8 *139:19 6.78942e-05
+9 *668:B *118:8 0.000261935
+10 *676:C1 *669:C 0.00012677
+11 *678:A *118:8 2.98475e-05
+12 *678:B *669:C 0
+13 *9:18 *669:C 0
+*RES
+1 *668:Y *118:8 19.675
+2 *118:8 *676:D1 13.8
+3 *118:8 *669:C 17.1929
+*END
+
+*D_NET *119 0.000829664
+*CONN
+*I *689:A I *D sky130_fd_sc_hd__or3_2
+*I *669:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *689:A 0.000236845
+2 *669:X 0.000236845
+3 *689:A *676:A2 0.000197431
+4 *689:A *689:C 3.39401e-05
+5 *659:B1 *689:A 2.72967e-05
+6 *9:10 *689:A 0
+7 *109:16 *689:A 9.73058e-05
+*RES
+1 *669:X *689:A 32.9214
+*END
+
+*D_NET *120 0.00174546
+*CONN
+*I *671:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *670:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *671:B 0.000100471
+2 *674:A2 7.59297e-05
+3 *670:Y 0.0004499
+4 *120:7 0.000626301
+5 *671:B *222:10 0
+6 *120:7 *673:B 2.89016e-05
+7 *120:7 *123:5 1.74352e-05
+8 *653:A1 *120:7 1.74352e-05
+9 *653:A2 *120:7 2.89016e-05
+10 *653:B1 *120:7 2.15245e-05
+11 *671:A *671:B 9.24395e-05
+12 *673:A *671:B 0
+13 *4:8 *671:B 0
+14 *6:34 *674:A2 9.95494e-05
+15 *6:34 *120:7 0.000186669
+*RES
+1 *670:Y *120:7 16.8357
+2 *120:7 *674:A2 10.6571
+3 *120:7 *671:B 20.55
+*END
+
+*D_NET *121 0.00175636
+*CONN
+*I *676:A1 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:A I *D sky130_fd_sc_hd__nand2_2
+*I *671:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *676:A1 0.000198106
+2 *675:A 0
+3 *671:Y 0.000318201
+4 *121:12 0.000516307
+5 *676:A1 *676:A2 0.000314579
+6 *676:A1 *689:C 2.91794e-05
+7 *121:12 *675:B 0.000125567
+8 *121:12 *689:C 2.42082e-05
+9 *671:A *121:12 0
+10 *826:A *121:12 9.90431e-05
+11 *6:24 *121:12 0.000131174
+12 *7:8 *121:12 0
+13 *7:29 *121:12 0
+*RES
+1 *671:Y *121:12 20.4964
+2 *121:12 *675:A 13.8
+3 *121:12 *676:A1 18.7821
+*END
+
+*D_NET *122 0.00137719
+*CONN
+*I *673:B I *D sky130_fd_sc_hd__nand2_2
+*I *672:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *673:B 0.000283458
+2 *672:X 0.000283458
+3 *673:B *672:A 0.00036496
+4 *849:D *673:B 0.000101545
+5 *4:8 *673:B 0.000182565
+6 *5:611 *673:B 8.56812e-05
+7 *5:613 *673:B 4.66203e-05
+8 *120:7 *673:B 2.89016e-05
+*RES
+1 *672:X *673:B 36.1536
+*END
+
+*D_NET *123 0.000906597
+*CONN
+*I *687:B I *D sky130_fd_sc_hd__and2_2
+*I *674:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *673:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *687:B 2.06112e-05
+2 *674:B1 0.000181991
+3 *673:Y 7.01347e-05
+4 *123:5 0.000272737
+5 *6:24 *674:B1 3.4855e-05
+6 *6:34 *674:B1 0.000258938
+7 *6:34 *687:B 3.99614e-06
+8 *6:34 *123:5 4.58976e-05
+9 *120:7 *123:5 1.74352e-05
+*RES
+1 *673:Y *123:5 10.6571
+2 *123:5 *674:B1 13.1393
+3 *123:5 *687:B 9.72857
+*END
+
+*D_NET *124 0.00038647
+*CONN
+*I *675:B I *D sky130_fd_sc_hd__nand2_2
+*I *674:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *675:B 0.000122544
+2 *674:X 0.000122544
+3 *6:24 *675:B 1.58163e-05
+4 *121:12 *675:B 0.000125567
+*RES
+1 *674:X *675:B 29.7786
+*END
+
+*D_NET *125 0.00157245
+*CONN
+*I *688:B I *D sky130_fd_sc_hd__or3b_2
+*I *676:A2 I *D sky130_fd_sc_hd__a2111oi_2
+*I *675:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *688:B 0.000208798
+2 *676:A2 0.000138377
+3 *675:Y 9.31205e-05
+4 *125:5 0.000440295
+5 *676:A2 *689:C 5.84267e-05
+6 *676:A1 *676:A2 0.000314579
+7 *688:C_N *688:B 5.03772e-05
+8 *689:A *676:A2 0.000197431
+9 *7:29 *688:B 5.52302e-05
+10 *9:15 *676:A2 1.58163e-05
+*RES
+1 *675:Y *125:5 10.2464
+2 *125:5 *676:A2 22.9071
+3 *125:5 *688:B 11.8893
+*END
+
+*D_NET *126 0.000464735
+*CONN
+*I *679:A1 I *D sky130_fd_sc_hd__o31a_2
+*I *676:Y O *D sky130_fd_sc_hd__a2111oi_2
+*CAP
+1 *679:A1 0.000100153
+2 *676:Y 0.000100153
+3 *679:A1 *689:B 9.54798e-06
+4 *679:A1 *127:8 0.000124174
+5 *678:A *679:A1 0
+6 *115:7 *679:A1 5.33005e-05
+7 *118:8 *679:A1 7.74068e-05
+*RES
+1 *676:Y *679:A1 29.7786
+*END
+
+*D_NET *127 0.00201238
+*CONN
+*I *679:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *689:B I *D sky130_fd_sc_hd__or3_2
+*I *677:X O *D sky130_fd_sc_hd__o21a_2
+*CAP
+1 *679:A2 0
+2 *689:B 0.000186903
+3 *677:X 0.000311978
+4 *127:8 0.000498881
+5 *689:B *679:A3 5.52238e-05
+6 *689:B *139:19 0.000112772
+7 *669:A *127:8 0.000225953
+8 *669:C *127:8 5.02346e-05
+9 *676:C1 *127:8 0.00012677
+10 *677:A1 *127:8 4.13496e-05
+11 *679:A1 *689:B 9.54798e-06
+12 *679:A1 *127:8 0.000124174
+13 *688:C_N *689:B 3.72204e-05
+14 *9:18 *127:8 0
+15 *9:38 *127:8 0
+16 *106:5 *127:8 2.89114e-05
+17 *109:16 *689:B 4.6025e-06
+18 *109:16 *127:8 0.000174932
+19 *111:8 *689:B 0
+20 *118:8 *127:8 2.2927e-05
+*RES
+1 *677:X *127:8 21.6571
+2 *127:8 *689:B 16.6036
+3 *127:8 *679:A2 13.8
+*END
+
+*D_NET *128 0.000985288
+*CONN
+*I *679:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *678:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *679:A3 0.000108552
+2 *678:X 0.000108552
+3 *679:A3 *129:17 0.000270326
+4 *679:A3 *139:19 0.000442635
+5 *689:B *679:A3 5.52238e-05
+*RES
+1 *678:X *679:A3 22.8321
+*END
+
+*D_NET *129 0.0164611
+*CONN
+*I *721:A I *D sky130_fd_sc_hd__xnor2_2
+*I *704:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *702:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:A1 I *D sky130_fd_sc_hd__a21bo_2
+*I *701:B I *D sky130_fd_sc_hd__nor2_2
+*I *695:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *715:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *698:B I *D sky130_fd_sc_hd__and2_2
+*I *706:B I *D sky130_fd_sc_hd__xnor2_2
+*I *705:B2 I *D sky130_fd_sc_hd__a32o_2
+*I *696:B I *D sky130_fd_sc_hd__xnor2_2
+*I *697:B I *D sky130_fd_sc_hd__nor2_2
+*I *685:C_N I *D sky130_fd_sc_hd__or4bb_2
+*I *679:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *721:A 0
+2 *704:B2 0.000117234
+3 *702:B 0
+4 *703:A1 5.0235e-05
+5 *701:B 0
+6 *695:A2 0.000313279
+7 *715:A1 0
+8 *698:B 0
+9 *706:B 0.000116251
+10 *705:B2 3.72884e-05
+11 *696:B 0
+12 *697:B 0.000272801
+13 *685:C_N 0.000458537
+14 *679:X 0.00139776
+15 *129:132 0.000211432
+16 *129:121 0.000240407
+17 *129:101 0.0004172
+18 *129:95 0.000665145
+19 *129:90 0.000734287
+20 *129:81 0.000115069
+21 *129:63 0.000392591
+22 *129:58 0.000380596
+23 *129:48 0.000225731
+24 *129:37 0.000564871
+25 *129:23 0.000799682
+26 *129:17 0.00156901
+27 *685:C_N *685:A 0.000187126
+28 *685:C_N *691:A 0
+29 *685:C_N *171:10 0
+30 *685:C_N *239:7 1.21258e-05
+31 *685:C_N *239:19 0
+32 *695:A2 *716:B1 8.5232e-05
+33 *695:A2 *145:7 9.20488e-05
+34 *695:A2 *236:10 8.07879e-05
+35 *695:A2 *236:19 0.000318316
+36 *697:B *684:A 2.78637e-05
+37 *697:B *684:B 0.000140823
+38 *697:B *699:A 0.00010096
+39 *697:B *134:7 2.89016e-05
+40 *697:B *149:13 0.000141555
+41 *703:A1 *246:22 4.82947e-05
+42 *704:B2 *770:B 0.000276956
+43 *704:B2 *131:31 1.10868e-05
+44 *704:B2 *152:5 0.000139331
+45 *704:B2 *234:47 2.84109e-05
+46 *706:B *705:B1 0
+47 *706:B *706:A 9.71197e-05
+48 *706:B *708:A2 9.74588e-05
+49 *706:B *709:B1 9.71197e-05
+50 *129:17 *647:A 4.884e-05
+51 *129:17 *728:A_N 4.88515e-05
+52 *129:17 *729:B1 5.52302e-05
+53 *129:17 *730:A 5.74225e-05
+54 *129:17 *730:B 9.60771e-05
+55 *129:17 *139:19 2.14658e-05
+56 *129:17 *171:10 0.000124388
+57 *129:23 *721:B 5.33334e-05
+58 *129:23 *171:10 1.24368e-05
+59 *129:37 *720:A 0
+60 *129:37 *239:19 0
+61 *129:48 *720:A 0
+62 *129:48 *134:17 0
+63 *129:58 *698:A 0
+64 *129:58 *705:A1 4.57538e-05
+65 *129:58 *134:17 0
+66 *129:63 *705:A1 2.6949e-05
+67 *129:63 *708:A2 1.76135e-05
+68 *129:63 *148:5 1.33343e-05
+69 *129:63 *237:12 0.00014183
+70 *129:63 *333:235 0
+71 *129:81 *148:5 9.90367e-05
+72 *129:90 *134:17 0.000146474
+73 *129:90 *148:5 5.33005e-05
+74 *129:95 *705:A2 1.24368e-05
+75 *129:95 *710:A2 9.58181e-05
+76 *129:95 *713:B 7.98626e-05
+77 *129:95 *715:A2 1.71067e-05
+78 *129:95 *149:19 5.7392e-05
+79 *129:101 *715:B1 5.71472e-05
+80 *129:101 *716:B1 8.07313e-05
+81 *129:121 *703:A2 0.000117196
+82 *129:121 *713:B 0
+83 *129:121 *134:17 0.000125731
+84 *129:132 *713:B 0
+85 *337:DIODE *129:17 0.000191258
+86 *664:B1 *129:17 0.000480459
+87 *666:A1 *129:17 1.48278e-05
+88 *679:A3 *129:17 0.000270326
+89 *695:A1 *695:A2 0.000180789
+90 *702:A *704:B2 0.000433731
+91 *840:D *685:C_N 0.000125818
+92 *840:D *129:23 2.59355e-05
+93 *842:D *695:A2 0.000390583
+94 *845:D *706:B 6.75007e-05
+95 *845:D *129:63 0.000110707
+96 *4:8 *129:17 0.000204023
+97 *5:66 *129:63 1.19309e-05
+98 *5:70 *685:C_N 0
+99 *5:70 *129:37 0
+100 *5:70 *129:48 0
+101 *5:70 *129:58 0
+102 *9:55 *129:17 0.000116413
+103 *84:26 *129:58 8.06427e-05
+104 *84:26 *129:90 0.000148196
+105 *84:26 *129:121 0.00026
+106 *84:26 *129:132 0.000164951
+107 *97:7 *129:17 2.65105e-05
+108 *112:5 *129:17 0.000218072
+109 *113:12 *129:17 4.56594e-05
+110 *114:15 *129:17 9.60875e-05
+*RES
+1 *679:X *129:17 45.3536
+2 *129:17 *129:23 7.92857
+3 *129:23 *685:C_N 22.4786
+4 *129:23 *129:37 2.85714
+5 *129:37 *697:B 20.1036
+6 *129:37 *129:48 2.25
+7 *129:48 *696:B 13.8
+8 *129:48 *129:58 7.17857
+9 *129:58 *129:63 9.94643
+10 *129:63 *705:B2 14.3357
+11 *129:63 *706:B 17.3
+12 *129:58 *129:81 0.946429
+13 *129:81 *698:B 9.3
+14 *129:81 *129:90 6.98214
+15 *129:90 *129:95 11.8214
+16 *129:95 *715:A1 9.3
+17 *129:95 *129:101 2.58929
+18 *129:101 *695:A2 28.05
+19 *129:101 *701:B 9.3
+20 *129:90 *129:121 3.46429
+21 *129:121 *703:A1 14.7464
+22 *129:121 *129:132 6.75
+23 *129:132 *702:B 9.3
+24 *129:132 *704:B2 14.9071
+25 *129:17 *721:A 9.3
+*END
+
+*D_NET *130 0.0125137
+*CONN
+*I *787:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *772:A2 I *D sky130_fd_sc_hd__a211o_2
+*I *700:A I *D sky130_fd_sc_hd__nand2_2
+*I *683:A I *D sky130_fd_sc_hd__nor2_2
+*I *755:A I *D sky130_fd_sc_hd__or2_2
+*I *763:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *760:A I *D sky130_fd_sc_hd__or2_2
+*I *779:B I *D sky130_fd_sc_hd__or2_2
+*I *704:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *680:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *787:B2 0
+2 *772:A2 3.76987e-05
+3 *700:A 0
+4 *683:A 1.70021e-05
+5 *755:A 0
+6 *763:A1 0
+7 *760:A 0.00018996
+8 *779:B 9.15501e-05
+9 *704:B1 0.000226274
+10 *680:X 3.21049e-05
+11 *130:94 0.000704409
+12 *130:76 0.00113764
+13 *130:53 0.000232444
+14 *130:52 0.000239363
+15 *130:45 0.00103246
+16 *130:39 0.000924293
+17 *130:21 0.000691399
+18 *130:7 0.000356987
+19 *683:A *683:B 0.00010096
+20 *683:A *741:B1 0.000144773
+21 *704:B1 *704:A2 5.50052e-05
+22 *704:B1 *704:A3 1.08227e-05
+23 *704:B1 *152:5 6.4449e-05
+24 *704:B1 *233:28 0.000188529
+25 *704:B1 *236:28 0
+26 *760:A *758:B2 2.59355e-05
+27 *760:A *762:B2 0.000321913
+28 *760:A *185:13 3.2923e-05
+29 *772:A2 *773:B1 0.000121661
+30 *779:B *796:B1 1.85392e-05
+31 *779:B *142:29 5.52302e-05
+32 *130:7 *693:A 1.98839e-05
+33 *130:7 *233:33 9.60939e-05
+34 *130:7 *235:52 0.000142856
+35 *130:21 *236:28 0
+36 *130:39 *750:A 1.88175e-05
+37 *130:39 *776:B 4.50834e-05
+38 *130:39 *791:A 1.39726e-05
+39 *130:39 *800:B2 1.53743e-05
+40 *130:39 *806:B1 0
+41 *130:39 *187:44 0
+42 *130:39 *206:8 9.80173e-05
+43 *130:45 *790:A2 0.000263219
+44 *130:45 *790:B1 0.000124414
+45 *130:45 *790:B2 5.09385e-05
+46 *130:45 *792:A 4.16643e-06
+47 *130:45 *142:62 0.000521974
+48 *130:45 *183:11 0
+49 *130:45 *197:8 1.02504e-05
+50 *130:45 *263:18 0.000100243
+51 *130:52 *785:A 6.47358e-05
+52 *130:52 *792:A 3.66428e-05
+53 *130:52 *142:62 0.000249875
+54 *130:52 *178:20 1.12578e-05
+55 *130:53 *763:B2 7.22686e-05
+56 *130:53 *178:32 4.37451e-05
+57 *130:53 *185:7 5.33005e-05
+58 *130:76 *750:A 1.61785e-05
+59 *130:76 *773:B1 1.19582e-05
+60 *130:76 *778:B2 0.000165895
+61 *130:76 *143:36 4.41457e-05
+62 *130:76 *176:14 2.6807e-05
+63 *130:76 *178:15 0
+64 *130:76 *178:20 2.02469e-05
+65 *130:94 *683:B 3.26385e-05
+66 *130:94 *771:B1 3.29178e-05
+67 *130:94 *771:B2 4.58194e-05
+68 *130:94 *773:A1 5.52238e-05
+69 *130:94 *773:A2 2.4871e-05
+70 *130:94 *773:B1 4.10926e-05
+71 *130:94 *774:B1 5.74499e-06
+72 *130:94 *150:8 7.83659e-05
+73 *130:94 *175:22 4.62539e-05
+74 *130:94 *249:8 0
+75 *378:DIODE *130:94 1.48591e-05
+76 *381:DIODE *130:39 2.14757e-05
+77 *382:DIODE *779:B 5.33005e-05
+78 *382:DIODE *130:21 2.95642e-05
+79 *382:DIODE *130:39 9.84057e-05
+80 *384:DIODE *130:39 3.42554e-05
+81 *692:B *779:B 2.30099e-05
+82 *702:A *704:B1 0.000212075
+83 *716:A1 *704:B1 5.36422e-05
+84 *717:A *704:B1 9.58632e-05
+85 *764:A2 *760:A 8.08608e-06
+86 *771:A1 *130:94 5.87491e-06
+87 *771:A2 *130:94 3.69047e-06
+88 *786:B1 *130:39 3.66148e-05
+89 *786:B2 *130:39 8.76232e-05
+90 *790:A1 *130:39 0.000126548
+91 *800:A2 *130:39 0
+92 *5:311 *779:B 1.32552e-05
+93 *5:409 *130:45 7.33171e-05
+94 *5:714 *683:A 2.44318e-05
+95 *16:5 *760:A 0.000368518
+96 *16:5 *130:52 4.42053e-05
+97 *84:23 *130:94 2.6269e-05
+98 *86:13 *772:A2 6.46214e-05
+99 *86:13 *130:94 5.49544e-05
+100 *86:40 *130:76 0.000527444
+101 *87:8 *704:B1 0.00016632
+102 *87:8 *130:21 4.56437e-05
+103 *87:28 *130:21 9.65182e-05
+104 *87:28 *130:39 2.15339e-05
+105 *87:41 *130:39 0.000322185
+*RES
+1 *680:X *130:7 15.1571
+2 *130:7 *704:B1 20.3
+3 *130:7 *130:21 1.94643
+4 *130:21 *779:B 15.8893
+5 *130:21 *130:39 15.25
+6 *130:39 *130:45 10.9047
+7 *130:45 *130:52 9.50483
+8 *130:52 *130:53 1.35714
+9 *130:53 *760:A 23.8179
+10 *130:53 *763:A1 9.3
+11 *130:52 *755:A 9.3
+12 *130:45 *130:76 16.6868
+13 *130:76 *130:94 19.7143
+14 *130:94 *683:A 10.6571
+15 *130:94 *700:A 9.3
+16 *130:76 *772:A2 10.9205
+17 *130:39 *787:B2 9.3
+*END
+
+*D_NET *131 0.0118277
+*CONN
+*I *794:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *776:B I *D sky130_fd_sc_hd__or2_2
+*I *786:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *682:B I *D sky130_fd_sc_hd__or2_2
+*I *705:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *681:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *794:B1 0.000424395
+2 *776:B 0.000351673
+3 *786:A1 1.44778e-05
+4 *682:B 8.26585e-05
+5 *705:B1 0.00031647
+6 *681:X 0.000100812
+7 *131:62 0.00067409
+8 *131:31 0.0010834
+9 *131:20 0.00181787
+10 *131:7 0.00135086
+11 *682:B *685:D_N 5.50052e-05
+12 *682:B *771:B2 0
+13 *682:B *132:8 2.89114e-05
+14 *682:B *237:33 4.61656e-05
+15 *705:B1 *705:A1 3.51442e-05
+16 *705:B1 *705:A2 7.43578e-06
+17 *705:B1 *705:A3 3.57366e-05
+18 *705:B1 *708:A2 0
+19 *705:B1 *709:B2 0.000148538
+20 *705:B1 *237:12 7.38652e-05
+21 *705:B1 *237:33 5.49657e-05
+22 *776:B *743:A 4.11055e-05
+23 *776:B *806:B1 5.06514e-05
+24 *776:B *236:67 5.59013e-05
+25 *776:B *237:89 5.03809e-05
+26 *776:B *256:21 0.000339584
+27 *776:B *278:12 6.57336e-05
+28 *786:A1 *236:67 2.30969e-05
+29 *794:B1 *794:B2 5.97719e-05
+30 *794:B1 *796:A1 0.000146474
+31 *794:B1 *803:B1 2.26327e-05
+32 *794:B1 *804:C 0.000481089
+33 *794:B1 *865:A 2.129e-05
+34 *794:B1 *235:49 0.000170308
+35 *794:B1 *251:19 1.24368e-05
+36 *794:B1 *263:18 0.000167125
+37 *131:7 *864:A 5.46383e-06
+38 *131:20 *681:A 0.000373856
+39 *131:20 *681:B 5.33005e-05
+40 *131:20 *704:A1 0.000143886
+41 *131:31 *704:A1 6.88136e-05
+42 *131:31 *770:B 0.000144087
+43 *131:31 *143:5 7.02611e-05
+44 *131:31 *234:47 0.000265453
+45 *131:62 *704:A1 5.6761e-05
+46 *131:62 *742:C 1.04707e-05
+47 *131:62 *235:61 6.71524e-05
+48 *131:62 *236:67 8.51829e-05
+49 *131:62 *237:89 0.000339195
+50 *131:62 *334:14 0.000337764
+51 *397:DIODE *131:20 5.33005e-05
+52 *702:A *131:20 0.000182799
+53 *702:A *131:31 0.000526676
+54 *704:B2 *131:31 1.10868e-05
+55 *706:B *705:B1 0
+56 *717:A *131:20 7.88827e-05
+57 *717:A *131:62 7.80714e-06
+58 *759:C *131:62 0.000118052
+59 *786:B1 *776:B 4.05618e-05
+60 *786:B2 *131:62 3.74338e-05
+61 *794:A1 *794:B1 2.89543e-05
+62 *794:A2 *794:B1 8.7636e-06
+63 *845:D *705:B1 3.57844e-05
+64 *84:10 *131:62 0.000105788
+65 *84:23 *131:31 5.52302e-05
+66 *84:23 *131:62 1.84099e-05
+67 *85:5 *131:31 4.13496e-05
+68 *130:39 *776:B 4.50834e-05
+*RES
+1 *681:X *131:7 10.675
+2 *131:7 *131:20 17.125
+3 *131:20 *131:31 29.2321
+4 *131:31 *705:B1 20.55
+5 *131:31 *682:B 15.675
+6 *131:20 *131:62 12.5322
+7 *131:62 *786:A1 14.0321
+8 *131:62 *776:B 24.9929
+9 *131:7 *794:B1 29.1393
+*END
+
+*D_NET *132 0.00662433
+*CONN
+*I *750:C I *D sky130_fd_sc_hd__or3_2
+*I *782:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *766:A2 I *D sky130_fd_sc_hd__o31a_2
+*I *745:B I *D sky130_fd_sc_hd__and2b_2
+*I *683:B I *D sky130_fd_sc_hd__nor2_2
+*I *682:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *750:C 0.000164426
+2 *782:A2 0.000113873
+3 *766:A2 7.60401e-05
+4 *745:B 5.63223e-05
+5 *683:B 0.000431858
+6 *682:X 0.000211509
+7 *132:48 0.000300968
+8 *132:30 0.000181866
+9 *132:29 0.00026822
+10 *132:8 0.000839414
+11 *683:B *700:B 0
+12 *683:B *741:B1 4.43256e-05
+13 *683:B *771:B1 1.19277e-05
+14 *683:B *771:B2 3.69047e-06
+15 *683:B *882:TE_B 0
+16 *683:B *150:8 0.000196999
+17 *683:B *249:8 4.12658e-05
+18 *683:B *271:12 0
+19 *745:B *778:B1 1.21258e-05
+20 *745:B *271:12 8.03564e-05
+21 *750:C *750:A 9.69083e-06
+22 *750:C *767:A 0.000178847
+23 *750:C *778:B1 5.81891e-05
+24 *750:C *176:14 0.000433465
+25 *750:C *271:12 1.69961e-05
+26 *766:A2 *175:22 0.000191841
+27 *782:A2 *756:C1 2.66223e-05
+28 *782:A2 *175:22 0
+29 *782:A2 *176:14 5.48376e-05
+30 *782:A2 *176:23 0.000111969
+31 *782:A2 *185:13 9.63274e-06
+32 *782:A2 *191:8 3.78017e-05
+33 *132:8 *685:D_N 0.000127288
+34 *132:8 *700:B 0.000481568
+35 *132:8 *740:B 3.18676e-05
+36 *132:8 *175:22 0.000242545
+37 *132:29 *175:22 0.000291247
+38 *132:29 *256:21 3.38578e-05
+39 *132:30 *778:B1 2.77258e-05
+40 *132:30 *271:12 6.26276e-05
+41 *132:48 *767:A 5.52238e-05
+42 *132:48 *778:B1 1.74352e-05
+43 *132:48 *271:12 4.43256e-05
+44 *682:B *132:8 2.89114e-05
+45 *683:A *683:B 0.00010096
+46 *740:A *132:8 5.41794e-05
+47 *745:A_N *745:B 5.33005e-05
+48 *745:A_N *132:30 5.33005e-05
+49 *771:A1 *683:B 6.7e-06
+50 *771:A2 *683:B 7.13226e-06
+51 *778:A2 *750:C 1.57155e-05
+52 *5:714 *683:B 0.00018372
+53 *5:726 *132:8 0.000185944
+54 *5:726 *132:29 0.000121423
+55 *5:749 *766:A2 0.000191841
+56 *5:761 *782:A2 7.77116e-06
+57 *16:5 *132:29 0
+58 *130:94 *683:B 3.26385e-05
+*RES
+1 *682:X *132:8 21.6036
+2 *132:8 *683:B 30.7464
+3 *132:8 *132:29 9.10714
+4 *132:29 *132:30 1.35714
+5 *132:30 *745:B 10.675
+6 *132:30 *766:A2 20.8536
+7 *132:29 *132:48 0.946429
+8 *132:48 *782:A2 21.0098
+9 *132:48 *750:C 14.4964
+*END
+
+*D_NET *133 0.00634747
+*CONN
+*I *685:D_N I *D sky130_fd_sc_hd__or4bb_2
+*I *740:B I *D sky130_fd_sc_hd__nor2_2
+*I *683:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *685:D_N 0.00138666
+2 *740:B 6.12492e-05
+3 *683:Y 0.000278793
+4 *133:5 0.0017267
+5 *685:D_N *631:A 2.78576e-05
+6 *685:D_N *684:B 9.60281e-05
+7 *685:D_N *694:C 0.000328588
+8 *685:D_N *697:A 0.000184626
+9 *685:D_N *700:B 3.4671e-05
+10 *685:D_N *718:A 0
+11 *685:D_N *770:B 0.000164852
+12 *685:D_N *141:8 0.00021789
+13 *685:D_N *141:17 0.000931604
+14 *685:D_N *143:5 8.26339e-05
+15 *685:D_N *143:9 0.000230093
+16 *685:D_N *145:53 0
+17 *685:D_N *238:28 2.84071e-05
+18 *740:B *700:B 2.55838e-05
+19 *133:5 *700:B 1.12713e-05
+20 *133:5 *741:B1 0.000315812
+21 *682:B *685:D_N 5.50052e-05
+22 *844:D *685:D_N 0
+23 *132:8 *685:D_N 0.000127288
+24 *132:8 *740:B 3.18676e-05
+*RES
+1 *683:Y *133:5 13.5321
+2 *133:5 *740:B 11.2286
+3 *133:5 *685:D_N 46.4071
+*END
+
+*D_NET *134 0.00399705
+*CONN
+*I *703:A2 I *D sky130_fd_sc_hd__a21bo_2
+*I *715:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *720:A I *D sky130_fd_sc_hd__nand2_2
+*I *684:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *703:A2 7.15821e-05
+2 *715:A2 0.000420151
+3 *720:A 0.000297947
+4 *684:X 4.16687e-05
+5 *134:17 0.000852019
+6 *134:7 0.000699901
+7 *715:A2 *705:A3 0.000185124
+8 *715:A2 *713:B 0.00011816
+9 *720:A *721:B 0.000357286
+10 *720:A *722:A1 0.000186765
+11 *720:A *722:S 1.21258e-05
+12 *720:A *216:202 0.000142856
+13 *134:17 *710:A2 8.15253e-05
+14 *134:17 *149:19 6.55528e-06
+15 *697:B *134:7 2.89016e-05
+16 *84:26 *134:17 8.79776e-05
+17 *129:37 *720:A 0
+18 *129:48 *720:A 0
+19 *129:48 *134:17 0
+20 *129:58 *134:17 0
+21 *129:90 *134:17 0.000146474
+22 *129:95 *715:A2 1.71067e-05
+23 *129:121 *703:A2 0.000117196
+24 *129:121 *134:17 0.000125731
+*RES
+1 *684:X *134:7 14.3357
+2 *134:7 *720:A 20.7821
+3 *134:7 *134:17 7.41071
+4 *134:17 *715:A2 19.5857
+5 *134:17 *703:A2 15.4429
+*END
+
+*D_NET *135 0.000140208
+*CONN
+*I *690:B I *D sky130_fd_sc_hd__and4_2
+*I *685:X O *D sky130_fd_sc_hd__or4bb_2
+*CAP
+1 *690:B 3.78162e-05
+2 *685:X 3.78162e-05
+3 *690:B *723:B 3.9733e-05
+4 *690:B *238:28 2.48421e-05
+*RES
+1 *685:X *690:B 28.6357
+*END
+
+*D_NET *136 0.000285049
+*CONN
+*I *690:C I *D sky130_fd_sc_hd__and4_2
+*I *686:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *690:C 0.000137399
+2 *686:X 0.000137399
+3 *690:C *686:B 1.02504e-05
+4 *690:C *690:A 0
+5 *690:C *141:8 0
+6 *411:DIODE *690:C 0
+*RES
+1 *686:X *690:C 29.5464
+*END
+
+*D_NET *137 0.00030974
+*CONN
+*I *688:A I *D sky130_fd_sc_hd__or3b_2
+*I *687:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *688:A 0.000105755
+2 *687:X 0.000105755
+3 *344:DIODE *688:A 0
+4 *4:8 *688:A 0
+5 *7:8 *688:A 9.59532e-06
+6 *7:29 *688:A 8.86355e-05
+*RES
+1 *687:X *688:A 29.7786
+*END
+
+*D_NET *138 0.000664722
+*CONN
+*I *689:C I *D sky130_fd_sc_hd__or3_2
+*I *688:X O *D sky130_fd_sc_hd__or3b_2
+*CAP
+1 *689:C 0.000178675
+2 *688:X 0.000178675
+3 *676:A1 *689:C 2.91794e-05
+4 *676:A2 *689:C 5.84267e-05
+5 *688:C_N *689:C 0.000134669
+6 *689:A *689:C 3.39401e-05
+7 *7:29 *689:C 2.6949e-05
+8 *121:12 *689:C 2.42082e-05
+*RES
+1 *688:X *689:C 32.0107
+*END
+
+*D_NET *139 0.00784527
+*CONN
+*I *690:D I *D sky130_fd_sc_hd__and4_2
+*I *689:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *690:D 0
+2 *689:X 0.00225382
+3 *139:19 0.00225382
+4 *139:19 *646:A 2.42662e-05
+5 *139:19 *691:A 0.000100685
+6 *139:19 *691:B 0.000102207
+7 *139:19 *140:11 0.000104956
+8 *139:19 *216:64 2.6942e-05
+9 *139:19 *216:72 0.000128002
+10 *139:19 *238:17 2.44318e-05
+11 *411:DIODE *139:19 0.000314883
+12 *668:B *139:19 0.000137447
+13 *679:A3 *139:19 0.000442635
+14 *689:B *139:19 0.000112772
+15 *828:A *139:19 0
+16 *837:D *139:19 0.000202891
+17 *5:590 *139:19 0.000474126
+18 *5:592 *139:19 4.27935e-05
+19 *5:604 *139:19 0.000743786
+20 *5:606 *139:19 8.46829e-05
+21 *5:611 *139:19 0.00018077
+22 *118:8 *139:19 6.78942e-05
+23 *129:17 *139:19 2.14658e-05
+*RES
+1 *689:X *139:19 47.8536
+2 *139:19 *690:D 9.3
+*END
+
+*D_NET *140 0.00318462
+*CONN
+*I *695:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *719:B2 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *724:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *690:X O *D sky130_fd_sc_hd__and4_2
+*CAP
+1 *695:B1 0.000249306
+2 *719:B2 8.31973e-05
+3 *724:A2 0
+4 *690:X 0.000186276
+5 *140:22 0.000690869
+6 *140:11 0.000544642
+7 *695:B1 *695:A3 1.02504e-05
+8 *719:B2 *842:CLK 4.58194e-05
+9 *140:11 *690:A 5.52238e-05
+10 *140:11 *724:A1 1.02301e-05
+11 *140:11 *238:15 1.69961e-05
+12 *140:11 *238:17 9.80009e-05
+13 *140:22 *724:B1 5.71472e-05
+14 *140:22 *841:CLK 1.34497e-05
+15 *140:22 *233:8 0.000322453
+16 *140:22 *233:15 8.07951e-05
+17 *140:22 *236:10 0.000324882
+18 *140:22 *238:15 0.000141935
+19 *842:D *695:B1 0.000148196
+20 *5:235 *140:22 0
+21 *5:266 *695:B1 0
+22 *29:8 *695:B1 0
+23 *139:19 *140:11 0.000104956
+*RES
+1 *690:X *140:11 13.6929
+2 *140:11 *724:A2 9.3
+3 *140:11 *140:22 18.375
+4 *140:22 *719:B2 10.2464
+5 *140:22 *695:B1 22.0143
+*END
+
+*D_NET *141 0.00464117
+*CONN
+*I *694:C I *D sky130_fd_sc_hd__or4_2
+*I *703:B1_N I *D sky130_fd_sc_hd__a21bo_2
+*I *720:B I *D sky130_fd_sc_hd__nand2_2
+*I *691:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:C 0.000214269
+2 *703:B1_N 5.77059e-05
+3 *720:B 3.04135e-05
+4 *691:Y 0.000350346
+5 *141:17 0.000672084
+6 *141:8 0.000780869
+7 *694:C *713:B 0.00014081
+8 *694:C *770:B 2.9924e-05
+9 *703:B1_N *153:5 0.0001399
+10 *703:B1_N *246:22 0.000227723
+11 *720:B *216:202 5.33005e-05
+12 *141:8 *216:202 0
+13 *141:17 *713:B 0.000171375
+14 *141:17 *714:A1 0.000103285
+15 *141:17 *149:13 0.000110217
+16 *411:DIODE *141:8 7.05216e-05
+17 *685:D_N *694:C 0.000328588
+18 *685:D_N *141:8 0.00021789
+19 *685:D_N *141:17 0.000931604
+20 *690:C *141:8 0
+21 *772:C1 *694:C 1.035e-05
+*RES
+1 *691:Y *141:8 20.2286
+2 *141:8 *720:B 14.3357
+3 *141:8 *141:17 11.9643
+4 *141:17 *703:B1_N 15.983
+5 *141:17 *694:C 19.0857
+*END
+
+*D_NET *142 0.0114048
+*CONN
+*I *780:A I *D sky130_fd_sc_hd__or2_2
+*I *777:A I *D sky130_fd_sc_hd__or2_2
+*I *767:A I *D sky130_fd_sc_hd__or2_2
+*I *773:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *766:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *692:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *780:A 0.000130212
+2 *777:A 0.000371168
+3 *767:A 6.89124e-05
+4 *773:A2 0.000101625
+5 *766:A3 0.000224667
+6 *692:Y 0.000953537
+7 *142:62 0.000944367
+8 *142:47 0.000677654
+9 *142:37 0.000267381
+10 *142:29 0.0011782
+11 *766:A3 *256:21 3.18676e-05
+12 *767:A *778:B1 0.000112995
+13 *773:A2 *774:B1 0.000129868
+14 *773:A2 *774:C1 0.000175103
+15 *773:A2 *237:54 2.12687e-05
+16 *777:A *790:B2 0.000178503
+17 *777:A *792:A 0.000137442
+18 *777:A *792:B 7.02183e-05
+19 *777:A *792:C 1.65914e-05
+20 *777:A *793:A1 9.58632e-05
+21 *777:A *208:7 0.000389741
+22 *780:A *143:63 0
+23 *780:A *183:11 7.81883e-05
+24 *780:A *187:47 0.000114653
+25 *780:A *292:13 9.54798e-06
+26 *142:29 *772:A1 0.000752012
+27 *142:29 *176:14 4.36402e-05
+28 *142:29 *178:15 6.05161e-06
+29 *142:29 *236:43 7.69776e-06
+30 *142:29 *237:54 8.70725e-06
+31 *142:29 *237:62 0.000123163
+32 *142:29 *278:12 0.000130467
+33 *142:29 *334:14 0.000779242
+34 *142:62 *783:A1 4.00349e-05
+35 *142:62 *785:A 4.21517e-05
+36 *142:62 *150:32 1.90936e-05
+37 *142:62 *183:11 8.32896e-06
+38 *142:62 *187:47 8.28472e-05
+39 *142:62 *263:18 0.00078203
+40 *379:DIODE *766:A3 0.000142856
+41 *382:DIODE *142:29 1.90936e-05
+42 *385:DIODE *777:A 0.000127599
+43 *396:DIODE *777:A 9.41642e-05
+44 *396:DIODE *780:A 0
+45 *396:DIODE *142:62 2.51133e-05
+46 *750:C *767:A 0.000178847
+47 *769:A1 *766:A3 6.05161e-06
+48 *774:A2 *773:A2 6.20091e-06
+49 *774:A2 *142:29 2.30693e-05
+50 *774:A2 *142:47 1.89507e-06
+51 *778:A2 *142:47 4.46186e-06
+52 *778:A2 *142:62 7.52956e-05
+53 *779:B *142:29 5.52302e-05
+54 *790:A1 *777:A 5.33005e-05
+55 *5:311 *142:29 1.58163e-05
+56 *5:409 *777:A 5.33005e-05
+57 *5:727 *766:A3 9.41642e-05
+58 *5:731 *766:A3 4.43256e-05
+59 *16:5 *773:A2 1.01075e-05
+60 *16:5 *142:47 8.00292e-05
+61 *16:5 *142:62 9.47478e-05
+62 *83:25 *142:29 2.15339e-05
+63 *83:30 *142:29 2.06178e-05
+64 *86:40 *142:47 0
+65 *130:45 *142:62 0.000521974
+66 *130:52 *142:62 0.000249875
+67 *130:94 *773:A2 2.4871e-05
+68 *132:48 *767:A 5.52238e-05
+*RES
+1 *692:Y *142:29 40.0728
+2 *142:29 *766:A3 13.5321
+3 *142:29 *142:37 4.5
+4 *142:37 *773:A2 16.8893
+5 *142:37 *142:47 2.55357
+6 *142:47 *767:A 15.9786
+7 *142:47 *142:62 12.5921
+8 *142:62 *777:A 23.2464
+9 *142:62 *780:A 17.0143
+*END
+
+*D_NET *143 0.0089701
+*CONN
+*I *694:D I *D sky130_fd_sc_hd__or4_2
+*I *790:B2 I *D sky130_fd_sc_hd__o221a_2
+*I *787:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *783:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *700:B I *D sky130_fd_sc_hd__nand2_2
+*I *693:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *694:D 0
+2 *790:B2 0.000185001
+3 *787:A1 0
+4 *783:B2 2.15003e-05
+5 *781:B1 3.86975e-05
+6 *763:B2 0.000166705
+7 *700:B 0.000344039
+8 *693:Y 0.00015725
+9 *143:63 0.00032301
+10 *143:36 0.000388638
+11 *143:29 0.000245913
+12 *143:28 0.000568039
+13 *143:9 0.000816034
+14 *143:5 0.000240391
+15 *700:B *631:A 2.59355e-05
+16 *700:B *741:B1 5.62995e-05
+17 *700:B *773:B1 5.65955e-05
+18 *763:B2 *763:B1 1.02301e-05
+19 *763:B2 *785:A 0.000224498
+20 *763:B2 *176:24 5.33005e-05
+21 *763:B2 *176:28 9.90367e-05
+22 *763:B2 *176:56 0.000393244
+23 *763:B2 *178:32 2.7876e-05
+24 *763:B2 *185:7 1.35553e-05
+25 *781:B1 *176:56 2.21728e-05
+26 *790:B2 *790:B1 1.63605e-05
+27 *790:B2 *792:A 4.21396e-05
+28 *790:B2 *187:47 0.000119695
+29 *143:5 *695:A3 0.00022367
+30 *143:5 *235:52 1.92789e-05
+31 *143:28 *773:B1 0.000105386
+32 *143:28 *178:15 0.000180285
+33 *143:29 *783:B1 9.58126e-05
+34 *143:29 *178:15 9.83388e-05
+35 *143:36 *176:56 3.19935e-05
+36 *143:36 *178:15 6.14518e-05
+37 *143:36 *178:20 0
+38 *143:63 *783:B1 0.00016641
+39 *143:63 *187:33 7.04599e-05
+40 *143:63 *187:44 5.52302e-05
+41 *347:DIODE *700:B 8.36572e-05
+42 *380:DIODE *143:28 0.000131491
+43 *384:DIODE *143:28 0.000157059
+44 *385:DIODE *790:B2 2.97578e-05
+45 *395:DIODE *143:63 2.89016e-05
+46 *396:DIODE *143:63 7.39203e-06
+47 *683:B *700:B 0
+48 *685:D_N *700:B 3.4671e-05
+49 *685:D_N *143:5 8.26339e-05
+50 *685:D_N *143:9 0.000230093
+51 *694:A *143:5 0.000139907
+52 *694:A *143:9 8.76257e-05
+53 *740:B *700:B 2.55838e-05
+54 *772:C1 *143:28 0.000166422
+55 *777:A *790:B2 0.000178503
+56 *780:A *143:63 0
+57 *790:A1 *783:B2 1.00733e-05
+58 *790:A1 *143:29 1.64621e-05
+59 *790:A1 *143:36 3.68699e-05
+60 *5:409 *790:B2 4.16148e-05
+61 *5:714 *700:B 0.000219045
+62 *17:9 *143:28 3.1412e-05
+63 *83:25 *143:28 0.000163673
+64 *83:30 *143:9 0.000100685
+65 *83:30 *143:28 0.000351419
+66 *84:23 *143:5 8.43535e-06
+67 *85:5 *143:5 1.15281e-05
+68 *86:8 *700:B 0.000100261
+69 *130:45 *790:B2 5.09385e-05
+70 *130:53 *763:B2 7.22686e-05
+71 *130:76 *143:36 4.41457e-05
+72 *131:31 *143:5 7.02611e-05
+73 *132:8 *700:B 0.000481568
+74 *133:5 *700:B 1.12713e-05
+*RES
+1 *693:Y *143:5 13.5321
+2 *143:5 *143:9 3.21429
+3 *143:9 *700:B 18.6214
+4 *143:9 *143:28 19.4821
+5 *143:28 *143:29 1.35714
+6 *143:29 *143:36 7.51786
+7 *143:36 *763:B2 19.5857
+8 *143:36 *781:B1 14.5321
+9 *143:29 *783:B2 9.72857
+10 *143:28 *143:63 7.625
+11 *143:63 *787:A1 13.8
+12 *143:63 *790:B2 18.3536
+13 *143:5 *694:D 9.3
+*END
+
+*D_NET *144 0.00337701
+*CONN
+*I *695:A3 I *D sky130_fd_sc_hd__o31a_2
+*I *694:X O *D sky130_fd_sc_hd__or4_2
+*CAP
+1 *695:A3 0.000843126
+2 *694:X 0.000843126
+3 *695:A3 *693:A 0.000136733
+4 *695:A3 *716:B1 0.000125731
+5 *695:A3 *716:C1 0.000513623
+6 *695:A3 *234:19 8.52379e-05
+7 *695:A3 *234:21 0.000127806
+8 *695:A3 *235:52 5.74499e-06
+9 *695:A3 *236:19 6.93626e-06
+10 *695:A3 *236:28 3.59945e-05
+11 *695:A3 *334:14 1.50563e-05
+12 *695:B1 *695:A3 1.02504e-05
+13 *842:D *695:A3 0.000403972
+14 *5:266 *695:A3 0
+15 *143:5 *695:A3 0.00022367
+*RES
+1 *694:X *695:A3 45.4929
+*END
+
+*D_NET *145 0.0102669
+*CONN
+*I *716:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *712:S I *D sky130_fd_sc_hd__mux2_1
+*I *714:S I *D sky130_fd_sc_hd__mux2_1
+*I *708:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *709:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *722:S I *D sky130_fd_sc_hd__mux2_1
+*I *723:B I *D sky130_fd_sc_hd__nand2_2
+*I *719:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *695:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *716:C1 0.000183153
+2 *712:S 5.02158e-05
+3 *714:S 2.06112e-05
+4 *708:B1 0.000104228
+5 *709:A2 0
+6 *722:S 0.000497096
+7 *723:B 0.00051133
+8 *719:A1_N 0
+9 *695:X 7.96121e-05
+10 *145:58 0.000601353
+11 *145:53 0.000793625
+12 *145:24 0.00114087
+13 *145:15 0.000296099
+14 *145:11 0.000572201
+15 *145:7 0.000328533
+16 *145:5 0.000408427
+17 *708:B1 *770:B 0.000116003
+18 *708:B1 *234:47 0.00022367
+19 *708:B1 *237:33 0.000138705
+20 *712:S *712:A1 1.24368e-05
+21 *714:S *235:9 3.99614e-06
+22 *716:C1 *947:TE 9.47456e-05
+23 *722:S *216:202 0.000210742
+24 *722:S *216:212 4.47762e-05
+25 *723:B *841:CLK 6.32965e-05
+26 *723:B *238:15 0.000327171
+27 *723:B *238:17 5.66971e-05
+28 *145:7 *712:A0 9.71197e-05
+29 *145:11 *712:A0 5.33005e-05
+30 *145:11 *712:A1 0.000132957
+31 *145:15 *697:A 0
+32 *145:15 *843:CLK 0
+33 *145:24 *719:A2_N 2.59355e-05
+34 *145:24 *841:CLK 5.7616e-05
+35 *145:24 *216:222 7.15687e-05
+36 *145:53 *697:A 0
+37 *145:53 *714:A1 4.88637e-05
+38 *145:53 *719:A2_N 0
+39 *145:53 *843:CLK 0
+40 *145:53 *235:9 5.52238e-05
+41 *145:53 *235:13 9.22103e-06
+42 *145:58 *698:A 7.49387e-06
+43 *145:58 *710:B1 9.90431e-05
+44 *145:58 *714:A1 0.000117553
+45 *145:58 *148:5 0.000346337
+46 *145:58 *237:12 0.000364974
+47 *145:58 *237:33 5.59013e-05
+48 *685:D_N *145:53 0
+49 *690:B *723:B 3.9733e-05
+50 *695:A1 *712:S 2.28598e-05
+51 *695:A1 *145:5 1.74352e-05
+52 *695:A1 *145:7 2.50243e-05
+53 *695:A2 *145:7 9.20488e-05
+54 *695:A3 *716:C1 0.000513623
+55 *720:A *722:S 1.21258e-05
+56 *841:D *723:B 5.0769e-05
+57 *841:D *145:15 6.05161e-06
+58 *841:D *145:24 2.14474e-05
+59 *844:D *145:15 0.000153054
+60 *844:D *145:24 0.000197984
+61 *5:266 *716:C1 0.000124689
+62 *5:266 *145:5 6.05161e-06
+63 *84:26 *708:B1 0.000148955
+64 *84:26 *145:58 0.000412347
+*RES
+1 *695:X *145:5 10.6571
+2 *145:5 *145:7 3
+3 *145:7 *145:11 7.08929
+4 *145:11 *145:15 7.91964
+5 *145:15 *719:A1_N 9.3
+6 *145:15 *145:24 8.47321
+7 *145:24 *723:B 22.8893
+8 *145:24 *722:S 20.4071
+9 *145:11 *145:53 8.82143
+10 *145:53 *145:58 16.4821
+11 *145:58 *709:A2 13.8
+12 *145:58 *708:B1 17.925
+13 *145:53 *714:S 9.72857
+14 *145:7 *712:S 10.6929
+15 *145:5 *716:C1 25.2286
+*END
+
+*D_NET *146 0.00201848
+*CONN
+*I *711:A I *D sky130_fd_sc_hd__xnor2_2
+*I *705:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *696:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *711:A 0.000408465
+2 *705:A1 0.000209848
+3 *696:Y 0.000116783
+4 *146:5 0.000735096
+5 *705:A1 *237:12 8.22478e-05
+6 *695:A1 *711:A 0.000157777
+7 *705:B1 *705:A1 3.51442e-05
+8 *845:D *705:A1 0.000200413
+9 *5:66 *705:A1 0
+10 *5:70 *705:A1 0
+11 *84:26 *705:A1 0
+12 *129:58 *705:A1 4.57538e-05
+13 *129:63 *705:A1 2.6949e-05
+*RES
+1 *696:Y *146:5 11.0679
+2 *146:5 *705:A1 23.5857
+3 *146:5 *711:A 16.0143
+*END
+
+*D_NET *147 0.000224162
+*CONN
+*I *699:A I *D sky130_fd_sc_hd__nor2_2
+*I *697:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *699:A 1.1121e-05
+2 *697:Y 1.1121e-05
+3 *699:A *684:B 0.00010096
+4 *697:B *699:A 0.00010096
+*RES
+1 *697:Y *699:A 19.5464
+*END
+
+*D_NET *148 0.0019515
+*CONN
+*I *710:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *699:B I *D sky130_fd_sc_hd__nor2_2
+*I *698:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *710:B1 1.44544e-05
+2 *699:B 0.000281611
+3 *698:X 0.000111509
+4 *148:5 0.000407574
+5 *699:B *711:B 0.000146994
+6 *699:B *714:A1 8.18233e-06
+7 *699:B *149:13 8.0035e-05
+8 *699:B *149:19 1.41642e-05
+9 *710:B1 *149:13 8.66063e-05
+10 *148:5 *698:A 3.76708e-05
+11 *148:5 *710:A2 5.49489e-05
+12 *148:5 *149:13 9.66977e-05
+13 *129:63 *148:5 1.33343e-05
+14 *129:81 *148:5 9.90367e-05
+15 *129:90 *148:5 5.33005e-05
+16 *145:58 *710:B1 9.90431e-05
+17 *145:58 *148:5 0.000346337
+*RES
+1 *698:X *148:5 13.1214
+2 *148:5 *699:B 23.5857
+3 *148:5 *710:B1 10.2464
+*END
+
+*D_NET *149 0.00256467
+*CONN
+*I *710:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:A I *D sky130_fd_sc_hd__xor2_2
+*I *705:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *699:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *710:A1 0
+2 *713:A 0
+3 *705:A2 0.000173876
+4 *699:Y 0.000292082
+5 *149:19 0.00036615
+6 *149:13 0.000484356
+7 *705:A2 *705:A3 5.19659e-05
+8 *705:A2 *710:A2 0
+9 *149:13 *698:A 0.000120686
+10 *149:13 *711:B 0.000149911
+11 *149:19 *705:A3 9.31595e-05
+12 *149:19 *710:A2 0.000219393
+13 *697:B *149:13 0.000141555
+14 *699:B *149:13 8.0035e-05
+15 *699:B *149:19 1.41642e-05
+16 *705:B1 *705:A2 7.43578e-06
+17 *710:B1 *149:13 8.66063e-05
+18 *129:95 *705:A2 1.24368e-05
+19 *129:95 *149:19 5.7392e-05
+20 *134:17 *149:19 6.55528e-06
+21 *141:17 *149:13 0.000110217
+22 *148:5 *149:13 9.66977e-05
+*RES
+1 *699:Y *149:13 25.925
+2 *149:13 *149:19 13.3214
+3 *149:19 *705:A2 12.3357
+4 *149:19 *713:A 9.3
+5 *149:13 *710:A1 9.3
+*END
+
+*D_NET *150 0.0104213
+*CONN
+*I *783:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *791:A I *D sky130_fd_sc_hd__or2_2
+*I *704:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *756:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *700:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A1 9.80327e-05
+2 *791:A 0.000663598
+3 *704:A1 0.000490796
+4 *756:A1 1.1956e-05
+5 *700:Y 0.000394543
+6 *150:32 0.00161122
+7 *150:24 0.000787034
+8 *150:8 0.000638674
+9 *704:A1 *770:B 4.97121e-06
+10 *704:A1 *772:A1 0.000251754
+11 *704:A1 *773:B1 0.000311426
+12 *704:A1 *177:6 0.000164409
+13 *704:A1 *183:11 7.40526e-05
+14 *704:A1 *187:33 2.29592e-05
+15 *704:A1 *234:37 0.000136572
+16 *704:A1 *299:26 9.21418e-06
+17 *756:A1 *756:A2 1.80228e-05
+18 *756:A1 *756:B1 1.81659e-05
+19 *783:A1 *785:A 5.52302e-05
+20 *783:A1 *178:20 0.00014285
+21 *791:A *792:C 9.91086e-05
+22 *791:A *234:115 2.59355e-05
+23 *791:A *299:26 5.33005e-05
+24 *150:8 *746:A 0.000148189
+25 *150:8 *766:B1 0.000375697
+26 *150:8 *771:B1 6.27272e-06
+27 *150:8 *175:22 0
+28 *150:8 *271:12 0
+29 *150:24 *263:18 0.000515385
+30 *150:24 *299:26 0.000128544
+31 *381:DIODE *791:A 4.46231e-06
+32 *384:DIODE *704:A1 2.60785e-05
+33 *683:B *150:8 0.000196999
+34 *743:B *704:A1 0.000491129
+35 *771:A1 *150:8 3.79258e-05
+36 *771:A2 *150:8 6.95556e-05
+37 *778:A1 *150:32 5.33005e-05
+38 *782:A1 *783:A1 0.000222666
+39 *782:A1 *150:32 0.000222666
+40 *790:A1 *783:A1 5.33005e-05
+41 *790:A1 *791:A 0.000440711
+42 *790:A1 *150:32 0.000405678
+43 *800:A2 *791:A 6.43697e-06
+44 *5:346 *791:A 0.000100823
+45 *5:714 *150:8 5.52238e-05
+46 *5:749 *150:8 7.34455e-06
+47 *5:761 *150:32 0.000139907
+48 *15:10 *150:8 0.000113536
+49 *16:5 *783:A1 3.29363e-05
+50 *16:5 *150:32 2.06112e-05
+51 *84:23 *704:A1 4.11173e-05
+52 *130:39 *791:A 1.39726e-05
+53 *130:94 *150:8 7.83659e-05
+54 *131:20 *704:A1 0.000143886
+55 *131:31 *704:A1 6.88136e-05
+56 *131:62 *704:A1 5.6761e-05
+57 *142:62 *783:A1 4.00349e-05
+58 *142:62 *150:32 1.90936e-05
+*RES
+1 *700:Y *150:8 23.2643
+2 *150:8 *756:A1 14.1527
+3 *150:8 *150:24 7.65973
+4 *150:24 *150:32 12.0268
+5 *150:32 *704:A1 36.4023
+6 *150:32 *791:A 27.6929
+7 *150:24 *783:A1 16.9696
+*END
+
+*D_NET *151 9.72117e-05
+*CONN
+*I *715:B1 I *D sky130_fd_sc_hd__a21oi_2
+*I *701:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *715:B1 2.00323e-05
+2 *701:Y 2.00323e-05
+3 *129:101 *715:B1 5.71472e-05
+*RES
+1 *701:Y *715:B1 19.1357
+*END
+
+*D_NET *152 0.00109227
+*CONN
+*I *704:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *718:A I *D sky130_fd_sc_hd__xnor2_2
+*I *702:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *704:A2 2.01584e-05
+2 *718:A 0.000141384
+3 *702:Y 0.000100589
+4 *152:5 0.000262131
+5 *718:A *704:A3 6.65808e-05
+6 *718:A *770:B 8.67814e-06
+7 *152:5 *770:B 0.000180195
+8 *685:D_N *718:A 0
+9 *702:A *152:5 5.37709e-05
+10 *704:B1 *704:A2 5.50052e-05
+11 *704:B1 *152:5 6.4449e-05
+12 *704:B2 *152:5 0.000139331
+13 *716:A1 *718:A 0
+*RES
+1 *702:Y *152:5 12.3
+2 *152:5 *718:A 20.8536
+3 *152:5 *704:A2 9.83571
+*END
+
+*D_NET *153 0.00179114
+*CONN
+*I *716:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *704:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *718:B I *D sky130_fd_sc_hd__xnor2_2
+*I *703:X O *D sky130_fd_sc_hd__a21bo_2
+*CAP
+1 *716:A2 0.000215364
+2 *704:A3 9.06924e-05
+3 *718:B 0
+4 *703:X 0.000179132
+5 *153:11 0.000328935
+6 *153:5 0.000202011
+7 *716:A2 *246:22 9.63547e-05
+8 *153:5 *246:22 0.000140471
+9 *153:11 *246:22 2.44318e-05
+10 *703:B1_N *153:5 0.0001399
+11 *704:B1 *704:A3 1.08227e-05
+12 *716:A1 *704:A3 4.42291e-05
+13 *716:A1 *716:A2 0.000252217
+14 *718:A *704:A3 6.65808e-05
+*RES
+1 *703:X *153:5 13.1214
+2 *153:5 *718:B 9.3
+3 *153:5 *153:11 0.535714
+4 *153:11 *704:A3 20.675
+5 *153:11 *716:A2 13.9607
+*END
+
+*D_NET *154 0.00258379
+*CONN
+*I *705:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *710:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *713:B I *D sky130_fd_sc_hd__xor2_2
+*I *704:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *705:A3 0.00021252
+2 *710:A2 0.000149208
+3 *713:B 0.000599655
+4 *704:X 0.000237927
+5 *710:A2 *698:A 5.65955e-05
+6 *694:C *713:B 0.00014081
+7 *705:A2 *705:A3 5.19659e-05
+8 *705:A2 *710:A2 0
+9 *705:B1 *705:A3 3.57366e-05
+10 *715:A2 *705:A3 0.000185124
+11 *715:A2 *713:B 0.00011816
+12 *129:95 *710:A2 9.58181e-05
+13 *129:95 *713:B 7.98626e-05
+14 *129:121 *713:B 0
+15 *129:132 *713:B 0
+16 *134:17 *710:A2 8.15253e-05
+17 *141:17 *713:B 0.000171375
+18 *148:5 *710:A2 5.49489e-05
+19 *149:19 *705:A3 9.31595e-05
+20 *149:19 *710:A2 0.000219393
+*RES
+1 *704:X *713:B 24.4875
+2 *713:B *710:A2 22.7018
+3 *713:B *705:A3 13.9161
+*END
+
+*D_NET *155 0.000969088
+*CONN
+*I *708:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *707:A I *D sky130_fd_sc_hd__and2_2
+*I *705:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *708:A1 0.000183133
+2 *707:A 4.35243e-05
+3 *705:X 0
+4 *155:4 0.000226658
+5 *707:A *708:A2 3.76337e-05
+6 *707:A *709:B1 1.33343e-05
+7 *708:A1 *708:A2 0.000336333
+8 *708:A1 *709:B1 0.00012401
+9 *708:A1 *859:TE 0
+10 *708:A1 *156:11 0
+11 *708:A1 *333:235 4.46186e-06
+*RES
+1 *705:X *155:4 9.3
+2 *155:4 *707:A 10.2643
+3 *155:4 *708:A1 22.675
+*END
+
+*D_NET *156 0.00189106
+*CONN
+*I *707:B I *D sky130_fd_sc_hd__and2_2
+*I *708:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *706:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *707:B 0
+2 *708:A2 0.000302323
+3 *706:Y 0.000231501
+4 *156:11 0.000533824
+5 *708:A2 *709:B1 1.02936e-05
+6 *708:A2 *333:235 3.83772e-05
+7 *156:11 *709:B1 0
+8 *156:11 *246:22 9.90367e-05
+9 *156:11 *333:235 0.000186669
+10 *705:B1 *708:A2 0
+11 *706:B *708:A2 9.74588e-05
+12 *707:A *708:A2 3.76337e-05
+13 *708:A1 *708:A2 0.000336333
+14 *708:A1 *156:11 0
+15 *5:700 *156:11 0
+16 *129:63 *708:A2 1.76135e-05
+*RES
+1 *706:Y *156:11 22.6571
+2 *156:11 *708:A2 25.7821
+3 *156:11 *707:B 9.3
+*END
+
+*D_NET *157 0.0014548
+*CONN
+*I *709:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *707:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *709:B1 0.000291539
+2 *707:X 0.000291539
+3 *709:B1 *706:A 0.000132957
+4 *709:B1 *246:22 0.000351156
+5 *709:B1 *333:235 0.00014285
+6 *706:B *709:B1 9.71197e-05
+7 *707:A *709:B1 1.33343e-05
+8 *708:A1 *709:B1 0.00012401
+9 *708:A2 *709:B1 1.02936e-05
+10 *156:11 *709:B1 0
+*RES
+1 *707:X *709:B1 34.4214
+*END
+
+*D_NET *158 0.000831284
+*CONN
+*I *709:B2 I *D sky130_fd_sc_hd__o22a_2
+*I *708:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *709:B2 0.000174851
+2 *708:Y 0.000174851
+3 *709:B2 *770:B 0.000182799
+4 *709:B2 *237:12 3.51442e-05
+5 *709:B2 *237:33 0.000115101
+6 *705:B1 *709:B2 0.000148538
+*RES
+1 *708:Y *709:B2 31.3143
+*END
+
+*D_NET *159 0.000398203
+*CONN
+*I *711:B I *D sky130_fd_sc_hd__xnor2_2
+*I *710:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *711:B 5.06492e-05
+2 *710:Y 5.06492e-05
+3 *699:B *711:B 0.000146994
+4 *149:13 *711:B 0.000149911
+*RES
+1 *710:Y *711:B 29.5464
+*END
+
+*D_NET *160 0.000549058
+*CONN
+*I *712:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *711:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *712:A1 6.85957e-05
+2 *711:Y 6.85957e-05
+3 *695:A1 *712:A1 0.000266473
+4 *712:S *712:A1 1.24368e-05
+5 *145:11 *712:A1 0.000132957
+*RES
+1 *711:Y *712:A1 21.1893
+*END
+
+*D_NET *161 0.000873846
+*CONN
+*I *714:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *713:X O *D sky130_fd_sc_hd__xor2_2
+*CAP
+1 *714:A1 0.000212538
+2 *713:X 0.000212538
+3 *714:A1 *698:A 0.000117543
+4 *714:A1 *235:13 5.33433e-05
+5 *699:B *714:A1 8.18233e-06
+6 *141:17 *714:A1 0.000103285
+7 *145:53 *714:A1 4.88637e-05
+8 *145:58 *714:A1 0.000117553
+*RES
+1 *713:X *714:A1 33.1536
+*END
+
+*D_NET *162 0.00125365
+*CONN
+*I *716:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *715:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *716:B1 0.000418109
+2 *715:Y 0.000418109
+3 *695:A2 *716:B1 8.5232e-05
+4 *695:A3 *716:B1 0.000125731
+5 *842:D *716:B1 0.000125731
+6 *129:101 *716:B1 8.07313e-05
+*RES
+1 *715:Y *716:B1 33.8857
+*END
+
+*D_NET *163 0.000322026
+*CONN
+*I *717:B I *D sky130_fd_sc_hd__xnor2_2
+*I *716:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *717:B 4.34458e-05
+2 *716:X 4.34458e-05
+3 *717:B *233:28 0.000153411
+4 *717:B *236:19 8.17227e-05
+*RES
+1 *716:X *717:B 29.5464
+*END
+
+*D_NET *164 0.00219713
+*CONN
+*I *719:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
+*I *718:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *719:A2_N 0.000474922
+2 *718:Y 0.000474922
+3 *719:A2_N *842:CLK 1.58163e-05
+4 *719:A2_N *843:CLK 0.000362545
+5 *719:A2_N *216:222 8.15095e-05
+6 *719:A2_N *233:8 5.83304e-05
+7 *719:A2_N *233:15 0.000585957
+8 *719:A2_N *233:28 0.000117189
+9 *145:24 *719:A2_N 2.59355e-05
+10 *145:53 *719:A2_N 0
+*RES
+1 *718:Y *719:A2_N 39.2071
+*END
+
+*D_NET *165 0.00115047
+*CONN
+*I *721:B I *D sky130_fd_sc_hd__xnor2_2
+*I *720:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *721:B 0.000286084
+2 *720:Y 0.000286084
+3 *721:B *722:A0 9.83388e-05
+4 *721:B *722:A1 4.85967e-05
+5 *720:A *721:B 0.000357286
+6 *840:D *721:B 2.07491e-05
+7 *129:23 *721:B 5.33334e-05
+*RES
+1 *720:Y *721:B 24.9036
+*END
+
+*D_NET *166 0.000444947
+*CONN
+*I *722:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *721:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *722:A1 8.88587e-05
+2 *721:Y 8.88587e-05
+3 *720:A *722:A1 0.000186765
+4 *721:B *722:A1 4.85967e-05
+5 *840:D *722:A1 3.18676e-05
+*RES
+1 *721:Y *722:A1 21.1893
+*END
+
+*D_NET *167 0.000323085
+*CONN
+*I *724:B1 I *D sky130_fd_sc_hd__o21a_2
+*I *723:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *724:B1 5.95352e-05
+2 *723:Y 5.95352e-05
+3 *724:B1 *238:15 0.000117956
+4 *839:D *724:B1 2.89114e-05
+5 *140:22 *724:B1 5.71472e-05
+*RES
+1 *723:Y *724:B1 20.3679
+*END
+
+*D_NET *168 0.00434967
+*CONN
+*I *732:A I *D sky130_fd_sc_hd__or2_2
+*I *726:B I *D sky130_fd_sc_hd__and2_2
+*I *729:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *725:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *732:A 0.000635886
+2 *726:B 0.000208213
+3 *729:A2 7.70965e-05
+4 *725:X 3.7533e-05
+5 *168:8 0.000775898
+6 *168:5 0.00116401
+7 *726:B *169:14 1.31516e-05
+8 *726:B *216:64 0
+9 *726:B *220:19 0.000301728
+10 *726:B *333:219 0
+11 *729:A2 *729:A1 1.34e-05
+12 *729:A2 *216:56 9.58632e-05
+13 *732:A *732:B 0.000276455
+14 *732:A *733:A2 3.18676e-05
+15 *732:A *832:CLK 0
+16 *732:A *218:11 0.000178847
+17 *732:A *219:18 1.1152e-05
+18 *168:5 *218:11 5.52238e-05
+19 *168:8 *217:64 5.59013e-05
+20 *168:8 *218:26 0
+21 *168:8 *219:23 0
+22 *168:8 *219:34 0
+23 *168:8 *220:8 0.000124749
+24 *168:8 *220:19 5.5764e-05
+25 *168:8 *333:50 0
+26 *168:8 *333:201 0
+27 *660:A *168:8 0
+28 *733:B1 *732:A 0.000159282
+29 *5:88 *168:8 7.76554e-05
+*RES
+1 *725:X *168:5 9.83571
+2 *168:5 *168:8 13.125
+3 *168:8 *729:A2 15.4786
+4 *168:8 *726:B 18.175
+5 *168:5 *732:A 18.925
+*END
+
+*D_NET *169 0.00111933
+*CONN
+*I *730:B I *D sky130_fd_sc_hd__nand2_2
+*I *728:B I *D sky130_fd_sc_hd__nand2b_2
+*I *727:A2 I *D sky130_fd_sc_hd__o21a_2
+*I *726:X O *D sky130_fd_sc_hd__and2_2
+*CAP
+1 *730:B 4.75407e-05
+2 *728:B 0
+3 *727:A2 7.15281e-05
+4 *726:X 0
+5 *169:14 0.000122499
+6 *169:4 0.000146487
+7 *727:A2 *727:A1 5.52238e-05
+8 *727:A2 *220:20 4.13595e-05
+9 *730:B *730:A 0.00018077
+10 *169:14 *220:19 0.000148911
+11 *169:14 *221:10 3.17148e-05
+12 *169:14 *221:14 0.000148911
+13 *169:14 *333:219 0
+14 *726:B *169:14 1.31516e-05
+15 *88:77 *727:A2 6.05161e-06
+16 *88:77 *169:14 9.10431e-06
+17 *129:17 *730:B 9.60771e-05
+*RES
+1 *726:X *169:4 9.3
+2 *169:4 *727:A2 10.6571
+3 *169:4 *169:14 11.5536
+4 *169:14 *728:B 9.3
+5 *169:14 *730:B 11.0679
+*END
+
+*D_NET *170 0.000418765
+*CONN
+*I *729:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *728:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *729:B1 0.000101218
+2 *728:Y 0.000101218
+3 *729:B1 *220:8 5.54817e-06
+4 *729:B1 *220:19 7.10698e-05
+5 *88:65 *729:B1 5.54817e-06
+6 *88:77 *729:B1 7.89337e-05
+7 *129:17 *729:B1 5.52302e-05
+*RES
+1 *728:Y *729:B1 30.0821
+*END
+
+*D_NET *171 0.00435639
+*CONN
+*I *733:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *735:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *736:C I *D sky130_fd_sc_hd__nand3_2
+*I *730:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *733:A1 1.40355e-05
+2 *735:A1 0.000178971
+3 *736:C 0.000446305
+4 *730:Y 0.000557174
+5 *171:12 0.000812897
+6 *171:10 0.00075883
+7 *733:A1 *732:B 2.89114e-05
+8 *733:A1 *733:A2 5.52302e-05
+9 *735:A1 *735:A2 0
+10 *735:A1 *216:131 0.000146533
+11 *735:A1 *217:5 5.52302e-05
+12 *735:A1 *217:7 5.52238e-05
+13 *736:C *734:A 0.000150618
+14 *736:C *734:B 0.000168217
+15 *736:C *735:A2 0
+16 *736:C *812:B 0.000108582
+17 *736:C *333:27 2.24763e-05
+18 *736:C *333:41 0
+19 *736:C *333:50 0
+20 *171:10 *731:B1 2.58997e-05
+21 *171:10 *219:8 3.69697e-05
+22 *171:10 *333:50 0
+23 *171:12 *731:B1 1.99099e-05
+24 *171:12 *735:A2 0
+25 *171:12 *333:50 0
+26 *405:DIODE *736:C 0.000215365
+27 *685:C_N *171:10 0
+28 *733:B1 *171:12 0
+29 *837:D *171:10 0.000169971
+30 *840:D *171:10 0
+31 *5:70 *171:10 7.72563e-05
+32 *5:72 *171:10 6.93556e-05
+33 *5:77 *171:10 4.56089e-05
+34 *88:19 *171:10 0
+35 *88:19 *171:12 0
+36 *129:17 *171:10 0.000124388
+37 *129:23 *171:10 1.24368e-05
+*RES
+1 *730:Y *171:10 25.2286
+2 *171:10 *171:12 3.00893
+3 *171:12 *736:C 23.5946
+4 *171:12 *735:A1 17.6304
+5 *171:10 *733:A1 14.3357
+*END
+
+*D_NET *172 0.000801011
+*CONN
+*I *732:B I *D sky130_fd_sc_hd__or2_2
+*I *731:Y O *D sky130_fd_sc_hd__a21oi_2
+*CAP
+1 *732:B 0.000106244
+2 *731:Y 0.000106244
+3 *732:B *733:A2 0.000220299
+4 *732:B *219:18 1.72894e-05
+5 *732:A *732:B 0.000276455
+6 *733:A1 *732:B 2.89114e-05
+7 *733:B1 *732:B 4.55687e-05
+*RES
+1 *731:Y *732:B 23.2786
+*END
+
+*D_NET *173 0.000954142
+*CONN
+*I *733:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *732:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *733:A2 0.000171113
+2 *732:X 0.000171113
+3 *732:A *733:A2 3.18676e-05
+4 *732:B *733:A2 0.000220299
+5 *733:A1 *733:A2 5.52302e-05
+6 *733:B1 *733:A2 2.77173e-05
+7 *836:D *733:A2 0.000274288
+8 *88:19 *733:A2 2.51343e-06
+*RES
+1 *732:X *733:A2 23.6714
+*END
+
+*D_NET *174 0.000749229
+*CONN
+*I *735:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *734:Y O *D sky130_fd_sc_hd__xnor2_2
+*CAP
+1 *735:A2 0.000263805
+2 *734:Y 0.000263805
+3 *735:A2 *734:B 0.000116526
+4 *735:A2 *812:B 0.00010096
+5 *733:B1 *735:A2 4.1331e-06
+6 *735:A1 *735:A2 0
+7 *736:C *735:A2 0
+8 *835:D *735:A2 0
+9 *835:RESET_B *735:A2 0
+10 *171:12 *735:A2 0
+*RES
+1 *734:Y *735:A2 33.225
+*END
+
+*D_NET *175 0.00897481
+*CONN
+*I *784:A I *D sky130_fd_sc_hd__and3_2
+*I *758:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *741:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *740:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *784:A 0.000185563
+2 *758:B1 0.000407331
+3 *741:B1 0.000599225
+4 *740:Y 0
+5 *175:22 0.00143216
+6 *175:4 0.00143849
+7 *741:B1 *858:A 5.49489e-05
+8 *741:B1 *862:A 7.61356e-05
+9 *741:B1 *882:A 7.17136e-05
+10 *741:B1 *882:TE_B 0.00014183
+11 *741:B1 *267:8 0.000137983
+12 *758:B1 *758:B2 6.18914e-05
+13 *758:B1 *762:B1 0.000169784
+14 *758:B1 *762:B2 2.85234e-05
+15 *758:B1 *764:B1 0.000139338
+16 *758:B1 *914:TE 0.000607663
+17 *758:B1 *305:7 2.57972e-05
+18 *784:A *764:B1 1.05731e-05
+19 *784:A *325:7 2.79421e-05
+20 *175:22 *762:B1 3.85427e-05
+21 *175:22 *762:B2 0
+22 *175:22 *764:B2 0.000121858
+23 *175:22 *766:B1 1.24912e-05
+24 *175:22 *771:B1 1.94945e-05
+25 *175:22 *771:B2 1.51859e-05
+26 *175:22 *185:13 0
+27 *175:22 *191:8 0.000144685
+28 *175:22 *249:8 0
+29 *683:A *741:B1 0.000144773
+30 *683:B *741:B1 4.43256e-05
+31 *700:B *741:B1 5.62995e-05
+32 *740:A *175:22 1.08359e-05
+33 *741:A1 *741:B1 1.97695e-05
+34 *762:A2 *758:B1 6.93626e-06
+35 *764:A2 *758:B1 0.000127806
+36 *764:A2 *784:A 7.13226e-06
+37 *766:A2 *175:22 0.000191841
+38 *771:A1 *175:22 6.79842e-05
+39 *782:A2 *175:22 0
+40 *5:714 *741:B1 4.16929e-05
+41 *5:749 *175:22 2.19551e-05
+42 *5:758 *175:22 6.19181e-05
+43 *5:780 *175:22 9.81026e-05
+44 *5:801 *784:A 1.0484e-05
+45 *5:803 *784:A 5.04841e-06
+46 *5:806 *175:22 7.52112e-05
+47 *5:838 *784:A 0.000155855
+48 *15:10 *758:B1 1.50223e-05
+49 *37:10 *758:B1 0.000946806
+50 *130:94 *175:22 4.62539e-05
+51 *132:8 *175:22 0.000242545
+52 *132:29 *175:22 0.000291247
+53 *133:5 *741:B1 0.000315812
+54 *150:8 *175:22 0
+*RES
+1 *740:Y *175:4 9.3
+2 *175:4 *741:B1 23.0321
+3 *175:4 *175:22 25.1429
+4 *175:22 *758:B1 32.6036
+5 *175:22 *784:A 13.05
+*END
+
+*D_NET *176 0.00906589
+*CONN
+*I *781:A1 I *D sky130_fd_sc_hd__a21o_2
+*I *790:A2 I *D sky130_fd_sc_hd__o221a_2
+*I *763:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *753:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *746:A I *D sky130_fd_sc_hd__and3_2
+*I *767:B I *D sky130_fd_sc_hd__or2_2
+*I *742:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *781:A1 3.43325e-05
+2 *790:A2 0.000363272
+3 *763:A2 0
+4 *753:A2 0.000176548
+5 *746:A 0.000182097
+6 *767:B 0
+7 *742:X 0.000604229
+8 *176:56 0.000792088
+9 *176:28 0.000652966
+10 *176:24 0.000329728
+11 *176:23 0.000556296
+12 *176:14 0.000730635
+13 *746:A *766:B1 6.44736e-05
+14 *746:A *778:B1 9.41642e-05
+15 *746:A *271:12 6.86792e-05
+16 *753:A2 *753:A1 3.47843e-05
+17 *753:A2 *762:B1 0.000135028
+18 *753:A2 *766:B1 1.16215e-05
+19 *753:A2 *178:36 5.39752e-05
+20 *790:A2 *788:C 4.99283e-06
+21 *790:A2 *792:A 4.60377e-05
+22 *176:14 *778:B2 5.64866e-05
+23 *176:14 *178:15 9.80433e-05
+24 *176:14 *237:62 0.000303168
+25 *176:14 *271:12 0.000139907
+26 *176:23 *185:13 0.000123594
+27 *176:28 *762:B1 1.02936e-05
+28 *176:28 *178:32 3.61629e-06
+29 *176:28 *178:36 3.30526e-05
+30 *176:56 *785:A 4.10843e-05
+31 *176:56 *785:B 1.89507e-06
+32 *395:DIODE *790:A2 0.000506596
+33 *750:C *176:14 0.000433465
+34 *763:B2 *176:24 5.33005e-05
+35 *763:B2 *176:28 9.90367e-05
+36 *763:B2 *176:56 0.000393244
+37 *781:B1 *176:56 2.21728e-05
+38 *782:A2 *176:14 5.48376e-05
+39 *782:A2 *176:23 0.000111969
+40 *790:A1 *790:A2 9.54798e-06
+41 *5:409 *790:A2 0.00020409
+42 *5:780 *176:28 4.08637e-05
+43 *5:785 *753:A2 3.2923e-05
+44 *5:785 *176:28 5.52238e-05
+45 *15:10 *746:A 0.000282385
+46 *15:10 *753:A2 4.7451e-05
+47 *16:5 *176:14 7.5779e-05
+48 *16:5 *176:23 0.000285875
+49 *17:9 *781:A1 1.85762e-05
+50 *17:9 *176:56 2.55781e-05
+51 *18:5 *790:A2 2.06112e-05
+52 *83:25 *176:14 1.74854e-05
+53 *83:30 *176:14 1.39436e-05
+54 *130:45 *790:A2 0.000263219
+55 *130:76 *176:14 2.6807e-05
+56 *142:29 *176:14 4.36402e-05
+57 *143:36 *176:56 3.19935e-05
+58 *150:8 *746:A 0.000148189
+*RES
+1 *742:X *176:14 34.3357
+2 *176:14 *767:B 13.8
+3 *176:14 *176:23 8.26786
+4 *176:23 *176:24 0.535714
+5 *176:24 *176:28 8.32143
+6 *176:28 *746:A 18.925
+7 *176:28 *753:A2 17.55
+8 *176:24 *763:A2 9.3
+9 *176:23 *176:56 10.3304
+10 *176:56 *790:A2 22.0969
+11 *176:56 *781:A1 14.3804
+*END
+
+*D_NET *177 0.00539729
+*CONN
+*I *775:B I *D sky130_fd_sc_hd__nand2_2
+*I *744:B I *D sky130_fd_sc_hd__nand2_2
+*I *773:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *743:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *775:B 0.000772265
+2 *744:B 7.78308e-05
+3 *773:B1 0.000645993
+4 *743:Y 7.3766e-05
+5 *177:27 0.000850095
+6 *177:6 0.000719759
+7 *744:B *237:62 4.82865e-05
+8 *773:B1 *772:A1 8.78911e-05
+9 *773:B1 *774:B1 0.000136682
+10 *775:B *629:A 5.33005e-05
+11 *775:B *742:C 2.28499e-05
+12 *775:B *786:A2 4.56839e-05
+13 *775:B *786:A3 4.58194e-05
+14 *775:B *806:B2 8.07951e-05
+15 *775:B *181:18 6.05161e-06
+16 *775:B *196:15 1.27784e-05
+17 *775:B *236:67 9.6961e-05
+18 *775:B *237:62 5.96516e-05
+19 *775:B *237:75 2.89114e-05
+20 *775:B *237:100 5.80706e-06
+21 *775:B *256:21 3.34295e-05
+22 *384:DIODE *773:B1 0.000147114
+23 *384:DIODE *177:6 0.000155787
+24 *694:A *773:B1 1.39841e-05
+25 *700:B *773:B1 5.65955e-05
+26 *704:A1 *773:B1 0.000311426
+27 *704:A1 *177:6 0.000164409
+28 *742:B *775:B 2.89016e-05
+29 *743:B *773:B1 5.41797e-06
+30 *772:A2 *773:B1 0.000121661
+31 *772:C1 *773:B1 2.80052e-05
+32 *786:B1 *775:B 0.000112241
+33 *83:30 *773:B1 5.86175e-05
+34 *84:23 *773:B1 0.000129283
+35 *86:13 *773:B1 8.0789e-07
+36 *86:40 *773:B1 0
+37 *130:76 *773:B1 1.19582e-05
+38 *130:94 *773:B1 4.10926e-05
+39 *143:28 *773:B1 0.000105386
+*RES
+1 *743:Y *177:6 16.05
+2 *177:6 *773:B1 35.05
+3 *177:6 *177:27 4.5
+4 *177:27 *744:B 10.675
+5 *177:27 *775:B 29.8893
+*END
+
+*D_NET *178 0.0116111
+*CONN
+*I *783:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *781:A2 I *D sky130_fd_sc_hd__a21o_2
+*I *763:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *753:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:A2 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *746:B I *D sky130_fd_sc_hd__and3_2
+*I *749:B I *D sky130_fd_sc_hd__or2_2
+*I *751:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *755:B I *D sky130_fd_sc_hd__or2_2
+*I *744:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *783:A2 0
+2 *781:A2 0.000236384
+3 *763:B1 1.9105e-05
+4 *753:B1 0
+5 *756:A2 0.000287185
+6 *768:A1 0
+7 *746:B 0
+8 *749:B 0
+9 *751:B1 0.000631941
+10 *755:B 0
+11 *744:Y 0.000440239
+12 *178:76 0.000287185
+13 *178:49 0.000927605
+14 *178:43 0.000384641
+15 *178:39 0.000186083
+16 *178:36 0.000366144
+17 *178:32 0.000611062
+18 *178:29 0.000595538
+19 *178:20 0.000241263
+20 *178:15 0.000645268
+21 *751:B1 *747:B1 0.000672316
+22 *751:B1 *751:B2 0.000127925
+23 *751:B1 *879:TE 4.83631e-05
+24 *751:B1 *886:A 0.000568175
+25 *751:B1 *891:A 0.000244447
+26 *751:B1 *278:12 0.000103646
+27 *756:A2 *756:B1 9.47336e-05
+28 *756:A2 *756:C1 7.46583e-06
+29 *756:A2 *179:24 5.52302e-05
+30 *781:A2 *784:C 8.60361e-05
+31 *781:A2 *785:A 0.000128425
+32 *178:15 *750:A 4.43299e-05
+33 *178:15 *778:B2 1.19455e-05
+34 *178:15 *237:62 1.76096e-05
+35 *178:20 *785:A 0.000138618
+36 *178:29 *784:C 3.14003e-05
+37 *178:32 *784:C 5.33005e-05
+38 *178:32 *185:7 9.41642e-05
+39 *178:36 *762:B1 0.00026023
+40 *178:39 *746:C 2.31791e-05
+41 *178:39 *179:19 1.52978e-05
+42 *178:39 *179:85 0.000114888
+43 *178:39 *180:49 0.000194432
+44 *178:39 *263:18 2.09826e-05
+45 *178:43 *746:C 7.83659e-05
+46 *178:43 *769:B1 4.46186e-06
+47 *178:43 *179:19 8.84612e-05
+48 *178:43 *180:6 3.56497e-05
+49 *178:43 *271:12 5.1588e-05
+50 *178:49 *753:A1 6.29573e-05
+51 *178:49 *757:B1 0.000307343
+52 *178:49 *883:A 0.00014491
+53 *178:49 *234:52 4.46186e-06
+54 *352:DIODE *751:B1 0
+55 *357:DIODE *751:B1 0
+56 *361:DIODE *751:B1 9.60939e-05
+57 *372:DIODE *178:36 5.49995e-05
+58 *376:DIODE *751:B1 0.000271339
+59 *751:A1 *751:B1 3.57366e-05
+60 *753:A2 *178:36 5.39752e-05
+61 *756:A1 *756:A2 1.80228e-05
+62 *763:B2 *763:B1 1.02301e-05
+63 *763:B2 *178:32 2.7876e-05
+64 *783:A1 *178:20 0.00014285
+65 *790:A1 *178:15 1.64621e-05
+66 *790:A1 *178:20 2.23592e-05
+67 *5:904 *751:B1 0.000405727
+68 *17:9 *178:15 8.44271e-06
+69 *83:25 *178:15 9.59406e-05
+70 *130:52 *178:20 1.12578e-05
+71 *130:53 *178:32 4.37451e-05
+72 *130:76 *178:15 0
+73 *130:76 *178:20 2.02469e-05
+74 *142:29 *178:15 6.05161e-06
+75 *143:28 *178:15 0.000180285
+76 *143:29 *178:15 9.83388e-05
+77 *143:36 *178:15 6.14518e-05
+78 *143:36 *178:20 0
+79 *176:14 *178:15 9.80433e-05
+80 *176:28 *178:32 3.61629e-06
+81 *176:28 *178:36 3.30526e-05
+*RES
+1 *744:Y *178:15 26.3536
+2 *178:15 *178:20 8.51786
+3 *178:20 *755:B 13.8
+4 *178:20 *178:29 5.23214
+5 *178:29 *178:32 4.25
+6 *178:32 *178:36 5.07143
+7 *178:36 *178:39 7.96429
+8 *178:39 *178:43 7.05357
+9 *178:43 *178:49 13.9464
+10 *178:49 *751:B1 37.3893
+11 *178:49 *749:B 9.3
+12 *178:43 *746:B 9.3
+13 *178:39 *178:76 4.5
+14 *178:76 *768:A1 9.3
+15 *178:76 *756:A2 12.9295
+16 *178:36 *753:B1 9.3
+17 *178:32 *763:B1 9.72857
+18 *178:29 *781:A2 13.2821
+19 *178:15 *783:A2 9.3
+*END
+
+*D_NET *179 0.0094753
+*CONN
+*I *762:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *753:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *768:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *746:C I *D sky130_fd_sc_hd__and3_2
+*I *752:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *751:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *769:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *745:X O *D sky130_fd_sc_hd__and2b_2
+*CAP
+1 *762:B1 0.000279031
+2 *753:C1 0
+3 *756:B1 0.000185338
+4 *768:A2 0
+5 *746:C 8.77756e-05
+6 *752:B1 0.000110811
+7 *751:B2 0.000206538
+8 *769:B1 0.000196671
+9 *745:X 0.000103095
+10 *179:85 0.00038862
+11 *179:32 0.00146792
+12 *179:24 0.0012774
+13 *179:23 0.0002728
+14 *179:19 0.000260014
+15 *179:7 0.00040179
+16 *746:C *180:6 1.02504e-05
+17 *746:C *180:49 0.000100823
+18 *746:C *271:12 1.58163e-05
+19 *751:B2 *891:A 0.00010096
+20 *751:B2 *286:6 2.79092e-05
+21 *752:B1 *284:5 5.33005e-05
+22 *752:B1 *286:6 2.00751e-05
+23 *752:B1 *286:15 6.46287e-05
+24 *756:B1 *756:C1 1.00733e-05
+25 *756:B1 *768:A3 0.000273946
+26 *756:B1 *263:18 7.43578e-06
+27 *762:B1 *764:B2 0.000121858
+28 *762:B1 *766:B1 0.000143821
+29 *762:B1 *914:TE 6.87975e-06
+30 *769:B1 *271:12 6.12603e-05
+31 *179:7 *757:B1 5.52302e-05
+32 *179:7 *271:12 4.43256e-05
+33 *179:19 *757:B1 0.000100673
+34 *179:19 *186:6 9.22948e-05
+35 *179:23 *180:49 0
+36 *179:24 *263:18 2.61099e-05
+37 *179:32 *907:TE 6.86693e-05
+38 *179:32 *909:A 0.000208468
+39 *179:32 *909:TE_B 0.000111501
+40 *179:32 *263:18 2.63605e-06
+41 *179:32 *297:8 7.48301e-06
+42 *179:85 *764:B1 0.000230961
+43 *179:85 *186:6 1.02504e-05
+44 *179:85 *263:18 1.94879e-05
+45 *357:DIODE *751:B2 0
+46 *361:DIODE *751:B2 1.18064e-05
+47 *373:DIODE *179:32 1.02936e-05
+48 *376:DIODE *769:B1 2.44318e-05
+49 *751:B1 *751:B2 0.000127925
+50 *752:A2 *752:B1 1.97087e-05
+51 *753:A2 *762:B1 0.000135028
+52 *756:A1 *756:B1 1.81659e-05
+53 *756:A2 *756:B1 9.47336e-05
+54 *756:A2 *179:24 5.52302e-05
+55 *758:B1 *762:B1 0.000169784
+56 *762:A2 *762:B1 5.54817e-06
+57 *768:B1 *179:32 4.93302e-05
+58 *768:B2 *179:32 0.000218246
+59 *769:A1 *769:B1 5.52238e-05
+60 *5:761 *756:B1 2.73936e-05
+61 *5:780 *762:B1 2.59355e-05
+62 *5:785 *762:B1 2.59355e-05
+63 *5:806 *762:B1 4.63317e-05
+64 *5:1001 *752:B1 5.33005e-05
+65 *15:10 *769:B1 7.34457e-05
+66 *15:10 *179:19 1.01417e-05
+67 *33:8 *751:B2 7.83587e-05
+68 *33:8 *752:B1 0.000270348
+69 *175:22 *762:B1 3.85427e-05
+70 *176:28 *762:B1 1.02936e-05
+71 *178:36 *762:B1 0.00026023
+72 *178:39 *746:C 2.31791e-05
+73 *178:39 *179:19 1.52978e-05
+74 *178:39 *179:85 0.000114888
+75 *178:43 *746:C 7.83659e-05
+76 *178:43 *769:B1 4.46186e-06
+77 *178:43 *179:19 8.84612e-05
+*RES
+1 *745:X *179:7 15.1571
+2 *179:7 *769:B1 17.7286
+3 *179:7 *179:19 2.85714
+4 *179:19 *179:23 5.03571
+5 *179:23 *179:24 0.946429
+6 *179:24 *179:32 19.5536
+7 *179:32 *751:B2 18.5679
+8 *179:32 *752:B1 17.8
+9 *179:24 *746:C 20.175
+10 *179:23 *768:A2 9.3
+11 *179:23 *756:B1 14.5768
+12 *179:19 *179:85 7.66071
+13 *179:85 *753:C1 9.3
+14 *179:85 *762:B1 25.8714
+*END
+
+*D_NET *180 0.010291
+*CONN
+*I *761:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:A I *D sky130_fd_sc_hd__and3_2
+*I *795:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *747:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *771:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *746:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *761:B1 0
+2 *788:A 0.000148191
+3 *795:B1 0
+4 *747:B1 0.000838913
+5 *771:B1 0.000502275
+6 *746:X 0
+7 *180:62 0.000513811
+8 *180:49 0.000993812
+9 *180:6 0.00143018
+10 *180:5 0.000717184
+11 *747:B1 *942:A 3.45059e-05
+12 *771:B1 *753:A1 2.34087e-05
+13 *771:B1 *771:B2 2.31966e-05
+14 *771:B1 *882:TE_B 2.06178e-05
+15 *771:B1 *234:52 7.6779e-05
+16 *771:B1 *271:12 0.00025621
+17 *771:B1 *278:12 7.80694e-05
+18 *788:A *920:A 0.000180777
+19 *788:A *922:A 0.000220085
+20 *180:6 *753:A1 5.90031e-05
+21 *180:6 *271:12 0.000120506
+22 *180:49 *753:A1 6.44542e-05
+23 *180:49 *764:B1 0.000123391
+24 *180:49 *913:A 0.000183726
+25 *180:49 *307:14 9.67788e-05
+26 *180:49 *307:24 0.000352913
+27 *180:49 *312:16 8.43535e-06
+28 *180:49 *314:11 0.000440711
+29 *180:62 *761:B2 1.76135e-05
+30 *180:62 *922:A 3.30237e-05
+31 *180:62 *922:TE 0.00018372
+32 *180:62 *312:16 0.000491735
+33 *180:62 *314:11 4.17014e-05
+34 *366:DIODE *180:49 4.81186e-05
+35 *376:DIODE *747:B1 0.000259596
+36 *683:B *771:B1 1.19277e-05
+37 *746:C *180:6 1.02504e-05
+38 *746:C *180:49 0.000100823
+39 *751:B1 *747:B1 0.000672316
+40 *762:A2 *180:62 1.17968e-05
+41 *771:A2 *771:B1 6.82827e-05
+42 *795:A1 *180:62 3.5046e-05
+43 *795:A2 *788:A 0.000110982
+44 *5:817 *180:62 3.34366e-05
+45 *15:10 *180:49 0.000168849
+46 *16:5 *180:62 0
+47 *32:27 *747:B1 7.53309e-05
+48 *35:26 *180:49 0.000119753
+49 *130:94 *771:B1 3.29178e-05
+50 *150:8 *771:B1 6.27272e-06
+51 *175:22 *771:B1 1.94945e-05
+52 *178:39 *180:49 0.000194432
+53 *178:43 *180:6 3.56497e-05
+54 *179:23 *180:49 0
+*RES
+1 *746:X *180:5 13.8
+2 *180:5 *180:6 2.55357
+3 *180:6 *771:B1 26.5401
+4 *180:6 *747:B1 35.05
+5 *180:5 *180:49 21.9286
+6 *180:49 *180:62 25.875
+7 *180:62 *795:B1 9.3
+8 *180:62 *788:A 13.1214
+9 *180:49 *761:B1 9.3
+*END
+
+*D_NET *181 0.00392073
+*CONN
+*I *794:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *803:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *786:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *748:Y O *D sky130_fd_sc_hd__nor2_2
+*CAP
+1 *794:B2 0.000285264
+2 *803:B1 0.000209365
+3 *786:A2 0.000169295
+4 *748:Y 0
+5 *181:18 0.000639182
+6 *181:4 0.000313848
+7 *786:A2 *786:A3 4.58194e-05
+8 *786:A2 *236:67 1.24368e-05
+9 *794:B2 *801:A 1.61784e-05
+10 *794:B2 *866:TE 2.49484e-05
+11 *794:B2 *251:19 0.000127446
+12 *794:B2 *263:18 0
+13 *803:B1 *804:C 0.000369104
+14 *803:B1 *251:19 0.000417184
+15 *803:B1 *335:5 9.63908e-05
+16 *181:18 *804:C 5.52302e-05
+17 *181:18 *237:100 9.41642e-05
+18 *181:18 *237:106 0.000178847
+19 *181:18 *256:21 0.000349104
+20 *775:B *786:A2 4.56839e-05
+21 *775:B *181:18 6.05161e-06
+22 *794:A2 *794:B2 1.94945e-05
+23 *794:B1 *794:B2 5.97719e-05
+24 *794:B1 *803:B1 2.26327e-05
+25 *803:A1 *803:B1 0.000184944
+26 *26:17 *794:B2 0
+27 *83:8 *786:A2 0.000150628
+28 *83:8 *181:18 2.77173e-05
+*RES
+1 *748:Y *181:4 9.3
+2 *181:4 *786:A2 12.7464
+3 *181:4 *181:18 8.85714
+4 *181:18 *803:B1 20.8536
+5 *181:18 *794:B2 18.1393
+*END
+
+*D_NET *182 0.00010567
+*CONN
+*I *769:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *749:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *769:B2 2.52229e-05
+2 *749:X 2.52229e-05
+3 *376:DIODE *769:B2 5.52238e-05
+*RES
+1 *749:X *769:B2 19.1357
+*END
+
+*D_NET *183 0.00748903
+*CONN
+*I *798:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *758:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *750:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *798:A1 0.000204779
+2 *758:B2 0.00116561
+3 *750:X 0.000564232
+4 *183:11 0.00193462
+5 *758:B2 *762:B2 0.00024759
+6 *758:B2 *914:TE 0.000385934
+7 *758:B2 *917:TE_B 0
+8 *758:B2 *304:7 5.49995e-05
+9 *758:B2 *305:7 0.000126592
+10 *758:B2 *314:11 0.000397314
+11 *758:B2 *319:11 0.000648624
+12 *798:A1 *785:C 2.59355e-05
+13 *183:11 *785:C 4.72711e-05
+14 *183:11 *921:TE 0.00014192
+15 *183:11 *923:A 8.26339e-05
+16 *183:11 *187:33 2.04825e-05
+17 *183:11 *292:13 8.61547e-06
+18 *183:11 *314:11 0.000136733
+19 *183:11 *319:11 0.00020693
+20 *380:DIODE *183:11 0.000114889
+21 *384:DIODE *183:11 6.35819e-05
+22 *395:DIODE *183:11 0.00020036
+23 *396:DIODE *183:11 7.29712e-05
+24 *704:A1 *183:11 7.40526e-05
+25 *758:B1 *758:B2 6.18914e-05
+26 *760:A *758:B2 2.59355e-05
+27 *780:A *183:11 7.81883e-05
+28 *795:A1 *798:A1 0
+29 *798:B1 *183:11 0.000140403
+30 *17:9 *798:A1 0.000204544
+31 *17:9 *183:11 3.30638e-05
+32 *83:25 *183:11 1.00073e-05
+33 *130:45 *183:11 0
+34 *142:62 *183:11 8.32896e-06
+*RES
+1 *750:X *183:11 30.4607
+2 *183:11 *758:B2 38.6214
+3 *183:11 *798:A1 21.6929
+*END
+
+*D_NET *184 0.0101198
+*CONN
+*I *792:A I *D sky130_fd_sc_hd__and3_2
+*I *754:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *753:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *792:A 0.00149062
+2 *754:B1 0.00132615
+3 *753:X 9.74125e-05
+4 *184:8 0.00291419
+5 *754:B1 *766:B1 0.000440966
+6 *754:B1 *892:A 4.63305e-05
+7 *754:B1 *894:A 8.6608e-06
+8 *754:B1 *894:Z 0.00011503
+9 *754:B1 *896:A 5.49995e-05
+10 *754:B1 *896:TE_B 7.44013e-05
+11 *754:B1 *897:A 3.02892e-05
+12 *754:B1 *898:A 0.000481885
+13 *754:B1 *293:5 4.3304e-06
+14 *792:A *760:B 4.61737e-06
+15 *792:A *790:B1 1.28809e-05
+16 *792:A *790:C1 0.000128339
+17 *792:A *263:18 0
+18 *792:A *292:13 0.00182891
+19 *792:A *307:14 0
+20 *184:8 *307:14 3.11088e-05
+21 *385:DIODE *792:A 0.000348885
+22 *395:DIODE *792:A 0.00018834
+23 *765:A_N *754:B1 7.57394e-05
+24 *777:A *792:A 0.000137442
+25 *790:A1 *792:A 5.33005e-05
+26 *790:A2 *792:A 4.60377e-05
+27 *790:B2 *792:A 4.21396e-05
+28 *14:7 *792:A 9.77328e-06
+29 *14:7 *184:8 3.18227e-05
+30 *18:5 *792:A 5.43725e-05
+31 *130:45 *792:A 4.16643e-06
+32 *130:52 *792:A 3.66428e-05
+*RES
+1 *753:X *184:8 15.675
+2 *184:8 *754:B1 42.4071
+3 *184:8 *792:A 29.6957
+*END
+
+*D_NET *185 0.00270706
+*CONN
+*I *762:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *782:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *756:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *755:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *762:B2 0.000343734
+2 *782:B1 0
+3 *756:C1 6.0137e-05
+4 *755:X 3.20317e-05
+5 *185:13 0.000254885
+6 *185:7 0.000570514
+7 *756:C1 *768:A3 8.24778e-05
+8 *185:13 *768:A3 2.44638e-05
+9 *756:A2 *756:C1 7.46583e-06
+10 *756:B1 *756:C1 1.00733e-05
+11 *758:B1 *762:B2 2.85234e-05
+12 *758:B2 *762:B2 0.00024759
+13 *760:A *762:B2 0.000321913
+14 *760:A *185:13 3.2923e-05
+15 *762:A1 *762:B2 4.38186e-05
+16 *762:A2 *762:B2 1.55917e-05
+17 *763:B2 *185:7 1.35553e-05
+18 *764:A2 *762:B2 0.000121986
+19 *782:A2 *756:C1 2.66223e-05
+20 *782:A2 *185:13 9.63274e-06
+21 *5:761 *756:C1 0.000139907
+22 *5:761 *185:13 1.02821e-05
+23 *5:806 *762:B2 6.87975e-06
+24 *16:5 *185:13 3.09936e-05
+25 *130:53 *185:7 5.33005e-05
+26 *175:22 *762:B2 0
+27 *175:22 *185:13 0
+28 *176:23 *185:13 0.000123594
+29 *178:32 *185:7 9.41642e-05
+*RES
+1 *755:X *185:7 14.7464
+2 *185:7 *185:13 8.32143
+3 *185:13 *756:C1 11.5143
+4 *185:13 *782:B1 9.3
+5 *185:7 *762:B2 22.0143
+*END
+
+*D_NET *186 0.00696706
+*CONN
+*I *764:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *778:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *757:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *756:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *764:B1 0.000287584
+2 *778:B1 0.000674545
+3 *757:B1 0.000669673
+4 *756:X 0
+5 *186:6 0.00138082
+6 *186:5 0.000324184
+7 *757:B1 *879:A 0.000274294
+8 *757:B1 *906:A 0.000707084
+9 *757:B1 *907:A 4.01768e-05
+10 *757:B1 *271:12 0.000178847
+11 *757:B1 *300:11 4.85967e-05
+12 *764:B1 *764:B2 5.33005e-05
+13 *764:B1 *766:B1 0.000181107
+14 *778:B1 *750:A 0
+15 *778:B1 *271:12 1.61074e-05
+16 *373:DIODE *757:B1 9.22103e-06
+17 *745:A_N *778:B1 5.03772e-05
+18 *745:B *778:B1 1.21258e-05
+19 *746:A *778:B1 9.41642e-05
+20 *750:C *778:B1 5.81891e-05
+21 *757:A1 *757:B1 3.37729e-05
+22 *758:B1 *764:B1 0.000139338
+23 *767:A *778:B1 0.000112995
+24 *778:A2 *778:B1 7.13226e-06
+25 *784:A *764:B1 1.05731e-05
+26 *5:801 *764:B1 2.05612e-05
+27 *5:894 *757:B1 1.24368e-05
+28 *5:902 *757:B1 5.7661e-06
+29 *15:10 *757:B1 9.89509e-05
+30 *15:10 *764:B1 0.000399003
+31 *15:10 *186:6 0.000100831
+32 *132:30 *778:B1 2.77258e-05
+33 *132:48 *778:B1 1.74352e-05
+34 *178:49 *757:B1 0.000307343
+35 *179:7 *757:B1 5.52302e-05
+36 *179:19 *757:B1 0.000100673
+37 *179:19 *186:6 9.22948e-05
+38 *179:85 *764:B1 0.000230961
+39 *179:85 *186:6 1.02504e-05
+40 *180:49 *764:B1 0.000123391
+*RES
+1 *756:X *186:5 13.8
+2 *186:5 *186:6 1.33929
+3 *186:6 *757:B1 29.9607
+4 *186:6 *778:B1 22.1571
+5 *186:5 *764:B1 23.0679
+*END
+
+*D_NET *187 0.00887432
+*CONN
+*I *787:A2 I *D sky130_fd_sc_hd__o22a_2
+*I *760:B I *D sky130_fd_sc_hd__or2_2
+*I *780:B I *D sky130_fd_sc_hd__or2_2
+*I *783:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *770:B I *D sky130_fd_sc_hd__or2_2
+*I *759:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *787:A2 4.23863e-05
+2 *760:B 0.000581678
+3 *780:B 0
+4 *783:B1 6.63047e-05
+5 *770:B 0.00086828
+6 *759:X 5.09847e-05
+7 *187:47 0.000753601
+8 *187:44 0.000340157
+9 *187:33 0.000625599
+10 *187:11 0.00135271
+11 *760:B *784:B 2.70647e-05
+12 *760:B *790:C1 3.60139e-05
+13 *760:B *921:TE 4.81489e-05
+14 *760:B *922:Z 0.000312976
+15 *760:B *924:TE_B 5.50052e-05
+16 *760:B *292:13 8.33213e-05
+17 *760:B *312:16 5.7836e-06
+18 *760:B *314:11 0.000182201
+19 *770:B *759:A 7.40514e-05
+20 *770:B *771:B2 2.11336e-05
+21 *770:B *234:47 9.7912e-05
+22 *187:11 *772:B1 4.56129e-05
+23 *187:33 *743:A 0.000101616
+24 *187:33 *759:A 6.5919e-05
+25 *187:33 *197:8 0
+26 *187:33 *237:75 1.24469e-05
+27 *187:33 *299:26 6.53397e-05
+28 *187:47 *790:B1 0
+29 *187:47 *790:C1 7.30363e-05
+30 *187:47 *292:13 3.10885e-05
+31 *396:DIODE *187:33 1.53058e-05
+32 *396:DIODE *187:47 3.43988e-06
+33 *685:D_N *770:B 0.000164852
+34 *694:C *770:B 2.9924e-05
+35 *704:A1 *770:B 4.97121e-06
+36 *704:A1 *187:33 2.29592e-05
+37 *704:B2 *770:B 0.000276956
+38 *708:B1 *770:B 0.000116003
+39 *709:B2 *770:B 0.000182799
+40 *718:A *770:B 8.67814e-06
+41 *742:B *187:33 3.84268e-05
+42 *743:B *770:B 0.000181474
+43 *743:B *187:33 0.000260152
+44 *772:C1 *770:B 0
+45 *780:A *187:47 0.000114653
+46 *790:A1 *783:B1 0.000264153
+47 *790:A1 *787:A2 1.15281e-05
+48 *790:A1 *187:44 9.76221e-05
+49 *790:B2 *187:47 0.000119695
+50 *792:A *760:B 4.61737e-06
+51 *17:9 *760:B 1.94879e-05
+52 *18:5 *760:B 0
+53 *24:12 *760:B 5.12616e-05
+54 *84:10 *770:B 8.61547e-06
+55 *84:23 *770:B 4.08518e-05
+56 *130:39 *187:44 0
+57 *131:31 *770:B 0.000144087
+58 *142:62 *187:47 8.28472e-05
+59 *143:29 *783:B1 9.58126e-05
+60 *143:63 *783:B1 0.00016641
+61 *143:63 *187:33 7.04599e-05
+62 *143:63 *187:44 5.52302e-05
+63 *152:5 *770:B 0.000180195
+64 *183:11 *187:33 2.04825e-05
+*RES
+1 *759:X *187:11 14.8536
+2 *187:11 *770:B 31.9429
+3 *187:11 *187:33 13.1429
+4 *187:33 *783:B1 11.8893
+5 *187:33 *187:44 2.51786
+6 *187:44 *187:47 8.57143
+7 *187:47 *780:B 13.8
+8 *187:47 *760:B 28.4227
+9 *187:44 *787:A2 9.83571
+*END
+
+*D_NET *188 0.000276087
+*CONN
+*I *761:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *760:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *761:B2 6.82445e-05
+2 *760:X 6.82445e-05
+3 *764:A2 *761:B2 1.71619e-05
+4 *5:817 *761:B2 2.46318e-05
+5 *16:5 *761:B2 8.01911e-05
+6 *180:62 *761:B2 1.76135e-05
+*RES
+1 *760:X *761:B2 29.5464
+*END
+
+*D_NET *189 0.000463242
+*CONN
+*I *764:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *763:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *764:B2 7.0145e-05
+2 *763:X 7.0145e-05
+3 *762:B1 *764:B2 0.000121858
+4 *764:B1 *764:B2 5.33005e-05
+5 *5:801 *764:B2 2.59355e-05
+6 *175:22 *764:B2 0.000121858
+*RES
+1 *763:X *764:B2 29.7786
+*END
+
+*D_NET *190 0.00376776
+*CONN
+*I *766:B1 I *D sky130_fd_sc_hd__o31a_2
+*I *765:Y O *D sky130_fd_sc_hd__nand2b_2
+*CAP
+1 *766:B1 0.000738836
+2 *765:Y 0.000738836
+3 *766:B1 *914:A 4.63219e-05
+4 *766:B1 *917:TE_B 0.000100165
+5 *766:B1 *307:24 0.000141941
+6 *746:A *766:B1 6.44736e-05
+7 *753:A2 *766:B1 1.16215e-05
+8 *754:B1 *766:B1 0.000440966
+9 *762:B1 *766:B1 0.000143821
+10 *764:B1 *766:B1 0.000181107
+11 *5:749 *766:B1 0.000217893
+12 *5:758 *766:B1 0.000115467
+13 *5:780 *766:B1 0.000202811
+14 *5:785 *766:B1 0.000100248
+15 *5:796 *766:B1 7.58841e-05
+16 *5:801 *766:B1 5.33005e-05
+17 *15:10 *766:B1 5.87541e-06
+18 *150:8 *766:B1 0.000375697
+19 *175:22 *766:B1 1.24912e-05
+*RES
+1 *765:Y *766:B1 46.7071
+*END
+
+*D_NET *191 0.00135683
+*CONN
+*I *768:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *782:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *767:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *768:A3 0.000310861
+2 *782:C1 0
+3 *767:X 8.21232e-05
+4 *191:8 0.000392984
+5 *756:B1 *768:A3 0.000273946
+6 *756:C1 *768:A3 8.24778e-05
+7 *782:A2 *191:8 3.78017e-05
+8 *5:761 *768:A3 7.48301e-06
+9 *175:22 *191:8 0.000144685
+10 *185:13 *768:A3 2.44638e-05
+*RES
+1 *767:X *191:8 20.2464
+2 *191:8 *782:C1 9.3
+3 *191:8 *768:A3 15.2286
+*END
+
+*D_NET *192 0.00160252
+*CONN
+*I *771:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *770:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *771:B2 0.000529731
+2 *770:X 0.000529731
+3 *771:B2 *774:C1 0
+4 *771:B2 *234:47 0.000129504
+5 *771:B2 *234:52 9.84673e-05
+6 *771:B2 *237:33 0
+7 *771:B2 *237:40 0
+8 *378:DIODE *771:B2 3.69047e-06
+9 *682:B *771:B2 0
+10 *683:B *771:B2 3.69047e-06
+11 *740:A *771:B2 7.83587e-05
+12 *770:B *771:B2 2.11336e-05
+13 *771:B1 *771:B2 2.31966e-05
+14 *5:726 *771:B2 0.00012401
+15 *130:94 *771:B2 4.58194e-05
+16 *175:22 *771:B2 1.51859e-05
+*RES
+1 *770:X *771:B2 37.5464
+*END
+
+*D_NET *193 0.000826081
+*CONN
+*I *774:B1 I *D sky130_fd_sc_hd__o211a_2
+*I *772:X O *D sky130_fd_sc_hd__a211o_2
+*CAP
+1 *774:B1 0.000213968
+2 *772:X 0.000213968
+3 *774:B1 *773:A1 1.92789e-05
+4 *774:B1 *237:54 7.83659e-05
+5 *773:A2 *774:B1 0.000129868
+6 *773:B1 *774:B1 0.000136682
+7 *774:A2 *774:B1 2.82057e-05
+8 *130:94 *774:B1 5.74499e-06
+*RES
+1 *772:X *774:B1 31.4214
+*END
+
+*D_NET *194 0.000510851
+*CONN
+*I *774:C1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *774:C1 9.58521e-05
+2 *773:Y 9.58521e-05
+3 *774:C1 *237:40 1.41706e-05
+4 *774:C1 *237:54 4.30584e-06
+5 *771:B2 *774:C1 0
+6 *773:A2 *774:C1 0.000175103
+7 *5:726 *774:C1 0
+8 *16:5 *774:C1 0.000125567
+*RES
+1 *773:Y *774:C1 30.4571
+*END
+
+*D_NET *195 0.000440007
+*CONN
+*I *778:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *774:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *778:B2 0.00010284
+2 *774:X 0.00010284
+3 *130:76 *778:B2 0.000165895
+4 *176:14 *778:B2 5.64866e-05
+5 *178:15 *778:B2 1.19455e-05
+*RES
+1 *774:X *778:B2 29.85
+*END
+
+*D_NET *196 0.00205868
+*CONN
+*I *801:B I *D sky130_fd_sc_hd__or2_2
+*I *777:B I *D sky130_fd_sc_hd__or2_2
+*I *775:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *801:B 7.658e-05
+2 *777:B 0
+3 *775:Y 0.000410102
+4 *196:15 0.000486682
+5 *801:B *802:A3 2.77258e-05
+6 *801:B *208:7 4.08637e-05
+7 *196:15 *792:C 0
+8 *196:15 *802:A1 4.96066e-05
+9 *196:15 *802:A3 0.000120504
+10 *196:15 *869:A 1.28585e-05
+11 *196:15 *208:7 5.33005e-05
+12 *196:15 *212:23 3.65069e-05
+13 *196:15 *234:108 0.000186008
+14 *196:15 *234:115 3.02886e-05
+15 *196:15 *237:100 8.673e-05
+16 *196:15 *237:106 4.08637e-05
+17 *196:15 *256:21 9.25941e-05
+18 *196:15 *320:10 0.00014563
+19 *395:DIODE *196:15 5.29722e-05
+20 *775:B *196:15 1.27784e-05
+21 *83:8 *196:15 9.60875e-05
+*RES
+1 *775:Y *196:15 28.4607
+2 *196:15 *777:B 9.3
+3 *196:15 *801:B 10.6571
+*END
+
+*D_NET *197 0.00201823
+*CONN
+*I *791:B I *D sky130_fd_sc_hd__or2_2
+*I *799:C I *D sky130_fd_sc_hd__or3_2
+*I *790:B1 I *D sky130_fd_sc_hd__o221a_2
+*I *787:B1 I *D sky130_fd_sc_hd__o22a_2
+*I *776:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *791:B 5.06688e-05
+2 *799:C 0
+3 *790:B1 0.000102472
+4 *787:B1 0
+5 *776:X 0
+6 *197:26 8.22438e-05
+7 *197:8 0.000251221
+8 *197:4 0.000180324
+9 *790:B1 *790:C1 4.6025e-06
+10 *791:B *750:A 4.27935e-05
+11 *791:B *234:115 0.000227526
+12 *791:B *299:26 0.000248442
+13 *197:8 *750:A 2.14757e-05
+14 *197:8 *299:26 0.000139907
+15 *197:26 *750:A 5.52238e-05
+16 *197:26 *299:26 3.82741e-05
+17 *776:A *197:26 5.04841e-06
+18 *786:B1 *790:B1 7.92169e-05
+19 *786:B1 *197:8 0.000141668
+20 *790:B2 *790:B1 1.63605e-05
+21 *792:A *790:B1 1.28809e-05
+22 *5:409 *790:B1 1.61405e-05
+23 *18:5 *790:B1 0.000133817
+24 *83:20 *197:8 1.39841e-05
+25 *83:25 *197:8 1.92789e-05
+26 *130:45 *790:B1 0.000124414
+27 *130:45 *197:8 1.02504e-05
+28 *187:33 *197:8 0
+29 *187:47 *790:B1 0
+*RES
+1 *776:X *197:4 9.3
+2 *197:4 *197:8 7.80357
+3 *197:8 *787:B1 13.8
+4 *197:8 *790:B1 16.7866
+5 *197:4 *197:26 0.964286
+6 *197:26 *799:C 9.3
+7 *197:26 *791:B 11.8893
+*END
+
+*D_NET *198 0.000491868
+*CONN
+*I *793:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *777:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *793:A1 9.21633e-05
+2 *777:X 9.21633e-05
+3 *793:A1 *792:C 8.37905e-05
+4 *793:A1 *793:A3 3.08135e-05
+5 *385:DIODE *793:A1 9.70737e-05
+6 *777:A *793:A1 9.58632e-05
+*RES
+1 *777:X *793:A1 29.8857
+*END
+
+*D_NET *199 0.000622219
+*CONN
+*I *786:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *779:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *786:A3 0.000123248
+2 *779:X 0.000123248
+3 *786:A3 *806:B1 4.12855e-05
+4 *786:A3 *806:B2 1.10856e-05
+5 *775:B *786:A3 4.58194e-05
+6 *786:A2 *786:A3 4.58194e-05
+7 *5:331 *786:A3 0.000120267
+8 *5:346 *786:A3 2.31791e-05
+9 *83:8 *786:A3 5.48376e-05
+10 *83:20 *786:A3 3.34295e-05
+*RES
+1 *779:X *786:A3 30.7964
+*END
+
+*D_NET *200 0.00468705
+*CONN
+*I *790:C1 I *D sky130_fd_sc_hd__o221a_2
+*I *784:B I *D sky130_fd_sc_hd__and3_2
+*I *788:B I *D sky130_fd_sc_hd__and3_2
+*I *795:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *780:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *790:C1 0.000296585
+2 *784:B 0.000385709
+3 *788:B 0.000303334
+4 *795:B2 0
+5 *780:X 0
+6 *200:8 0.000448809
+7 *200:7 0.000665826
+8 *200:4 0.000431227
+9 *784:B *292:13 0.000509427
+10 *784:B *313:8 2.06112e-05
+11 *788:B *920:A 1.02504e-05
+12 *788:B *312:16 0.000184745
+13 *790:C1 *325:7 0.000209541
+14 *200:7 *325:7 0.000180195
+15 *200:8 *920:A 0.000169584
+16 *760:B *784:B 2.70647e-05
+17 *760:B *790:C1 3.60139e-05
+18 *790:B1 *790:C1 4.6025e-06
+19 *792:A *790:C1 0.000128339
+20 *795:A2 *788:B 0.000120587
+21 *798:B1 *200:8 5.92791e-05
+22 *16:5 *784:B 1.16107e-05
+23 *17:9 *788:B 6.69638e-05
+24 *17:9 *200:8 0.000297597
+25 *18:5 *790:C1 2.8899e-05
+26 *24:12 *788:B 1.72148e-05
+27 *187:47 *790:C1 7.30363e-05
+*RES
+1 *780:X *200:4 9.3
+2 *200:4 *200:7 6.26786
+3 *200:7 *200:8 4.07143
+4 *200:8 *795:B2 13.8
+5 *200:8 *788:B 18.6571
+6 *200:7 *784:B 21.7365
+7 *200:4 *790:C1 23.6393
+*END
+
+*D_NET *201 0.000621687
+*CONN
+*I *784:C I *D sky130_fd_sc_hd__and3_2
+*I *781:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *784:C 0.000148283
+2 *781:X 0.000148283
+3 *784:C *785:A 7.2266e-05
+4 *781:A2 *784:C 8.60361e-05
+5 *5:838 *784:C 5.49995e-05
+6 *16:5 *784:C 2.71192e-05
+7 *178:29 *784:C 3.14003e-05
+8 *178:32 *784:C 5.33005e-05
+*RES
+1 *781:X *784:C 30.8321
+*END
+
+*D_NET *202 0.00131889
+*CONN
+*I *785:A I *D sky130_fd_sc_hd__and3_2
+*I *782:X O *D sky130_fd_sc_hd__o211a_2
+*CAP
+1 *785:A 0.00027049
+2 *782:X 0.00027049
+3 *763:B2 *785:A 0.000224498
+4 *781:A2 *785:A 0.000128425
+5 *783:A1 *785:A 5.52302e-05
+6 *784:C *785:A 7.2266e-05
+7 *16:5 *785:A 1.09026e-05
+8 *130:52 *785:A 6.47358e-05
+9 *142:62 *785:A 4.21517e-05
+10 *176:56 *785:A 4.10843e-05
+11 *178:20 *785:A 0.000138618
+*RES
+1 *782:X *785:A 34.6357
+*END
+
+*D_NET *203 0.000307158
+*CONN
+*I *785:B I *D sky130_fd_sc_hd__and3_2
+*I *783:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *785:B 0.000114148
+2 *783:X 0.000114148
+3 *785:B *798:A2 4.00276e-06
+4 *17:9 *785:B 7.29646e-05
+5 *176:56 *785:B 1.89507e-06
+*RES
+1 *783:X *785:B 29.1714
+*END
+
+*D_NET *204 0.00110294
+*CONN
+*I *785:C I *D sky130_fd_sc_hd__and3_2
+*I *784:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *785:C 0.000238692
+2 *784:X 0.000238692
+3 *785:C *798:A2 7.74135e-05
+4 *785:C *325:7 0.000133536
+5 *395:DIODE *785:C 4.31941e-05
+6 *798:A1 *785:C 2.59355e-05
+7 *798:B1 *785:C 0.000101715
+8 *798:B2 *785:C 5.49544e-05
+9 *5:838 *785:C 9.84673e-05
+10 *17:9 *785:C 4.30666e-05
+11 *183:11 *785:C 4.72711e-05
+*RES
+1 *784:X *785:C 33.6179
+*END
+
+*D_NET *205 0.000497196
+*CONN
+*I *798:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *785:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *798:A2 0.000169391
+2 *785:X 0.000169391
+3 *785:B *798:A2 4.00276e-06
+4 *785:C *798:A2 7.74135e-05
+5 *17:9 *798:A2 7.6997e-05
+*RES
+1 *785:X *798:A2 29.9929
+*END
+
+*D_NET *206 0.00268218
+*CONN
+*I *788:C I *D sky130_fd_sc_hd__and3_2
+*I *792:B I *D sky130_fd_sc_hd__and3_2
+*I *787:X O *D sky130_fd_sc_hd__o22a_2
+*CAP
+1 *788:C 0.000443228
+2 *792:B 0.000137146
+3 *787:X 8.30097e-05
+4 *206:8 0.000663384
+5 *788:C *921:A 0.000308949
+6 *788:C *921:Z 1.34741e-05
+7 *788:C *926:A 0
+8 *792:B *208:7 0.000140243
+9 *381:DIODE *206:8 9.60875e-05
+10 *384:DIODE *788:C 0.000211947
+11 *384:DIODE *206:8 5.85561e-05
+12 *389:DIODE *788:C 0
+13 *777:A *792:B 7.02183e-05
+14 *790:A1 *788:C 4.28123e-05
+15 *790:A1 *206:8 0.000121871
+16 *790:A2 *788:C 4.99283e-06
+17 *795:A2 *788:C 0.000146523
+18 *18:5 *788:C 4.17196e-05
+19 *130:39 *206:8 9.80173e-05
+*RES
+1 *787:X *206:8 16.3893
+2 *206:8 *792:B 16.4071
+3 *206:8 *788:C 23.8
+*END
+
+*D_NET *207 0.00588786
+*CONN
+*I *789:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *800:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *806:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *788:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *789:B1 0.000194402
+2 *800:B1 0
+3 *806:B1 0.00066483
+4 *788:X 0.000352724
+5 *207:12 0.00101068
+6 *207:9 0.00089298
+7 *806:B1 *632:A 5.52302e-05
+8 *806:B1 *800:B2 5.01213e-05
+9 *806:B1 *806:B2 0.000144979
+10 *806:B1 *234:19 0.000221058
+11 *806:B1 *235:52 1.02936e-05
+12 *806:B1 *236:28 4.49331e-05
+13 *806:B1 *236:43 6.05951e-05
+14 *806:B1 *236:67 0.000186304
+15 *806:B1 *334:14 9.87983e-06
+16 *207:9 *921:Z 2.56721e-05
+17 *207:9 *923:A 1.35465e-05
+18 *207:9 *925:A 9.58632e-05
+19 *207:9 *312:16 0
+20 *207:12 *792:C 3.50566e-05
+21 *207:12 *800:B2 1.41029e-05
+22 *207:12 *802:A2 5.36379e-05
+23 *207:12 *926:A 7.75718e-05
+24 *384:DIODE *789:B1 8.34321e-05
+25 *384:DIODE *207:9 0.000137639
+26 *384:DIODE *207:12 5.41894e-05
+27 *385:DIODE *207:12 0.000149518
+28 *389:DIODE *207:12 5.38072e-05
+29 *776:B *806:B1 5.06514e-05
+30 *786:A3 *806:B1 4.12855e-05
+31 *800:A1 *207:12 1.24469e-05
+32 *806:A2 *806:B1 5.52238e-05
+33 *5:346 *806:B1 0.000182235
+34 *5:346 *207:12 2.26973e-05
+35 *5:393 *789:B1 2.16663e-05
+36 *5:398 *207:12 0.000215701
+37 *5:409 *207:12 0.000196198
+38 *18:5 *207:9 7.83659e-05
+39 *19:8 *789:B1 9.84673e-05
+40 *20:15 *207:12 2.37944e-05
+41 *83:8 *806:B1 5.64168e-05
+42 *83:20 *806:B1 0.00013966
+43 *130:39 *806:B1 0
+*RES
+1 *788:X *207:9 23.1571
+2 *207:9 *207:12 13.125
+3 *207:12 *806:B1 28.3714
+4 *207:12 *800:B1 13.8
+5 *207:9 *789:B1 12.2107
+*END
+
+*D_NET *208 0.00306504
+*CONN
+*I *793:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A1 I *D sky130_fd_sc_hd__a32o_2
+*I *790:X O *D sky130_fd_sc_hd__o221a_2
+*CAP
+1 *793:A2 0.000164763
+2 *802:A1 0.000154849
+3 *790:X 0.00018707
+4 *208:7 0.000506681
+5 *793:A2 *929:TE 5.33005e-05
+6 *793:A2 *931:TE_B 0.000141935
+7 *793:A2 *212:23 0.000190736
+8 *793:A2 *316:8 8.67814e-06
+9 *793:A2 *320:10 0.000184438
+10 *793:A2 *325:7 0.000272338
+11 *802:A1 *212:23 0.000236361
+12 *802:A1 *320:10 0.000123388
+13 *208:7 *793:A3 4.58194e-05
+14 *208:7 *802:A3 6.57032e-05
+15 *395:DIODE *802:A1 5.52238e-05
+16 *777:A *208:7 0.000389741
+17 *792:B *208:7 0.000140243
+18 *801:B *208:7 4.08637e-05
+19 *196:15 *802:A1 4.96066e-05
+20 *196:15 *208:7 5.33005e-05
+*RES
+1 *790:X *208:7 18.8536
+2 *208:7 *802:A1 17.4964
+3 *208:7 *793:A2 19.2464
+*END
+
+*D_NET *209 0.00309141
+*CONN
+*I *806:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *792:C I *D sky130_fd_sc_hd__and3_2
+*I *791:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *806:B2 0.000342616
+2 *792:C 0.000408349
+3 *791:X 0
+4 *209:5 0.000750965
+5 *792:C *793:A3 0.000121809
+6 *792:C *802:A2 0.000100474
+7 *792:C *256:21 1.07593e-05
+8 *806:B2 *632:A 5.52302e-05
+9 *806:B2 *797:A 2.4761e-05
+10 *806:B2 *235:52 0.000180777
+11 *806:B2 *237:100 1.34969e-05
+12 *806:B2 *256:21 3.48235e-05
+13 *806:B2 *334:14 0.000103532
+14 *385:DIODE *792:C 5.33005e-05
+15 *395:DIODE *792:C 7.48407e-05
+16 *775:B *806:B2 8.07951e-05
+17 *777:A *792:C 1.65914e-05
+18 *786:A3 *806:B2 1.10856e-05
+19 *791:A *792:C 9.91086e-05
+20 *793:A1 *792:C 8.37905e-05
+21 *800:A1 *792:C 1.01912e-05
+22 *806:B1 *806:B2 0.000144979
+23 *5:290 *806:B2 0
+24 *5:331 *806:B2 0.000120267
+25 *5:346 *792:C 9.57659e-05
+26 *5:346 *806:B2 0.000118048
+27 *196:15 *792:C 0
+28 *207:12 *792:C 3.50566e-05
+*RES
+1 *791:X *209:5 13.8
+2 *209:5 *792:C 22.1929
+3 *209:5 *806:B2 22.4964
+*END
+
+*D_NET *210 0.00148568
+*CONN
+*I *793:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *802:A2 I *D sky130_fd_sc_hd__a32o_2
+*I *792:X O *D sky130_fd_sc_hd__and3_2
+*CAP
+1 *793:A3 0.000206318
+2 *802:A2 0.000151988
+3 *792:X 0
+4 *210:4 0.000358305
+5 *793:A3 *320:10 0
+6 *802:A2 *320:10 0.000136951
+7 *385:DIODE *793:A3 1.90984e-05
+8 *395:DIODE *793:A3 0.000134458
+9 *792:C *793:A3 0.000121809
+10 *792:C *802:A2 0.000100474
+11 *793:A1 *793:A3 3.08135e-05
+12 *800:A2 *802:A2 0.000126008
+13 *207:12 *802:A2 5.36379e-05
+14 *208:7 *793:A3 4.58194e-05
+*RES
+1 *792:X *210:4 9.3
+2 *210:4 *802:A2 22.2464
+3 *210:4 *793:A3 22.4071
+*END
+
+*D_NET *211 0.000290175
+*CONN
+*I *797:B I *D sky130_fd_sc_hd__nand2_2
+*I *796:Y O *D sky130_fd_sc_hd__o21ai_2
+*CAP
+1 *797:B 0.000113396
+2 *796:Y 0.000113396
+3 *797:B *796:A1 6.05161e-06
+4 *797:B *796:A2 5.74499e-06
+5 *797:B *796:B1 5.15855e-05
+*RES
+1 *796:Y *797:B 20.3857
+*END
+
+*D_NET *212 0.00526822
+*CONN
+*I *798:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *797:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *798:A3 0
+2 *797:Y 0.00137328
+3 *212:23 0.00137328
+4 *212:23 *796:A2 5.33005e-05
+5 *212:23 *796:B1 9.41642e-05
+6 *212:23 *801:A 5.82654e-05
+7 *212:23 *869:A 2.06112e-05
+8 *212:23 *921:TE 2.83425e-05
+9 *212:23 *929:TE 7.06273e-05
+10 *212:23 *931:TE_B 0.000101812
+11 *212:23 *234:108 0.000390534
+12 *212:23 *234:115 5.41797e-06
+13 *212:23 *263:18 0.000612852
+14 *212:23 *292:13 0.000434915
+15 *212:23 *325:7 7.14833e-05
+16 *793:A2 *212:23 0.000190736
+17 *793:B1 *212:23 3.22062e-05
+18 *793:B2 *212:23 2.85234e-05
+19 *798:B1 *212:23 5.50052e-05
+20 *802:A1 *212:23 0.000236361
+21 *196:15 *212:23 3.65069e-05
+*RES
+1 *797:Y *212:23 45.2107
+2 *212:23 *798:A3 9.3
+*END
+
+*D_NET *213 0.000399079
+*CONN
+*I *800:B2 I *D sky130_fd_sc_hd__a22o_2
+*I *799:X O *D sky130_fd_sc_hd__or3_2
+*CAP
+1 *800:B2 9.73013e-05
+2 *799:X 9.73013e-05
+3 *800:B2 *299:26 5.71472e-05
+4 *384:DIODE *800:B2 6.77303e-05
+5 *806:B1 *800:B2 5.01213e-05
+6 *130:39 *800:B2 1.53743e-05
+7 *207:12 *800:B2 1.41029e-05
+*RES
+1 *799:X *800:B2 29.7786
+*END
+
+*D_NET *214 0.00104462
+*CONN
+*I *802:A3 I *D sky130_fd_sc_hd__a32o_2
+*I *801:X O *D sky130_fd_sc_hd__or2_2
+*CAP
+1 *802:A3 0.00035423
+2 *801:X 0.00035423
+3 *395:DIODE *802:A3 0.000122226
+4 *801:B *802:A3 2.77258e-05
+5 *196:15 *802:A3 0.000120504
+6 *208:7 *802:A3 6.57032e-05
+*RES
+1 *801:X *802:A3 32.6536
+*END
+
+*D_NET *215 0.000924309
+*CONN
+*I *805:B1 I *D sky130_fd_sc_hd__a21o_2
+*I *804:X O *D sky130_fd_sc_hd__and3b_2
+*CAP
+1 *805:B1 0.000358951
+2 *804:X 0.000358951
+3 *805:B1 *873:Z 0
+4 *805:B1 *875:TE_B 0.00013097
+5 *805:B1 *264:6 7.54384e-05
+*RES
+1 *804:X *805:B1 33.4393
+*END
+
+*D_NET *216 0.0250991
+*CONN
+*I *845:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *840:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *843:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *842:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *841:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *844:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *839:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *836:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *832:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *850:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *849:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *854:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *834:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *831:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *835:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *847:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *833:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *848:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *846:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *837:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *851:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *838:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *852:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *853:CLK I *D sky130_fd_sc_hd__dfrtp_2
+*I *941:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *845:CLK 0
+2 *840:CLK 0.000151287
+3 *843:CLK 0.00017343
+4 *842:CLK 8.22832e-05
+5 *841:CLK 0.000123277
+6 *844:CLK 0
+7 *839:CLK 0.000326328
+8 *836:CLK 0
+9 *832:CLK 9.9274e-05
+10 *850:CLK 0
+11 *849:CLK 0
+12 *854:A 0.000173985
+13 *834:CLK 0
+14 *831:CLK 0
+15 *835:CLK 2.9734e-05
+16 *847:CLK 0
+17 *833:CLK 0.000535124
+18 *848:CLK 0
+19 *846:CLK 0
+20 *837:CLK 0
+21 *851:CLK 1.34013e-05
+22 *838:CLK 0.000164077
+23 *852:CLK 8.93338e-05
+24 *853:CLK 0.000275325
+25 *941:Y 0
+26 *216:222 0.000323853
+27 *216:212 0.000398543
+28 *216:202 0.000653308
+29 *216:175 0.000364702
+30 *216:145 0.000259778
+31 *216:144 0.000571128
+32 *216:131 0.00112221
+33 *216:119 2.9734e-05
+34 *216:97 0.000664782
+35 *216:94 0.000478285
+36 *216:88 0.000709388
+37 *216:83 0.000507931
+38 *216:81 0.000956983
+39 *216:79 0.000450572
+40 *216:73 0.000376488
+41 *216:72 0.000660904
+42 *216:64 0.000772434
+43 *216:56 0.00125776
+44 *216:46 0.000708099
+45 *216:43 0.000508303
+46 *216:13 0.000724987
+47 *216:9 0.000637527
+48 *216:4 0.000315727
+49 *833:CLK *333:95 0
+50 *838:CLK *643:A 3.45371e-05
+51 *838:CLK *643:B 1.33343e-05
+52 *838:CLK *220:36 9.85893e-05
+53 *838:CLK *225:8 0
+54 *838:CLK *225:13 5.81933e-05
+55 *839:CLK *686:A 2.59355e-05
+56 *839:CLK *686:B 2.89114e-05
+57 *839:CLK *333:55 2.89016e-05
+58 *839:CLK *333:64 0.000116049
+59 *841:CLK *233:8 9.56968e-05
+60 *851:CLK *647:A 3.29421e-05
+61 *854:A *672:A 8.11395e-05
+62 *854:A *672:B 0.000103266
+63 *854:A *826:B 4.16984e-05
+64 *216:13 *829:B 2.59355e-05
+65 *216:13 *226:8 0.00014183
+66 *216:46 *647:A 8.66324e-05
+67 *216:46 *941:A 0
+68 *216:46 *220:36 0.000320024
+69 *216:46 *221:10 0
+70 *216:64 *691:A 0
+71 *216:64 *333:212 0.000124019
+72 *216:64 *333:219 6.96703e-05
+73 *216:94 *847:D 5.76121e-05
+74 *216:131 *217:7 0.000144377
+75 *216:131 *217:9 0.000115141
+76 *216:131 *217:11 3.69047e-06
+77 *216:144 *650:A 9.87672e-05
+78 *216:144 *650:B 1.21258e-05
+79 *216:144 *672:B 0.000148903
+80 *216:144 *217:11 0.000178542
+81 *216:175 *333:55 7.70092e-05
+82 *216:202 *691:A 0.000196314
+83 *216:202 *239:19 0.000123495
+84 *216:222 *233:8 4.66203e-05
+85 *411:DIODE *839:CLK 2.09897e-05
+86 *425:DIODE *216:145 2.89114e-05
+87 *429:DIODE *216:94 0.000181796
+88 *635:S *852:CLK 8.71047e-05
+89 *639:S *216:144 1.76135e-05
+90 *657:A *853:CLK 0.000184882
+91 *719:A2_N *842:CLK 1.58163e-05
+92 *719:A2_N *843:CLK 0.000362545
+93 *719:A2_N *216:222 8.15095e-05
+94 *719:B2 *842:CLK 4.58194e-05
+95 *720:A *216:202 0.000142856
+96 *720:B *216:202 5.33005e-05
+97 *722:S *216:202 0.000210742
+98 *722:S *216:212 4.47762e-05
+99 *723:B *841:CLK 6.32965e-05
+100 *726:B *216:64 0
+101 *729:A2 *216:56 9.58632e-05
+102 *732:A *832:CLK 0
+103 *733:B1 *216:81 0
+104 *733:B1 *216:131 0.00014258
+105 *735:A1 *216:131 0.000146533
+106 *739:B *216:88 5.33334e-05
+107 *809:A *833:CLK 1.10956e-05
+108 *817:A *840:CLK 3.97677e-05
+109 *817:A *216:56 2.85234e-05
+110 *827:A *854:A 0.000204413
+111 *832:D *832:CLK 6.05161e-06
+112 *835:RESET_B *216:83 0
+113 *835:RESET_B *216:88 0
+114 *836:D *216:175 6.05161e-06
+115 *836:RESET_B *839:CLK 1.74352e-05
+116 *836:RESET_B *216:175 4.6096e-05
+117 *837:D *840:CLK 1.0484e-05
+118 *837:D *216:56 2.33087e-05
+119 *837:D *216:64 0.000141337
+120 *837:RESET_B *216:64 4.87811e-05
+121 *838:RESET_B *216:46 0.000202835
+122 *841:D *841:CLK 9.84729e-05
+123 *844:D *843:CLK 4.38511e-05
+124 *844:D *216:222 9.11365e-06
+125 *846:D *216:94 0.0003638
+126 *846:RESET_B *216:94 2.51837e-05
+127 *852:RESET_B *838:CLK 8.49318e-05
+128 *853:D *853:CLK 5.6153e-05
+129 *853:RESET_B *852:CLK 0.000169804
+130 *5:27 *216:9 9.60875e-05
+131 *5:27 *216:13 1.33343e-05
+132 *5:37 *216:9 2.23592e-05
+133 *5:43 *216:9 7.09928e-05
+134 *5:43 *216:43 0.000282025
+135 *5:51 *216:43 1.24368e-05
+136 *5:105 *835:CLK 1.83053e-05
+137 *5:108 *216:83 7.98318e-05
+138 *5:108 *216:88 0.000124083
+139 *5:109 *216:88 2.89114e-05
+140 *5:129 *216:83 6.63763e-05
+141 *5:175 *833:CLK 6.19181e-05
+142 *5:561 *839:CLK 0.000140933
+143 *5:590 *216:64 9.51514e-06
+144 *5:613 *216:144 0
+145 *11:8 *838:CLK 0.000173606
+146 *11:8 *854:A 0
+147 *11:8 *216:144 0.000221163
+148 *29:8 *833:CLK 4.038e-06
+149 *29:8 *216:97 5.72433e-05
+150 *32:27 *216:13 2.89114e-05
+151 *88:19 *832:CLK 3.30803e-05
+152 *88:19 *216:73 0
+153 *88:19 *216:79 0
+154 *88:19 *216:81 0
+155 *88:77 *851:CLK 5.76547e-05
+156 *88:77 *216:46 0.000388153
+157 *89:5 *833:CLK 0.000217894
+158 *89:34 *216:131 0.000228508
+159 *100:10 *216:144 0.000271302
+160 *102:10 *216:144 5.49489e-05
+161 *139:19 *216:64 2.6942e-05
+162 *139:19 *216:72 0.000128002
+163 *140:22 *841:CLK 1.34497e-05
+164 *141:8 *216:202 0
+165 *145:15 *843:CLK 0
+166 *145:24 *841:CLK 5.7616e-05
+167 *145:24 *216:222 7.15687e-05
+168 *145:53 *843:CLK 0
+*RES
+1 *941:Y *216:4 9.3
+2 *216:4 *216:9 3.14286
+3 *216:9 *216:13 5.89286
+4 *216:13 *853:CLK 15.175
+5 *216:13 *852:CLK 20.55
+6 *216:9 *838:CLK 23.175
+7 *216:4 *216:43 5.05357
+8 *216:43 *216:46 13.7321
+9 *216:46 *851:CLK 14.5321
+10 *216:46 *216:56 9.14286
+11 *216:56 *216:64 19.3929
+12 *216:64 *837:CLK 9.3
+13 *216:64 *216:72 5.85714
+14 *216:72 *216:73 2.55357
+15 *216:73 *216:79 2.91071
+16 *216:79 *216:81 2.85714
+17 *216:81 *216:83 2.85714
+18 *216:83 *216:88 10.9821
+19 *216:88 *846:CLK 9.3
+20 *216:88 *216:94 9.16071
+21 *216:94 *216:97 6.75
+22 *216:97 *848:CLK 13.8
+23 *216:97 *833:CLK 21.9429
+24 *216:94 *847:CLK 9.3
+25 *216:83 *216:119 4.5
+26 *216:119 *835:CLK 9.83571
+27 *216:119 *831:CLK 9.3
+28 *216:81 *216:131 17.7679
+29 *216:131 *834:CLK 9.3
+30 *216:131 *216:144 20.625
+31 *216:144 *216:145 1.35714
+32 *216:145 *854:A 22.925
+33 *216:145 *849:CLK 9.3
+34 *216:144 *850:CLK 9.3
+35 *216:79 *832:CLK 15.5857
+36 *216:73 *216:175 5.85714
+37 *216:175 *836:CLK 9.3
+38 *216:175 *839:CLK 24.9786
+39 *216:72 *216:202 13.9464
+40 *216:202 *844:CLK 9.3
+41 *216:202 *216:212 7.82143
+42 *216:212 *841:CLK 17.6036
+43 *216:212 *216:222 2.25
+44 *216:222 *842:CLK 15.1571
+45 *216:222 *843:CLK 18.4786
+46 *216:56 *840:CLK 11.3893
+47 *216:43 *845:CLK 9.3
+*END
+
+*D_NET *217 0.00810496
+*CONN
+*I *734:B I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A2 I *D sky130_fd_sc_hd__a21oi_2
+*I *725:C I *D sky130_fd_sc_hd__and3_2
+*I *736:A I *D sky130_fd_sc_hd__nand3_2
+*I *650:A I *D sky130_fd_sc_hd__and2_2
+*I *672:A I *D sky130_fd_sc_hd__or2_2
+*I *651:A I *D sky130_fd_sc_hd__nand2_2
+*I *639:A0 I *D sky130_fd_sc_hd__mux2_1
*I *834:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *835:D 0.000619731
-2 *834:Q 0.000619731
-3 *834:D *835:D 5.88662e-05
-4 *834:RESET_B *835:D 2.73369e-05
-5 *835:RESET_B *835:D 0
+1 *734:B 0.000125353
+2 *731:A2 1.3683e-05
+3 *725:C 7.92572e-05
+4 *736:A 0.00017099
+5 *650:A 7.19447e-05
+6 *672:A 0.000136511
+7 *651:A 0.0001511
+8 *639:A0 0
+9 *834:Q 3.10251e-05
+10 *217:64 0.000229956
+11 *217:15 0.000195575
+12 *217:13 0.000426749
+13 *217:11 0.000854388
+14 *217:9 0.000927308
+15 *217:7 0.000723849
+16 *217:5 0.000523574
+17 *650:A *650:B 7.50713e-05
+18 *650:A *222:21 0
+19 *651:A *651:B 5.52238e-05
+20 *651:A *222:17 0.000169359
+21 *651:A *222:21 1.04232e-05
+22 *725:C *725:A 0
+23 *725:C *218:11 5.72784e-05
+24 *725:C *218:21 1.33343e-05
+25 *725:C *219:18 0.000185643
+26 *731:A2 *218:11 5.52302e-05
+27 *731:A2 *219:18 1.56e-05
+28 *736:A *638:A0 0.000178092
+29 *217:13 *650:B 0.000124521
+30 *217:13 *222:21 0.000181796
+31 *217:15 *222:17 1.38323e-05
+32 *217:15 *222:21 1.24368e-05
+33 *217:64 *638:A0 0
+34 *217:64 *218:26 0
+35 *405:DIODE *736:A 5.71472e-05
+36 *673:B *672:A 0.00036496
+37 *735:A1 *217:5 5.52302e-05
+38 *735:A1 *217:7 5.52238e-05
+39 *735:A2 *734:B 0.000116526
+40 *736:B *736:A 5.52238e-05
+41 *736:C *734:B 0.000168217
+42 *826:A *651:A 3.48705e-05
+43 *827:A *672:A 0.000227014
+44 *834:D *736:A 0.000114296
+45 *834:D *217:64 0
+46 *834:RESET_B *217:64 3.34366e-05
+47 *849:D *651:A 0.000137983
+48 *854:A *672:A 8.11395e-05
+49 *5:88 *217:64 0.000108295
+50 *5:613 *672:A 6.81083e-05
+51 *102:10 *217:11 2.1768e-05
+52 *168:8 *217:64 5.59013e-05
+53 *216:131 *217:7 0.000144377
+54 *216:131 *217:9 0.000115141
+55 *216:131 *217:11 3.69047e-06
+56 *216:144 *650:A 9.87672e-05
+57 *216:144 *217:11 0.000178542
*RES
-1 *834:Q *835:D 37.6788
+1 *834:Q *217:5 9.83571
+2 *217:5 *217:7 4.64286
+3 *217:7 *217:9 3
+4 *217:9 *217:11 7.10714
+5 *217:11 *217:13 3.41071
+6 *217:13 *217:15 0.535714
+7 *217:15 *639:A0 9.3
+8 *217:15 *651:A 13.1571
+9 *217:13 *672:A 23.5143
+10 *217:11 *650:A 11.7107
+11 *217:9 *736:A 23.2107
+12 *217:7 *217:64 12.1607
+13 *217:64 *725:C 11.8
+14 *217:64 *731:A2 9.83571
+15 *217:5 *734:B 22.3714
*END
-*D_NET *216 0.00178966
+*D_NET *218 0.00457194
*CONN
-*I *656:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *656:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *836:D I *D sky130_fd_sc_hd__dfrtp_2
+*I *734:A I *D sky130_fd_sc_hd__xnor2_2
+*I *731:A1 I *D sky130_fd_sc_hd__a21oi_2
+*I *649:A I *D sky130_fd_sc_hd__and2_2
+*I *652:A I *D sky130_fd_sc_hd__xor2_2
+*I *638:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *725:B I *D sky130_fd_sc_hd__and3_2
*I *835:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *656:B1 0
-2 *656:A1_N 0
-3 *836:D 0.000391017
-4 *835:Q 0.000248966
-5 *216:15 0.000511651
-6 *216:9 0.0003696
-7 *836:D *217:9 0
-8 *836:D *220:9 4.30017e-06
-9 *216:9 *217:9 2.65667e-05
-10 *216:15 *656:A2_N 9.07495e-05
-11 *216:15 *217:9 2.41483e-05
-12 *90:179 *836:D 0.000117613
-13 *126:11 *836:D 5.04829e-06
+1 *734:A 0.000180167
+2 *731:A1 1.34132e-05
+3 *649:A 0
+4 *652:A 0
+5 *638:A0 0.000298722
+6 *725:B 2.23854e-05
+7 *835:Q 0
+8 *218:26 0.000443144
+9 *218:21 0.000239752
+10 *218:11 0.000231223
+11 *218:8 0.00038575
+12 *218:4 0.000438996
+13 *638:A0 *638:A1 0.00027095
+14 *638:A0 *649:B 1.02504e-05
+15 *638:A0 *223:17 0.000125724
+16 *731:A1 *333:50 3.17163e-05
+17 *734:A *811:B 3.69047e-06
+18 *734:A *812:B 1.97381e-05
+19 *734:A *333:41 2.34204e-05
+20 *218:8 *811:B 3.32631e-05
+21 *218:8 *333:41 4.35131e-05
+22 *218:8 *333:50 0.000168067
+23 *218:11 *219:18 1.82549e-05
+24 *218:21 *219:18 4.14279e-05
+25 *218:21 *219:23 5.62995e-05
+26 *218:26 *649:B 0.000216771
+27 *218:26 *219:23 2.89114e-05
+28 *405:DIODE *638:A0 0.000186662
+29 *725:C *218:11 5.72784e-05
+30 *725:C *218:21 1.33343e-05
+31 *731:A2 *218:11 5.52302e-05
+32 *732:A *218:11 0.000178847
+33 *736:A *638:A0 0.000178092
+34 *736:C *734:A 0.000150618
+35 *834:D *638:A0 0
+36 *834:D *218:8 0
+37 *5:88 *731:A1 4.90803e-05
+38 *5:88 *218:8 0.000288557
+39 *5:105 *734:A 1.34703e-05
+40 *168:5 *218:11 5.52238e-05
+41 *168:8 *218:26 0
+42 *217:64 *638:A0 0
+43 *217:64 *218:26 0
*RES
-1 *835:Q *216:9 24.1266
-2 *216:9 *216:15 3.80989
-3 *216:15 *836:D 27.5052
-4 *216:15 *656:A1_N 9.24915
-5 *216:9 *656:B1 9.24915
+1 *835:Q *218:4 9.3
+2 *218:4 *218:8 10.7321
+3 *218:8 *218:11 7.5
+4 *218:11 *725:B 9.72857
+5 *218:11 *218:21 2.5
+6 *218:21 *218:26 7.89286
+7 *218:26 *638:A0 21.1571
+8 *218:26 *652:A 13.8
+9 *218:21 *649:A 9.3
+10 *218:8 *731:A1 14.5321
+11 *218:4 *734:A 21.6036
*END
-*D_NET *217 0.000554581
+*D_NET *219 0.00466066
*CONN
-*I *656:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *656:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
+*I *725:A I *D sky130_fd_sc_hd__and3_2
+*I *647:A I *D sky130_fd_sc_hd__or2_2
+*I *637:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *646:A I *D sky130_fd_sc_hd__nor2_2
+*I *648:A I *D sky130_fd_sc_hd__and2_2
+*I *731:B1 I *D sky130_fd_sc_hd__a21oi_2
*I *836:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *656:B2 0
-2 *656:A2_N 6.68917e-05
-3 *836:Q 0.000139666
-4 *217:9 0.000206558
-5 *836:D *217:9 0
-6 *216:9 *217:9 2.65667e-05
-7 *216:15 *656:A2_N 9.07495e-05
-8 *216:15 *217:9 2.41483e-05
+1 *725:A 2.23854e-05
+2 *647:A 0.000221139
+3 *637:A0 0
+4 *646:A 5.75355e-05
+5 *648:A 9.16301e-05
+6 *731:B1 2.27742e-05
+7 *836:Q 8.71685e-05
+8 *219:37 9.22714e-05
+9 *219:34 0.000384421
+10 *219:23 0.000409408
+11 *219:18 0.000629296
+12 *219:8 0.000527621
+13 *647:A *647:B 0.000340028
+14 *647:A *648:B 4.44838e-05
+15 *731:B1 *333:50 4.58096e-05
+16 *219:8 *333:50 3.48235e-05
+17 *219:8 *333:55 5.39629e-06
+18 *219:34 *648:B 0.00021214
+19 *654:B1 *648:A 7.77652e-05
+20 *660:A *646:A 0.000223675
+21 *660:A *219:34 5.1937e-05
+22 *660:A *219:37 0.000139331
+23 *662:A *647:A 0
+24 *725:C *725:A 0
+25 *725:C *219:18 0.000185643
+26 *731:A2 *219:18 1.56e-05
+27 *732:A *219:18 1.1152e-05
+28 *732:B *219:18 1.72894e-05
+29 *851:CLK *647:A 3.29421e-05
+30 *851:D *647:A 7.74068e-05
+31 *5:604 *646:A 8.22448e-05
+32 *5:604 *219:37 6.57815e-05
+33 *88:39 *219:23 0
+34 *88:39 *219:34 0
+35 *88:65 *647:A 3.8663e-05
+36 *88:65 *219:34 2.02339e-05
+37 *88:77 *647:A 5.24684e-06
+38 *129:17 *647:A 4.884e-05
+39 *139:19 *646:A 2.42662e-05
+40 *168:8 *219:23 0
+41 *168:8 *219:34 0
+42 *171:10 *731:B1 2.58997e-05
+43 *171:10 *219:8 3.69697e-05
+44 *171:12 *731:B1 1.99099e-05
+45 *216:46 *647:A 8.66324e-05
+46 *218:11 *219:18 1.82549e-05
+47 *218:21 *219:18 4.14279e-05
+48 *218:21 *219:23 5.62995e-05
+49 *218:26 *219:23 2.89114e-05
*RES
-1 *836:Q *217:9 21.7744
-2 *217:9 *656:A2_N 11.6605
-3 *217:9 *656:B2 9.24915
+1 *836:Q *219:8 15.3714
+2 *219:8 *731:B1 14.8357
+3 *219:8 *219:18 9.96429
+4 *219:18 *219:23 7.19643
+5 *219:23 *648:A 15.5857
+6 *219:23 *219:34 3.16071
+7 *219:34 *219:37 5.85714
+8 *219:37 *646:A 11.4786
+9 *219:37 *637:A0 9.3
+10 *219:34 *647:A 20.4429
+11 *219:18 *725:A 9.72857
*END
-*D_NET *218 0.00287759
+*D_NET *220 0.00546725
*CONN
-*I *666:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *704:D I *D sky130_fd_sc_hd__and4_2
-*I *667:A I *D sky130_fd_sc_hd__or2_2
+*I *726:A I *D sky130_fd_sc_hd__and2_2
+*I *636:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *643:A I *D sky130_fd_sc_hd__nor2_2
+*I *645:A I *D sky130_fd_sc_hd__and2_2
+*I *729:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *837:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *726:A 9.13864e-05
+2 *636:A0 0
+3 *643:A 0.000110608
+4 *645:A 0.000172083
+5 *729:A1 1.75165e-05
+6 *837:Q 0.000194414
+7 *220:36 0.000896038
+8 *220:20 0.00112867
+9 *220:19 0.000422504
+10 *220:8 0.000371886
+11 *643:A *643:B 0.000227532
+12 *220:8 *814:B 2.24718e-05
+13 *220:20 *727:A1 5.52238e-05
+14 *220:36 *643:B 1.02936e-05
+15 *220:36 *645:B 0
+16 *220:36 *225:8 0
+17 *220:36 *225:13 1.01817e-05
+18 *662:A *220:36 0
+19 *726:B *220:19 0.000301728
+20 *727:A2 *220:20 4.13595e-05
+21 *729:A2 *729:A1 1.34e-05
+22 *729:B1 *220:8 5.54817e-06
+23 *729:B1 *220:19 7.10698e-05
+24 *837:RESET_B *220:8 0.000141941
+25 *838:CLK *643:A 3.45371e-05
+26 *838:CLK *220:36 9.85893e-05
+27 *838:D *220:36 0.000205366
+28 *852:D *643:A 3.18676e-05
+29 *88:77 *220:19 0
+30 *88:77 *220:20 1.40034e-05
+31 *88:82 *645:A 0.00012389
+32 *88:82 *220:20 3.69047e-06
+33 *168:8 *220:8 0.000124749
+34 *168:8 *220:19 5.5764e-05
+35 *169:14 *220:19 0.000148911
+36 *216:46 *220:36 0.000320024
+*RES
+1 *837:Q *220:8 17.2107
+2 *220:8 *729:A1 14.0768
+3 *220:8 *220:19 9.17857
+4 *220:19 *220:20 2.58929
+5 *220:20 *645:A 12.7286
+6 *220:20 *220:36 21.0179
+7 *220:36 *643:A 12.2107
+8 *220:36 *636:A0 9.3
+9 *220:19 *726:A 10.675
+*END
+
+*D_NET *221 0.00606094
+*CONN
+*I *640:A I *D sky130_fd_sc_hd__nand2_2
+*I *641:A I *D sky130_fd_sc_hd__or2_2
+*I *635:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *727:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *730:A I *D sky130_fd_sc_hd__nand2_2
+*I *728:A_N I *D sky130_fd_sc_hd__nand2b_2
+*I *838:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *640:A 0
+2 *641:A 2.23854e-05
+3 *635:A0 0.000269414
+4 *727:A1 1.1624e-05
+5 *730:A 0.000179683
+6 *728:A_N 0.000106852
+7 *838:Q 0
+8 *221:55 0.00090294
+9 *221:43 0.00114509
+10 *221:14 0.000342706
+11 *221:10 0.000284857
+12 *221:5 0.000751013
+13 *635:A0 *635:A1 0.000312203
+14 *221:10 *333:219 0
+15 *221:10 *333:235 0
+16 *221:43 *640:B 1.34907e-05
+17 *221:43 *333:235 3.68898e-05
+18 *221:43 *333:245 5.52238e-05
+19 *221:55 *640:B 0.000183791
+20 *221:55 *641:B 3.22243e-05
+21 *221:55 *830:B 7.6644e-05
+22 *221:55 *226:19 1.21859e-05
+23 *413:DIODE *221:43 8.76257e-05
+24 *642:B *635:A0 5.52302e-05
+25 *727:A2 *727:A1 5.52238e-05
+26 *730:B *730:A 0.00018077
+27 *822:A *221:10 0
+28 *822:A *221:43 0
+29 *838:RESET_B *221:10 0
+30 *838:RESET_B *221:43 5.33005e-05
+31 *4:8 *635:A0 0.000100831
+32 *5:15 *635:A0 4.58976e-05
+33 *5:15 *221:55 9.47028e-05
+34 *5:688 *221:43 5.71472e-05
+35 *32:27 *635:A0 3.0285e-05
+36 *88:77 *221:10 3.67452e-05
+37 *88:77 *221:14 7.83462e-05
+38 *90:15 *635:A0 8.68409e-05
+39 *90:15 *221:55 1.66568e-05
+40 *97:7 *728:A_N 0
+41 *129:17 *728:A_N 4.88515e-05
+42 *129:17 *730:A 5.74225e-05
+43 *169:14 *221:10 3.17148e-05
+44 *169:14 *221:14 0.000148911
+45 *216:46 *221:10 0
+46 *220:20 *727:A1 5.52238e-05
+*RES
+1 *838:Q *221:5 13.8
+2 *221:5 *221:10 4.53571
+3 *221:10 *221:14 6.44643
+4 *221:14 *728:A_N 11.0857
+5 *221:14 *730:A 12.7286
+6 *221:10 *727:A1 14.3357
+7 *221:5 *221:43 14.4643
+8 *221:43 *221:55 18.125
+9 *221:55 *635:A0 24.4071
+10 *221:55 *641:A 9.72857
+11 *221:43 *640:A 9.3
+*END
+
+*D_NET *222 0.00333912
+*CONN
+*I *639:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *650:B I *D sky130_fd_sc_hd__and2_2
+*I *672:B I *D sky130_fd_sc_hd__or2_2
+*I *651:B I *D sky130_fd_sc_hd__nand2_2
*I *849:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *666:B1 0
-2 *704:D 0.000182145
-3 *667:A 0.000565928
-4 *849:Q 0
-5 *218:19 0.000329724
-6 *218:4 0.000713507
-7 *704:D *665:A1 6.50727e-05
-8 *704:D *665:B1 6.50727e-05
-9 *218:19 *666:A1 3.14978e-05
-10 *666:A2 *218:19 0.000246924
-11 *666:B2 *704:D 0.00017046
-12 *667:B *667:A 0.000175485
-13 *29:8 *667:A 0
-14 *29:8 *704:D 0.000172165
-15 *90:134 *667:A 4.82966e-05
-16 *90:142 *667:A 8.07303e-05
-17 *90:167 *218:19 0
-18 *126:20 *667:A 3.67528e-06
-19 *126:25 *667:A 1.82832e-05
-20 *127:129 *704:D 8.62625e-06
+1 *639:A1 0
+2 *650:B 5.5316e-05
+3 *672:B 0.00019443
+4 *651:B 1.40568e-05
+5 *849:Q 0.000510921
+6 *222:21 0.000328512
+7 *222:17 0.000136466
+8 *222:10 0.000582678
+9 *405:DIODE *672:B 0
+10 *639:S *222:21 3.18676e-05
+11 *650:A *650:B 7.50713e-05
+12 *650:A *222:21 0
+13 *651:A *651:B 5.52238e-05
+14 *651:A *222:17 0.000169359
+15 *651:A *222:21 1.04232e-05
+16 *671:B *222:10 0
+17 *826:A *651:B 2.89016e-05
+18 *826:A *222:17 0.000117553
+19 *826:A *222:21 1.39726e-05
+20 *827:A *672:B 7.30592e-05
+21 *849:RESET_B *222:10 9.23759e-05
+22 *854:A *672:B 0.000103266
+23 *4:8 *222:10 0.000121573
+24 *5:613 *672:B 3.0285e-05
+25 *11:8 *672:B 9.64979e-05
+26 *39:10 *672:B 3.69047e-06
+27 *216:144 *650:B 1.21258e-05
+28 *216:144 *672:B 0.000148903
+29 *217:13 *650:B 0.000124521
+30 *217:13 *222:21 0.000181796
+31 *217:15 *222:17 1.38323e-05
+32 *217:15 *222:21 1.24368e-05
*RES
-1 *849:Q *218:4 9.24915
-2 *218:4 *667:A 29.4208
-3 *218:4 *218:19 4.62973
-4 *218:19 *704:D 25.7876
-5 *218:19 *666:B1 9.24915
+1 *849:Q *222:10 24.9964
+2 *222:10 *651:B 9.83571
+3 *222:10 *222:17 2.17857
+4 *222:17 *222:21 2.19643
+5 *222:21 *672:B 23.6393
+6 *222:21 *650:B 11.0857
+7 *222:17 *639:A1 9.3
*END
-*D_NET *219 0.00245493
+*D_NET *223 0.00164907
*CONN
-*I *704:A I *D sky130_fd_sc_hd__and4_2
-*I *665:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *666:A1 I *D sky130_fd_sc_hd__a22o_2
+*I *649:B I *D sky130_fd_sc_hd__and2_2
+*I *652:B I *D sky130_fd_sc_hd__xor2_2
+*I *638:A1 I *D sky130_fd_sc_hd__mux2_1
*I *850:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *704:A 2.48228e-05
-2 *665:A1 0.000213143
-3 *666:A1 0.000199794
-4 *850:Q 0.000222153
-5 *219:19 0.000383337
-6 *219:7 0.000567318
-7 *665:A1 *665:B1 4.98561e-05
-8 *665:A1 *220:9 1.00846e-05
-9 *704:A *220:9 1.43983e-05
-10 *666:B2 *666:A1 9.2346e-06
-11 *666:B2 *219:19 0.000108726
-12 *704:B *665:A1 6.50727e-05
-13 *704:D *665:A1 6.50727e-05
-14 *834:RESET_B *666:A1 3.20069e-06
-15 *850:RESET_B *666:A1 7.86847e-05
-16 *126:11 *219:7 7.88559e-05
-17 *127:116 *665:A1 0.000107041
-18 *127:129 *665:A1 0.000222631
-19 *204:213 *666:A1 0
-20 *204:213 *219:19 0
-21 *218:19 *666:A1 3.14978e-05
+1 *649:B 0.000102816
+2 *652:B 0
+3 *638:A1 0.000148765
+4 *850:Q 9.31148e-05
+5 *223:17 0.00017081
+6 *223:7 0.000309874
+7 *405:DIODE *638:A1 0.00010096
+8 *638:A0 *638:A1 0.00027095
+9 *638:A0 *649:B 1.02504e-05
+10 *638:A0 *223:17 0.000125724
+11 *736:B *638:A1 4.18895e-05
+12 *850:RESET_B *638:A1 0
+13 *88:39 *638:A1 5.71472e-05
+14 *88:39 *649:B 0
+15 *88:39 *223:17 0
+16 *218:26 *649:B 0.000216771
*RES
-1 *850:Q *219:7 17.2456
-2 *219:7 *666:A1 18.523
-3 *219:7 *219:19 8.82351
-4 *219:19 *665:A1 16.0973
-5 *219:19 *704:A 9.97254
+1 *850:Q *223:7 14.7464
+2 *223:7 *638:A1 18.2107
+3 *223:7 *223:17 1.64286
+4 *223:17 *652:B 4.5
+5 *223:17 *649:B 16.6571
*END
-*D_NET *220 0.00204244
+*D_NET *224 0.00294085
*CONN
-*I *704:C I *D sky130_fd_sc_hd__and4_2
-*I *665:B1 I *D sky130_fd_sc_hd__a22o_2
+*I *647:B I *D sky130_fd_sc_hd__or2_2
+*I *648:B I *D sky130_fd_sc_hd__and2_2
+*I *637:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *646:B I *D sky130_fd_sc_hd__nor2_2
*I *851:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *704:C 0
-2 *665:B1 0.000202872
-3 *851:Q 0.000475899
-4 *220:9 0.000678771
-5 *665:A1 *665:B1 4.98561e-05
-6 *665:A1 *220:9 1.00846e-05
-7 *704:A *220:9 1.43983e-05
-8 *704:B *665:B1 1.41976e-05
-9 *704:B *220:9 2.15348e-05
-10 *704:D *665:B1 6.50727e-05
-11 *712:C1 *665:B1 0.000111708
-12 *836:D *220:9 4.30017e-06
-13 *836:CLK *220:9 2.85274e-05
-14 *851:RESET_B *220:9 7.50872e-05
-15 *90:179 *220:9 0
-16 *127:116 *665:B1 5.61116e-05
-17 *127:129 *665:B1 0.000234021
+1 *647:B 0.000173552
+2 *648:B 0.000166911
+3 *637:A1 0
+4 *646:B 0
+5 *851:Q 0.000333582
+6 *224:28 0.00043252
+7 *224:20 0.000314179
+8 *224:8 0.000555703
+9 *647:A *647:B 0.000340028
+10 *647:A *648:B 4.44838e-05
+11 *660:A *224:20 0.000180207
+12 *660:A *224:28 0.000128706
+13 *851:RESET_B *224:8 3.85567e-05
+14 *5:604 *648:B 0
+15 *5:604 *224:20 2.02794e-05
+16 *88:39 *648:B 0
+17 *219:34 *648:B 0.00021214
*RES
-1 *851:Q *220:9 25.9353
-2 *220:9 *665:B1 15.5427
-3 *220:9 *704:C 9.24915
+1 *851:Q *224:8 18.4429
+2 *224:8 *646:B 13.8
+3 *224:8 *224:20 7.94643
+4 *224:20 *637:A1 9.3
+5 *224:20 *224:28 5.85714
+6 *224:28 *648:B 17.2643
+7 *224:28 *647:B 18.175
*END
-*D_NET *221 0.00624236
+*D_NET *225 0.00236279
*CONN
-*I *705:B I *D sky130_fd_sc_hd__or2_2
-*I *778:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *737:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *652:A I *D sky130_fd_sc_hd__inv_2
-*I *718:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *741:B1 I *D sky130_fd_sc_hd__a32o_2
-*I *844:Q O *D sky130_fd_sc_hd__dfrtp_2
+*I *645:B I *D sky130_fd_sc_hd__and2_2
+*I *636:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *643:B I *D sky130_fd_sc_hd__nor2_2
+*I *852:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *705:B 9.55995e-05
-2 *778:A2 0.000253173
-3 *737:A0 4.45933e-05
-4 *652:A 7.51092e-05
-5 *718:A1 0
-6 *741:B1 5.90433e-06
-7 *844:Q 0.000548964
-8 *221:55 0.000612957
-9 *221:46 0.000376928
-10 *221:33 0.000312568
-11 *221:23 0.00026528
-12 *221:12 0.000650839
-13 *705:B *780:A 1.05504e-05
-14 *778:A2 *222:50 8.47153e-05
-15 *778:A2 *225:187 2.88179e-05
-16 *778:A2 *225:206 0
-17 *221:55 *873:A 4.86366e-05
-18 *221:55 *225:33 2.50181e-05
-19 *221:55 *225:187 1.74552e-05
-20 *706:A *778:A2 6.34599e-05
-21 *706:A *221:55 2.25867e-05
-22 *718:A2 *221:33 0
-23 *718:B1 *221:33 8.90486e-05
-24 *718:B1 *221:46 0
-25 *718:B2 *221:23 5.22654e-06
-26 *718:B2 *221:33 6.08467e-05
-27 *736:B *741:B1 2.43387e-05
-28 *737:A1 *221:33 0.000122098
-29 *737:S *652:A 3.82228e-05
-30 *738:B1 *221:23 7.14746e-05
-31 *738:B1 *221:33 1.00981e-05
-32 *738:C1 *221:33 0.000113374
-33 *743:B1 *221:12 0.000189542
-34 *772:A *778:A2 2.26567e-05
-35 *772:B *778:A2 6.08467e-05
-36 *773:A3 *778:A2 4.79289e-05
-37 *778:A1 *778:A2 1.65872e-05
-38 *780:C *778:A2 9.45864e-05
-39 *809:A0 *652:A 0.000373061
-40 *809:A0 *737:A0 6.08467e-05
-41 *848:CLK *221:12 2.41274e-06
-42 *29:8 *221:12 3.54287e-05
-43 *29:8 *221:23 3.61659e-05
-44 *29:8 *221:33 2.08194e-05
-45 *29:8 *221:46 3.51288e-06
-46 *29:8 *221:55 0
-47 *121:8 *778:A2 0.00011191
-48 *121:13 *778:A2 1.41976e-05
-49 *122:7 *652:A 6.44576e-05
-50 *122:8 *221:33 1.55462e-05
-51 *122:38 *221:33 9.22013e-06
-52 *122:38 *221:46 4.3937e-05
-53 *122:38 *221:55 0.000362153
-54 *157:73 *221:33 0.000122972
-55 *157:98 *221:33 0.000193069
-56 *164:17 *778:A2 2.47663e-05
-57 *170:63 *221:12 0.000139968
-58 *170:63 *221:23 4.33979e-05
-59 *173:11 *741:B1 2.43387e-05
-60 *173:26 *221:23 2.19131e-05
-61 *204:206 *221:12 8.2383e-06
+1 *645:B 0.000145285
+2 *636:A1 0
+3 *643:B 0.000168303
+4 *852:Q 0.000353432
+5 *225:13 0.000458299
+6 *225:8 0.000788713
+7 *225:8 *941:A 0
+8 *635:S *225:8 0
+9 *643:A *643:B 0.000227532
+10 *662:A *645:B 3.34295e-05
+11 *838:CLK *643:B 1.33343e-05
+12 *838:CLK *225:8 0
+13 *838:CLK *225:13 5.81933e-05
+14 *838:D *645:B 0
+15 *838:D *225:8 0
+16 *838:D *225:13 6.05161e-06
+17 *852:D *643:B 3.48705e-05
+18 *11:8 *645:B 0
+19 *90:15 *225:8 5.48674e-05
+20 *220:36 *643:B 1.02936e-05
+21 *220:36 *645:B 0
+22 *220:36 *225:8 0
+23 *220:36 *225:13 1.01817e-05
*RES
-1 *844:Q *221:12 24.1395
-2 *221:12 *741:B1 14.0385
-3 *221:12 *221:23 7.57775
-4 *221:23 *718:A1 9.24915
-5 *221:23 *221:33 12.0681
-6 *221:33 *652:A 17.8002
-7 *221:33 *221:46 1.90527
-8 *221:46 *737:A0 14.4725
-9 *221:46 *221:55 8.06078
-10 *221:55 *778:A2 22.9486
-11 *221:55 *705:B 15.778
+1 *852:Q *225:8 19.3179
+2 *225:8 *225:13 8.23214
+3 *225:13 *643:B 13.1393
+4 *225:13 *636:A1 9.3
+5 *225:8 *645:B 16.2821
*END
-*D_NET *222 0.0156958
+*D_NET *226 0.00301535
*CONN
-*I *739:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *739:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *771:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *774:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *769:A3 I *D sky130_fd_sc_hd__a31o_2
-*I *757:A I *D sky130_fd_sc_hd__or2_2
-*I *760:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *764:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *781:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *651:A I *D sky130_fd_sc_hd__inv_2
-*I *705:A I *D sky130_fd_sc_hd__or2_2
-*I *845:Q O *D sky130_fd_sc_hd__dfrtp_2
+*I *641:B I *D sky130_fd_sc_hd__or2_2
+*I *635:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *640:B I *D sky130_fd_sc_hd__nand2_2
+*I *853:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *739:A1_N 0
-2 *739:B1 0
-3 *771:A1 0
-4 *774:A1 0
-5 *769:A3 0.000378695
-6 *757:A 0
-7 *760:A3 5.87549e-05
-8 *764:A4 5.93685e-05
-9 *781:A3 0.000113709
-10 *651:A 7.01605e-05
-11 *705:A 0.000114535
-12 *845:Q 0.000588445
-13 *222:90 0.000187972
-14 *222:79 0.000327452
-15 *222:77 0.00138472
-16 *222:61 0.00111297
-17 *222:59 0.000475944
-18 *222:50 0.000640712
-19 *222:32 0.000647857
-20 *222:18 0.000965078
-21 *222:13 0.000618973
-22 *222:11 0.000637697
-23 *760:A3 *225:96 4.82966e-05
-24 *764:A4 *764:A2 0.000160617
-25 *764:A4 *764:A3 0.000111708
-26 *769:A3 *725:A1 1.58897e-05
-27 *769:A3 *764:A2 1.44467e-05
-28 *769:A3 *223:23 9.95922e-06
-29 *769:A3 *223:28 8.70446e-05
-30 *769:A3 *223:35 0.000189419
-31 *769:A3 *223:64 0.000164911
-32 *769:A3 *225:54 4.43378e-05
-33 *769:A3 *225:69 8.75997e-05
-34 *769:A3 *225:135 4.57241e-06
-35 *222:18 *872:A 3.98412e-05
-36 *222:18 *874:A 0
-37 *222:18 *250:20 2.21161e-05
-38 *222:18 *252:6 2.69701e-05
-39 *222:18 *252:8 5.16418e-05
-40 *222:32 *866:TE 1.61631e-05
-41 *222:50 *243:20 0
-42 *222:61 *243:20 4.58897e-06
-43 *222:79 *763:A3 1.41853e-05
-44 *222:79 *764:A2 0.00050655
-45 *222:90 *225:96 4.70005e-05
-46 *344:DIODE *222:11 1.85917e-05
-47 *344:DIODE *222:13 6.54943e-05
-48 *706:A *222:18 0
-49 *706:A *222:32 0
-50 *709:A *651:A 1.03434e-05
-51 *718:B1 *222:18 0
-52 *739:A2_N *222:13 0.000119957
-53 *739:A2_N *222:18 5.56461e-05
-54 *739:B2 *222:13 6.92705e-05
-55 *739:B2 *222:18 0.00011818
-56 *760:A2 *760:A3 2.41483e-05
-57 *760:B1 *760:A3 0.00021569
-58 *763:A1 *222:77 0
-59 *763:A1 *222:79 3.57683e-05
-60 *763:B1 *222:79 1.65872e-05
-61 *764:A1 *764:A4 6.92705e-05
-62 *764:A1 *222:79 0.00030153
-63 *771:A2 *222:50 3.01683e-06
-64 *772:A *222:32 0
-65 *772:A *222:50 0.00019108
-66 *773:A3 *222:50 1.35282e-05
-67 *773:A3 *222:59 5.41227e-05
-68 *773:B1 *222:50 2.534e-05
-69 *774:A4 *222:59 8.62625e-06
-70 *775:D *222:77 0.000271058
-71 *776:B1 *781:A3 1.00937e-05
-72 *778:A2 *222:50 8.47153e-05
-73 *781:B1 *781:A3 0.000364342
-74 *792:A *222:11 2.29125e-05
-75 *793:A *222:11 1.00617e-05
-76 *815:A0 *222:50 6.50586e-05
-77 *815:A0 *222:59 2.22198e-05
-78 *829:A0 *222:77 3.71142e-05
-79 *829:A1 *222:77 0.000114523
-80 *831:S *769:A3 2.14842e-06
-81 *845:D *222:11 9.13202e-05
-82 *5:204 *222:77 3.83172e-05
-83 *5:213 *222:77 0.000158371
-84 *5:213 *222:79 6.50586e-05
-85 *5:329 *222:50 8.62625e-06
-86 *5:336 *222:18 0
-87 *5:336 *222:32 0
-88 *5:351 *769:A3 2.58616e-05
-89 *5:380 *222:18 0
-90 *15:5 *222:90 1.11594e-05
-91 *21:16 *222:50 4.82966e-05
-92 *21:16 *222:59 0.000393863
-93 *21:22 *222:59 7.77309e-06
-94 *43:8 *222:90 7.87416e-05
-95 *43:27 *764:A4 3.83336e-05
-96 *44:10 *769:A3 0.000175689
-97 *44:27 *760:A3 1.64789e-05
-98 *44:31 *760:A3 3.01723e-05
-99 *44:40 *222:90 8.62625e-06
-100 *55:22 *222:59 0
-101 *118:49 *222:77 0.000501057
-102 *118:93 *222:59 2.22198e-05
-103 *118:93 *222:61 1.12605e-05
-104 *119:25 *769:A3 0
-105 *121:8 *651:A 1.43848e-05
-106 *122:8 *222:18 0
-107 *122:38 *222:18 0
-108 *122:47 *781:A3 0.000170577
-109 *122:47 *222:61 0.000166385
-110 *160:35 *781:A3 0.000170577
-111 *160:35 *222:61 0.00022094
-112 *164:7 *705:A 9.19886e-06
-113 *164:20 *651:A 6.73186e-05
-114 *164:28 *781:A3 0.000421676
-115 *201:11 *222:77 3.84937e-05
-116 *201:63 *760:A3 0.000213725
+1 *641:B 4.25872e-05
+2 *635:A1 0.0003972
+3 *640:B 0.000177222
+4 *853:Q 0.000170498
+5 *226:19 0.000464956
+6 *226:8 0.000372889
+7 *641:B *830:B 9.11365e-06
+8 *226:8 *333:246 3.64887e-05
+9 *226:19 *830:B 1.09232e-05
+10 *226:19 *333:246 1.6959e-05
+11 *635:A0 *635:A1 0.000312203
+12 *32:27 *635:A1 2.04825e-05
+13 *32:27 *641:B 4.02415e-05
+14 *32:27 *226:8 6.01582e-05
+15 *32:27 *226:19 3.55407e-05
+16 *90:15 *635:A1 1.79326e-05
+17 *90:15 *640:B 0.000446434
+18 *216:13 *226:8 0.00014183
+19 *221:43 *640:B 1.34907e-05
+20 *221:55 *640:B 0.000183791
+21 *221:55 *641:B 3.22243e-05
+22 *221:55 *226:19 1.21859e-05
*RES
-1 *845:Q *222:11 28.2247
-2 *222:11 *222:13 3.31557
-3 *222:13 *222:18 18.8218
-4 *222:18 *705:A 16.1364
-5 *222:18 *222:32 10.9589
-6 *222:32 *651:A 11.6605
-7 *222:32 *222:50 18.3898
-8 *222:50 *222:59 20.1086
-9 *222:59 *222:61 4.73876
-10 *222:61 *781:A3 21.4325
-11 *222:61 *222:77 21.2104
-12 *222:77 *222:79 6.82404
-13 *222:79 *764:A4 12.191
-14 *222:79 *222:90 12.493
-15 *222:90 *760:A3 13.3243
-16 *222:90 *757:A 9.24915
-17 *222:77 *769:A3 30.8363
-18 *222:59 *774:A1 13.7491
-19 *222:50 *771:A1 9.24915
-20 *222:13 *739:B1 9.24915
-21 *222:11 *739:A1_N 9.24915
+1 *853:Q *226:8 16.8
+2 *226:8 *640:B 19.6929
+3 *226:8 *226:19 1.1875
+4 *226:19 *635:A1 19.2554
+5 *226:19 *641:B 14.9875
*END
-*D_NET *223 0.0114102
+*D_NET *227 0.00051401
*CONN
-*I *781:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *720:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *650:A I *D sky130_fd_sc_hd__inv_2
-*I *707:B I *D sky130_fd_sc_hd__or2_2
-*I *769:A2 I *D sky130_fd_sc_hd__a31o_2
-*I *764:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *763:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *775:B I *D sky130_fd_sc_hd__or4_2
-*I *765:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *767:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *768:A2 I *D sky130_fd_sc_hd__a31o_2
-*I *735:B1 I *D sky130_fd_sc_hd__a32o_2
+*I *847:D I *D sky130_fd_sc_hd__dfrtp_2
*I *846:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *781:A2 0.000236341
-2 *720:A1 0.000245815
-3 *650:A 0
-4 *707:B 0
-5 *769:A2 0
-6 *764:A2 0.000271098
-7 *763:A2 0
-8 *775:B 0.000263027
-9 *765:A2 0
-10 *767:A2 0.000144563
-11 *768:A2 0
-12 *735:B1 0
-13 *846:Q 0.000420358
-14 *223:98 0.000495331
-15 *223:90 7.23604e-05
-16 *223:84 0.000121486
-17 *223:64 0.000411363
-18 *223:38 0.000357901
-19 *223:37 0.000476365
-20 *223:35 0.000235087
-21 *223:28 0.000296544
-22 *223:23 0.00023802
-23 *223:22 0.000281671
-24 *223:11 0.00060343
-25 *764:A2 *763:A3 6.08467e-05
-26 *767:A2 *250:9 0.000272947
-27 *775:B *258:9 0.0002646
-28 *223:22 *725:A1 0.000379516
-29 *223:22 *224:10 0.000213422
-30 *223:22 *224:21 0.000167593
-31 *223:23 *707:A 0
-32 *223:38 *258:9 0.000300565
-33 *223:84 *707:A 7.16893e-05
-34 *223:84 *249:23 4.87439e-05
-35 *223:90 *707:A 5.28741e-05
-36 *223:90 *249:23 0.000108465
-37 *223:98 *249:23 1.88422e-05
-38 *708:A *720:A1 0.000196819
-39 *708:A *781:A2 2.43314e-05
-40 *720:A2 *720:A1 1.67329e-05
-41 *720:B1 *720:A1 1.47033e-05
-42 *723:B2 *720:A1 2.58696e-05
-43 *731:A *223:11 0.000275256
-44 *733:A2 *223:11 3.24105e-05
-45 *733:B1 *223:11 0.000113374
-46 *735:A1 *223:11 1.96466e-05
-47 *735:A1 *223:22 1.20637e-05
-48 *735:A3 *720:A1 3.72206e-05
-49 *735:B2 *223:11 6.08467e-05
-50 *756:B *781:A2 0.000288851
-51 *763:A4 *764:A2 6.08467e-05
-52 *764:A4 *764:A2 0.000160617
-53 *767:B1 *767:A2 2.692e-05
-54 *769:A3 *764:A2 1.44467e-05
-55 *769:A3 *223:23 9.95922e-06
-56 *769:A3 *223:28 8.70446e-05
-57 *769:A3 *223:35 0.000189419
-58 *769:A3 *223:64 0.000164911
-59 *775:A *781:A2 0.000251285
-60 *776:B1 *781:A2 7.98425e-06
-61 *779:B *720:A1 6.65341e-05
-62 *779:B *781:A2 7.71831e-05
-63 *781:B1 *781:A2 1.64789e-05
-64 *831:A0 *223:28 2.58616e-05
-65 *831:A1 *223:28 2.83365e-05
-66 *831:A1 *223:35 4.51118e-05
-67 *847:D *223:11 3.77804e-05
-68 *5:213 *764:A2 8.62625e-06
-69 *5:213 *223:64 0
-70 *5:351 *223:28 3.6669e-06
-71 *5:351 *223:84 1.7883e-05
-72 *16:9 *764:A2 4.33979e-05
-73 *16:9 *223:35 2.652e-05
-74 *16:9 *223:64 0.000173617
-75 *44:10 *764:A2 2.53624e-06
-76 *119:8 *720:A1 3.42931e-05
-77 *119:22 *223:11 0.000337073
-78 *119:22 *223:22 6.10162e-05
-79 *119:25 *223:11 1.07248e-05
-80 *119:38 *767:A2 9.75356e-05
-81 *164:28 *781:A2 0.0002646
-82 *164:32 *775:B 6.29128e-05
-83 *166:5 *223:90 6.27782e-05
-84 *166:5 *223:98 6.27718e-05
-85 *185:7 *720:A1 0.000113968
-86 *222:79 *764:A2 0.00050655
+1 *847:D 0.0002035
+2 *846:Q 0.0002035
+3 *429:DIODE *847:D 4.93979e-05
+4 *216:94 *847:D 5.76121e-05
*RES
-1 *846:Q *223:11 28.4779
-2 *223:11 *735:B1 9.24915
-3 *223:11 *223:22 17.0742
-4 *223:22 *223:23 0.988641
-5 *223:23 *223:28 8.30395
-6 *223:28 *768:A2 13.7491
-7 *223:28 *223:35 3.493
-8 *223:35 *223:37 4.5
-9 *223:37 *223:38 3.49641
-10 *223:38 *767:A2 22.9988
-11 *223:38 *765:A2 9.24915
-12 *223:37 *775:B 13.8548
-13 *223:35 *223:64 5.22729
-14 *223:64 *763:A2 13.7491
-15 *223:64 *764:A2 22.1293
-16 *223:23 *769:A2 9.24915
-17 *223:22 *223:84 2.38721
-18 *223:84 *707:B 9.24915
-19 *223:84 *223:90 2.94181
-20 *223:90 *650:A 9.24915
-21 *223:90 *223:98 5.2234
-22 *223:98 *720:A1 21.4269
-23 *223:98 *781:A2 23.6641
+1 *846:Q *847:D 21.6179
*END
-*D_NET *224 0.00351172
+*D_NET *228 0.00221658
*CONN
-*I *721:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *758:A I *D sky130_fd_sc_hd__or2_2
-*I *768:A1 I *D sky130_fd_sc_hd__a31o_2
-*I *707:A I *D sky130_fd_sc_hd__or2_2
-*I *769:A1 I *D sky130_fd_sc_hd__a31o_2
-*I *649:A I *D sky130_fd_sc_hd__inv_2
+*I *633:A I *D sky130_fd_sc_hd__xnor2_2
+*I *634:A I *D sky130_fd_sc_hd__xor2_2
+*I *848:D I *D sky130_fd_sc_hd__dfrtp_2
*I *847:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *721:B1 5.39874e-05
-2 *758:A 2.52839e-05
-3 *768:A1 0.00016876
-4 *707:A 0.000195472
-5 *769:A1 0
-6 *649:A 6.20115e-05
-7 *847:Q 8.20133e-05
-8 *224:38 0.000293225
-9 *224:23 0.000195472
-10 *224:21 0.00026568
-11 *224:10 0.000515387
-12 *224:6 0.000422877
-13 *649:A *322:7 0.000114594
-14 *758:A *250:9 2.57986e-05
-15 *768:A1 *767:A3 0.000110458
-16 *768:A1 *250:9 1.96344e-05
-17 *224:6 *725:A1 8.20492e-06
-18 *224:10 *725:A1 7.20391e-05
-19 *224:21 *725:A1 4.31485e-06
-20 *224:21 *769:B1 7.13655e-06
-21 *224:21 *225:54 1.55642e-05
-22 *224:21 *249:23 2.02035e-05
-23 *224:38 *225:54 2.95167e-05
-24 *224:38 *225:69 3.20069e-06
-25 *720:B1 *224:10 0
-26 *723:A2 *224:6 1.77894e-05
-27 *730:B1 *224:10 9.32927e-05
-28 *779:B *224:21 0
-29 *779:B *224:38 0
-30 *119:8 *224:10 0
-31 *157:21 *721:B1 4.58907e-05
-32 *157:21 *224:6 7.50722e-05
-33 *157:29 *224:10 0
-34 *178:5 *721:B1 6.08467e-05
-35 *186:10 *649:A 2.41274e-06
-36 *223:22 *224:10 0.000213422
-37 *223:22 *224:21 0.000167593
-38 *223:23 *707:A 0
-39 *223:84 *707:A 7.16893e-05
-40 *223:90 *707:A 5.28741e-05
+1 *633:A 0.000223267
+2 *634:A 0
+3 *848:D 0.000232759
+4 *847:Q 0.000104245
+5 *228:15 0.000336714
+6 *228:6 0.000450451
+7 *633:A *633:B 0.000247808
+8 *848:D *229:8 1.92789e-05
+9 *848:D *333:95 8.07313e-05
+10 *228:15 *633:B 1.02504e-05
+11 *228:15 *229:8 0.000128154
+12 *421:DIODE *848:D 0.000382923
+13 *847:RESET_B *228:6 0
+14 *847:RESET_B *228:15 0
*RES
-1 *847:Q *224:6 15.9964
-2 *224:6 *224:10 7.96307
-3 *224:10 *649:A 15.5938
-4 *224:10 *224:21 4.32351
-5 *224:21 *224:23 4.5
-6 *224:23 *769:A1 9.24915
-7 *224:23 *707:A 12.7697
-8 *224:21 *224:38 6.332
-9 *224:38 *768:A1 12.2151
-10 *224:38 *758:A 9.97254
-11 *224:6 *721:B1 15.5817
+1 *847:Q *228:6 15.4429
+2 *228:6 *848:D 20.4964
+3 *228:6 *228:15 2.55357
+4 *228:15 *634:A 13.8
+5 *228:15 *633:A 18.55
*END
-*D_NET *225 0.0229961
+*D_NET *229 0.000890345
*CONN
-*I *807:S I *D sky130_fd_sc_hd__mux2_1
-*I *771:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *780:A I *D sky130_fd_sc_hd__and3_2
-*I *710:A I *D sky130_fd_sc_hd__or2_2
-*I *782:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *769:B1 I *D sky130_fd_sc_hd__a31o_2
-*I *759:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *763:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *756:A I *D sky130_fd_sc_hd__or2_2
-*I *765:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *762:A1 I *D sky130_fd_sc_hd__o21a_2
-*I *761:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *760:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *764:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *768:B1 I *D sky130_fd_sc_hd__a31o_2
-*I *767:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *725:A1 I *D sky130_fd_sc_hd__o22a_2
-*I *648:A I *D sky130_fd_sc_hd__inv_2
-*I *804:A I *D sky130_fd_sc_hd__buf_1
+*I *633:B I *D sky130_fd_sc_hd__xnor2_2
+*I *634:B I *D sky130_fd_sc_hd__xor2_2
*I *848:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *807:S 0.000187856
-2 *771:B1 2.14233e-05
-3 *780:A 0.000144755
-4 *710:A 3.29801e-05
-5 *782:B1 5.71866e-05
-6 *769:B1 1.65625e-05
-7 *759:A3 0.000387475
-8 *763:A3 0.000178089
-9 *756:A 0
-10 *765:A3 1.63938e-05
-11 *762:A1 0.000219124
-12 *761:A1 1.01716e-05
-13 *760:A1 0
-14 *764:A3 2.06838e-05
-15 *768:B1 0
-16 *767:A3 0.000194916
-17 *725:A1 0.000540031
-18 *648:A 3.93455e-05
-19 *804:A 0
-20 *848:Q 0.000303875
-21 *225:206 0.000530901
-22 *225:187 0.000638326
-23 *225:135 0.000677748
-24 *225:105 0.000380761
-25 *225:96 0.000467749
-26 *225:81 0.000566947
-27 *225:76 0.000460414
-28 *225:71 0.000214041
-29 *225:69 0.000266879
-30 *225:56 0.000194916
-31 *225:54 0.000241568
-32 *225:44 0.000787268
-33 *225:40 0.000907426
-34 *225:38 0.00088327
-35 *225:36 0.000302624
-36 *225:33 0.000471081
-37 *225:24 0.00129359
-38 *225:8 0.00143304
-39 *762:A1 *911:TE_B 7.73852e-05
-40 *762:A1 *265:13 4.24488e-05
-41 *762:A1 *286:13 0.000109421
-42 *767:A3 *250:9 0.000213902
-43 *225:24 *867:TE 1.41291e-05
-44 *225:24 *874:TE 0.000321985
-45 *225:24 *876:TE_B 0.00014366
-46 *225:24 *877:A 2.23259e-05
-47 *225:24 *247:7 6.01574e-05
-48 *225:33 *873:A 0.000122708
-49 *225:36 *250:20 0.000355926
-50 *225:38 *250:20 0.000145485
-51 *225:44 *249:23 0.000187405
-52 *225:69 *258:9 1.87469e-05
-53 *225:76 *258:9 2.97286e-05
-54 *225:81 *258:9 6.44576e-05
-55 *225:105 *911:TE_B 5.39463e-05
-56 *225:135 *258:9 5.54078e-05
-57 *343:DIODE *225:8 0.000273277
-58 *343:DIODE *225:24 0.000175802
-59 *385:DIODE *225:81 9.75356e-05
-60 *702:C *782:B1 1.91391e-05
-61 *705:B *780:A 1.05504e-05
-62 *724:A *725:A1 2.65667e-05
-63 *727:A1 *725:A1 0.000114518
-64 *730:A1 *725:A1 2.22198e-05
-65 *730:A2 *725:A1 0.000230407
-66 *732:C1 *725:A1 2.01653e-05
-67 *735:B2 *725:A1 4.20667e-05
-68 *759:B1 *759:A3 3.25765e-05
-69 *760:A2 *225:96 4.91225e-06
-70 *760:A3 *225:96 4.82966e-05
-71 *762:A2 *762:A1 0.000128033
-72 *764:A2 *763:A3 6.08467e-05
-73 *764:A4 *764:A3 0.000111708
-74 *766:B *759:A3 6.08467e-05
-75 *767:A1 *767:A3 0.000300363
-76 *768:A1 *767:A3 0.000110458
-77 *768:A3 *767:A3 0.000169041
-78 *769:A3 *725:A1 1.58897e-05
-79 *769:A3 *225:54 4.43378e-05
-80 *769:A3 *225:69 8.75997e-05
-81 *769:A3 *225:135 4.57241e-06
-82 *771:A2 *771:B1 2.21251e-05
-83 *775:D *225:40 0
-84 *778:A2 *225:187 2.88179e-05
-85 *778:A2 *225:206 0
-86 *779:B *225:69 0
-87 *780:C *780:A 2.15141e-05
-88 *780:C *225:206 1.1246e-05
-89 *781:B1 *780:A 0.000101262
-90 *781:B1 *225:206 0.00014533
-91 *782:A2 *782:B1 6.08467e-05
-92 *782:C1 *710:A 6.08467e-05
-93 *782:C1 *782:B1 1.47978e-05
-94 *807:A1 *807:S 0.000328289
-95 *807:A1 *225:206 1.07248e-05
-96 *808:A0 *225:81 6.92705e-05
-97 *809:A0 *780:A 1.03594e-05
-98 *809:A0 *225:33 7.5018e-05
-99 *809:A0 *225:187 2.80136e-05
-100 *813:A0 *225:206 1.9101e-05
-101 *822:A0 *762:A1 3.3239e-06
-102 *822:A0 *225:96 8.37812e-05
-103 *822:A0 *225:105 9.57557e-06
-104 *823:A0 *807:S 0.000330147
-105 *823:A0 *225:206 0.000137128
-106 *826:A0 *225:96 9.04224e-05
-107 *830:A0 *225:40 4.81992e-06
-108 *830:A0 *225:44 2.65832e-06
-109 *831:S *725:A1 0
-110 *5:213 *763:A3 0.000160617
-111 *5:222 *759:A3 7.77309e-06
-112 *5:339 *648:A 1.65872e-05
-113 *5:339 *225:24 2.90773e-05
-114 *5:350 *225:40 6.45852e-05
-115 *13:12 *761:A1 6.67095e-06
-116 *15:5 *762:A1 5.88052e-06
-117 *15:5 *225:81 5.05252e-05
-118 *15:5 *225:96 0.000130808
-119 *21:16 *771:B1 6.08467e-05
-120 *27:8 *225:8 0.000160073
-121 *28:10 *225:8 0.000195505
-122 *29:8 *225:33 2.95757e-05
-123 *29:11 *648:A 6.08467e-05
-124 *40:12 *225:206 2.82537e-05
-125 *40:27 *225:206 0.000280491
-126 *43:10 *225:81 0
-127 *43:27 *764:A3 0.000111708
-128 *44:10 *759:A3 0.000146646
-129 *44:10 *225:76 6.50727e-05
-130 *44:10 *225:135 0.000217587
-131 *44:13 *759:A3 0.000169343
-132 *44:27 *759:A3 7.92757e-06
-133 *118:7 *648:A 2.16355e-05
-134 *119:25 *725:A1 0
-135 *157:21 *725:A1 0.000142221
-136 *160:6 *710:A 1.5714e-05
-137 *164:32 *225:76 0.000353686
-138 *165:5 *710:A 6.27718e-05
-139 *165:5 *782:B1 1.41976e-05
-140 *166:32 *782:B1 1.47978e-05
-141 *166:38 *780:A 1.4091e-06
-142 *166:41 *225:206 0.000170577
-143 *166:52 *225:206 0.000110758
-144 *170:22 *725:A1 2.82583e-05
-145 *170:29 *725:A1 0
-146 *171:6 *725:A1 4.27003e-05
-147 *171:72 *725:A1 9.74251e-05
-148 *201:8 *225:69 2.4815e-05
-149 *201:8 *225:135 2.78316e-05
-150 *201:58 *759:A3 1.90218e-05
-151 *201:58 *225:135 4.3116e-06
-152 *201:63 *225:96 0.000111708
-153 *201:63 *225:105 1.44467e-05
-154 *201:76 *762:A1 2.19276e-05
-155 *201:76 *225:105 5.65074e-05
-156 *221:55 *225:33 2.50181e-05
-157 *221:55 *225:187 1.74552e-05
-158 *222:79 *763:A3 1.41853e-05
-159 *222:90 *225:96 4.70005e-05
-160 *223:22 *725:A1 0.000379516
-161 *224:6 *725:A1 8.20492e-06
-162 *224:10 *725:A1 7.20391e-05
-163 *224:21 *725:A1 4.31485e-06
-164 *224:21 *769:B1 7.13655e-06
-165 *224:21 *225:54 1.55642e-05
-166 *224:38 *225:54 2.95167e-05
-167 *224:38 *225:69 3.20069e-06
+1 *633:B 0.00013276
+2 *634:B 0
+3 *848:Q 0.000109667
+4 *229:8 0.000242427
+5 *633:A *633:B 0.000247808
+6 *847:RESET_B *633:B 0
+7 *847:RESET_B *229:8 0
+8 *848:D *229:8 1.92789e-05
+9 *228:15 *633:B 1.02504e-05
+10 *228:15 *229:8 0.000128154
*RES
-1 *848:Q *225:8 23.7791
-2 *225:8 *804:A 13.7491
-3 *225:8 *225:24 25.1509
-4 *225:24 *648:A 10.5271
-5 *225:24 *225:33 8.61588
-6 *225:33 *225:36 3.87761
-7 *225:36 *225:38 0.279355
-8 *225:38 *225:40 1.42671
-9 *225:40 *225:44 3.72463
-10 *225:44 *725:A1 32.5704
-11 *225:44 *225:54 2.45487
-12 *225:54 *225:56 4.5
-13 *225:56 *767:A3 17.2065
-14 *225:56 *768:B1 9.24915
-15 *225:54 *225:69 4.32351
-16 *225:69 *225:71 4.5
-17 *225:71 *225:76 6.46234
-18 *225:76 *225:81 10.6589
-19 *225:81 *764:A3 15.0271
-20 *225:81 *225:96 16.5757
-21 *225:96 *760:A1 13.7491
-22 *225:96 *225:105 4.37236
-23 *225:105 *761:A1 13.9722
-24 *225:105 *762:A1 20.23
-25 *225:76 *765:A3 9.82786
-26 *225:71 *756:A 9.24915
-27 *225:69 *225:135 4.32351
-28 *225:135 *763:A3 16.691
-29 *225:135 *759:A3 21.7272
-30 *225:40 *769:B1 17.4965
-31 *225:38 *782:B1 18.7987
-32 *225:36 *710:A 18.2199
-33 *225:33 *225:187 4.2258
-34 *225:187 *780:A 17.8933
-35 *225:187 *225:206 10.8332
-36 *225:206 *771:B1 14.4725
-37 *225:206 *807:S 20.1489
+1 *848:Q *229:8 15.9786
+2 *229:8 *634:B 4.5
+3 *229:8 *633:B 17.1929
*END
-*D_NET *226 0.00113314
+*D_NET *230 0.00329789
*CONN
-*I *654:A I *D sky130_fd_sc_hd__inv_2
-*I *711:B I *D sky130_fd_sc_hd__or3_2
-*I *744:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *686:B I *D sky130_fd_sc_hd__and3_2
+*I *738:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *739:A I *D sky130_fd_sc_hd__or2_2
+*I *831:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *686:B 0.000240444
+2 *738:A1 0
+3 *739:A 0.000272719
+4 *831:Q 0.000277546
+5 *230:11 0.000311581
+6 *230:8 0.000556851
+7 *686:B *690:A 1.63058e-05
+8 *686:B *738:A0 0.000197991
+9 *686:B *333:64 3.84291e-05
+10 *739:A *738:A0 5.71472e-05
+11 *230:11 *738:A0 0.000136951
+12 *411:DIODE *686:B 0
+13 *686:C *686:B 0.00031588
+14 *690:C *686:B 1.02504e-05
+15 *739:B *686:B 4.49293e-05
+16 *739:B *739:A 0
+17 *739:B *230:8 0.000100823
+18 *809:A *230:11 9.41642e-05
+19 *831:D *739:A 8.15939e-05
+20 *831:RESET_B *230:8 0.000135163
+21 *832:RESET_B *686:B 2.82057e-05
+22 *839:CLK *686:B 2.89114e-05
+23 *5:108 *739:A 0
+24 *5:140 *739:A 0.000142856
+25 *5:140 *230:11 4.18895e-05
+26 *5:146 *739:A 0
+27 *5:146 *230:8 7.23941e-05
+28 *5:561 *686:B 2.26327e-05
+29 *89:34 *739:A 7.22263e-05
+*RES
+1 *831:Q *230:8 18.1393
+2 *230:8 *230:11 5.85714
+3 *230:11 *739:A 24.3357
+4 *230:11 *738:A1 9.3
+5 *230:8 *686:B 20.5321
+*END
+
+*D_NET *231 0.00273254
+*CONN
+*I *737:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *690:A I *D sky130_fd_sc_hd__and4_2
+*I *738:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *832:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A0 0.000114861
+2 *690:A 0.000286059
+3 *738:A0 0.000183382
+4 *832:Q 0
+5 *231:4 0.000584302
+6 *690:A *686:A 0
+7 *690:A *238:10 0
+8 *690:A *333:64 0.000182357
+9 *737:A0 *686:A 2.27416e-05
+10 *738:A0 *333:64 2.26424e-05
+11 *686:B *690:A 1.63058e-05
+12 *686:B *738:A0 0.000197991
+13 *690:C *690:A 0
+14 *739:A *738:A0 5.71472e-05
+15 *809:A *738:A0 2.28598e-05
+16 *832:RESET_B *738:A0 0.000173528
+17 *839:D *690:A 6.92148e-06
+18 *88:19 *737:A0 0.000310686
+19 *88:19 *738:A0 0.000136958
+20 *89:5 *738:A0 8.17274e-05
+21 *89:34 *738:A0 0.0001399
+22 *140:11 *690:A 5.52238e-05
+23 *230:11 *738:A0 0.000136951
+*RES
+1 *832:Q *231:4 9.3
+2 *231:4 *738:A0 24.3893
+3 *231:4 *690:A 24.175
+4 *231:4 *737:A0 12.7286
+*END
+
+*D_NET *232 0.00232431
+*CONN
+*I *737:A1 I *D sky130_fd_sc_hd__mux2_1
+*I *686:A I *D sky130_fd_sc_hd__and3_2
+*I *833:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *737:A1 0
+2 *686:A 0.000355879
+3 *833:Q 0.000572517
+4 *232:11 0.000928396
+5 *690:A *686:A 0
+6 *737:A0 *686:A 2.27416e-05
+7 *833:D *232:11 0
+8 *839:CLK *686:A 2.59355e-05
+9 *29:8 *686:A 0
+10 *29:8 *232:11 0.000104236
+11 *88:5 *232:11 1.92789e-05
+12 *88:19 *686:A 1.32552e-05
+13 *88:19 *232:11 2.24763e-05
+14 *89:5 *232:11 0.000259596
+*RES
+1 *833:Q *232:11 26.8536
+2 *232:11 *686:A 23.5857
+3 *232:11 *737:A1 9.3
+*END
+
+*D_NET *233 0.00575884
+*CONN
+*I *632:A I *D sky130_fd_sc_hd__inv_2
+*I *680:B I *D sky130_fd_sc_hd__or2_2
+*I *693:B I *D sky130_fd_sc_hd__nand2_2
+*I *701:A I *D sky130_fd_sc_hd__nor2_2
+*I *719:B1 I *D sky130_fd_sc_hd__o2bb2a_2
+*I *841:Q O *D sky130_fd_sc_hd__dfrtp_2
+*CAP
+1 *632:A 2.91938e-05
+2 *680:B 0
+3 *693:B 0
+4 *701:A 0
+5 *719:B1 0
+6 *841:Q 0.000774719
+7 *233:33 9.35256e-05
+8 *233:28 0.000344059
+9 *233:15 0.000514006
+10 *233:8 0.001009
+11 *632:A *234:19 6.04042e-05
+12 *632:A *235:52 4.43256e-05
+13 *233:15 *236:10 8.99641e-05
+14 *233:15 *236:19 0.000173649
+15 *233:28 *234:37 1.90936e-05
+16 *233:28 *236:19 0.000105959
+17 *233:28 *236:28 3.89376e-05
+18 *233:33 *693:A 5.33005e-05
+19 *233:33 *234:19 0.00010096
+20 *233:33 *234:21 0.000139913
+21 *233:33 *235:52 9.02232e-05
+22 *704:B1 *233:28 0.000188529
+23 *716:A1 *233:28 9.78703e-05
+24 *717:B *233:28 0.000153411
+25 *719:A2_N *233:8 5.83304e-05
+26 *719:A2_N *233:15 0.000585957
+27 *719:A2_N *233:28 0.000117189
+28 *806:B1 *632:A 5.52302e-05
+29 *806:B2 *632:A 5.52302e-05
+30 *841:CLK *233:8 9.56968e-05
+31 *841:D *233:8 0.00010133
+32 *841:RESET_B *233:8 2.28709e-05
+33 *130:7 *233:33 9.60939e-05
+34 *140:22 *233:8 0.000322453
+35 *140:22 *233:15 8.07951e-05
+36 *216:222 *233:8 4.66203e-05
+*RES
+1 *841:Q *233:8 25.8
+2 *233:8 *719:B1 13.8
+3 *233:8 *233:15 7.71429
+4 *233:15 *701:A 13.8
+5 *233:15 *233:28 12.2679
+6 *233:28 *693:B 9.3
+7 *233:28 *233:33 2.58929
+8 *233:33 *680:B 9.3
+9 *233:33 *632:A 10.6571
+*END
+
+*D_NET *234 0.0189731
+*CONN
+*I *801:A I *D sky130_fd_sc_hd__or2_2
+*I *799:A I *D sky130_fd_sc_hd__or3_2
+*I *750:A I *D sky130_fd_sc_hd__or3_2
+*I *796:A2 I *D sky130_fd_sc_hd__o21ai_2
+*I *680:A I *D sky130_fd_sc_hd__or2_2
+*I *631:A I *D sky130_fd_sc_hd__inv_2
+*I *770:A I *D sky130_fd_sc_hd__or2_2
+*I *753:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *749:A I *D sky130_fd_sc_hd__or2_2
+*I *693:A I *D sky130_fd_sc_hd__nand2_2
*I *842:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *654:A 6.16119e-05
-2 *711:B 4.70993e-05
-3 *744:A1 3.5247e-05
-4 *842:Q 4.28882e-05
-5 *226:11 0.000214674
-6 *226:7 0.000236828
-7 *711:C *654:A 4.7798e-05
-8 *711:C *226:11 7.88354e-05
-9 *712:A1 *226:7 6.27782e-05
-10 *744:A2 *654:A 0.000104943
-11 *744:A2 *226:11 0.000200433
+1 *801:A 0.000338249
+2 *799:A 0
+3 *750:A 0.000445776
+4 *796:A2 0.0002912
+5 *680:A 0
+6 *631:A 3.69126e-05
+7 *770:A 4.89125e-05
+8 *753:A1 0.000497092
+9 *749:A 0
+10 *693:A 6.93228e-05
+11 *842:Q 0.000712781
+12 *234:115 0.00068949
+13 *234:108 0.000759016
+14 *234:97 0.000592848
+15 *234:52 0.00116234
+16 *234:47 0.00123453
+17 *234:37 0.00136879
+18 *234:21 0.000907069
+19 *234:19 0.000314416
+20 *234:8 0.00112555
+21 *693:A *630:A 5.04841e-06
+22 *693:A *235:52 1.21258e-05
+23 *750:A *256:21 0.000266247
+24 *750:A *263:18 4.06573e-06
+25 *750:A *299:26 0.000414034
+26 *753:A1 *883:A 1.92582e-05
+27 *753:A1 *908:TE 0
+28 *753:A1 *307:14 5.50052e-05
+29 *796:A2 *796:A1 2.59355e-05
+30 *796:A2 *796:B1 1.98839e-05
+31 *801:A *869:A 0.00020546
+32 *801:A *263:18 0.000104041
+33 *234:8 *804:C 0
+34 *234:8 *263:18 0.000717865
+35 *234:37 *772:A1 0.000217128
+36 *234:37 *236:28 7.69776e-06
+37 *234:37 *334:14 1.56427e-05
+38 *234:52 *862:A 7.74068e-05
+39 *234:52 *877:A 0
+40 *234:52 *882:TE_B 0
+41 *234:52 *883:A 5.0725e-05
+42 *234:52 *267:8 8.73559e-05
+43 *234:97 *263:18 0.000198748
+44 *234:108 *797:A 2.42135e-05
+45 *234:108 *237:100 1.43455e-05
+46 *234:108 *263:18 1.08359e-05
+47 *234:115 *865:A 6.05161e-06
+48 *234:115 *299:26 8.13137e-05
+49 *384:DIODE *750:A 0.000276211
+50 *632:A *234:19 6.04042e-05
+51 *685:D_N *631:A 2.78576e-05
+52 *695:A3 *693:A 0.000136733
+53 *695:A3 *234:19 8.52379e-05
+54 *695:A3 *234:21 0.000127806
+55 *700:B *631:A 2.59355e-05
+56 *704:A1 *234:37 0.000136572
+57 *704:B2 *234:47 2.84109e-05
+58 *708:B1 *234:47 0.00022367
+59 *750:C *750:A 9.69083e-06
+60 *753:A2 *753:A1 3.47843e-05
+61 *770:B *234:47 9.7912e-05
+62 *771:B1 *753:A1 2.34087e-05
+63 *771:B1 *234:52 7.6779e-05
+64 *771:B2 *234:47 0.000129504
+65 *771:B2 *234:52 9.84673e-05
+66 *778:A2 *750:A 4.48585e-05
+67 *778:B1 *750:A 0
+68 *786:B1 *750:A 4.37992e-05
+69 *791:A *234:115 2.59355e-05
+70 *791:B *750:A 4.27935e-05
+71 *791:B *234:115 0.000227526
+72 *794:A2 *801:A 0.000211235
+73 *794:B2 *801:A 1.61784e-05
+74 *797:B *796:A2 5.74499e-06
+75 *806:A2 *234:8 5.71474e-05
+76 *806:A2 *234:19 2.49715e-05
+77 *806:A2 *234:97 2.38674e-05
+78 *806:B1 *234:19 0.000221058
+79 *841:RESET_B *234:8 9.41642e-05
+80 *842:RESET_B *234:8 0.000112106
+81 *5:279 *234:97 2.91193e-05
+82 *5:307 *234:97 4.92942e-05
+83 *5:307 *234:108 0.000117903
+84 *5:377 *801:A 1.00375e-05
+85 *5:384 *801:A 4.76908e-05
+86 *5:700 *234:52 3.75959e-05
+87 *5:714 *234:52 5.29711e-05
+88 *14:7 *753:A1 0
+89 *29:8 *234:8 0.000544816
+90 *83:20 *750:A 0.00014183
+91 *83:20 *234:115 0.000216121
+92 *84:26 *631:A 5.01876e-06
+93 *84:26 *234:47 6.59135e-05
+94 *130:7 *693:A 1.98839e-05
+95 *130:39 *750:A 1.88175e-05
+96 *130:76 *750:A 1.61785e-05
+97 *131:31 *234:47 0.000265453
+98 *178:15 *750:A 4.43299e-05
+99 *178:49 *753:A1 6.29573e-05
+100 *178:49 *234:52 4.46186e-06
+101 *180:6 *753:A1 5.90031e-05
+102 *180:49 *753:A1 6.44542e-05
+103 *196:15 *234:108 0.000186008
+104 *196:15 *234:115 3.02886e-05
+105 *197:8 *750:A 2.14757e-05
+106 *197:26 *750:A 5.52238e-05
+107 *212:23 *796:A2 5.33005e-05
+108 *212:23 *801:A 5.82654e-05
+109 *212:23 *234:108 0.000390534
+110 *212:23 *234:115 5.41797e-06
+111 *233:28 *234:37 1.90936e-05
+112 *233:33 *693:A 5.33005e-05
+113 *233:33 *234:19 0.00010096
+114 *233:33 *234:21 0.000139913
*RES
-1 *842:Q *226:7 14.4725
-2 *226:7 *226:11 8.7164
-3 *226:11 *744:A1 10.2378
-4 *226:11 *711:B 9.97254
-5 *226:7 *654:A 15.9964
+1 *842:Q *234:8 31.3446
+2 *234:8 *234:19 9.6875
+3 *234:19 *234:21 1.35714
+4 *234:21 *693:A 11.0857
+5 *234:21 *234:37 13.2293
+6 *234:37 *234:47 14.4911
+7 *234:47 *234:52 15.6429
+8 *234:52 *749:A 13.8
+9 *234:52 *753:A1 23.2643
+10 *234:47 *770:A 19.0321
+11 *234:37 *631:A 14.6125
+12 *234:19 *680:A 9.3
+13 *234:8 *234:97 3.36607
+14 *234:97 *796:A2 17.425
+15 *234:97 *234:108 5.4375
+16 *234:108 *234:115 10.3661
+17 *234:115 *750:A 29.5701
+18 *234:115 *799:A 9.3
+19 *234:108 *801:A 26.3928
*END
-*D_NET *227 0.00455967
+*D_NET *235 0.0103146
*CONN
-*I *653:A I *D sky130_fd_sc_hd__inv_2
-*I *715:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *711:A I *D sky130_fd_sc_hd__or3_2
+*I *796:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *742:C I *D sky130_fd_sc_hd__or3_2
+*I *772:B1 I *D sky130_fd_sc_hd__a211o_2
+*I *630:A I *D sky130_fd_sc_hd__inv_2
+*I *681:B I *D sky130_fd_sc_hd__or2_2
+*I *714:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *697:A I *D sky130_fd_sc_hd__nor2_2
+*I *698:A I *D sky130_fd_sc_hd__and2_2
*I *843:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *653:A 0.000372384
-2 *715:A1 0
-3 *711:A 0.000377916
-4 *843:Q 0.000590648
-5 *227:21 0.000409618
-6 *227:11 0.0010058
-7 *712:B2 *711:A 5.56461e-05
-8 *715:A2 *227:11 6.63851e-05
-9 *716:B1 *653:A 6.50586e-05
-10 *716:B2 *653:A 0.000313495
-11 *743:B1 *653:A 3.37623e-05
-12 *848:D *653:A 0.000464127
-13 *851:D *711:A 2.16355e-05
-14 *851:CLK *711:A 8.84017e-05
-15 *29:8 *653:A 3.52645e-06
-16 *29:8 *711:A 0
-17 *123:9 *653:A 1.15389e-05
-18 *123:18 *653:A 1.45944e-05
-19 *157:105 *653:A 9.09474e-05
-20 *157:114 *653:A 0.000292027
-21 *157:114 *711:A 8.62625e-06
-22 *157:114 *227:21 4.4885e-05
-23 *172:8 *227:11 0.000217923
-24 *173:8 *653:A 1.07248e-05
-25 *204:207 *227:21 0
-26 *204:209 *711:A 0
+1 *796:A1 8.51625e-05
+2 *742:C 0.000164255
+3 *772:B1 0.000392378
+4 *630:A 3.71983e-05
+5 *681:B 1.69531e-05
+6 *714:A0 0
+7 *697:A 0.000184498
+8 *698:A 0.000443779
+9 *843:Q 4.57627e-05
+10 *235:61 0.000673764
+11 *235:52 0.00044172
+12 *235:49 0.000443057
+13 *235:40 0.000280459
+14 *235:13 0.000756753
+15 *235:9 0.000744863
+16 *235:5 0.000855151
+17 *681:B *681:A 5.33005e-05
+18 *742:C *629:A 5.52302e-05
+19 *742:C *742:A 1.40034e-05
+20 *742:C *236:67 8.57023e-05
+21 *742:C *237:75 1.04232e-05
+22 *772:B1 *759:A 5.52302e-05
+23 *796:A1 *263:18 0.000137224
+24 *235:5 *263:21 5.33005e-05
+25 *235:40 *804:C 0.000317984
+26 *235:40 *263:18 0.000602992
+27 *235:49 *804:C 1.03904e-05
+28 *235:49 *263:18 0.000183392
+29 *402:DIODE *235:52 5.33005e-05
+30 *632:A *235:52 4.43256e-05
+31 *685:D_N *697:A 0.000184626
+32 *692:A *772:B1 1.62487e-05
+33 *692:A *235:61 6.49408e-05
+34 *693:A *630:A 5.04841e-06
+35 *693:A *235:52 1.21258e-05
+36 *695:A3 *235:52 5.74499e-06
+37 *710:A2 *698:A 5.65955e-05
+38 *714:A1 *698:A 0.000117543
+39 *714:A1 *235:13 5.33433e-05
+40 *714:S *235:9 3.99614e-06
+41 *717:A *235:61 0.000119895
+42 *742:B *742:C 8.11028e-06
+43 *759:C *772:B1 4.18156e-05
+44 *759:C *235:61 0.000115935
+45 *772:C1 *772:B1 1.46576e-05
+46 *775:B *742:C 2.28499e-05
+47 *794:B1 *796:A1 0.000146474
+48 *794:B1 *235:49 0.000170308
+49 *796:A2 *796:A1 2.59355e-05
+50 *797:B *796:A1 6.05161e-06
+51 *806:A2 *235:52 6.86693e-05
+52 *806:B1 *235:52 1.02936e-05
+53 *806:B2 *235:52 0.000180777
+54 *843:D *235:9 5.91306e-05
+55 *843:RESET_B *235:5 1.69961e-05
+56 *843:RESET_B *235:9 2.81497e-05
+57 *5:290 *235:52 0.00016641
+58 *84:7 *742:C 5.52302e-05
+59 *84:10 *742:C 1.33116e-05
+60 *86:13 *772:B1 0.000132713
+61 *87:7 *235:52 0.000438788
+62 *87:41 *742:C 4.16551e-05
+63 *87:41 *235:61 8.44271e-06
+64 *129:58 *698:A 0
+65 *130:7 *235:52 0.000142856
+66 *131:20 *681:B 5.33005e-05
+67 *131:62 *742:C 1.04707e-05
+68 *131:62 *235:61 6.71524e-05
+69 *143:5 *235:52 1.92789e-05
+70 *145:15 *697:A 0
+71 *145:53 *697:A 0
+72 *145:53 *235:9 5.52238e-05
+73 *145:53 *235:13 9.22103e-06
+74 *145:58 *698:A 7.49387e-06
+75 *148:5 *698:A 3.76708e-05
+76 *149:13 *698:A 0.000120686
+77 *187:11 *772:B1 4.56129e-05
+78 *233:33 *235:52 9.02232e-05
*RES
-1 *843:Q *227:11 28.5305
-2 *227:11 *711:A 23.7903
-3 *227:11 *227:21 1.00149
-4 *227:21 *715:A1 13.7491
-5 *227:21 *653:A 27.6221
+1 *843:Q *235:5 10.2464
+2 *235:5 *235:9 9.58929
+3 *235:9 *235:13 2.08929
+4 *235:13 *698:A 15.7464
+5 *235:13 *697:A 22.675
+6 *235:9 *714:A0 9.3
+7 *235:5 *235:40 12.2143
+8 *235:40 *681:B 14.3357
+9 *235:40 *235:49 2.55357
+10 *235:49 *235:52 12.8393
+11 *235:52 *630:A 10.0321
+12 *235:52 *235:61 7.35714
+13 *235:61 *772:B1 20.6929
+14 *235:61 *742:C 17.3357
+15 *235:49 *796:A1 16.2821
*END
-*D_NET *228 0.000678604
+*D_NET *236 0.0121032
*CONN
-*I *942:A I *D sky130_fd_sc_hd__clkinv_8
-*I *941:Y O *D sky130_fd_sc_hd__clkinv_2
+*I *804:B I *D sky130_fd_sc_hd__and3b_2
+*I *743:A I *D sky130_fd_sc_hd__nor2_2
+*I *629:A I *D sky130_fd_sc_hd__inv_2
+*I *779:A I *D sky130_fd_sc_hd__or2_2
+*I *796:B1 I *D sky130_fd_sc_hd__o21ai_2
+*I *772:A1 I *D sky130_fd_sc_hd__a211o_2
+*I *681:A I *D sky130_fd_sc_hd__or2_2
+*I *712:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *844:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *942:A 0.000314937
-2 *941:Y 0.000314937
-3 *942:A *320:6 0
-4 *642:A *942:A 0
-5 *643:A *942:A 0
-6 *11:10 *942:A 0
-7 *90:22 *942:A 4.87301e-05
-8 *90:24 *942:A 0
+1 *804:B 0.000329704
+2 *743:A 0.000220665
+3 *629:A 1.42796e-05
+4 *779:A 0
+5 *796:B1 0.000271723
+6 *772:A1 0.000440105
+7 *681:A 0.000241007
+8 *712:A0 0.000105728
+9 *844:Q 2.23854e-05
+10 *236:67 0.000506146
+11 *236:46 0.000299048
+12 *236:43 0.000366979
+13 *236:28 0.000722078
+14 *236:19 0.000739437
+15 *236:10 0.000940533
+16 *236:5 0.000901983
+17 *743:A *256:21 9.70883e-05
+18 *743:A *278:12 0.00011802
+19 *743:A *299:26 5.33005e-05
+20 *772:A1 *334:14 9.58352e-05
+21 *804:B *804:C 4.45896e-06
+22 *236:28 *334:14 0
+23 *382:DIODE *236:43 1.30999e-05
+24 *382:DIODE *236:67 1.21317e-05
+25 *403:DIODE *681:A 5.33005e-05
+26 *681:B *681:A 5.33005e-05
+27 *695:A2 *236:10 8.07879e-05
+28 *695:A2 *236:19 0.000318316
+29 *695:A3 *236:19 6.93626e-06
+30 *695:A3 *236:28 3.59945e-05
+31 *704:A1 *772:A1 0.000251754
+32 *704:B1 *236:28 0
+33 *717:B *236:19 8.17227e-05
+34 *742:B *743:A 7.46461e-05
+35 *742:C *629:A 5.52302e-05
+36 *742:C *236:67 8.57023e-05
+37 *773:B1 *772:A1 8.78911e-05
+38 *775:B *629:A 5.33005e-05
+39 *775:B *236:67 9.6961e-05
+40 *776:B *743:A 4.11055e-05
+41 *776:B *236:67 5.59013e-05
+42 *779:B *796:B1 1.85392e-05
+43 *786:A1 *236:67 2.30969e-05
+44 *786:A2 *236:67 1.24368e-05
+45 *786:B1 *743:A 2.9995e-05
+46 *786:B1 *236:67 0.000216982
+47 *786:B2 *236:67 9.64653e-05
+48 *796:A2 *796:B1 1.98839e-05
+49 *797:B *796:B1 5.15855e-05
+50 *806:B1 *236:28 4.49331e-05
+51 *806:B1 *236:43 6.05951e-05
+52 *806:B1 *236:67 0.000186304
+53 *842:D *681:A 0.000139337
+54 *842:D *236:10 8.56716e-05
+55 *842:D *236:19 0.000196411
+56 *844:RESET_B *804:B 6.81335e-05
+57 *844:RESET_B *236:10 6.3622e-05
+58 *5:235 *804:B 1.15281e-05
+59 *5:235 *236:10 0
+60 *5:241 *804:B 1.32552e-05
+61 *5:252 *804:B 2.97829e-05
+62 *5:307 *796:B1 7.31177e-05
+63 *5:311 *796:B1 4.51726e-05
+64 *5:311 *236:46 2.59355e-05
+65 *29:8 *236:10 0
+66 *83:25 *743:A 2.59355e-05
+67 *83:30 *772:A1 8.64748e-05
+68 *86:13 *772:A1 6.26774e-06
+69 *87:41 *743:A 0
+70 *130:21 *236:28 0
+71 *131:20 *681:A 0.000373856
+72 *131:62 *236:67 8.51829e-05
+73 *140:22 *236:10 0.000324882
+74 *142:29 *772:A1 0.000752012
+75 *142:29 *236:43 7.69776e-06
+76 *145:7 *712:A0 9.71197e-05
+77 *145:11 *712:A0 5.33005e-05
+78 *187:33 *743:A 0.000101616
+79 *212:23 *796:B1 9.41642e-05
+80 *233:15 *236:10 8.99641e-05
+81 *233:15 *236:19 0.000173649
+82 *233:28 *236:19 0.000105959
+83 *233:28 *236:28 3.89376e-05
+84 *234:37 *772:A1 0.000217128
+85 *234:37 *236:28 7.69776e-06
*RES
-1 *941:Y *942:A 34.3456
+1 *844:Q *236:5 9.72857
+2 *236:5 *236:10 14.8214
+3 *236:10 *712:A0 15.1571
+4 *236:10 *236:19 9.23214
+5 *236:19 *681:A 19.675
+6 *236:19 *236:28 3.91964
+7 *236:28 *772:A1 23.4974
+8 *236:28 *236:43 1.1875
+9 *236:43 *236:46 5.03571
+10 *236:46 *796:B1 14.8
+11 *236:46 *779:A 9.3
+12 *236:43 *236:67 11.3036
+13 *236:67 *629:A 9.83571
+14 *236:67 *743:A 28.829
+15 *236:5 *804:B 13.5321
*END
-*D_NET *229 0.000185068
+*D_NET *237 0.0127878
*CONN
-*I *944:A I *D sky130_fd_sc_hd__clkinv_8
-*I *943:Y O *D sky130_fd_sc_hd__clkinv_2
+*I *804:C I *D sky130_fd_sc_hd__and3b_2
+*I *628:A I *D sky130_fd_sc_hd__inv_2
+*I *775:A I *D sky130_fd_sc_hd__nand2_2
+*I *797:A I *D sky130_fd_sc_hd__nand2_2
+*I *759:A I *D sky130_fd_sc_hd__or3_2
+*I *742:A I *D sky130_fd_sc_hd__or3_2
+*I *774:A1 I *D sky130_fd_sc_hd__o211a_2
+*I *773:A1 I *D sky130_fd_sc_hd__o21ai_2
+*I *682:A I *D sky130_fd_sc_hd__or2_2
+*I *709:A1 I *D sky130_fd_sc_hd__o22a_2
+*I *706:A I *D sky130_fd_sc_hd__xnor2_2
+*I *845:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *944:A 3.13449e-05
-2 *943:Y 3.13449e-05
-3 *5:22 *944:A 0.000122378
+1 *804:C 0.000884148
+2 *628:A 0
+3 *775:A 0
+4 *797:A 6.19728e-05
+5 *759:A 8.2448e-05
+6 *742:A 1.52323e-05
+7 *774:A1 1.83541e-05
+8 *773:A1 1.55834e-05
+9 *682:A 0
+10 *709:A1 5.57655e-05
+11 *706:A 0.00032186
+12 *845:Q 0.000241356
+13 *237:106 0.00094461
+14 *237:100 0.000125144
+15 *237:89 0.000538502
+16 *237:75 0.000548342
+17 *237:62 0.000349719
+18 *237:54 0.000443194
+19 *237:40 0.000273
+20 *237:33 0.000320171
+21 *237:14 0.000377625
+22 *237:12 0.00044851
+23 *706:A *246:22 4.14706e-05
+24 *709:A1 *246:22 4.58194e-05
+25 *797:A *334:14 2.11419e-05
+26 *804:C *867:TE_B 1.04232e-05
+27 *804:C *945:A 8.9163e-05
+28 *804:C *947:A 6.72242e-05
+29 *804:C *251:19 5.65542e-05
+30 *804:C *263:18 2.54537e-05
+31 *804:C *333:144 1.41029e-05
+32 *804:C *333:155 0.000117144
+33 *804:C *333:162 4.97121e-06
+34 *804:C *333:177 7.25984e-05
+35 *237:89 *256:21 5.51261e-05
+36 *237:89 *278:12 0.000156791
+37 *237:89 *334:14 0.000292361
+38 *682:B *237:33 4.61656e-05
+39 *705:A1 *237:12 8.22478e-05
+40 *705:B1 *237:12 7.38652e-05
+41 *705:B1 *237:33 5.49657e-05
+42 *706:B *706:A 9.71197e-05
+43 *708:B1 *237:33 0.000138705
+44 *709:B1 *706:A 0.000132957
+45 *709:B2 *237:12 3.51442e-05
+46 *709:B2 *237:33 0.000115101
+47 *742:C *742:A 1.40034e-05
+48 *742:C *237:75 1.04232e-05
+49 *744:B *237:62 4.82865e-05
+50 *770:B *759:A 7.40514e-05
+51 *771:B2 *237:33 0
+52 *771:B2 *237:40 0
+53 *772:B1 *759:A 5.52302e-05
+54 *773:A2 *237:54 2.12687e-05
+55 *774:A2 *774:A1 1.44931e-05
+56 *774:A2 *237:40 4.56437e-05
+57 *774:A2 *237:54 0.000149319
+58 *774:B1 *773:A1 1.92789e-05
+59 *774:B1 *237:54 7.83659e-05
+60 *774:C1 *237:40 1.41706e-05
+61 *774:C1 *237:54 4.30584e-06
+62 *775:B *237:62 5.96516e-05
+63 *775:B *237:75 2.89114e-05
+64 *775:B *237:100 5.80706e-06
+65 *776:B *237:89 5.03809e-05
+66 *794:B1 *804:C 0.000481089
+67 *803:B1 *804:C 0.000369104
+68 *804:B *804:C 4.45896e-06
+69 *806:B2 *797:A 2.4761e-05
+70 *806:B2 *237:100 1.34969e-05
+71 *841:RESET_B *804:C 0.000100831
+72 *842:RESET_B *804:C 0.000112106
+73 *843:RESET_B *804:C 0.00012401
+74 *845:D *237:12 7.43578e-06
+75 *5:241 *804:C 1.33343e-05
+76 *5:252 *804:C 0.000136951
+77 *5:307 *797:A 5.83233e-05
+78 *5:351 *237:89 0
+79 *29:8 *804:C 0
+80 *83:8 *237:100 2.14757e-05
+81 *83:8 *237:106 4.27437e-05
+82 *84:10 *759:A 6.12311e-05
+83 *84:10 *237:75 9.60337e-06
+84 *84:26 *237:12 9.82074e-06
+85 *84:26 *237:33 7.32699e-05
+86 *84:26 *237:40 0.000149911
+87 *86:13 *759:A 5.71472e-05
+88 *129:63 *237:12 0.00014183
+89 *130:94 *773:A1 5.52238e-05
+90 *131:62 *237:89 0.000339195
+91 *142:29 *237:54 8.70725e-06
+92 *142:29 *237:62 0.000123163
+93 *145:58 *237:12 0.000364974
+94 *145:58 *237:33 5.59013e-05
+95 *176:14 *237:62 0.000303168
+96 *178:15 *237:62 1.76096e-05
+97 *181:18 *804:C 5.52302e-05
+98 *181:18 *237:100 9.41642e-05
+99 *181:18 *237:106 0.000178847
+100 *187:33 *759:A 6.5919e-05
+101 *187:33 *237:75 1.24469e-05
+102 *196:15 *237:100 8.673e-05
+103 *196:15 *237:106 4.08637e-05
+104 *234:8 *804:C 0
+105 *234:108 *797:A 2.42135e-05
+106 *234:108 *237:100 1.43455e-05
+107 *235:40 *804:C 0.000317984
+108 *235:49 *804:C 1.03904e-05
*RES
-1 *943:Y *944:A 19.7763
+1 *845:Q *237:12 20.8
+2 *237:12 *237:14 4.5
+3 *237:14 *706:A 15.1036
+4 *237:14 *709:A1 10.2464
+5 *237:12 *237:33 5.21429
+6 *237:33 *682:A 13.8
+7 *237:33 *237:40 2.55357
+8 *237:40 *773:A1 14.3357
+9 *237:40 *237:54 7.5625
+10 *237:54 *774:A1 9.72857
+11 *237:54 *237:62 5.72321
+12 *237:62 *742:A 9.72857
+13 *237:62 *237:75 5.74107
+14 *237:75 *759:A 16.1304
+15 *237:75 *237:89 8.30833
+16 *237:89 *797:A 15.2911
+17 *237:89 *237:100 6.33036
+18 *237:100 *775:A 9.3
+19 *237:100 *237:106 1.76786
+20 *237:106 *628:A 9.3
+21 *237:106 *804:C 41.8402
*END
-*D_NET *230 0.00118403
+*D_NET *238 0.00453574
*CONN
-*I *860:A I *D sky130_fd_sc_hd__einvp_2
-*I *858:X O *D sky130_fd_sc_hd__clkbuf_1
+*I *723:A I *D sky130_fd_sc_hd__nand2_2
+*I *724:A1 I *D sky130_fd_sc_hd__o21a_2
+*I *684:B I *D sky130_fd_sc_hd__or2_2
+*I *685:B I *D sky130_fd_sc_hd__or4bb_2
+*I *691:B I *D sky130_fd_sc_hd__nand2_2
+*I *839:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *860:A 0.000213183
-2 *858:X 0.000213183
-3 *860:A *859:Z 0.000125197
-4 *860:A *860:TE 0.00019786
-5 *860:A *860:Z 0.000164829
-6 *860:A *863:A 2.95757e-05
-7 *860:A *878:A 2.78772e-05
-8 *860:A *231:8 0.000171814
-9 *860:A *237:11 4.0508e-05
+1 *723:A 0
+2 *724:A1 1.53311e-05
+3 *684:B 0.000420394
+4 *685:B 4.17747e-05
+5 *691:B 0.000114457
+6 *839:Q 0.000519176
+7 *238:28 0.000612955
+8 *238:17 0.000378116
+9 *238:15 0.000228461
+10 *238:10 0.000619434
+11 *684:B *684:A 0.000140714
+12 *685:B *685:A 2.85321e-05
+13 *691:B *691:A 0
+14 *685:D_N *684:B 9.60281e-05
+15 *685:D_N *238:28 2.84071e-05
+16 *690:A *238:10 0
+17 *690:B *238:28 2.48421e-05
+18 *697:B *684:B 0.000140823
+19 *699:A *684:B 0.00010096
+20 *723:B *238:15 0.000327171
+21 *723:B *238:17 5.66971e-05
+22 *724:B1 *238:15 0.000117956
+23 *839:D *238:10 0
+24 *839:RESET_B *238:10 2.52688e-05
+25 *841:D *684:B 9.32966e-05
+26 *841:D *238:28 1.11451e-05
+27 *844:D *684:B 0
+28 *29:8 *238:10 0
+29 *139:19 *691:B 0.000102207
+30 *139:19 *238:17 2.44318e-05
+31 *140:11 *724:A1 1.02301e-05
+32 *140:11 *238:15 1.69961e-05
+33 *140:11 *238:17 9.80009e-05
+34 *140:22 *238:15 0.000141935
*RES
-1 *858:X *860:A 36.0094
+1 *839:Q *238:10 24.8893
+2 *238:10 *238:15 4.375
+3 *238:15 *238:17 2.58929
+4 *238:17 *691:B 11.4964
+5 *238:17 *238:28 7.35714
+6 *238:28 *685:B 14.3357
+7 *238:28 *684:B 22.6214
+8 *238:15 *724:A1 9.72857
+9 *238:10 *723:A 9.3
*END
-*D_NET *231 0.00136903
+*D_NET *239 0.00291395
*CONN
-*I *860:Z O *D sky130_fd_sc_hd__einvp_2
-*I *863:A I *D sky130_fd_sc_hd__clkinv_1
-*I *862:Z O *D sky130_fd_sc_hd__einvn_4
+*I *685:A I *D sky130_fd_sc_hd__or4bb_2
+*I *684:A I *D sky130_fd_sc_hd__or2_2
+*I *722:A0 I *D sky130_fd_sc_hd__mux2_1
+*I *691:A I *D sky130_fd_sc_hd__nand2_2
+*I *840:Q O *D sky130_fd_sc_hd__dfrtp_2
*CAP
-1 *860:Z 6.56954e-05
-2 *863:A 0.000205089
-3 *862:Z 9.46297e-05
-4 *231:8 0.000365414
-5 *863:A *859:A 0
-6 *863:A *859:Z 1.6917e-05
-7 *863:A *284:36 0.000122378
-8 *863:A *320:15 0
-9 *231:8 *859:Z 7.18465e-05
-10 *231:8 *237:11 6.08467e-05
-11 *860:A *860:Z 0.000164829
-12 *860:A *863:A 2.95757e-05
-13 *860:A *231:8 0.000171814
+1 *685:A 0.000253281
+2 *684:A 0.00026202
+3 *722:A0 6.74279e-05
+4 *691:A 0.000199613
+5 *840:Q 0
+6 *239:19 0.000499206
+7 *239:7 0.000416649
+8 *239:4 0.000300559
+9 *684:B *684:A 0.000140714
+10 *685:B *685:A 2.85321e-05
+11 *685:C_N *685:A 0.000187126
+12 *685:C_N *691:A 0
+13 *685:C_N *239:7 1.21258e-05
+14 *685:C_N *239:19 0
+15 *691:B *691:A 0
+16 *697:B *684:A 2.78637e-05
+17 *721:B *722:A0 9.83388e-05
+18 *129:37 *239:19 0
+19 *139:19 *691:A 0.000100685
+20 *216:64 *691:A 0
+21 *216:202 *691:A 0.000196314
+22 *216:202 *239:19 0.000123495
*RES
-1 *862:Z *231:8 17.5503
-2 *231:8 *863:A 18.1049
-3 *231:8 *860:Z 15.5817
+1 *840:Q *239:4 9.3
+2 *239:4 *239:7 5.03571
+3 *239:7 *691:A 18.0321
+4 *239:7 *239:19 2.55357
+5 *239:19 *722:A0 14.7464
+6 *239:19 *684:A 17.4429
+7 *239:4 *685:A 14.2821
*END
-*D_NET *232 0.000512457
+*D_NET *240 0.000246518
+*CONN
+*I *941:A I *D sky130_fd_sc_hd__clkinv_8
+*I *940:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *941:A 0.000123259
+2 *940:Y 0.000123259
+3 *838:RESET_B *941:A 0
+4 *5:685 *941:A 0
+5 *216:46 *941:A 0
+6 *225:8 *941:A 0
+*RES
+1 *940:Y *941:A 29.5464
+*END
+
+*D_NET *241 0.000509707
+*CONN
+*I *943:A I *D sky130_fd_sc_hd__clkinv_8
+*I *942:Y O *D sky130_fd_sc_hd__clkinv_2
+*CAP
+1 *943:A 0.000228282
+2 *942:Y 0.000228282
+3 *943:A *855:A 5.31433e-05
+*RES
+1 *942:Y *943:A 31.975
+*END
+
+*D_NET *242 0.000219589
+*CONN
+*I *855:A I *D sky130_fd_sc_hd__clkbuf_16
+*I *943:Y O *D sky130_fd_sc_hd__clkinv_8
+*CAP
+1 *855:A 8.32227e-05
+2 *943:Y 8.32227e-05
+3 *943:A *855:A 5.31433e-05
+*RES
+1 *943:Y *855:A 29.5464
+*END
+
+*D_NET *243 0.000150495
*CONN
*I *859:A I *D sky130_fd_sc_hd__einvp_2
-*I *863:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *857:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *859:A 0.000187975
-2 *863:Y 0.000187975
-3 *859:A *284:36 0.000122378
-4 *863:A *859:A 0
-5 *5:22 *859:A 1.41291e-05
+1 *859:A 6.07693e-05
+2 *857:X 6.07693e-05
+3 *859:A *857:A 5.19842e-06
+4 *859:A *858:Z 2.37575e-05
*RES
-1 *863:Y *859:A 31.1629
+1 *857:X *859:A 19.5643
*END
-*D_NET *233 0.00302609
+*D_NET *244 0.00165589
*CONN
-*I *857:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *950:Z O *D sky130_fd_sc_hd__einvn_8
-*I *948:Z O *D sky130_fd_sc_hd__einvp_2
-*I *941:A I *D sky130_fd_sc_hd__clkinv_2
-*I *953:Z O *D sky130_fd_sc_hd__einvp_1
-*CAP
-1 *857:A 0.000309655
-2 *950:Z 8.06216e-05
-3 *948:Z 0
-4 *941:A 0.000107506
-5 *953:Z 0.000109032
-6 *233:25 0.00045589
-7 *233:18 0.000492999
-8 *233:5 0.000643923
-9 *857:A *881:TE 7.34948e-06
-10 *857:A *284:36 0.000183508
-11 *941:A *320:6 0
-12 *941:A *320:15 0
-13 *950:Z *236:11 0.000211546
-14 *233:5 *946:A 7.16754e-05
-15 *233:18 *946:A 5.04829e-06
-16 *233:18 *946:B 4.66876e-05
-17 *233:18 *947:A 0
-18 *233:18 *953:A 1.46645e-05
-19 *233:18 *249:24 0
-20 *233:18 *284:36 0.000103563
-21 *233:25 *249:24 0
-22 *233:25 *284:36 0.000117846
-23 *828:A0 *857:A 6.45772e-05
-*RES
-1 *953:Z *233:5 11.0817
-2 *233:5 *941:A 20.4964
-3 *233:5 *233:18 12.0468
-4 *233:18 *948:Z 13.7491
-5 *233:18 *233:25 2.24725
-6 *233:25 *950:Z 16.1364
-7 *233:25 *857:A 21.8478
-*END
-
-*D_NET *234 0.000960295
-*CONN
-*I *878:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *862:A I *D sky130_fd_sc_hd__clkinv_1
*I *859:Z O *D sky130_fd_sc_hd__einvp_2
-*I *861:Z O *D sky130_fd_sc_hd__einvn_8
+*I *861:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *878:A 8.21388e-05
-2 *859:Z 0.00027709
+1 *862:A 0.000327006
+2 *859:Z 0.000145871
3 *861:Z 0
-4 *234:5 0.000359229
-5 *878:A *237:11 0
-6 *860:A *859:Z 0.000125197
-7 *860:A *878:A 2.78772e-05
-8 *863:A *859:Z 1.6917e-05
-9 *231:8 *859:Z 7.18465e-05
+4 *244:5 0.000472877
+5 *859:Z *857:A 5.71472e-05
+6 *859:Z *858:Z 0
+7 *859:Z *940:A 3.69047e-06
+8 *859:Z *250:5 8.57023e-05
+9 *859:Z *250:18 7.65715e-05
+10 *862:A *858:A 6.05161e-06
+11 *862:A *882:A 0.000227971
+12 *862:A *267:8 2.38501e-05
+13 *741:B1 *862:A 7.61356e-05
+14 *5:700 *859:Z 6.66878e-05
+15 *5:700 *862:A 8.91963e-06
+16 *234:52 *862:A 7.74068e-05
*RES
-1 *861:Z *234:5 13.7491
-2 *234:5 *859:Z 21.0145
-3 *234:5 *878:A 15.9964
+1 *861:Z *244:5 13.8
+2 *244:5 *859:Z 17.7107
+3 *244:5 *862:A 18.9607
*END
-*D_NET *235 0.000997721
+*D_NET *245 0.000736006
*CONN
+*I *858:A I *D sky130_fd_sc_hd__einvp_2
+*I *862:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *858:A 0.000321569
+2 *862:Y 0.000321569
+3 *858:A *858:Z 3.18676e-05
+4 *858:A *877:A 0
+5 *741:B1 *858:A 5.49489e-05
+6 *862:A *858:A 6.05161e-06
+7 *5:888 *858:A 0
+*RES
+1 *862:Y *858:A 33.35
+*END
+
+*D_NET *246 0.00737497
+*CONN
+*I *949:Z O *D sky130_fd_sc_hd__einvn_8
+*I *856:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *940:A I *D sky130_fd_sc_hd__clkinv_2
+*I *947:Z O *D sky130_fd_sc_hd__einvp_2
+*I *952:Z O *D sky130_fd_sc_hd__einvp_1
+*CAP
+1 *949:Z 0.000341371
+2 *856:A 0
+3 *940:A 0.000195342
+4 *947:Z 3.33254e-05
+5 *952:Z 0.000208272
+6 *246:22 0.00198848
+7 *246:17 0.00219386
+8 *246:7 0.000300951
+9 *940:A *859:TE 5.17614e-05
+10 *940:A *250:5 2.07491e-05
+11 *940:A *333:245 2.11419e-05
+12 *947:Z *945:A 1.00375e-05
+13 *947:Z *947:A 1.76135e-05
+14 *246:7 *947:A 5.49544e-05
+15 *246:7 *952:A 5.30637e-06
+16 *246:7 *952:TE 1.59373e-05
+17 *246:17 *947:A 3.34687e-05
+18 *246:22 *859:TE 8.07313e-05
+19 *246:22 *945:A 0.000222666
+20 *246:22 *945:B 0.000136958
+21 *703:A1 *246:22 4.82947e-05
+22 *703:B1_N *246:22 0.000227723
+23 *706:A *246:22 4.14706e-05
+24 *709:A1 *246:22 4.58194e-05
+25 *709:B1 *246:22 0.000351156
+26 *716:A1 *246:22 0.000254134
+27 *716:A2 *246:22 9.63547e-05
+28 *803:A2 *949:Z 6.53244e-05
+29 *859:Z *940:A 3.69047e-06
+30 *5:700 *940:A 4.41318e-05
+31 *153:5 *246:22 0.000140471
+32 *153:11 *246:22 2.44318e-05
+33 *156:11 *246:22 9.90367e-05
+*RES
+1 *952:Z *246:7 16.3893
+2 *246:7 *947:Z 14.5321
+3 *246:7 *246:17 5.53571
+4 *246:17 *246:22 29.4286
+5 *246:22 *940:A 22.0143
+6 *246:22 *856:A 9.3
+7 *246:17 *949:Z 14.9071
+*END
+
+*D_NET *247 0.00172322
+*CONN
+*I *877:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *858:Z O *D sky130_fd_sc_hd__einvp_2
+*I *860:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *877:A 0.000318393
+2 *858:Z 0.000284782
+3 *860:Z 5.551e-05
+4 *247:7 0.000658685
+5 *858:Z *857:A 3.63107e-05
+6 *858:Z *250:18 5.09036e-05
+7 *877:A *882:A 0.000128224
+8 *877:A *272:6 7.80929e-05
+9 *247:7 *860:A 5.66971e-05
+10 *858:A *858:Z 3.18676e-05
+11 *858:A *877:A 0
+12 *859:A *858:Z 2.37575e-05
+13 *859:Z *858:Z 0
+14 *5:700 *858:Z 0
+15 *234:52 *877:A 0
+*RES
+1 *860:Z *247:7 14.7464
+2 *247:7 *858:Z 19.1571
+3 *247:7 *877:A 20.3
+*END
+
+*D_NET *248 0.00120871
+*CONN
+*I *860:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *858:TE I *D sky130_fd_sc_hd__einvp_2
+*I *741:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *860:TE_B 0.000193292
+2 *858:TE 0.000203977
+3 *741:X 9.41228e-05
+4 *248:6 0.000491391
+5 *5:876 *858:TE 0.000177451
+6 *5:876 *248:6 4.56474e-05
+7 *5:888 *248:6 2.8266e-06
+*RES
+1 *741:X *248:6 15.7464
+2 *248:6 *858:TE 17.9607
+3 *248:6 *860:TE_B 16.8
+*END
+
+*D_NET *249 0.00151112
+*CONN
+*I *861:TE_B I *D sky130_fd_sc_hd__einvn_4
*I *859:TE I *D sky130_fd_sc_hd__einvp_2
-*I *861:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *830:X O *D sky130_fd_sc_hd__mux2_1
+*I *771:X O *D sky130_fd_sc_hd__a22o_2
*CAP
-1 *859:TE 6.22563e-05
-2 *861:TE_B 0.000285561
-3 *830:X 0
-4 *235:4 0.000347818
-5 *5:41 *861:TE_B 0.000152842
-6 *12:8 *861:TE_B 0.000149244
+1 *861:TE_B 0
+2 *859:TE 0.000251282
+3 *771:X 0.000351145
+4 *249:8 0.000602427
+5 *859:TE *250:5 7.61932e-05
+6 *249:8 *878:A 3.69047e-06
+7 *249:8 *882:TE_B 5.26277e-05
+8 *683:B *249:8 4.12658e-05
+9 *708:A1 *859:TE 0
+10 *940:A *859:TE 5.17614e-05
+11 *5:700 *859:TE 0
+12 *5:714 *249:8 0
+13 *130:94 *249:8 0
+14 *175:22 *249:8 0
+15 *246:22 *859:TE 8.07313e-05
*RES
-1 *830:X *235:4 9.24915
-2 *235:4 *861:TE_B 24.4081
-3 *235:4 *859:TE 10.9612
+1 *771:X *249:8 19.2286
+2 *249:8 *859:TE 19.1929
+3 *249:8 *861:TE_B 13.8
*END
-*D_NET *236 0.00256959
+*D_NET *250 0.00156092
*CONN
-*I *862:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *860:TE I *D sky130_fd_sc_hd__einvp_2
-*I *831:X O *D sky130_fd_sc_hd__mux2_1
+*I *861:A I *D sky130_fd_sc_hd__einvn_4
+*I *860:A I *D sky130_fd_sc_hd__einvn_8
+*I *857:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *856:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *862:TE_B 0
-2 *860:TE 0.000264296
-3 *831:X 0.000712073
-4 *236:11 0.00097637
-5 *860:TE *237:11 6.27782e-05
-6 *236:11 *950:TE_B 0.000114584
-7 *384:DIODE *236:11 3.00829e-05
-8 *860:A *860:TE 0.00019786
-9 *950:Z *236:11 0.000211546
+1 *861:A 0
+2 *860:A 4.80297e-05
+3 *857:A 0.000215518
+4 *856:X 0.000219835
+5 *250:18 0.000112371
+6 *250:5 0.000499694
+7 *858:Z *857:A 3.63107e-05
+8 *858:Z *250:18 5.09036e-05
+9 *859:A *857:A 5.19842e-06
+10 *859:TE *250:5 7.61932e-05
+11 *859:Z *857:A 5.71472e-05
+12 *859:Z *250:5 8.57023e-05
+13 *859:Z *250:18 7.65715e-05
+14 *940:A *250:5 2.07491e-05
+15 *247:7 *860:A 5.66971e-05
*RES
-1 *831:X *236:11 26.7553
-2 *236:11 *860:TE 25.8655
-3 *236:11 *862:TE_B 9.24915
+1 *856:X *250:5 12.3
+2 *250:5 *857:A 12.5143
+3 *250:5 *250:18 10.9464
+4 *250:18 *860:A 10.2464
+5 *250:18 *861:A 9.3
*END
-*D_NET *237 0.0012438
-*CONN
-*I *858:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *862:A I *D sky130_fd_sc_hd__einvn_4
-*I *861:A I *D sky130_fd_sc_hd__einvn_8
-*I *857:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *858:A 0
-2 *862:A 0
-3 *861:A 8.00415e-05
-4 *857:X 0.000166431
-5 *237:11 0.000286368
-6 *237:5 0.000372758
-7 *237:5 *881:TE 6.64392e-05
-8 *828:A0 *237:5 0.000107629
-9 *860:A *237:11 4.0508e-05
-10 *860:TE *237:11 6.27782e-05
-11 *878:A *237:11 0
-12 *231:8 *237:11 6.08467e-05
-*RES
-1 *857:X *237:5 14.4094
-2 *237:5 *237:11 14.0497
-3 *237:11 *861:A 11.1059
-4 *237:11 *862:A 9.24915
-5 *237:5 *858:A 9.24915
-*END
-
-*D_NET *238 0.000256463
-*CONN
-*I *867:A I *D sky130_fd_sc_hd__einvp_2
-*I *865:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *867:A 7.65256e-05
-2 *865:X 7.65256e-05
-3 *867:A *867:Z 0.000103412
-*RES
-1 *865:X *867:A 29.7455
-*END
-
-*D_NET *239 0.00113101
-*CONN
-*I *870:A I *D sky130_fd_sc_hd__clkinv_1
-*I *867:Z O *D sky130_fd_sc_hd__einvp_2
-*I *869:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *870:A 8.43396e-05
-2 *867:Z 0.000231335
-3 *869:Z 0
-4 *239:5 0.000315675
-5 *867:Z *865:A 0.000128956
-6 *867:Z *245:18 0
-7 *870:A *866:A 0.000127194
-8 *870:A *242:11 0
-9 *870:A *245:18 0
-10 *813:A1 *870:A 3.8079e-05
-11 *867:A *867:Z 0.000103412
-12 *26:8 *867:Z 3.04571e-05
-13 *26:8 *870:A 7.15593e-05
-*RES
-1 *869:Z *239:5 13.7491
-2 *239:5 *867:Z 20.6374
-3 *239:5 *870:A 17.6574
-*END
-
-*D_NET *240 0.000843333
+*D_NET *251 0.00271019
*CONN
*I *866:A I *D sky130_fd_sc_hd__einvp_2
-*I *870:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *864:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *866:A 0.000202926
-2 *870:Y 0.000202926
-3 *866:A *869:A 2.65831e-05
-4 *866:A *242:11 0.000165459
-5 *866:A *245:18 0.000118245
-6 *870:A *866:A 0.000127194
+1 *866:A 0
+2 *864:X 0.000661637
+3 *251:19 0.000661637
+4 *251:19 *864:A 0.000100037
+5 *251:19 *865:A 5.36669e-05
+6 *251:19 *866:TE 0.000380518
+7 *251:19 *866:Z 5.49489e-05
+8 *251:19 *950:TE_B 0
+9 *251:19 *257:6 0.000154762
+10 *794:A1 *251:19 5.74499e-06
+11 *794:B1 *251:19 1.24368e-05
+12 *794:B2 *251:19 0.000127446
+13 *803:B1 *251:19 0.000417184
+14 *804:C *251:19 5.65542e-05
+15 *26:17 *251:19 2.36167e-05
*RES
-1 *870:Y *866:A 34.3512
+1 *864:X *251:19 41.7821
+2 *251:19 *866:A 9.3
*END
-*D_NET *241 0.00110111
-*CONN
-*I *936:Z O *D sky130_fd_sc_hd__einvp_2
-*I *864:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *938:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *936:Z 0
-2 *864:A 0.000263989
-3 *938:Z 0.000118303
-4 *241:6 0.000382291
-5 *864:A *313:8 6.80864e-05
-6 *241:6 *936:A 0.000197187
-7 *241:6 *939:TE_B 7.46648e-06
-8 *241:6 *313:8 5.22654e-06
-9 *351:DIODE *864:A 0
-10 *813:A0 *864:A 2.87136e-06
-11 *813:S *864:A 5.56851e-05
-12 *5:332 *864:A 0
-13 *5:336 *864:A 0
-14 *25:8 *241:6 0
-*RES
-1 *938:Z *241:6 17.6574
-2 *241:6 *864:A 20.4599
-3 *241:6 *936:Z 13.7491
-*END
-
-*D_NET *242 0.00110202
+*D_NET *252 0.00281005
*CONN
*I *866:Z O *D sky130_fd_sc_hd__einvp_2
-*I *871:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *868:Z O *D sky130_fd_sc_hd__einvn_8
+*I *869:A I *D sky130_fd_sc_hd__clkinv_1
+*I *868:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *866:Z 0
-2 *871:A 0.000227988
-3 *868:Z 0.000176693
-4 *242:11 0.000404681
-5 *242:11 *245:18 0.000127194
-6 *866:A *242:11 0.000165459
-7 *870:A *242:11 0
+1 *866:Z 2.70883e-05
+2 *869:A 0.000600116
+3 *868:Z 0.000273377
+4 *252:9 0.000900581
+5 *866:Z *865:A 2.59355e-05
+6 *866:Z *258:8 1.94945e-05
+7 *252:9 *865:TE 0.00015134
+8 *252:9 *870:A 0
+9 *252:9 *258:8 0.000230973
+10 *252:9 *258:10 0.000153047
+11 *801:A *869:A 0.00020546
+12 *5:351 *869:A 0.000113074
+13 *26:17 *866:Z 2.11419e-05
+14 *196:15 *869:A 1.28585e-05
+15 *212:23 *869:A 2.06112e-05
+16 *251:19 *866:Z 5.49489e-05
*RES
-1 *868:Z *242:11 23.4623
-2 *242:11 *871:A 14.4335
-3 *242:11 *866:Z 9.24915
+1 *868:Z *252:9 22.2315
+2 *252:9 *869:A 18.5427
+3 *252:9 *866:Z 18.0225
*END
-*D_NET *243 0.00435396
+*D_NET *253 0.00208894
+*CONN
+*I *865:A I *D sky130_fd_sc_hd__einvp_2
+*I *869:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *865:A 0.000804489
+2 *869:Y 0.000804489
+3 *865:A *299:26 0.000174598
+4 *794:A1 *865:A 0.000191289
+5 *794:A2 *865:A 7.13226e-06
+6 *794:B1 *865:A 2.129e-05
+7 *866:Z *865:A 2.59355e-05
+8 *234:115 *865:A 6.05161e-06
+9 *251:19 *865:A 5.36669e-05
+*RES
+1 *869:Y *865:A 29.5643
+*END
+
+*D_NET *254 0.000975179
+*CONN
+*I *935:Z O *D sky130_fd_sc_hd__einvp_2
+*I *863:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *937:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *935:Z 0
+2 *863:A 9.2508e-05
+3 *937:Z 0.000154339
+4 *254:8 0.000246847
+5 *863:A *939:A 4.27842e-06
+6 *863:A *323:8 0.000115767
+7 *254:8 *935:A 0.000126272
+8 *254:8 *939:A 1.9516e-05
+9 *383:DIODE *254:8 0.000101915
+10 *794:A2 *863:A 9.40051e-05
+11 *794:A2 *254:8 1.34741e-05
+12 *25:10 *863:A 6.2589e-06
+*RES
+1 *937:Z *254:8 17.1036
+2 *254:8 *863:A 16.6571
+3 *254:8 *935:Z 13.8
+*END
+
+*D_NET *255 0.000547292
+*CONN
+*I *865:Z O *D sky130_fd_sc_hd__einvp_2
+*I *870:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *867:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *865:Z 9.11327e-05
+2 *870:A 0.000182513
+3 *867:Z 0
+4 *255:5 0.000273646
+5 *865:Z *865:TE 0
+6 *252:9 *870:A 0
+*RES
+1 *867:Z *255:5 13.8
+2 *255:5 *870:A 16.8893
+3 *255:5 *865:Z 15.4429
+*END
+
+*D_NET *256 0.00683455
+*CONN
+*I *865:TE I *D sky130_fd_sc_hd__einvp_2
+*I *867:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *766:X O *D sky130_fd_sc_hd__o31a_2
+*CAP
+1 *865:TE 0.000415262
+2 *867:TE_B 4.17594e-05
+3 *766:X 0.00133515
+4 *256:21 0.00179217
+5 *865:TE *867:A 2.59355e-05
+6 *256:21 *263:18 2.94041e-05
+7 *256:21 *278:12 0.000558028
+8 *256:21 *299:26 0.000980476
+9 *384:DIODE *256:21 6.83242e-05
+10 *743:A *256:21 9.70883e-05
+11 *750:A *256:21 0.000266247
+12 *766:A3 *256:21 3.18676e-05
+13 *775:B *256:21 3.34295e-05
+14 *776:B *256:21 0.000339584
+15 *792:C *256:21 1.07593e-05
+16 *804:C *867:TE_B 1.04232e-05
+17 *806:B2 *256:21 3.48235e-05
+18 *865:Z *865:TE 0
+19 *5:351 *256:21 6.45293e-05
+20 *16:5 *256:21 1.72694e-05
+21 *132:29 *256:21 3.38578e-05
+22 *181:18 *256:21 0.000349104
+23 *196:15 *256:21 9.25941e-05
+24 *237:89 *256:21 5.51261e-05
+25 *252:9 *865:TE 0.00015134
+*RES
+1 *766:X *256:21 35.43
+2 *256:21 *867:TE_B 10.0321
+3 *256:21 *865:TE 24.8893
+*END
+
+*D_NET *257 0.0012788
*CONN
*I *866:TE I *D sky130_fd_sc_hd__einvp_2
-*I *868:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *810:X O *D sky130_fd_sc_hd__mux2_1
+*I *868:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *803:X O *D sky130_fd_sc_hd__a21o_2
*CAP
-1 *866:TE 0.000236111
-2 *868:TE_B 0
-3 *810:X 0.0011216
-4 *243:22 0.000236111
-5 *243:20 0.0011216
-6 *351:DIODE *243:20 0
-7 *376:DIODE *243:20 0.000113968
-8 *770:A *243:20 0
-9 *772:A *866:TE 0
-10 *772:A *243:20 5.11783e-05
-11 *773:A2 *243:20 2.11494e-05
-12 *773:B1 *243:20 5.74984e-05
-13 *774:A3 *243:20 1.91391e-05
-14 *813:A0 *243:20 0.000123896
-15 *5:336 *866:TE 0.000226281
-16 *5:336 *243:20 0
-17 *55:22 *243:20 4.51619e-05
-18 *65:10 *243:20 0.000118166
-19 *160:35 *243:20 0.000711255
-20 *160:43 *243:20 0.000130087
-21 *222:32 *866:TE 1.61631e-05
-22 *222:50 *243:20 0
-23 *222:61 *243:20 4.58897e-06
+1 *866:TE 0.000218918
+2 *868:TE_B 4.01965e-05
+3 *803:X 7.41327e-05
+4 *257:6 0.000333248
+5 *794:A1 *866:TE 5.20751e-05
+6 *794:B2 *866:TE 2.49484e-05
+7 *26:17 *866:TE 0
+8 *26:17 *257:6 0
+9 *251:19 *866:TE 0.000380518
+10 *251:19 *257:6 0.000154762
*RES
-1 *810:X *243:20 47.013
-2 *243:20 *243:22 4.5
-3 *243:22 *868:TE_B 9.24915
-4 *243:22 *866:TE 25.0992
+1 *803:X *257:6 15.7464
+2 *257:6 *868:TE_B 14.3357
+3 *257:6 *866:TE 19.425
*END
-*D_NET *244 0.00153795
+*D_NET *258 0.00325278
*CONN
-*I *869:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *867:TE I *D sky130_fd_sc_hd__einvp_2
-*I *811:X O *D sky130_fd_sc_hd__mux2_1
+*I *867:A I *D sky130_fd_sc_hd__einvn_8
+*I *868:A I *D sky130_fd_sc_hd__einvn_4
+*I *864:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *863:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *869:TE_B 0.00026349
-2 *867:TE 4.02577e-05
-3 *811:X 0.000324223
-4 *244:8 0.000627971
-5 *867:TE *876:TE_B 6.50727e-05
-6 *869:TE_B *245:18 3.39219e-05
-7 *344:DIODE *244:8 5.48642e-05
-8 *5:336 *869:TE_B 0
-9 *5:380 *869:TE_B 8.32204e-06
-10 *5:380 *244:8 7.82051e-05
-11 *5:392 *244:8 2.74956e-05
-12 *225:24 *867:TE 1.41291e-05
+1 *867:A 3.39029e-05
+2 *868:A 0.000110591
+3 *864:A 0.000344857
+4 *863:X 0.000307481
+5 *258:10 0.000507321
+6 *258:8 0.000393257
+7 *864:A *946:A 0
+8 *864:A *947:A 0.000114114
+9 *864:A *265:6 0
+10 *258:8 *323:8 0.000144773
+11 *800:A2 *258:8 3.14193e-05
+12 *803:A2 *864:A 5.83926e-05
+13 *865:TE *867:A 2.59355e-05
+14 *866:Z *258:8 1.94945e-05
+15 *26:17 *864:A 0.000279004
+16 *26:17 *258:8 0.000226769
+17 *26:17 *258:10 0.000165946
+18 *131:7 *864:A 5.46383e-06
+19 *251:19 *864:A 0.000100037
+20 *252:9 *258:8 0.000230973
+21 *252:9 *258:10 0.000153047
*RES
-1 *811:X *244:8 21.0117
-2 *244:8 *867:TE 15.0271
-3 *244:8 *869:TE_B 20.1489
+1 *863:X *258:8 20.3536
+2 *258:8 *258:10 2.25
+3 *258:10 *864:A 21.9071
+4 *258:10 *868:A 15.5679
+5 *258:8 *867:A 14.3357
*END
-*D_NET *245 0.00158668
-*CONN
-*I *869:A I *D sky130_fd_sc_hd__einvn_4
-*I *865:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *868:A I *D sky130_fd_sc_hd__einvn_8
-*I *864:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *869:A 0.000110909
-2 *865:A 8.42907e-05
-3 *868:A 0
-4 *864:X 9.24833e-05
-5 *245:18 0.000483407
-6 *245:6 0.000380691
-7 *866:A *869:A 2.65831e-05
-8 *866:A *245:18 0.000118245
-9 *867:Z *865:A 0.000128956
-10 *867:Z *245:18 0
-11 *869:TE_B *245:18 3.39219e-05
-12 *870:A *245:18 0
-13 *5:336 *245:6 0
-14 *5:336 *245:18 0
-15 *242:11 *245:18 0.000127194
-*RES
-1 *864:X *245:6 15.9964
-2 *245:6 *868:A 13.7491
-3 *245:6 *245:18 13.0599
-4 *245:18 *865:A 20.9116
-5 *245:18 *869:A 11.6364
-*END
-
-*D_NET *246 0.00127922
-*CONN
-*I *874:A I *D sky130_fd_sc_hd__einvp_2
-*I *872:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *874:A 0.000349174
-2 *872:X 0.000349174
-3 *809:A0 *874:A 0.000481241
-4 *122:38 *874:A 9.96342e-05
-5 *222:18 *874:A 0
-*RES
-1 *872:X *874:A 34.4905
-*END
-
-*D_NET *247 0.000978491
-*CONN
-*I *874:Z O *D sky130_fd_sc_hd__einvp_2
-*I *877:A I *D sky130_fd_sc_hd__clkinv_1
-*I *876:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *874:Z 0
-2 *877:A 5.0441e-05
-3 *876:Z 8.67727e-05
-4 *247:7 0.000137214
-5 *877:A *874:TE 0
-6 *247:7 *874:TE 7.52666e-05
-7 *247:7 *876:TE_B 0
-8 *5:339 *877:A 0.00011818
-9 *5:339 *247:7 0.000428134
-10 *225:24 *877:A 2.23259e-05
-11 *225:24 *247:7 6.01574e-05
-*RES
-1 *876:Z *247:7 14.4335
-2 *247:7 *877:A 11.1059
-3 *247:7 *874:Z 9.24915
-*END
-
-*D_NET *248 0.000546835
+*D_NET *259 0.00013254
*CONN
*I *873:A I *D sky130_fd_sc_hd__einvp_2
-*I *877:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *871:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *873:A 0.000128662
-2 *877:Y 0.000128662
-3 *5:339 *873:A 0.000118166
-4 *221:55 *873:A 4.86366e-05
-5 *225:33 *873:A 0.000122708
+1 *873:A 6.62698e-05
+2 *871:X 6.62698e-05
*RES
-1 *877:Y *873:A 31.0235
+1 *871:X *873:A 19.5643
*END
-*D_NET *249 0.00781257
+*D_NET *260 0.000537594
*CONN
-*I *950:A I *D sky130_fd_sc_hd__einvn_8
-*I *951:A I *D sky130_fd_sc_hd__einvn_4
-*I *947:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *876:A I *D sky130_fd_sc_hd__clkinv_1
*I *873:Z O *D sky130_fd_sc_hd__einvp_2
-*I *875:Z O *D sky130_fd_sc_hd__einvn_8
+*I *875:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *950:A 3.46859e-05
-2 *951:A 3.67843e-05
-3 *947:A 0.000253557
-4 *873:Z 0.000146516
-5 *875:Z 0.000372978
-6 *249:24 0.000440803
-7 *249:23 0.00166546
-8 *249:5 0.0019998
-9 *873:Z *875:TE_B 0.000110458
-10 *947:A *949:A 0
-11 *947:A *284:36 0
-12 *950:A *952:A 0
-13 *951:A *948:A 0.000118166
-14 *249:5 *875:A 0.000362316
-15 *249:23 *875:TE_B 4.89251e-05
-16 *249:24 *948:A 0
-17 *249:24 *952:A 0
-18 *706:A *873:Z 0.00015607
-19 *706:A *249:23 9.87197e-05
-20 *782:A2 *249:23 0.00015607
-21 *830:A0 *249:23 0.000241368
-22 *846:D *947:A 8.9075e-05
-23 *5:351 *249:23 0.000507889
-24 *122:38 *873:Z 0.000109421
-25 *122:38 *249:23 5.03013e-06
-26 *165:5 *249:23 0.000386928
-27 *166:5 *249:23 7.92757e-06
-28 *166:32 *249:23 7.99701e-05
-29 *223:84 *249:23 4.87439e-05
-30 *223:90 *249:23 0.000108465
-31 *223:98 *249:23 1.88422e-05
-32 *224:21 *249:23 2.02035e-05
-33 *225:44 *249:23 0.000187405
-34 *233:18 *947:A 0
-35 *233:18 *249:24 0
-36 *233:25 *249:24 0
+1 *876:A 8.2929e-05
+2 *873:Z 0.00014584
+3 *875:Z 4.00275e-05
+4 *260:7 0.000268797
+5 *873:Z *871:A 0
+6 *873:Z *265:12 0
+7 *876:A *265:12 0
+8 *805:B1 *873:Z 0
*RES
-1 *875:Z *249:5 18.2916
-2 *249:5 *873:Z 13.8548
-3 *249:5 *249:23 36.1441
-4 *249:23 *249:24 3.28538
-5 *249:24 *947:A 20.3233
-6 *249:24 *951:A 15.0271
-7 *249:23 *950:A 14.543
+1 *875:Z *260:7 14.3357
+2 *260:7 *873:Z 16.3536
+3 *260:7 *876:A 15.1393
*END
-*D_NET *250 0.00557557
+*D_NET *261 0.000216016
*CONN
-*I *875:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *872:A I *D sky130_fd_sc_hd__einvp_2
+*I *876:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *872:A 7.57591e-05
+2 *876:Y 7.57591e-05
+3 *872:A *265:12 6.44976e-05
+*RES
+1 *876:Y *872:A 29.2429
+*END
+
+*D_NET *262 0.00178995
+*CONN
+*I *946:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *950:A I *D sky130_fd_sc_hd__einvn_4
+*I *949:A I *D sky130_fd_sc_hd__einvn_8
+*I *872:Z O *D sky130_fd_sc_hd__einvp_2
+*I *874:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *946:A 0.000166433
+2 *950:A 0
+3 *949:A 0
+4 *872:Z 0.000138007
+5 *874:Z 0
+6 *262:22 0.000289203
+7 *262:15 0.000302117
+8 *262:5 0.000317353
+9 *872:Z *265:6 2.82057e-05
+10 *872:Z *265:12 8.16036e-05
+11 *946:A *948:A 6.05161e-06
+12 *946:A *948:Z 6.06987e-05
+13 *946:A *265:6 8.23211e-05
+14 *262:15 *265:6 0.000186941
+15 *262:22 *265:6 0.000116982
+16 *418:DIODE *872:Z 1.40306e-05
+17 *803:A2 *262:22 0
+18 *864:A *946:A 0
+*RES
+1 *874:Z *262:5 13.8
+2 *262:5 *872:Z 16.8893
+3 *262:5 *262:15 4.375
+4 *262:15 *949:A 13.8
+5 *262:15 *262:22 2.85714
+6 *262:22 *950:A 13.8
+7 *262:22 *946:A 16.9964
+*END
+
+*D_NET *263 0.011266
+*CONN
+*I *872:TE I *D sky130_fd_sc_hd__einvp_2
+*I *874:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *768:X O *D sky130_fd_sc_hd__a32o_2
+*CAP
+1 *872:TE 0.000309992
+2 *874:TE_B 0
+3 *768:X 0.00214208
+4 *263:21 0.000369581
+5 *263:18 0.00220167
+6 *872:TE *333:162 2.19684e-05
+7 *872:TE *333:177 4.35048e-05
+8 *263:18 *299:26 5.83547e-05
+9 *384:DIODE *263:18 0.000368545
+10 *395:DIODE *263:18 4.94711e-05
+11 *418:DIODE *872:TE 5.25578e-05
+12 *750:A *263:18 4.06573e-06
+13 *756:B1 *263:18 7.43578e-06
+14 *768:B1 *263:18 0.000132306
+15 *778:A2 *263:18 0.000458312
+16 *792:A *263:18 0
+17 *794:B1 *263:18 0.000167125
+18 *794:B2 *263:18 0
+19 *796:A1 *263:18 0.000137224
+20 *801:A *263:18 0.000104041
+21 *804:C *263:18 2.54537e-05
+22 *843:RESET_B *263:21 9.60875e-05
+23 *5:351 *263:18 0.000476424
+24 *5:409 *263:18 0.000144243
+25 *5:487 *872:TE 1.93007e-05
+26 *130:45 *263:18 0.000100243
+27 *142:62 *263:18 0.00078203
+28 *150:24 *263:18 0.000515385
+29 *178:39 *263:18 2.09826e-05
+30 *179:24 *263:18 2.61099e-05
+31 *179:32 *263:18 2.63605e-06
+32 *179:85 *263:18 1.94879e-05
+33 *212:23 *263:18 0.000612852
+34 *234:8 *263:18 0.000717865
+35 *234:97 *263:18 0.000198748
+36 *234:108 *263:18 1.08359e-05
+37 *235:5 *263:21 5.33005e-05
+38 *235:40 *263:18 0.000602992
+39 *235:49 *263:18 0.000183392
+40 *256:21 *263:18 2.94041e-05
+*RES
+1 *768:X *263:18 48.7582
+2 *263:18 *263:21 5.85714
+3 *263:21 *874:TE_B 9.3
+4 *263:21 *872:TE 24.0857
+*END
+
+*D_NET *264 0.000847435
+*CONN
+*I *875:TE_B I *D sky130_fd_sc_hd__einvn_4
*I *873:TE I *D sky130_fd_sc_hd__einvp_2
-*I *808:X O *D sky130_fd_sc_hd__mux2_1
+*I *805:X O *D sky130_fd_sc_hd__a21o_2
*CAP
-1 *875:TE_B 2.23302e-05
-2 *873:TE 0
-3 *808:X 0.000811544
-4 *250:20 0.000602353
-5 *250:9 0.00139157
-6 *250:9 *284:36 3.4475e-05
-7 *383:DIODE *250:9 0.000186161
-8 *699:A *250:20 5.41227e-05
-9 *700:A *250:9 0.000154145
-10 *701:C *250:9 0.000129784
-11 *702:C *250:20 2.22075e-05
-12 *706:A *250:20 2.21161e-05
-13 *758:A *250:9 2.57986e-05
-14 *758:B *250:9 0.000174698
-15 *767:A1 *250:9 8.07791e-05
-16 *767:A2 *250:9 0.000272947
-17 *767:A3 *250:9 0.000213902
-18 *768:A1 *250:9 1.96344e-05
-19 *768:A3 *250:9 0.000164843
-20 *828:A0 *250:9 0.000195621
-21 *873:Z *875:TE_B 0.000110458
-22 *55:22 *250:20 8.89497e-06
-23 *122:38 *250:20 8.55614e-05
-24 *122:47 *250:20 4.33979e-05
-25 *166:38 *250:9 5.481e-05
-26 *201:8 *250:9 0.000120962
-27 *222:18 *250:20 2.21161e-05
-28 *225:36 *250:20 0.000355926
-29 *225:38 *250:20 0.000145485
-30 *249:23 *875:TE_B 4.89251e-05
+1 *875:TE_B 0.000166597
+2 *873:TE 6.71827e-05
+3 *805:X 8.67339e-05
+4 *264:6 0.000320513
+5 *875:TE_B *333:144 0
+6 *805:B1 *875:TE_B 0.00013097
+7 *805:B1 *264:6 7.54384e-05
*RES
-1 *808:X *250:9 47.2862
-2 *250:9 *250:20 20.5988
-3 *250:20 *873:TE 9.24915
-4 *250:20 *875:TE_B 10.5271
+1 *805:X *264:6 15.7464
+2 *264:6 *873:TE 14.7464
+3 *264:6 *875:TE_B 17.3179
*END
-*D_NET *251 0.00119841
+*D_NET *265 0.00306087
*CONN
-*I *876:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *874:TE I *D sky130_fd_sc_hd__einvp_2
-*I *809:X O *D sky130_fd_sc_hd__mux2_1
+*I *874:A I *D sky130_fd_sc_hd__einvn_8
+*I *875:A I *D sky130_fd_sc_hd__einvn_4
+*I *871:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *870:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *876:TE_B 8.94399e-05
-2 *874:TE 7.28875e-05
-3 *809:X 8.24607e-05
-4 *251:8 0.000244788
-5 *867:TE *876:TE_B 6.50727e-05
-6 *877:A *874:TE 0
-7 *5:339 *874:TE 1.41291e-05
-8 *5:380 *874:TE 2.38044e-05
-9 *5:380 *876:TE_B 3.11269e-05
-10 *5:380 *251:8 3.37843e-05
-11 *225:24 *874:TE 0.000321985
-12 *225:24 *876:TE_B 0.00014366
-13 *247:7 *874:TE 7.52666e-05
-14 *247:7 *876:TE_B 0
+1 *874:A 0
+2 *875:A 0
+3 *871:A 0.000153816
+4 *870:X 0.00043152
+5 *265:12 0.000474234
+6 *265:6 0.000751939
+7 *864:A *265:6 0
+8 *872:A *265:12 6.44976e-05
+9 *872:Z *265:6 2.82057e-05
+10 *872:Z *265:12 8.16036e-05
+11 *873:Z *871:A 0
+12 *873:Z *265:12 0
+13 *876:A *265:12 0
+14 *946:A *265:6 8.23211e-05
+15 *27:11 *265:6 0.000471816
+16 *27:11 *265:12 0.000216997
+17 *262:15 *265:6 0.000186941
+18 *262:22 *265:6 0.000116982
*RES
-1 *809:X *251:8 20.0811
-2 *251:8 *874:TE 13.3243
-3 *251:8 *876:TE_B 12.9385
+1 *870:X *265:6 25.7643
+2 *265:6 *265:12 7.76786
+3 *265:12 *871:A 16.6571
+4 *265:12 *875:A 13.8
+5 *265:6 *874:A 13.8
*END
-*D_NET *252 0.00194571
-*CONN
-*I *875:A I *D sky130_fd_sc_hd__einvn_8
-*I *876:A I *D sky130_fd_sc_hd__einvn_4
-*I *872:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *871:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *875:A 0.00011381
-2 *876:A 0
-3 *872:A 0.000170516
-4 *871:X 7.73365e-05
-5 *252:8 0.000304533
-6 *252:6 0.000325163
-7 *340:DIODE *872:A 0.00017407
-8 *809:A0 *872:A 0.000222979
-9 *5:336 *252:6 2.85637e-05
-10 *5:336 *252:8 4.79669e-05
-11 *5:380 *872:A 0
-12 *5:380 *252:8 0
-13 *222:18 *872:A 3.98412e-05
-14 *222:18 *252:6 2.69701e-05
-15 *222:18 *252:8 5.16418e-05
-16 *249:5 *875:A 0.000362316
-*RES
-1 *871:X *252:6 15.9964
-2 *252:6 *252:8 3.90826
-3 *252:8 *872:A 19.2141
-4 *252:8 *876:A 13.7491
-5 *252:6 *875:A 17.8002
-*END
-
-*D_NET *253 0.000889161
-*CONN
-*I *881:A I *D sky130_fd_sc_hd__einvp_2
-*I *879:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *881:A 0.000336109
-2 *879:X 0.000336109
-3 *881:A *879:A 4.41414e-05
-4 *881:A *880:A 0
-5 *881:A *881:TE 0
-6 *881:A *881:Z 9.60216e-05
-7 *881:A *883:TE_B 6.64392e-05
-8 *881:A *254:7 1.03403e-05
-9 *881:A *259:8 0
-*RES
-1 *879:X *881:A 33.7966
-*END
-
-*D_NET *254 0.000875096
-*CONN
-*I *884:A I *D sky130_fd_sc_hd__clkinv_1
-*I *881:Z O *D sky130_fd_sc_hd__einvp_2
-*I *883:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *884:A 0.000163012
-2 *881:Z 0.00011298
-3 *883:Z 3.48023e-05
-4 *254:7 0.000310794
-5 *881:Z *881:TE 0
-6 *881:Z *259:8 0
-7 *884:A *880:A 2.65667e-05
-8 *884:A *885:A 0
-9 *884:A *259:8 0
-10 *254:7 *879:A 0.000118166
-11 *881:A *881:Z 9.60216e-05
-12 *881:A *254:7 1.03403e-05
-13 *5:88 *884:A 2.41274e-06
-*RES
-1 *883:Z *254:7 15.0271
-2 *254:7 *881:Z 16.8269
-3 *254:7 *884:A 17.5503
-*END
-
-*D_NET *255 0.00139864
+*D_NET *266 0.000571759
*CONN
*I *880:A I *D sky130_fd_sc_hd__einvp_2
-*I *884:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *878:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *880:A 0.000518999
-2 *884:Y 0.000518999
-3 *880:A *880:Z 3.85675e-05
-4 *880:A *885:A 5.69404e-05
-5 *880:A *259:8 0.000200221
-6 *828:A0 *880:A 3.83429e-05
-7 *881:A *880:A 0
-8 *884:A *880:A 2.65667e-05
+1 *880:A 0.00016837
+2 *878:X 0.00016837
+3 *880:A *878:A 0.000235019
*RES
-1 *884:Y *880:A 39.3664
+1 *878:X *880:A 22.1536
*END
-*D_NET *256 0.000593606
+*D_NET *267 0.00182919
*CONN
-*I *885:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *883:A I *D sky130_fd_sc_hd__clkinv_1
*I *880:Z O *D sky130_fd_sc_hd__einvp_2
-*I *882:Z O *D sky130_fd_sc_hd__einvn_8
+*I *882:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *885:A 0.000112008
-2 *880:Z 0.000104512
-3 *882:Z 0
-4 *256:5 0.00021652
-5 *880:Z *882:TE_B 0
-6 *885:A *882:TE_B 0
-7 *828:A0 *880:Z 6.50586e-05
-8 *880:A *880:Z 3.85675e-05
-9 *880:A *885:A 5.69404e-05
-10 *884:A *885:A 0
+1 *883:A 0.000203186
+2 *880:Z 4.65883e-05
+3 *882:Z 0.000137981
+4 *267:8 0.000387756
+5 *880:Z *878:A 9.90431e-05
+6 *883:A *879:A 0
+7 *883:A *908:TE 4.1331e-06
+8 *883:A *272:6 0.000119618
+9 *883:A *299:26 1.26512e-05
+10 *267:8 *882:A 0.000320712
+11 *267:8 *272:6 3.34366e-05
+12 *741:B1 *267:8 0.000137983
+13 *753:A1 *883:A 1.92582e-05
+14 *862:A *267:8 2.38501e-05
+15 *178:49 *883:A 0.00014491
+16 *234:52 *883:A 5.0725e-05
+17 *234:52 *267:8 8.73559e-05
*RES
-1 *882:Z *256:5 13.7491
-2 *256:5 *880:Z 16.7198
-3 *256:5 *885:A 17.2421
+1 *882:Z *267:8 18.3179
+2 *267:8 *880:Z 14.7464
+3 *267:8 *883:A 18.4786
*END
-*D_NET *257 0.00080788
+*D_NET *268 0.000884708
+*CONN
+*I *879:A I *D sky130_fd_sc_hd__einvp_2
+*I *883:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *879:A 0.000305207
+2 *883:Y 0.000305207
+3 *757:B1 *879:A 0.000274294
+4 *883:A *879:A 0
+*RES
+1 *883:Y *879:A 31.8321
+*END
+
+*D_NET *269 0.00129374
+*CONN
+*I *879:Z O *D sky130_fd_sc_hd__einvp_2
+*I *884:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *881:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *879:Z 0.000100025
+2 *884:A 0.000339992
+3 *881:Z 0.00020685
+4 *269:8 0.000646868
+5 *5:888 *879:Z 0
+6 *5:888 *269:8 0
+*RES
+1 *881:Z *269:8 17.0143
+2 *269:8 *884:A 18.4429
+3 *269:8 *879:Z 15.4429
+*END
+
+*D_NET *270 0.000882614
+*CONN
+*I *879:TE I *D sky130_fd_sc_hd__einvp_2
+*I *881:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *747:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *879:TE 4.435e-05
+2 *881:TE_B 9.57932e-05
+3 *747:X 2.17614e-05
+4 *270:5 0.000161905
+5 *879:TE *886:A 9.90431e-05
+6 *879:TE *890:A 4.27935e-05
+7 *881:TE_B *942:A 8.5896e-05
+8 *270:5 *886:A 9.71197e-05
+9 *270:5 *890:A 9.71197e-05
+10 *751:B1 *879:TE 4.83631e-05
+11 *5:888 *879:TE 4.58976e-05
+12 *5:888 *881:TE_B 4.25716e-05
+*RES
+1 *747:X *270:5 10.2464
+2 *270:5 *881:TE_B 20.55
+3 *270:5 *879:TE 11.0679
+*END
+
+*D_NET *271 0.00333204
*CONN
-*I *882:TE_B I *D sky130_fd_sc_hd__einvn_8
*I *880:TE I *D sky130_fd_sc_hd__einvp_2
-*I *828:X O *D sky130_fd_sc_hd__mux2_1
+*I *882:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *778:X O *D sky130_fd_sc_hd__a22o_2
*CAP
-1 *882:TE_B 0.000276159
-2 *880:TE 6.65919e-05
-3 *828:X 0
-4 *257:4 0.000342751
-5 *828:A0 *880:TE 0.000122378
-6 *880:Z *882:TE_B 0
-7 *885:A *882:TE_B 0
-8 *23:8 *882:TE_B 0
+1 *880:TE 0
+2 *882:TE_B 0.000157716
+3 *778:X 0.000782095
+4 *271:12 0.000939811
+5 *683:B *882:TE_B 0
+6 *683:B *271:12 0
+7 *741:B1 *882:TE_B 0.00014183
+8 *745:B *271:12 8.03564e-05
+9 *746:A *271:12 6.86792e-05
+10 *746:C *271:12 1.58163e-05
+11 *750:C *271:12 1.69961e-05
+12 *757:B1 *271:12 0.000178847
+13 *769:B1 *271:12 6.12603e-05
+14 *771:B1 *882:TE_B 2.06178e-05
+15 *771:B1 *271:12 0.00025621
+16 *778:B1 *271:12 1.61074e-05
+17 *5:714 *882:TE_B 7.97875e-05
+18 *132:30 *271:12 6.26276e-05
+19 *132:48 *271:12 4.43256e-05
+20 *150:8 *271:12 0
+21 *176:14 *271:12 0.000139907
+22 *178:43 *271:12 5.1588e-05
+23 *179:7 *271:12 4.43256e-05
+24 *180:6 *271:12 0.000120506
+25 *234:52 *882:TE_B 0
+26 *249:8 *882:TE_B 5.26277e-05
*RES
-1 *828:X *257:4 9.24915
-2 *257:4 *880:TE 11.5158
-3 *257:4 *882:TE_B 24.4081
+1 *778:X *271:12 27.5679
+2 *271:12 *882:TE_B 17.7107
+3 *271:12 *880:TE 13.8
*END
-*D_NET *258 0.00408416
+*D_NET *272 0.00211841
*CONN
-*I *881:TE I *D sky130_fd_sc_hd__einvp_2
-*I *883:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *829:X O *D sky130_fd_sc_hd__mux2_1
+*I *878:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *881:A I *D sky130_fd_sc_hd__einvn_8
+*I *882:A I *D sky130_fd_sc_hd__einvn_4
+*I *877:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *881:TE 0.00014623
-2 *883:TE_B 9.29839e-05
-3 *829:X 0.000706548
-4 *258:9 0.000945762
-5 *881:TE *321:10 3.69003e-05
-6 *883:TE_B *879:A 4.4466e-05
-7 *258:9 *879:A 2.41274e-06
-8 *775:B *258:9 0.0002646
-9 *808:A0 *258:9 0.000265631
-10 *808:S *258:9 0.000275256
-11 *828:A0 *881:TE 7.16754e-05
-12 *857:A *881:TE 7.34948e-06
-13 *881:A *881:TE 0
-14 *881:A *883:TE_B 6.64392e-05
-15 *881:Z *881:TE 0
-16 *164:32 *258:9 0.000453457
-17 *201:8 *258:9 0.000169108
-18 *223:38 *258:9 0.000300565
-19 *225:69 *258:9 1.87469e-05
-20 *225:76 *258:9 2.97286e-05
-21 *225:81 *258:9 6.44576e-05
-22 *225:135 *258:9 5.54078e-05
-23 *237:5 *881:TE 6.64392e-05
+1 *878:A 0.000226404
+2 *881:A 0
+3 *882:A 0.000131003
+4 *877:X 4.30407e-05
+5 *272:17 0.000226404
+6 *272:6 0.000174044
+7 *741:B1 *882:A 7.17136e-05
+8 *862:A *882:A 0.000227971
+9 *877:A *882:A 0.000128224
+10 *877:A *272:6 7.80929e-05
+11 *880:A *878:A 0.000235019
+12 *880:Z *878:A 9.90431e-05
+13 *883:A *272:6 0.000119618
+14 *249:8 *878:A 3.69047e-06
+15 *267:8 *882:A 0.000320712
+16 *267:8 *272:6 3.34366e-05
*RES
-1 *829:X *258:9 42.016
-2 *258:9 *883:TE_B 12.0704
-3 *258:9 *881:TE 22.7442
+1 *877:X *272:6 15.7464
+2 *272:6 *882:A 19.1393
+3 *272:6 *272:17 4.5
+4 *272:17 *881:A 9.3
+5 *272:17 *878:A 13.9429
*END
-*D_NET *259 0.0014843
-*CONN
-*I *879:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *883:A I *D sky130_fd_sc_hd__einvn_4
-*I *882:A I *D sky130_fd_sc_hd__einvn_8
-*I *878:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *879:A 0.000310654
-2 *883:A 0
-3 *882:A 9.21476e-05
-4 *878:X 0.000101398
-5 *259:17 0.0003439
-6 *259:8 0.000226792
-7 *880:A *259:8 0.000200221
-8 *881:A *879:A 4.41414e-05
-9 *881:A *259:8 0
-10 *881:Z *259:8 0
-11 *883:TE_B *879:A 4.4466e-05
-12 *884:A *259:8 0
-13 *254:7 *879:A 0.000118166
-14 *258:9 *879:A 2.41274e-06
-*RES
-1 *878:X *259:8 21.7421
-2 *259:8 *882:A 11.1059
-3 *259:8 *259:17 0.723396
-4 *259:17 *883:A 9.24915
-5 *259:17 *879:A 17.2065
-*END
-
-*D_NET *260 0.000854343
-*CONN
-*I *888:A I *D sky130_fd_sc_hd__einvp_2
-*I *886:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *888:A 0.000241736
-2 *886:X 0.000241736
-3 *888:A *886:A 3.14978e-05
-4 *888:A *888:Z 0.000173563
-5 *888:A *261:6 0.000122083
-6 *888:A *263:8 1.22289e-05
-7 *888:A *266:9 3.14978e-05
-8 *5:88 *888:A 0
-9 *5:120 *888:A 0
-*RES
-1 *886:X *888:A 34.3456
-*END
-
-*D_NET *261 0.000831405
-*CONN
-*I *888:Z O *D sky130_fd_sc_hd__einvp_2
-*I *891:A I *D sky130_fd_sc_hd__clkinv_1
-*I *890:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *888:Z 6.04043e-05
-2 *891:A 1.52633e-05
-3 *890:Z 6.85303e-05
-4 *261:6 0.000144198
-5 *888:Z *890:TE_B 0
-6 *888:Z *263:8 0.000121456
-7 *891:A *887:A 1.00846e-05
-8 *891:A *263:8 7.34948e-06
-9 *261:6 *889:A 4.34143e-05
-10 *888:A *888:Z 0.000173563
-11 *888:A *261:6 0.000122083
-12 *5:76 *891:A 6.50586e-05
-13 *5:88 *261:6 0
-*RES
-1 *890:Z *261:6 16.4116
-2 *261:6 *891:A 14.4725
-3 *261:6 *888:Z 16.8269
-*END
-
-*D_NET *262 0.000856305
+*D_NET *273 0.00104914
*CONN
*I *887:A I *D sky130_fd_sc_hd__einvp_2
-*I *891:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *885:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *887:A 0.00029016
-2 *891:Y 0.00029016
-3 *887:A *887:TE 0
-4 *887:A *890:TE_B 0.000125665
-5 *887:A *263:8 0.000118166
-6 *891:A *887:A 1.00846e-05
-7 *5:76 *887:A 2.20688e-05
+1 *887:A 0.000239895
+2 *885:X 0.000239895
+3 *887:A *942:A 0.000183713
+4 *887:A *274:10 0.000294422
+5 *887:A *279:16 5.5782e-05
+6 *5:891 *887:A 1.76135e-05
+7 *32:27 *887:A 1.78147e-05
*RES
-1 *891:Y *887:A 33.242
+1 *885:X *887:A 33.1357
*END
-*D_NET *263 0.00130553
+*D_NET *274 0.00198834
*CONN
-*I *892:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *890:A I *D sky130_fd_sc_hd__clkinv_1
*I *887:Z O *D sky130_fd_sc_hd__einvp_2
-*I *889:Z O *D sky130_fd_sc_hd__einvn_8
+*I *889:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *892:A 8.77005e-05
-2 *887:Z 0.000140285
-3 *889:Z 0.00015624
-4 *263:8 0.000384226
-5 *887:Z *265:13 0.000209636
-6 *263:8 *890:TE_B 0
-7 *887:A *263:8 0.000118166
-8 *888:A *263:8 1.22289e-05
-9 *888:Z *263:8 0.000121456
-10 *891:A *263:8 7.34948e-06
-11 *5:76 *263:8 2.42273e-05
-12 *5:127 *892:A 0
-13 *5:127 *263:8 0
-14 *33:11 *892:A 4.40158e-05
+1 *890:A 0.000130954
+2 *887:Z 0
+3 *889:Z 0.000171622
+4 *274:10 0.000302576
+5 *890:A *886:A 0.000244502
+6 *274:10 *889:TE_B 5.85322e-05
+7 *274:10 *891:A 3.34366e-05
+8 *274:10 *276:8 0.000198691
+9 *274:10 *279:16 0
+10 *741:A1 *274:10 5.52302e-05
+11 *747:A2 *890:A 6.23649e-05
+12 *879:TE *890:A 4.27935e-05
+13 *887:A *274:10 0.000294422
+14 *5:888 *890:A 0.000288293
+15 *5:891 *274:10 7.79781e-06
+16 *270:5 *890:A 9.71197e-05
*RES
-1 *889:Z *263:8 18.5201
-2 *263:8 *887:Z 17.2456
-3 *263:8 *892:A 15.9964
+1 *889:Z *274:10 23.8179
+2 *274:10 *887:Z 9.3
+3 *274:10 *890:A 14.0857
*END
-*D_NET *264 0.00119273
+*D_NET *275 0.00190875
+*CONN
+*I *886:A I *D sky130_fd_sc_hd__einvp_2
+*I *890:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *886:A 0.000236643
+2 *890:Y 0.000236643
+3 *886:A *886:Z 0.000152182
+4 *361:DIODE *886:A 0.000244535
+5 *747:A2 *886:A 2.9911e-05
+6 *751:B1 *886:A 0.000568175
+7 *879:TE *886:A 9.90431e-05
+8 *890:A *886:A 0.000244502
+9 *270:5 *886:A 9.71197e-05
+*RES
+1 *890:Y *886:A 28.7607
+*END
+
+*D_NET *276 0.00192296
+*CONN
+*I *891:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *886:Z O *D sky130_fd_sc_hd__einvp_2
+*I *888:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *891:A 0.000165195
+2 *886:Z 5.47237e-05
+3 *888:Z 0.000126829
+4 *276:8 0.000346747
+5 *891:A *889:TE_B 0
+6 *891:A *278:12 0
+7 *276:8 *889:TE_B 5.59967e-05
+8 *361:DIODE *891:A 0.000146474
+9 *747:A2 *886:Z 0.000271345
+10 *751:B1 *891:A 0.000244447
+11 *751:B2 *891:A 0.00010096
+12 *757:A2 *891:A 6.05161e-06
+13 *886:A *886:Z 0.000152182
+14 *5:891 *891:A 0
+15 *5:904 *891:A 1.98839e-05
+16 *274:10 *891:A 3.34366e-05
+17 *274:10 *276:8 0.000198691
+*RES
+1 *888:Z *276:8 16.8893
+2 *276:8 *886:Z 16.3893
+3 *276:8 *891:A 18.3179
+*END
+
+*D_NET *277 0.0010375
+*CONN
+*I *886:TE I *D sky130_fd_sc_hd__einvp_2
+*I *888:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *751:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *886:TE 8.86899e-05
+2 *888:TE_B 0.000139548
+3 *751:X 4.75868e-05
+4 *277:6 0.000275825
+5 *354:DIODE *277:6 8.00806e-05
+6 *355:DIODE *888:TE_B 0.000195412
+7 *355:DIODE *277:6 0.000128161
+8 *357:DIODE *888:TE_B 5.33005e-05
+9 *361:DIODE *886:TE 2.89016e-05
+*RES
+1 *751:X *277:6 15.4429
+2 *277:6 *888:TE_B 16.8893
+3 *277:6 *886:TE 15.1571
+*END
+
+*D_NET *278 0.00823005
*CONN
*I *887:TE I *D sky130_fd_sc_hd__einvp_2
-*I *889:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *826:X O *D sky130_fd_sc_hd__mux2_1
+*I *889:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *786:X O *D sky130_fd_sc_hd__a32o_2
*CAP
-1 *887:TE 0.000146682
-2 *889:TE_B 9.61225e-05
-3 *826:X 0.000152492
-4 *264:8 0.000395297
-5 *887:TE *890:TE_B 0
-6 *264:8 *890:TE_B 0
-7 *826:A0 *264:8 1.84293e-05
-8 *887:A *887:TE 0
-9 *5:73 *264:8 0
-10 *5:76 *889:TE_B 0.000383703
-11 *5:483 *887:TE 0
-12 *5:483 *264:8 0
+1 *887:TE 0
+2 *889:TE_B 0.000347493
+3 *786:X 0.00265073
+4 *278:12 0.00299823
+5 *889:TE_B *942:A 7.77652e-05
+6 *278:12 *299:26 0.000380932
+7 *278:12 *334:14 0.000131334
+8 *352:DIODE *889:TE_B 1.97381e-05
+9 *352:DIODE *278:12 1.34792e-05
+10 *357:DIODE *889:TE_B 0
+11 *741:A1 *889:TE_B 7.88091e-05
+12 *743:A *278:12 0.00011802
+13 *751:B1 *278:12 0.000103646
+14 *771:A2 *278:12 0.000147295
+15 *771:B1 *278:12 7.80694e-05
+16 *776:B *278:12 6.57336e-05
+17 *786:B1 *278:12 2.06178e-05
+18 *891:A *889:TE_B 0
+19 *891:A *278:12 0
+20 *23:8 *889:TE_B 1.77247e-05
+21 *87:41 *278:12 2.06178e-05
+22 *142:29 *278:12 0.000130467
+23 *237:89 *278:12 0.000156791
+24 *256:21 *278:12 0.000558028
+25 *274:10 *889:TE_B 5.85322e-05
+26 *276:8 *889:TE_B 5.59967e-05
*RES
-1 *826:X *264:8 16.7198
-2 *264:8 *889:TE_B 17.8002
-3 *264:8 *887:TE 17.2421
+1 *786:X *278:12 27.3615
+2 *278:12 *889:TE_B 21.2107
+3 *278:12 *887:TE 13.8
*END
-*D_NET *265 0.00713956
+*D_NET *279 0.000933235
*CONN
-*I *888:TE I *D sky130_fd_sc_hd__einvp_2
-*I *890:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *827:X O *D sky130_fd_sc_hd__mux2_1
+*I *885:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *889:A I *D sky130_fd_sc_hd__einvn_4
+*I *888:A I *D sky130_fd_sc_hd__einvn_8
+*I *884:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *888:TE 0
-2 *890:TE_B 0.000297701
-3 *827:X 0.0018332
-4 *265:13 0.0021309
-5 *890:TE_B *889:A 7.26877e-05
-6 *890:TE_B *266:6 3.20069e-06
-7 *265:13 *907:A 0.000184114
-8 *265:13 *909:A 0.000357395
-9 *265:13 *910:TE_B 1.51335e-05
-10 *265:13 *918:TE_B 0.000104598
-11 *265:13 *286:13 0.000110566
-12 *265:13 *289:5 0.000466227
-13 *265:13 *293:11 2.60765e-05
-14 *265:13 *294:5 3.82228e-05
-15 *762:A1 *265:13 4.24488e-05
-16 *762:B1 *265:13 2.16355e-05
-17 *820:A0 *265:13 0.000804128
-18 *820:A1 *265:13 0.00013389
-19 *826:A0 *890:TE_B 0.000132047
-20 *887:A *890:TE_B 0.000125665
-21 *887:TE *890:TE_B 0
-22 *887:Z *265:13 0.000209636
-23 *888:Z *890:TE_B 0
-24 *33:11 *265:13 3.00829e-05
-25 *263:8 *890:TE_B 0
-26 *264:8 *890:TE_B 0
+1 *885:A 6.06592e-05
+2 *889:A 0
+3 *888:A 6.17285e-05
+4 *884:X 3.78541e-05
+5 *279:16 0.000159827
+6 *279:5 0.000198751
+7 *741:A1 *885:A 0.000139907
+8 *887:A *279:16 5.5782e-05
+9 *32:27 *279:16 0.000218726
+10 *274:10 *279:16 0
*RES
-1 *827:X *265:13 49.1806
-2 *265:13 *890:TE_B 26.8968
-3 *265:13 *888:TE 9.24915
+1 *884:X *279:5 9.83571
+2 *279:5 *888:A 10.2464
+3 *279:5 *279:16 11.8571
+4 *279:16 *889:A 9.3
+5 *279:16 *885:A 10.6571
*END
-*D_NET *266 0.00100135
-*CONN
-*I *889:A I *D sky130_fd_sc_hd__einvn_8
-*I *886:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *890:A I *D sky130_fd_sc_hd__einvn_4
-*I *885:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *889:A 8.55559e-05
-2 *886:A 3.5475e-05
-3 *890:A 0
-4 *885:X 0.00011773
-5 *266:9 0.000108428
-6 *266:6 0.000276238
-7 *826:A0 *886:A 7.24449e-05
-8 *826:A0 *266:9 0.000123176
-9 *888:A *886:A 3.14978e-05
-10 *888:A *266:9 3.14978e-05
-11 *890:TE_B *889:A 7.26877e-05
-12 *890:TE_B *266:6 3.20069e-06
-13 *5:88 *889:A 0
-14 *5:88 *266:6 0
-15 *261:6 *889:A 4.34143e-05
-*RES
-1 *885:X *266:6 16.4116
-2 *266:6 *266:9 7.44181
-3 *266:9 *890:A 9.24915
-4 *266:9 *886:A 11.0817
-5 *266:6 *889:A 16.8269
-*END
-
-*D_NET *267 0.000167079
-*CONN
-*I *895:A I *D sky130_fd_sc_hd__einvp_2
-*I *893:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *895:A 5.79064e-05
-2 *893:X 5.79064e-05
-3 *895:A *898:A 5.12665e-05
-*RES
-1 *893:X *895:A 19.8004
-*END
-
-*D_NET *268 0.00118834
-*CONN
-*I *898:A I *D sky130_fd_sc_hd__clkinv_1
-*I *895:Z O *D sky130_fd_sc_hd__einvp_2
-*I *897:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *898:A 0.000111525
-2 *895:Z 0
-3 *897:Z 0.000306372
-4 *268:10 0.000417897
-5 *898:A *894:A 0.000178133
-6 *268:10 *893:A 0
-7 *268:10 *894:Z 0.000123152
-8 *268:10 *897:TE_B 0
-9 *268:10 *272:12 0
-10 *268:10 *273:15 0
-11 *895:A *898:A 5.12665e-05
-*RES
-1 *897:Z *268:10 25.7876
-2 *268:10 *895:Z 9.24915
-3 *268:10 *898:A 12.9385
-*END
-
-*D_NET *269 0.00113723
+*D_NET *280 0.000917194
*CONN
*I *894:A I *D sky130_fd_sc_hd__einvp_2
-*I *898:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *892:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *894:A 0.000101459
-2 *898:Y 0.000101459
-3 *894:A *894:Z 0.000115615
-4 *898:A *894:A 0.000178133
-5 *35:8 *894:A 0.000640564
+1 *894:A 0.00022551
+2 *892:X 0.00022551
+3 *894:A *892:A 4.93118e-06
+4 *894:A *894:Z 0.00029186
+5 *894:A *896:A 1.04766e-05
+6 *894:A *900:TE 0.000150246
+7 *754:B1 *894:A 8.6608e-06
*RES
-1 *898:Y *894:A 25.3223
+1 *892:X *894:A 32.725
*END
-*D_NET *270 0.00104238
+*D_NET *281 0.00124267
*CONN
-*I *899:A I *D sky130_fd_sc_hd__clkbuf_2
*I *894:Z O *D sky130_fd_sc_hd__einvp_2
-*I *896:Z O *D sky130_fd_sc_hd__einvn_8
+*I *897:A I *D sky130_fd_sc_hd__clkinv_1
+*I *896:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *899:A 6.14994e-05
-2 *894:Z 0.000227975
+1 *894:Z 0.000151625
+2 *897:A 0.000179303
3 *896:Z 0
-4 *270:4 0.000289474
-5 *894:Z *896:A 6.27718e-05
-6 *894:Z *897:TE_B 0
-7 *899:A *896:A 0.000147759
-8 *894:A *894:Z 0.000115615
-9 *35:8 *894:Z 1.41291e-05
-10 *268:10 *894:Z 0.000123152
+4 *281:5 0.000330928
+5 *894:Z *896:TE_B 0
+6 *754:B1 *894:Z 0.00011503
+7 *754:B1 *897:A 3.02892e-05
+8 *894:A *894:Z 0.00029186
+9 *5:1003 *897:A 0.000143633
*RES
-1 *896:Z *270:4 9.24915
-2 *270:4 *894:Z 24.7162
-3 *270:4 *899:A 11.0817
+1 *896:Z *281:5 13.8
+2 *281:5 *897:A 16.8
+3 *281:5 *894:Z 18.4786
*END
-*D_NET *271 0.00109229
+*D_NET *282 0.000139209
+*CONN
+*I *893:A I *D sky130_fd_sc_hd__einvp_2
+*I *897:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *893:A 4.21248e-05
+2 *897:Y 4.21248e-05
+3 *5:1003 *893:A 5.4959e-05
+*RES
+1 *897:Y *893:A 19.5464
+*END
+
+*D_NET *283 0.00241823
+*CONN
+*I *898:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *893:Z O *D sky130_fd_sc_hd__einvp_2
+*I *895:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *898:A 0.000257286
+2 *893:Z 0.000228872
+3 *895:Z 4.67895e-05
+4 *283:6 0.000532948
+5 *893:Z *895:TE_B 9.67754e-05
+6 *893:Z *284:5 0.000209534
+7 *898:A *903:A 0.000138432
+8 *754:B1 *898:A 0.000481885
+9 *5:928 *283:6 3.79467e-05
+10 *5:1001 *898:A 2.37005e-05
+11 *5:1001 *283:6 7.29128e-06
+12 *5:1003 *893:Z 4.71921e-05
+13 *33:8 *898:A 0.000181586
+14 *33:8 *283:6 0.000127996
+*RES
+1 *895:Z *283:6 15.4429
+2 *283:6 *893:Z 18.0321
+3 *283:6 *898:A 20.9964
+*END
+
+*D_NET *284 0.00155408
+*CONN
+*I *893:TE I *D sky130_fd_sc_hd__einvp_2
+*I *895:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *752:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *893:TE 0
+2 *895:TE_B 0.000385239
+3 *752:X 8.40243e-05
+4 *284:5 0.000469263
+5 *895:TE_B *286:6 4.46186e-06
+6 *895:TE_B *286:15 9.56919e-05
+7 *357:DIODE *895:TE_B 0
+8 *752:B1 *284:5 5.33005e-05
+9 *893:Z *895:TE_B 9.67754e-05
+10 *893:Z *284:5 0.000209534
+11 *5:1001 *284:5 5.75774e-05
+12 *5:1003 *895:TE_B 4.97967e-05
+13 *5:1003 *284:5 4.84159e-05
+*RES
+1 *752:X *284:5 11.8893
+2 *284:5 *895:TE_B 25.6214
+3 *284:5 *893:TE 9.3
+*END
+
+*D_NET *285 0.00902466
*CONN
*I *894:TE I *D sky130_fd_sc_hd__einvp_2
-*I *896:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *824:X O *D sky130_fd_sc_hd__mux2_1
+*I *896:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *789:X O *D sky130_fd_sc_hd__a21o_2
*CAP
-1 *894:TE 0.000212602
-2 *896:TE_B 0
-3 *824:X 0.000233945
-4 *271:6 0.000446546
-5 *370:DIODE *271:6 7.60183e-05
-6 *35:8 *894:TE 0.000123176
+1 *894:TE 0
+2 *896:TE_B 0.00026997
+3 *789:X 0.000402335
+4 *285:18 0.000361191
+5 *285:17 0.00250944
+6 *285:10 0.00282055
+7 *896:TE_B *900:TE 0
+8 *285:10 *316:8 0
+9 *285:10 *319:11 5.49995e-05
+10 *285:10 *321:16 0
+11 *285:17 *306:12 0.000789602
+12 *285:18 *900:TE 0
+13 *754:B1 *896:TE_B 7.44013e-05
+14 *894:Z *896:TE_B 0
+15 *5:1008 *896:TE_B 6.47925e-05
+16 *19:8 *285:10 0
+17 *20:15 *285:10 0
+18 *32:11 *896:TE_B 0.000114315
+19 *34:10 *285:18 3.52194e-05
+20 *35:10 *285:18 0
+21 *35:26 *285:17 0.00143005
+22 *35:26 *285:18 0
+23 *36:10 *285:18 9.77884e-05
*RES
-1 *824:X *271:6 19.7337
-2 *271:6 *896:TE_B 13.7491
-3 *271:6 *894:TE 19.7687
+1 *789:X *285:10 24.425
+2 *285:10 *285:17 48.6071
+3 *285:17 *285:18 1.94643
+4 *285:18 *896:TE_B 19.7286
+5 *285:18 *894:TE 13.8
*END
-*D_NET *272 0.010318
+*D_NET *286 0.00109468
*CONN
-*I *895:TE I *D sky130_fd_sc_hd__einvp_2
-*I *897:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *825:X O *D sky130_fd_sc_hd__mux2_1
+*I *892:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *896:A I *D sky130_fd_sc_hd__einvn_4
+*I *895:A I *D sky130_fd_sc_hd__einvn_8
+*I *891:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *895:TE 0
-2 *897:TE_B 0.000394504
-3 *825:X 9.23691e-05
-4 *272:12 0.000536298
-5 *272:9 0.00359033
-6 *272:8 0.00354091
-7 *894:Z *897:TE_B 0
-8 *19:8 *272:8 0
-9 *33:11 *272:12 0
-10 *36:8 *272:12 0
-11 *37:10 *272:9 0.00216356
-12 *268:10 *897:TE_B 0
-13 *268:10 *272:12 0
+1 *892:A 5.51339e-05
+2 *896:A 1.44195e-05
+3 *895:A 0
+4 *891:X 7.24357e-05
+5 *286:15 0.000288874
+6 *286:6 0.000291756
+7 *357:DIODE *286:6 0
+8 *751:B2 *286:6 2.79092e-05
+9 *752:A2 *286:15 4.25594e-05
+10 *752:B1 *286:6 2.00751e-05
+11 *752:B1 *286:15 6.46287e-05
+12 *754:B1 *892:A 4.63305e-05
+13 *754:B1 *896:A 5.49995e-05
+14 *894:A *892:A 4.93118e-06
+15 *894:A *896:A 1.04766e-05
+16 *895:TE_B *286:6 4.46186e-06
+17 *895:TE_B *286:15 9.56919e-05
*RES
-1 *825:X *272:8 20.0811
-2 *272:8 *272:9 54.5199
-3 *272:9 *272:12 7.1625
-4 *272:12 *897:TE_B 22.9514
-5 *272:12 *895:TE 13.7491
+1 *891:X *286:6 15.4429
+2 *286:6 *895:A 13.8
+3 *286:6 *286:15 9.17857
+4 *286:15 *896:A 9.83571
+5 *286:15 *892:A 10.675
*END
-*D_NET *273 0.00144548
-*CONN
-*I *893:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *896:A I *D sky130_fd_sc_hd__einvn_8
-*I *897:A I *D sky130_fd_sc_hd__einvn_4
-*I *892:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *893:A 9.12591e-05
-2 *896:A 0.000120248
-3 *897:A 0.000121143
-4 *892:X 0
-5 *273:15 0.000297774
-6 *273:5 0.000207411
-7 *894:Z *896:A 6.27718e-05
-8 *899:A *896:A 0.000147759
-9 *33:11 *893:A 0.000172799
-10 *33:11 *897:A 8.23577e-05
-11 *33:11 *273:15 0.000141958
-12 *268:10 *893:A 0
-13 *268:10 *273:15 0
-*RES
-1 *892:X *273:5 13.7491
-2 *273:5 *897:A 16.9985
-3 *273:5 *273:15 2.6625
-4 *273:15 *896:A 17.2456
-5 *273:15 *893:A 16.8269
-*END
-
-*D_NET *274 0.00100228
-*CONN
-*I *902:A I *D sky130_fd_sc_hd__einvp_2
-*I *900:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *902:A 0.000285003
-2 *900:X 0.000285003
-3 *902:A *902:TE 0
-4 *902:A *275:8 9.60366e-05
-5 *902:A *279:13 0.00026012
-6 *902:A *279:15 4.61271e-05
-7 *5:148 *902:A 2.99929e-05
-*RES
-1 *900:X *902:A 33.3813
-*END
-
-*D_NET *275 0.000506605
-*CONN
-*I *905:A I *D sky130_fd_sc_hd__clkinv_1
-*I *902:Z O *D sky130_fd_sc_hd__einvp_2
-*I *904:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *905:A 0
-2 *902:Z 2.37728e-05
-3 *904:Z 9.65856e-05
-4 *275:8 0.000120358
-5 *902:Z *901:A 0.000118166
-6 *275:8 *902:TE 0
-7 *902:A *275:8 9.60366e-05
-8 *5:148 *902:Z 4.31539e-05
-9 *5:148 *275:8 8.53106e-06
-10 *15:5 *275:8 0
-*RES
-1 *904:Z *275:8 20.9116
-2 *275:8 *902:Z 10.5271
-3 *275:8 *905:A 9.24915
-*END
-
-*D_NET *276 0.000998342
+*D_NET *287 0.00026291
*CONN
*I *901:A I *D sky130_fd_sc_hd__einvp_2
-*I *905:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *899:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *901:A 0.000132096
-2 *905:Y 0.000132096
-3 *902:Z *901:A 0.000118166
-4 *5:148 *901:A 2.20837e-05
-5 *35:8 *901:A 0.000593901
+1 *901:A 8.02421e-05
+2 *899:X 8.02421e-05
+3 *901:A *904:A 0.000102425
*RES
-1 *905:Y *901:A 24.7677
+1 *899:X *901:A 19.975
*END
-*D_NET *277 0.00084315
+*D_NET *288 0.00126687
*CONN
+*I *904:A I *D sky130_fd_sc_hd__clkinv_1
*I *901:Z O *D sky130_fd_sc_hd__einvp_2
-*I *906:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *903:Z O *D sky130_fd_sc_hd__einvn_8
+*I *903:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *901:Z 0.000119021
-2 *906:A 0.000139207
-3 *903:Z 0
-4 *277:5 0.000258228
-5 *822:A0 *906:A 0.000114271
-6 *5:142 *906:A 0.000112367
-7 *14:11 *901:Z 5.92573e-05
-8 *14:11 *906:A 4.07982e-05
+1 *904:A 0.000130671
+2 *901:Z 0
+3 *903:Z 0.00021372
+4 *288:9 0.000344391
+5 *904:A *899:A 5.33005e-05
+6 *904:A *900:A 0.00016069
+7 *288:9 *899:A 0
+8 *288:9 *900:A 2.14757e-05
+9 *288:9 *900:Z 0
+10 *901:A *904:A 0.000102425
+11 *5:949 *288:9 8.03955e-05
+12 *32:27 *288:9 0.000124099
+13 *37:10 *288:9 3.5707e-05
*RES
-1 *903:Z *277:5 13.7491
-2 *277:5 *906:A 18.1049
-3 *277:5 *901:Z 17.2421
+1 *903:Z *288:9 23.5143
+2 *288:9 *901:Z 9.3
+3 *288:9 *904:A 12.8714
*END
-*D_NET *278 0.00138354
+*D_NET *289 0.00116138
+*CONN
+*I *900:A I *D sky130_fd_sc_hd__einvp_2
+*I *904:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *900:A 0.000147487
+2 *904:Y 0.000147487
+3 *900:A *899:A 5.33005e-05
+4 *900:A *901:TE 5.71472e-05
+5 *904:A *900:A 0.00016069
+6 *36:10 *900:A 0.000573791
+7 *288:9 *900:A 2.14757e-05
+*RES
+1 *904:Y *900:A 24.0643
+*END
+
+*D_NET *290 0.00185613
+*CONN
+*I *905:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *900:Z O *D sky130_fd_sc_hd__einvp_2
+*I *902:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *905:A 0.000246726
+2 *900:Z 0.000254878
+3 *902:Z 0
+4 *290:4 0.000501605
+5 *905:A *902:A 4.84073e-05
+6 *905:A *293:16 0.000101771
+7 *370:DIODE *905:A 3.32662e-05
+8 *5:949 *905:A 0.00030604
+9 *13:9 *905:A 1.82116e-05
+10 *32:27 *900:Z 6.44379e-05
+11 *33:8 *900:Z 0.000200771
+12 *37:10 *900:Z 6.83578e-05
+13 *37:10 *905:A 1.16543e-05
+14 *288:9 *900:Z 0
+*RES
+1 *902:Z *290:4 9.3
+2 *290:4 *900:Z 23.8536
+3 *290:4 *905:A 23.7286
+*END
+
+*D_NET *291 0.000709676
+*CONN
+*I *902:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *900:TE I *D sky130_fd_sc_hd__einvp_2
+*I *754:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *902:TE_B 0
+2 *900:TE 0.00014429
+3 *754:X 4.85119e-05
+4 *291:5 0.000192802
+5 *900:TE *901:TE 0
+6 *894:A *900:TE 0.000150246
+7 *896:TE_B *900:TE 0
+8 *32:27 *291:5 9.41642e-05
+9 *35:10 *900:TE 5.52302e-05
+10 *36:10 *900:TE 2.44318e-05
+11 *285:18 *900:TE 0
+*RES
+1 *754:X *291:5 10.2464
+2 *291:5 *900:TE 21.4964
+3 *291:5 *902:TE_B 9.3
+*END
+
+*D_NET *292 0.0088147
*CONN
*I *901:TE I *D sky130_fd_sc_hd__einvp_2
-*I *903:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *822:X O *D sky130_fd_sc_hd__mux2_1
+*I *903:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *793:X O *D sky130_fd_sc_hd__a32o_2
*CAP
-1 *901:TE 0.000245991
-2 *903:TE_B 2.43238e-05
-3 *822:X 0.000156354
-4 *278:8 0.000426668
-5 *903:TE_B *280:5 6.27718e-05
-6 *366:DIODE *901:TE 3.49494e-05
-7 *366:DIODE *278:8 3.37671e-05
-8 *822:A1 *278:8 5.31465e-05
-9 *13:12 *901:TE 0
-10 *32:10 *278:8 5.9765e-05
-11 *33:11 *901:TE 7.35211e-05
-12 *33:11 *278:8 3.49586e-05
-13 *35:8 *901:TE 0.000177325
+1 *901:TE 0.000267116
+2 *903:TE_B 2.27693e-05
+3 *793:X 0.00231948
+4 *292:13 0.00260937
+5 *292:13 *921:TE 5.61137e-05
+6 *292:13 *325:7 0.000113356
+7 *760:B *292:13 8.33213e-05
+8 *780:A *292:13 9.54798e-06
+9 *784:B *292:13 0.000509427
+10 *792:A *292:13 0.00182891
+11 *900:A *901:TE 5.71472e-05
+12 *900:TE *901:TE 0
+13 *33:8 *901:TE 0.000352472
+14 *33:8 *903:TE_B 4.5258e-05
+15 *36:10 *901:TE 6.57914e-05
+16 *183:11 *292:13 8.61547e-06
+17 *187:47 *292:13 3.10885e-05
+18 *212:23 *292:13 0.000434915
*RES
-1 *822:X *278:8 17.6896
-2 *278:8 *903:TE_B 14.4725
-3 *278:8 *901:TE 21.4325
+1 *793:X *292:13 30.8998
+2 *292:13 *903:TE_B 14.3804
+3 *292:13 *901:TE 20.0946
*END
-*D_NET *279 0.0050684
+*D_NET *293 0.00158205
*CONN
-*I *904:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *902:TE I *D sky130_fd_sc_hd__einvp_2
-*I *823:X O *D sky130_fd_sc_hd__mux2_1
+*I *899:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *902:A I *D sky130_fd_sc_hd__einvn_8
+*I *903:A I *D sky130_fd_sc_hd__einvn_4
+*I *898:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *904:TE_B 0
-2 *902:TE 8.37055e-05
-3 *823:X 0.00130948
-4 *279:15 0.000199578
-5 *279:13 0.00142535
-6 *279:13 *900:A 0.00026012
-7 *279:13 *922:A 0.000211464
-8 *279:13 *922:TE 5.05841e-05
-9 *279:13 *924:TE_B 0
-10 *279:13 *280:15 4.38694e-05
-11 *279:13 *301:5 0.000167799
-12 *279:13 *306:13 7.23063e-05
-13 *279:15 *280:15 0.000408887
-14 *814:A1 *279:13 0.00025718
-15 *902:A *902:TE 0
-16 *902:A *279:13 0.00026012
-17 *902:A *279:15 4.61271e-05
-18 *5:148 *902:TE 0
-19 *14:11 *902:TE 0.000181357
-20 *17:9 *279:13 9.04668e-05
-21 *275:8 *902:TE 0
+1 *899:A 0.000113375
+2 *902:A 5.0026e-05
+3 *903:A 0.000114916
+4 *898:X 4.23135e-05
+5 *293:16 0.000224309
+6 *293:5 0.000218139
+7 *754:B1 *293:5 4.3304e-06
+8 *898:A *903:A 0.000138432
+9 *900:A *899:A 5.33005e-05
+10 *904:A *899:A 5.33005e-05
+11 *905:A *902:A 4.84073e-05
+12 *905:A *293:16 0.000101771
+13 *5:949 *899:A 1.03904e-05
+14 *5:949 *902:A 9.67754e-05
+15 *5:949 *293:16 2.37944e-05
+16 *13:9 *899:A 0.000168567
+17 *13:9 *293:16 9.74326e-05
+18 *37:10 *899:A 0
+19 *37:10 *902:A 2.24718e-05
+20 *288:9 *899:A 0
*RES
-1 *823:X *279:13 47.0638
-2 *279:13 *279:15 4.60562
-3 *279:15 *902:TE 21.3269
-4 *279:15 *904:TE_B 9.24915
+1 *898:X *293:5 9.83571
+2 *293:5 *903:A 11.6929
+3 *293:5 *293:16 6.44643
+4 *293:16 *902:A 15.1571
+5 *293:16 *899:A 16.5857
*END
-*D_NET *280 0.00233773
-*CONN
-*I *900:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *904:A I *D sky130_fd_sc_hd__einvn_4
-*I *903:A I *D sky130_fd_sc_hd__einvn_8
-*I *899:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *900:A 7.94464e-05
-2 *904:A 0
-3 *903:A 2.1308e-05
-4 *899:X 0.000296283
-5 *280:15 0.000463449
-6 *280:5 0.000701593
-7 *903:TE_B *280:5 6.27718e-05
-8 *279:13 *900:A 0.00026012
-9 *279:13 *280:15 4.38694e-05
-10 *279:15 *280:15 0.000408887
-*RES
-1 *899:X *280:5 15.5186
-2 *280:5 *903:A 9.82786
-3 *280:5 *280:15 10.7304
-4 *280:15 *904:A 9.24915
-5 *280:15 *900:A 12.191
-*END
-
-*D_NET *281 0.000996142
-*CONN
-*I *909:A I *D sky130_fd_sc_hd__einvp_2
-*I *907:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *909:A 0.000304079
-2 *907:X 0.000304079
-3 *909:A *908:A 9.12416e-06
-4 *822:A0 *909:A 0
-5 *14:11 *909:A 2.14657e-05
-6 *265:13 *909:A 0.000357395
-*RES
-1 *907:X *909:A 32.9661
-*END
-
-*D_NET *282 0.00143916
-*CONN
-*I *909:Z O *D sky130_fd_sc_hd__einvp_2
-*I *912:A I *D sky130_fd_sc_hd__clkinv_1
-*I *911:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *909:Z 0.000266125
-2 *912:A 3.37491e-05
-3 *911:Z 0
-4 *282:4 0.000299874
-5 *909:Z *908:A 0.000266568
-6 *909:Z *284:36 0.000196638
-7 *912:A *908:A 0.000220738
-8 *820:A0 *909:Z 2.29319e-05
-9 *822:A0 *909:Z 0
-10 *826:A0 *909:Z 7.23987e-05
-11 *826:A0 *912:A 6.01398e-05
-*RES
-1 *911:Z *282:4 9.24915
-2 *282:4 *912:A 11.6364
-3 *282:4 *909:Z 27.3148
-*END
-
-*D_NET *283 0.00181528
+*D_NET *294 0.00170756
*CONN
*I *908:A I *D sky130_fd_sc_hd__einvp_2
-*I *912:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *906:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *908:A 0.000420017
-2 *912:Y 0.000420017
-3 *908:A *284:36 0.000170577
-4 *826:A0 *908:A 9.75148e-06
-5 *909:A *908:A 9.12416e-06
-6 *909:Z *908:A 0.000266568
-7 *912:A *908:A 0.000220738
-8 *14:11 *908:A 0.000298488
+1 *908:A 0.000445194
+2 *906:X 0.000445194
+3 *908:A *906:A 0.000116757
+4 *908:A *908:TE 3.75052e-05
+5 *908:A *908:Z 0.000426992
+6 *765:B *908:A 4.67437e-05
+7 *768:B2 *908:A 0.000146474
+8 *5:969 *908:A 4.26999e-05
*RES
-1 *912:Y *908:A 38.2278
+1 *906:X *908:A 36.85
*END
-*D_NET *284 0.00748346
+*D_NET *295 0.00169262
*CONN
-*I *943:A I *D sky130_fd_sc_hd__clkinv_2
-*I *913:A I *D sky130_fd_sc_hd__clkbuf_2
*I *908:Z O *D sky130_fd_sc_hd__einvp_2
-*I *910:Z O *D sky130_fd_sc_hd__einvn_8
+*I *911:A I *D sky130_fd_sc_hd__clkinv_1
+*I *910:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *943:A 0
-2 *913:A 0.000703694
-3 *908:Z 1.98947e-05
-4 *910:Z 0
-5 *284:36 0.00120376
-6 *284:8 0.000872352
-7 *284:4 0.00135252
-8 *908:Z *907:A 6.08467e-05
-9 *913:A *287:8 1.25793e-05
-10 *284:8 *287:8 3.25618e-05
-11 *284:8 *287:12 0.000101118
-12 *284:36 *321:10 0
-13 *660:A *284:36 5.56461e-05
-14 *805:A *284:36 4.60283e-05
-15 *808:S *284:36 8.79472e-05
-16 *814:A0 *913:A 4.34267e-05
-17 *820:A0 *908:Z 2.16355e-05
-18 *822:A0 *284:36 0
-19 *824:A0 *913:A 0.000945655
-20 *826:A0 *284:36 0
-21 *832:A0 *284:36 0.000148129
-22 *846:D *284:36 9.19886e-06
-23 *857:A *284:36 0.000183508
-24 *859:A *284:36 0.000122378
-25 *863:A *284:36 0.000122378
-26 *908:A *284:36 0.000170577
-27 *909:Z *284:36 0.000196638
-28 *947:A *284:36 0
-29 *5:22 *284:36 0.000152239
-30 *13:12 *284:8 1.71673e-05
-31 *14:11 *913:A 1.66771e-05
-32 *14:11 *284:8 0.000115878
-33 *14:11 *284:36 0.00010984
-34 *48:8 *913:A 1.83188e-05
-35 *90:22 *284:36 0.000284983
-36 *233:18 *284:36 0.000103563
-37 *233:25 *284:36 0.000117846
-38 *250:9 *284:36 3.4475e-05
+1 *908:Z 0.000219583
+2 *911:A 2.76145e-05
+3 *910:Z 0
+4 *295:4 0.000247197
+5 *908:Z *906:A 7.14951e-05
+6 *908:Z *908:TE 0
+7 *908:Z *300:6 0
+8 *908:Z *300:11 0
+9 *911:A *906:A 7.09928e-05
+10 *911:A *300:11 5.68713e-05
+11 *373:DIODE *908:Z 0.000243953
+12 *373:DIODE *911:A 0.000187041
+13 *908:A *908:Z 0.000426992
+14 *5:969 *908:Z 0.000140882
*RES
-1 *910:Z *284:4 9.24915
-2 *284:4 *284:8 8.57985
-3 *284:8 *908:Z 14.4725
-4 *284:8 *913:A 23.4684
-5 *284:4 *284:36 47.5923
-6 *284:36 *943:A 9.24915
+1 *910:Z *295:4 9.3
+2 *295:4 *911:A 11.0679
+3 *295:4 *908:Z 25.0857
*END
-*D_NET *285 0.00107634
+*D_NET *296 0.000444535
+*CONN
+*I *907:A I *D sky130_fd_sc_hd__einvp_2
+*I *911:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *907:A 8.34705e-05
+2 *911:Y 8.34705e-05
+3 *907:A *942:A 0.000127439
+4 *907:A *297:8 1.02504e-05
+5 *907:A *300:11 4.25809e-05
+6 *373:DIODE *907:A 5.71472e-05
+7 *757:B1 *907:A 4.01768e-05
+*RES
+1 *911:Y *907:A 30.1893
+*END
+
+*D_NET *297 0.00458848
+*CONN
+*I *912:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *907:Z O *D sky130_fd_sc_hd__einvp_2
+*I *942:A I *D sky130_fd_sc_hd__clkinv_2
+*I *909:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *912:A 9.40546e-05
+2 *907:Z 0
+3 *942:A 0.000735489
+4 *909:Z 0
+5 *297:8 0.00115925
+6 *297:4 0.000517812
+7 *912:A *908:TE 0.000150718
+8 *942:A *298:8 6.65302e-05
+9 *942:A *300:11 0
+10 *297:8 *909:A 0.000162649
+11 *297:8 *909:TE_B 7.77751e-05
+12 *297:8 *298:8 1.17968e-05
+13 *297:8 *300:6 0
+14 *297:8 *300:11 0
+15 *373:DIODE *297:8 0.000262504
+16 *741:A1 *942:A 0.000411948
+17 *747:B1 *942:A 3.45059e-05
+18 *765:B *912:A 8.08608e-06
+19 *881:TE_B *942:A 8.5896e-05
+20 *887:A *942:A 0.000183713
+21 *889:TE_B *942:A 7.77652e-05
+22 *907:A *942:A 0.000127439
+23 *907:A *297:8 1.02504e-05
+24 *5:888 *942:A 0
+25 *14:7 *912:A 0.000175219
+26 *32:27 *942:A 0.000208451
+27 *32:27 *297:8 1.91512e-05
+28 *179:32 *297:8 7.48301e-06
+*RES
+1 *909:Z *297:4 9.3
+2 *297:4 *297:8 12.6429
+3 *297:8 *942:A 30.5679
+4 *297:8 *907:Z 13.8
+5 *297:4 *912:A 21.0857
+*END
+
+*D_NET *298 0.000894153
+*CONN
+*I *909:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *907:TE I *D sky130_fd_sc_hd__einvp_2
+*I *757:X O *D sky130_fd_sc_hd__a21o_2
+*CAP
+1 *909:TE_B 7.32833e-05
+2 *907:TE 7.63045e-05
+3 *757:X 5.40433e-05
+4 *298:8 0.000203631
+5 *942:A *298:8 6.65302e-05
+6 *32:27 *298:8 0.000150618
+7 *179:32 *907:TE 6.86693e-05
+8 *179:32 *909:TE_B 0.000111501
+9 *297:8 *909:TE_B 7.77751e-05
+10 *297:8 *298:8 1.17968e-05
+*RES
+1 *757:X *298:8 20.2464
+2 *298:8 *907:TE 10.6571
+3 *298:8 *909:TE_B 11.4786
+*END
+
+*D_NET *299 0.00786445
*CONN
*I *908:TE I *D sky130_fd_sc_hd__einvp_2
-*I *910:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *820:X O *D sky130_fd_sc_hd__mux2_1
+*I *910:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *794:X O *D sky130_fd_sc_hd__a22o_2
*CAP
-1 *908:TE 5.29192e-05
-2 *910:TE_B 0.00026245
-3 *820:X 0
-4 *285:4 0.000315369
-5 *820:A0 *908:TE 6.55651e-05
-6 *820:A0 *910:TE_B 5.48756e-05
-7 *5:120 *910:TE_B 0.000156823
-8 *13:12 *910:TE_B 0.00015321
-9 *265:13 *910:TE_B 1.51335e-05
+1 *908:TE 0.000262062
+2 *910:TE_B 0
+3 *794:X 0.00193858
+4 *299:26 0.00220064
+5 *375:DIODE *908:TE 2.89114e-05
+6 *380:DIODE *299:26 9.60939e-05
+7 *704:A1 *299:26 9.21418e-06
+8 *743:A *299:26 5.33005e-05
+9 *743:B *299:26 4.38058e-05
+10 *750:A *299:26 0.000414034
+11 *753:A1 *908:TE 0
+12 *765:B *908:TE 2.84274e-05
+13 *768:B2 *908:TE 7.2754e-05
+14 *778:A2 *299:26 4.20523e-05
+15 *791:A *299:26 5.33005e-05
+16 *791:B *299:26 0.000248442
+17 *800:B2 *299:26 5.71472e-05
+18 *865:A *299:26 0.000174598
+19 *883:A *908:TE 4.1331e-06
+20 *883:A *299:26 1.26512e-05
+21 *908:A *908:TE 3.75052e-05
+22 *908:Z *908:TE 0
+23 *912:A *908:TE 0.000150718
+24 *5:969 *908:TE 6.05161e-06
+25 *14:7 *908:TE 1.08359e-05
+26 *83:25 *299:26 4.60485e-05
+27 *150:24 *299:26 0.000128544
+28 *187:33 *299:26 6.53397e-05
+29 *197:8 *299:26 0.000139907
+30 *197:26 *299:26 3.82741e-05
+31 *234:115 *299:26 8.13137e-05
+32 *256:21 *299:26 0.000980476
+33 *263:18 *299:26 5.83547e-05
+34 *278:12 *299:26 0.000380932
*RES
-1 *820:X *285:4 9.24915
-2 *285:4 *910:TE_B 24.4081
-3 *285:4 *908:TE 10.9612
+1 *794:X *299:26 37.8347
+2 *299:26 *910:TE_B 13.8
+3 *299:26 *908:TE 19.425
*END
-*D_NET *286 0.00372083
+*D_NET *300 0.00271749
*CONN
-*I *909:TE I *D sky130_fd_sc_hd__einvp_2
-*I *911:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *821:X O *D sky130_fd_sc_hd__mux2_1
+*I *909:A I *D sky130_fd_sc_hd__einvn_8
+*I *910:A I *D sky130_fd_sc_hd__einvn_4
+*I *906:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *905:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *909:TE 0
-2 *911:TE_B 0.00027175
-3 *821:X 0.000764673
-4 *286:13 0.00103642
-5 *286:13 *907:A 0.000159038
-6 *286:13 *916:A 0.000114594
-7 *286:13 *918:TE_B 0.00010448
-8 *286:13 *289:5 8.17138e-05
-9 *286:13 *294:5 3.82228e-05
-10 *760:A2 *911:TE_B 1.09551e-05
-11 *762:A1 *911:TE_B 7.73852e-05
-12 *762:A1 *286:13 0.000109421
-13 *762:A2 *286:13 0.000203756
-14 *762:B1 *286:13 3.39346e-05
-15 *817:A0 *286:13 0.000100687
-16 *822:A0 *911:TE_B 0.000307046
-17 *826:A0 *911:TE_B 5.05707e-05
-18 *17:9 *286:13 2.65948e-05
-19 *43:8 *286:13 6.50727e-05
-20 *225:105 *911:TE_B 5.39463e-05
-21 *265:13 *286:13 0.000110566
-*RES
-1 *821:X *286:13 39.854
-2 *286:13 *911:TE_B 27.3631
-3 *286:13 *909:TE 9.24915
-*END
-
-*D_NET *287 0.00282611
-*CONN
-*I *907:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *910:A I *D sky130_fd_sc_hd__einvn_8
-*I *911:A I *D sky130_fd_sc_hd__einvn_4
-*I *906:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *907:A 0.00045084
+1 *909:A 0.000102165
2 *910:A 0
-3 *911:A 0.000208078
-4 *906:X 0.000215669
-5 *287:12 0.000292675
-6 *287:8 0.000751105
-7 *820:A0 *907:A 7.41247e-05
-8 *822:A0 *287:8 0.000110458
-9 *908:Z *907:A 6.08467e-05
-10 *913:A *287:8 1.25793e-05
-11 *5:88 *911:A 0
-12 *13:12 *911:A 9.9028e-05
-13 *13:12 *287:8 0
-14 *14:11 *287:8 5.19521e-05
-15 *14:11 *287:12 2.19276e-05
-16 *265:13 *907:A 0.000184114
-17 *284:8 *287:8 3.25618e-05
-18 *284:8 *287:12 0.000101118
-19 *286:13 *907:A 0.000159038
+3 *906:A 0.000196155
+4 *905:X 0.000117615
+5 *300:11 0.000338397
+6 *300:6 0.000362022
+7 *373:DIODE *909:A 9.41642e-05
+8 *373:DIODE *300:6 0
+9 *373:DIODE *300:11 2.14757e-05
+10 *757:B1 *906:A 0.000707084
+11 *757:B1 *300:11 4.85967e-05
+12 *907:A *300:11 4.25809e-05
+13 *908:A *906:A 0.000116757
+14 *908:Z *906:A 7.14951e-05
+15 *908:Z *300:6 0
+16 *908:Z *300:11 0
+17 *911:A *906:A 7.09928e-05
+18 *911:A *300:11 5.68713e-05
+19 *942:A *300:11 0
+20 *32:27 *300:6 0
+21 *179:32 *909:A 0.000208468
+22 *297:8 *909:A 0.000162649
+23 *297:8 *300:6 0
+24 *297:8 *300:11 0
*RES
-1 *906:X *287:8 18.5201
-2 *287:8 *287:12 7.1625
-3 *287:12 *911:A 23.7141
-4 *287:12 *910:A 9.24915
-5 *287:8 *907:A 22.6951
+1 *905:X *300:6 15.7464
+2 *300:6 *300:11 7.69643
+3 *300:11 *906:A 16.8536
+4 *300:11 *910:A 9.3
+5 *300:6 *909:A 17.6214
*END
-*D_NET *288 0.000452425
-*CONN
-*I *916:A I *D sky130_fd_sc_hd__einvp_2
-*I *914:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *916:A 0.000126288
-2 *914:X 0.000126288
-3 *916:A *914:A 0
-4 *916:A *918:TE_B 6.64392e-05
-5 *916:A *289:5 1.88152e-05
-6 *286:13 *916:A 0.000114594
-*RES
-1 *914:X *916:A 31.0235
-*END
-
-*D_NET *289 0.0010712
-*CONN
-*I *916:Z O *D sky130_fd_sc_hd__einvp_2
-*I *919:A I *D sky130_fd_sc_hd__clkinv_1
-*I *918:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *916:Z 0
-2 *919:A 7.24431e-05
-3 *918:Z 7.64871e-05
-4 *289:5 0.00014893
-5 *289:5 *918:TE_B 0.000117376
-6 *916:A *289:5 1.88152e-05
-7 *17:9 *919:A 8.92089e-05
-8 *265:13 *289:5 0.000466227
-9 *286:13 *289:5 8.17138e-05
-*RES
-1 *918:Z *289:5 14.4094
-2 *289:5 *919:A 20.0811
-3 *289:5 *916:Z 9.24915
-*END
-
-*D_NET *290 0.000135789
+*D_NET *301 0.000829461
*CONN
*I *915:A I *D sky130_fd_sc_hd__einvp_2
-*I *919:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *913:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *915:A 5.85372e-05
-2 *919:Y 5.85372e-05
-3 *5:168 *915:A 1.87146e-05
+1 *915:A 8.46351e-05
+2 *913:X 8.46351e-05
+3 *915:A *914:A 2.51343e-06
+4 *915:A *916:A 2.14757e-05
+5 *366:DIODE *915:A 0.000274288
+6 *762:A2 *915:A 0.000361914
*RES
-1 *919:Y *915:A 19.7763
+1 *913:X *915:A 22.4393
*END
-*D_NET *291 0.000929906
+*D_NET *302 0.00124638
*CONN
*I *915:Z O *D sky130_fd_sc_hd__einvp_2
-*I *920:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *917:Z O *D sky130_fd_sc_hd__einvn_8
+*I *918:A I *D sky130_fd_sc_hd__clkinv_1
+*I *917:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *915:Z 8.24108e-05
-2 *920:A 0.000122884
-3 *917:Z 0
-4 *291:4 0.000205295
-5 *915:Z *915:TE 0.000114271
-6 *920:A *924:TE_B 3.44412e-06
-7 *5:168 *915:Z 0.000365242
-8 *16:9 *920:A 3.63588e-05
+1 *915:Z 0
+2 *918:A 7.5065e-05
+3 *917:Z 0.000218336
+4 *302:8 0.000293401
+5 *918:A *914:A 0.000268396
+6 *302:8 *914:A 0.000307186
+7 *302:8 *916:A 1.08359e-05
+8 *302:8 *307:14 2.83284e-06
+9 *762:A2 *918:A 7.0324e-05
+10 *14:7 *302:8 0
*RES
-1 *917:Z *291:4 9.24915
-2 *291:4 *920:A 21.3269
-3 *291:4 *915:Z 13.3002
+1 *917:Z *302:8 22.9786
+2 *302:8 *918:A 11.8893
+3 *302:8 *915:Z 9.3
*END
-*D_NET *292 0.00121505
+*D_NET *303 0.00176042
+*CONN
+*I *914:A I *D sky130_fd_sc_hd__einvp_2
+*I *918:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *914:A 0.000475469
+2 *918:Y 0.000475469
+3 *914:A *914:Z 0
+4 *914:A *916:A 0.000122724
+5 *914:A *307:24 5.50052e-05
+6 *762:A2 *914:A 7.33323e-06
+7 *766:B1 *914:A 4.63219e-05
+8 *915:A *914:A 2.51343e-06
+9 *918:A *914:A 0.000268396
+10 *302:8 *914:A 0.000307186
+*RES
+1 *918:Y *914:A 36.4393
+*END
+
+*D_NET *304 0.000819755
+*CONN
+*I *919:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *914:Z O *D sky130_fd_sc_hd__einvp_2
+*I *916:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *919:A 8.5637e-05
+2 *914:Z 7.3572e-05
+3 *916:Z 1.17665e-05
+4 *304:7 0.000170975
+5 *914:Z *307:24 0.000123228
+6 *919:A *916:A 5.01544e-05
+7 *919:A *307:24 0.000194422
+8 *304:7 *914:TE 5.49995e-05
+9 *758:B2 *304:7 5.49995e-05
+10 *914:A *914:Z 0
+*RES
+1 *916:Z *304:7 14.3357
+2 *304:7 *914:Z 15.4429
+3 *304:7 *919:A 16.3536
+*END
+
+*D_NET *305 0.00244801
+*CONN
+*I *914:TE I *D sky130_fd_sc_hd__einvp_2
+*I *916:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *758:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *914:TE 0.000325555
+2 *916:TE_B 0
+3 *758:X 0.000177782
+4 *305:7 0.000503337
+5 *758:A2 *305:7 1.50354e-05
+6 *758:B1 *914:TE 0.000607663
+7 *758:B1 *305:7 2.57972e-05
+8 *758:B2 *914:TE 0.000385934
+9 *758:B2 *305:7 0.000126592
+10 *762:B1 *914:TE 6.87975e-06
+11 *5:949 *305:7 3.97326e-05
+12 *15:10 *914:TE 0.00017078
+13 *37:10 *305:7 7.92229e-06
+14 *304:7 *914:TE 5.49995e-05
+*RES
+1 *758:X *305:7 13.0321
+2 *305:7 *916:TE_B 9.3
+3 *305:7 *914:TE 29.4429
+*END
+
+*D_NET *306 0.00423156
*CONN
*I *915:TE I *D sky130_fd_sc_hd__einvp_2
-*I *917:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *818:X O *D sky130_fd_sc_hd__mux2_1
+*I *917:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *795:X O *D sky130_fd_sc_hd__a22o_2
*CAP
-1 *915:TE 0.000354891
-2 *917:TE_B 1.86223e-05
-3 *818:X 4.85752e-05
-4 *292:7 0.000422089
-5 *818:A0 *915:TE 7.92757e-06
-6 *818:A0 *292:7 1.43698e-05
-7 *915:Z *915:TE 0.000114271
-8 *5:168 *915:TE 0.000234308
+1 *915:TE 0
+2 *917:TE_B 0.000273384
+3 *795:X 0.00113219
+4 *306:12 0.00140557
+5 *758:B2 *917:TE_B 0
+6 *765:B *917:TE_B 3.67279e-06
+7 *766:B1 *917:TE_B 0.000100165
+8 *14:7 *917:TE_B 0.000401259
+9 *14:7 *306:12 0.000125717
+10 *16:5 *306:12 0
+11 *17:9 *306:12 0
+12 *285:17 *306:12 0.000789602
*RES
-1 *818:X *292:7 10.5513
-2 *292:7 *917:TE_B 9.82786
-3 *292:7 *915:TE 19.4008
+1 *795:X *306:12 39.4607
+2 *306:12 *917:TE_B 20.0321
+3 *306:12 *915:TE 13.8
*END
-*D_NET *293 0.00223259
+*D_NET *307 0.00324097
*CONN
-*I *918:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *916:TE I *D sky130_fd_sc_hd__einvp_2
-*I *819:X O *D sky130_fd_sc_hd__mux2_1
+*I *913:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *916:A I *D sky130_fd_sc_hd__einvn_8
+*I *917:A I *D sky130_fd_sc_hd__einvn_4
+*I *912:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *918:TE_B 9.7119e-05
-2 *916:TE 0
-3 *819:X 0.000554003
-4 *293:11 0.000651122
-5 *827:A0 *293:11 5.04829e-06
-6 *916:A *918:TE_B 6.64392e-05
-7 *5:179 *293:11 0.000399216
-8 *18:11 *293:11 0.000107116
-9 *265:13 *918:TE_B 0.000104598
-10 *265:13 *293:11 2.60765e-05
-11 *286:13 *918:TE_B 0.00010448
-12 *289:5 *918:TE_B 0.000117376
+1 *913:A 4.30329e-05
+2 *916:A 0.000163212
+3 *917:A 0
+4 *912:X 0.000302691
+5 *307:24 0.000397682
+6 *307:14 0.000494128
+7 *913:A *314:11 1.38323e-05
+8 *916:A *314:11 6.05161e-06
+9 *366:DIODE *913:A 0.000227539
+10 *366:DIODE *916:A 0.00014285
+11 *753:A1 *307:14 5.50052e-05
+12 *766:B1 *307:24 0.000141941
+13 *792:A *307:14 0
+14 *914:A *916:A 0.000122724
+15 *914:A *307:24 5.50052e-05
+16 *914:Z *307:24 0.000123228
+17 *915:A *916:A 2.14757e-05
+18 *919:A *916:A 5.01544e-05
+19 *919:A *307:24 0.000194422
+20 *14:7 *916:A 0
+21 *15:10 *307:24 7.79781e-06
+22 *180:49 *913:A 0.000183726
+23 *180:49 *307:14 9.67788e-05
+24 *180:49 *307:24 0.000352913
+25 *184:8 *307:14 3.11088e-05
+26 *302:8 *916:A 1.08359e-05
+27 *302:8 *307:14 2.83284e-06
*RES
-1 *819:X *293:11 29.5683
-2 *293:11 *916:TE 9.24915
-3 *293:11 *918:TE_B 13.8789
+1 *912:X *307:14 23.5857
+2 *307:14 *917:A 9.3
+3 *307:14 *307:24 15.3393
+4 *307:24 *916:A 22.3179
+5 *307:24 *913:A 11.4786
*END
-*D_NET *294 0.00147961
-*CONN
-*I *918:A I *D sky130_fd_sc_hd__einvn_4
-*I *917:A I *D sky130_fd_sc_hd__einvn_8
-*I *914:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *913:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *918:A 0
-2 *917:A 9.39693e-05
-3 *914:A 0.000236775
-4 *913:X 4.42171e-05
-5 *294:7 0.000330744
-6 *294:5 4.42171e-05
-7 *914:A *312:15 0.000117343
-8 *345:DIODE *914:A 0.000113968
-9 *810:A1 *914:A 2.65667e-05
-10 *916:A *914:A 0
-11 *5:222 *914:A 2.652e-05
-12 *16:9 *914:A 0.000208877
-13 *16:9 *917:A 0.000159968
-14 *265:13 *294:5 3.82228e-05
-15 *286:13 *294:5 3.82228e-05
-*RES
-1 *913:X *294:5 10.5271
-2 *294:5 *294:7 4.5
-3 *294:7 *914:A 21.7084
-4 *294:7 *917:A 16.8269
-5 *294:5 *918:A 9.24915
-*END
-
-*D_NET *295 0.000672948
-*CONN
-*I *923:A I *D sky130_fd_sc_hd__einvp_2
-*I *921:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *923:A 0.000141788
-2 *921:X 0.000141788
-3 *923:A *923:Z 0.000116557
-4 *923:A *926:A 0.000163344
-5 *24:14 *923:A 0.000109472
-*RES
-1 *921:X *923:A 32.6523
-*END
-
-*D_NET *296 0.00170785
-*CONN
-*I *923:Z O *D sky130_fd_sc_hd__einvp_2
-*I *926:A I *D sky130_fd_sc_hd__clkinv_1
-*I *925:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *923:Z 6.4253e-05
-2 *926:A 0.000267814
-3 *925:Z 3.23952e-05
-4 *296:7 0.000364463
-5 *923:Z *922:A 4.01774e-05
-6 *926:A *921:A 0.000188503
-7 *926:A *922:A 6.43151e-05
-8 *296:7 *925:A 0.000157107
-9 *296:7 *927:A 0.000157107
-10 *827:A0 *926:A 6.27718e-05
-11 *923:A *923:Z 0.000116557
-12 *923:A *926:A 0.000163344
-13 *5:179 *926:A 1.54809e-05
-14 *5:184 *926:A 1.35641e-05
-*RES
-1 *925:Z *296:7 15.5817
-2 *296:7 *926:A 21.4269
-3 *296:7 *923:Z 15.9964
-*END
-
-*D_NET *297 0.00187026
+*D_NET *308 0.00116069
*CONN
*I *922:A I *D sky130_fd_sc_hd__einvp_2
-*I *926:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *920:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *922:A 0.000356853
-2 *926:Y 0.000356853
-3 *922:A *921:A 0.000183661
-4 *922:A *923:TE 6.50586e-05
-5 *827:A0 *922:A 7.136e-05
-6 *923:Z *922:A 4.01774e-05
-7 *926:A *922:A 6.43151e-05
-8 *5:184 *922:A 6.46815e-05
-9 *18:11 *922:A 0.00045584
-10 *279:13 *922:A 0.000211464
+1 *922:A 0.000378285
+2 *920:X 0.000378285
+3 *788:A *922:A 0.000220085
+4 *795:A1 *922:A 0.000140719
+5 *795:A2 *922:A 1.02937e-05
+6 *180:62 *922:A 3.30237e-05
*RES
-1 *926:Y *922:A 40.8192
+1 *920:X *922:A 23.6714
*END
-*D_NET *298 0.0027261
+*D_NET *309 0.00237207
*CONN
-*I *927:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *925:A I *D sky130_fd_sc_hd__clkinv_1
*I *922:Z O *D sky130_fd_sc_hd__einvp_2
-*I *924:Z O *D sky130_fd_sc_hd__einvn_8
+*I *924:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *927:A 0.000775334
-2 *922:Z 0.000154907
-3 *924:Z 2.09545e-05
-4 *298:5 0.000951196
-5 *922:Z *923:TE 2.22923e-05
-6 *922:Z *301:13 3.25052e-05
-7 *927:A *925:A 8.35465e-05
-8 *927:A *301:13 3.14466e-05
-9 *927:A *306:13 0.000496811
-10 *17:9 *922:Z 0
-11 *296:7 *927:A 0.000157107
+1 *925:A 0.000337895
+2 *922:Z 0.000304787
+3 *924:Z 0
+4 *309:4 0.000642682
+5 *922:Z *922:TE 0.000104974
+6 *922:Z *314:11 9.76435e-06
+7 *925:A *921:A 0.000100681
+8 *925:A *923:A 0.00027764
+9 *925:A *314:11 4.84159e-05
+10 *760:B *922:Z 0.000312976
+11 *795:A1 *922:Z 3.5707e-05
+12 *18:5 *925:A 0.000100681
+13 *207:9 *925:A 9.58632e-05
*RES
-1 *924:Z *298:5 9.82786
-2 *298:5 *922:Z 21.3591
-3 *298:5 *927:A 22.1979
+1 *924:Z *309:4 9.3
+2 *309:4 *922:Z 23.4786
+3 *309:4 *925:A 25.5143
*END
-*D_NET *299 0.00105914
+*D_NET *310 0.000846803
+*CONN
+*I *921:A I *D sky130_fd_sc_hd__einvp_2
+*I *925:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *921:A 0.000130073
+2 *925:Y 0.000130073
+3 *921:A *921:Z 0.000146254
+4 *788:C *921:A 0.000308949
+5 *925:A *921:A 0.000100681
+6 *18:5 *921:A 3.07737e-05
+*RES
+1 *925:Y *921:A 31.6714
+*END
+
+*D_NET *311 0.00185235
+*CONN
+*I *926:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *921:Z O *D sky130_fd_sc_hd__einvp_2
+*I *923:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *926:A 0.000152833
+2 *921:Z 0.000185546
+3 *923:Z 0
+4 *311:4 0.000338379
+5 *921:Z *923:A 5.33005e-05
+6 *921:Z *319:11 0.000346273
+7 *926:A *928:A 7.48091e-05
+8 *926:A *932:A 9.90367e-05
+9 *384:DIODE *921:Z 2.83425e-05
+10 *389:DIODE *926:A 3.48235e-05
+11 *788:C *921:Z 1.34741e-05
+12 *788:C *926:A 0
+13 *921:A *921:Z 0.000146254
+14 *5:393 *921:Z 5.46383e-06
+15 *5:397 *921:Z 4.84159e-05
+16 *18:5 *921:Z 0.000172285
+17 *18:5 *926:A 0
+18 *19:8 *926:A 4.98743e-05
+19 *207:9 *921:Z 2.56721e-05
+20 *207:12 *926:A 7.75718e-05
+*RES
+1 *923:Z *311:4 9.3
+2 *311:4 *921:Z 24.3893
+3 *311:4 *926:A 22.2107
+*END
+
+*D_NET *312 0.00350441
+*CONN
+*I *923:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *921:TE I *D sky130_fd_sc_hd__einvp_2
+*I *761:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *923:TE_B 0
+2 *921:TE 0.00016498
+3 *761:X 0.000686289
+4 *312:16 0.000851269
+5 *921:TE *325:7 0.000180207
+6 *366:DIODE *312:16 0.00014183
+7 *760:B *921:TE 4.81489e-05
+8 *760:B *312:16 5.7836e-06
+9 *762:A2 *312:16 9.90367e-05
+10 *788:B *312:16 0.000184745
+11 *795:A1 *312:16 6.22558e-06
+12 *795:A2 *312:16 0.000293212
+13 *798:B1 *921:TE 2.13474e-05
+14 *18:5 *312:16 0
+15 *24:12 *921:TE 4.6025e-06
+16 *24:12 *312:16 9.01871e-05
+17 *180:49 *312:16 8.43535e-06
+18 *180:62 *312:16 0.000491735
+19 *183:11 *921:TE 0.00014192
+20 *207:9 *312:16 0
+21 *212:23 *921:TE 2.83425e-05
+22 *292:13 *921:TE 5.61137e-05
+*RES
+1 *761:X *312:16 28.1571
+2 *312:16 *921:TE 18.1214
+3 *312:16 *923:TE_B 13.8
+*END
+
+*D_NET *313 0.00151144
*CONN
*I *922:TE I *D sky130_fd_sc_hd__einvp_2
-*I *924:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *816:X O *D sky130_fd_sc_hd__mux2_1
+*I *924:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *798:X O *D sky130_fd_sc_hd__a32o_2
*CAP
-1 *922:TE 0.00023268
-2 *924:TE_B 0.000144705
-3 *816:X 0.000125169
-4 *299:7 0.000502555
-5 *816:A1 *299:7 0
-6 *920:A *924:TE_B 3.44412e-06
-7 *16:9 *924:TE_B 0
-8 *17:9 *924:TE_B 0
-9 *279:13 *922:TE 5.05841e-05
-10 *279:13 *924:TE_B 0
+1 *922:TE 0.00012988
+2 *924:TE_B 1.17492e-05
+3 *798:X 0.000200588
+4 *313:8 0.000342218
+5 *922:TE *314:11 0.000188291
+6 *924:TE_B *314:11 5.50052e-05
+7 *313:8 *314:11 3.29297e-05
+8 *313:8 *325:7 0.000143633
+9 *760:B *924:TE_B 5.50052e-05
+10 *784:B *313:8 2.06112e-05
+11 *795:A1 *922:TE 0
+12 *795:A1 *313:8 0
+13 *922:Z *922:TE 0.000104974
+14 *16:5 *922:TE 1.69115e-05
+15 *16:5 *313:8 2.59278e-05
+16 *180:62 *922:TE 0.00018372
*RES
-1 *816:X *299:7 12.2151
-2 *299:7 *924:TE_B 21.7421
-3 *299:7 *922:TE 14.964
+1 *798:X *313:8 17.1036
+2 *313:8 *924:TE_B 14.3357
+3 *313:8 *922:TE 17.8179
*END
-*D_NET *300 0.00102337
+*D_NET *314 0.00502195
*CONN
-*I *923:TE I *D sky130_fd_sc_hd__einvp_2
-*I *925:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *817:X O *D sky130_fd_sc_hd__mux2_1
+*I *923:A I *D sky130_fd_sc_hd__einvn_8
+*I *924:A I *D sky130_fd_sc_hd__einvn_4
+*I *920:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *919:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *923:TE 0.000117853
-2 *925:TE_B 0
-3 *817:X 0.000178536
-4 *300:8 0.000296389
-5 *817:A1 *300:8 4.24488e-05
-6 *922:A *923:TE 6.50586e-05
-7 *922:Z *923:TE 2.22923e-05
-8 *18:11 *923:TE 0.000162455
-9 *18:11 *300:8 0.000138334
+1 *923:A 0.000172848
+2 *924:A 0
+3 *920:A 0.000114931
+4 *919:X 0.000709314
+5 *314:13 0.000137481
+6 *314:11 0.000904713
+7 *923:A *319:11 0.000216607
+8 *758:B2 *314:11 0.000397314
+9 *760:B *314:11 0.000182201
+10 *788:A *920:A 0.000180777
+11 *788:B *920:A 1.02504e-05
+12 *795:A2 *920:A 0.000180777
+13 *798:B1 *920:A 9.54798e-06
+14 *913:A *314:11 1.38323e-05
+15 *916:A *314:11 6.05161e-06
+16 *921:Z *923:A 5.33005e-05
+17 *922:TE *314:11 0.000188291
+18 *922:Z *314:11 9.76435e-06
+19 *924:TE_B *314:11 5.50052e-05
+20 *925:A *923:A 0.00027764
+21 *925:A *314:11 4.84159e-05
+22 *16:5 *314:11 7.6731e-05
+23 *24:12 *920:A 0.000158314
+24 *180:49 *314:11 0.000440711
+25 *180:62 *314:11 4.17014e-05
+26 *183:11 *923:A 8.26339e-05
+27 *183:11 *314:11 0.000136733
+28 *200:8 *920:A 0.000169584
+29 *207:9 *923:A 1.35465e-05
+30 *313:8 *314:11 3.29297e-05
*RES
-1 *817:X *300:8 17.6896
-2 *300:8 *925:TE_B 13.7491
-3 *300:8 *923:TE 17.5503
+1 *919:X *314:11 32.4071
+2 *314:11 *314:13 0.428571
+3 *314:13 *920:A 22.3179
+4 *314:13 *924:A 9.3
+5 *314:11 *923:A 15.1929
*END
-*D_NET *301 0.00302123
-*CONN
-*I *925:A I *D sky130_fd_sc_hd__einvn_4
-*I *921:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *924:A I *D sky130_fd_sc_hd__einvn_8
-*I *920:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *925:A 0.000108531
-2 *921:A 7.65263e-05
-3 *924:A 2.12792e-05
-4 *920:X 0.000109289
-5 *301:13 0.000339726
-6 *301:5 0.000285237
-7 *925:A *306:13 0.000135577
-8 *301:5 *306:13 0.000565745
-9 *301:13 *306:13 0.000534751
-10 *922:A *921:A 0.000183661
-11 *922:Z *301:13 3.25052e-05
-12 *926:A *921:A 0.000188503
-13 *927:A *925:A 8.35465e-05
-14 *927:A *301:13 3.14466e-05
-15 *279:13 *301:5 0.000167799
-16 *296:7 *925:A 0.000157107
-*RES
-1 *920:X *301:5 15.5186
-2 *301:5 *924:A 9.82786
-3 *301:5 *301:13 6.26943
-4 *301:13 *921:A 21.7421
-5 *301:13 *925:A 13.8789
-*END
-
-*D_NET *302 0.00143318
-*CONN
-*I *930:A I *D sky130_fd_sc_hd__einvp_2
-*I *928:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *930:A 0.000496958
-2 *928:X 0.000496958
-3 *930:A *930:TE 0.000116101
-4 *5:293 *930:A 3.62414e-05
-5 *22:8 *930:A 0.000286923
-*RES
-1 *928:X *930:A 37.1242
-*END
-
-*D_NET *303 0.00164712
-*CONN
-*I *933:A I *D sky130_fd_sc_hd__clkinv_1
-*I *930:Z O *D sky130_fd_sc_hd__einvp_2
-*I *932:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *933:A 0.000152478
-2 *930:Z 0.000340279
-3 *932:Z 0
-4 *303:4 0.000492757
-5 *930:Z *930:TE 4.24488e-05
-6 *930:Z *306:13 7.6868e-05
-7 *930:Z *308:7 0.000159032
-8 *933:A *932:A 0.000167438
-9 *825:A0 *930:Z 6.72449e-05
-10 *22:8 *930:Z 6.3657e-05
-11 *22:8 *933:A 8.49163e-05
-*RES
-1 *932:Z *303:4 9.24915
-2 *303:4 *930:Z 27.2105
-3 *303:4 *933:A 13.8789
-*END
-
-*D_NET *304 0.000584973
+*D_NET *315 0.000241698
*CONN
*I *929:A I *D sky130_fd_sc_hd__einvp_2
-*I *933:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *927:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *929:A 0.000119896
-2 *933:Y 0.000119896
-3 *929:A *929:Z 0.000197176
-4 *929:A *308:12 3.89804e-05
-5 *21:16 *929:A 0.000109024
+1 *929:A 8.16555e-05
+2 *927:X 8.16555e-05
+3 *929:A *932:A 7.83873e-05
*RES
-1 *933:Y *929:A 32.6523
+1 *927:X *929:A 19.975
*END
-*D_NET *305 0.00106716
+*D_NET *316 0.00149681
*CONN
-*I *934:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *932:A I *D sky130_fd_sc_hd__clkinv_1
*I *929:Z O *D sky130_fd_sc_hd__einvp_2
-*I *931:Z O *D sky130_fd_sc_hd__einvn_8
+*I *931:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *934:A 9.62399e-05
-2 *929:Z 0.000225964
-3 *931:Z 0
-4 *305:4 0.000322204
-5 *929:Z *931:A 5.04829e-06
-6 *929:Z *308:12 5.23435e-05
-7 *929:A *929:Z 0.000197176
-8 *21:16 *934:A 0.000168186
+1 *932:A 0.000206909
+2 *929:Z 0
+3 *931:Z 0.000235592
+4 *316:8 0.000442502
+5 *932:A *928:A 2.77289e-05
+6 *932:A *321:5 5.71472e-05
+7 *932:A *321:16 0.000187429
+8 *316:8 *931:A 1.42331e-05
+9 *316:8 *321:16 4.35256e-05
+10 *793:A2 *316:8 8.67814e-06
+11 *926:A *932:A 9.90367e-05
+12 *929:A *932:A 7.83873e-05
+13 *5:393 *316:8 9.56362e-05
+14 *285:10 *316:8 0
*RES
-1 *931:Z *305:4 9.24915
-2 *305:4 *929:Z 24.1294
-3 *305:4 *934:A 21.3269
+1 *931:Z *316:8 22.9786
+2 *316:8 *929:Z 9.3
+3 *316:8 *932:A 14.5143
*END
-*D_NET *306 0.00490406
+*D_NET *317 0.00198557
+*CONN
+*I *928:A I *D sky130_fd_sc_hd__einvp_2
+*I *932:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *928:A 0.000279705
+2 *932:Y 0.000279705
+3 *928:A *321:5 2.44318e-05
+4 *928:A *321:16 0.000358971
+5 *926:A *928:A 7.48091e-05
+6 *932:A *928:A 2.77289e-05
+7 *22:8 *928:A 0.000940218
+*RES
+1 *932:Y *928:A 28.725
+*END
+
+*D_NET *318 0.000739186
+*CONN
+*I *933:A I *D sky130_fd_sc_hd__clkbuf_2
+*I *928:Z O *D sky130_fd_sc_hd__einvp_2
+*I *930:Z O *D sky130_fd_sc_hd__einvn_8
+*CAP
+1 *933:A 9.64579e-05
+2 *928:Z 0.000244134
+3 *930:Z 0
+4 *318:4 0.000340592
+5 *928:Z *936:A 3.006e-05
+6 *928:Z *327:7 1.58163e-05
+7 *933:A *327:7 1.21258e-05
+*RES
+1 *930:Z *318:4 9.3
+2 *318:4 *928:Z 22.1214
+3 *318:4 *933:A 10.6571
+*END
+
+*D_NET *319 0.00595814
+*CONN
+*I *928:TE I *D sky130_fd_sc_hd__einvp_2
+*I *930:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *762:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *928:TE 0.000162716
+2 *930:TE_B 7.76527e-05
+3 *762:X 0.00154446
+4 *319:11 0.00178483
+5 *928:TE *929:TE 0.00017514
+6 *928:TE *930:A 0.000135028
+7 *930:TE_B *930:A 1.24368e-05
+8 *758:B2 *319:11 0.000648624
+9 *921:Z *319:11 0.000346273
+10 *923:A *319:11 0.000216607
+11 *5:393 *930:TE_B 0.000139338
+12 *5:393 *319:11 0.00042563
+13 *5:397 *319:11 2.74737e-05
+14 *183:11 *319:11 0.00020693
+15 *285:10 *319:11 5.49995e-05
+*RES
+1 *762:X *319:11 32.0679
+2 *319:11 *930:TE_B 11.0679
+3 *319:11 *928:TE 21.9071
+*END
+
+*D_NET *320 0.00310184
*CONN
*I *929:TE I *D sky130_fd_sc_hd__einvp_2
-*I *931:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *814:X O *D sky130_fd_sc_hd__mux2_1
+*I *931:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *800:X O *D sky130_fd_sc_hd__a22o_2
*CAP
-1 *929:TE 0.000218398
-2 *931:TE_B 0
-3 *814:X 0.000957261
-4 *306:13 0.00117566
-5 *929:TE *308:7 0.000250519
-6 *306:13 *930:TE 0.000357388
-7 *306:13 *308:7 6.27718e-05
-8 *825:A0 *929:TE 0
-9 *925:A *306:13 0.000135577
-10 *927:A *306:13 0.000496811
-11 *930:Z *306:13 7.6868e-05
-12 *279:13 *306:13 7.23063e-05
-13 *301:5 *306:13 0.000565745
-14 *301:13 *306:13 0.000534751
+1 *929:TE 0.000403304
+2 *931:TE_B 2.51323e-05
+3 *800:X 0.000279456
+4 *320:10 0.000707893
+5 *929:TE *931:A 3.63921e-05
+6 *929:TE *935:TE 5.49995e-05
+7 *929:TE *325:7 0.000103017
+8 *395:DIODE *320:10 1.85198e-05
+9 *793:A2 *929:TE 5.33005e-05
+10 *793:A2 *931:TE_B 0.000141935
+11 *793:A2 *320:10 0.000184438
+12 *793:A3 *320:10 0
+13 *800:A2 *320:10 1.77294e-05
+14 *802:A1 *320:10 0.000123388
+15 *802:A2 *320:10 0.000136951
+16 *928:TE *929:TE 0.00017514
+17 *5:393 *929:TE 6.94441e-06
+18 *20:15 *929:TE 0.000315231
+19 *196:15 *320:10 0.00014563
+20 *212:23 *929:TE 7.06273e-05
+21 *212:23 *931:TE_B 0.000101812
*RES
-1 *814:X *306:13 38.9084
-2 *306:13 *931:TE_B 9.24915
-3 *306:13 *929:TE 24.2687
+1 *800:X *320:10 24.1393
+2 *320:10 *931:TE_B 10.6571
+3 *320:10 *929:TE 27.9607
*END
-*D_NET *307 0.00202276
+*D_NET *321 0.00242519
*CONN
-*I *930:TE I *D sky130_fd_sc_hd__einvp_2
-*I *932:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *815:X O *D sky130_fd_sc_hd__mux2_1
+*I *930:A I *D sky130_fd_sc_hd__einvn_8
+*I *931:A I *D sky130_fd_sc_hd__einvn_4
+*I *927:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *926:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *930:TE 0.000288543
-2 *932:TE_B 0
-3 *815:X 0.000325317
-4 *307:6 0.00061386
-5 *351:DIODE *307:6 0
-6 *770:A *307:6 6.61709e-05
-7 *773:B1 *307:6 0.000167615
-8 *930:A *930:TE 0.000116101
-9 *930:Z *930:TE 4.24488e-05
-10 *5:324 *307:6 4.53156e-05
-11 *25:8 *307:6 0
-12 *306:13 *930:TE 0.000357388
+1 *930:A 0.000204628
+2 *931:A 0.000122195
+3 *927:A 0
+4 *926:X 7.34995e-06
+5 *321:16 0.000501902
+6 *321:5 0.000182429
+7 *928:A *321:5 2.44318e-05
+8 *928:A *321:16 0.000358971
+9 *928:TE *930:A 0.000135028
+10 *929:TE *931:A 3.63921e-05
+11 *930:TE_B *930:A 1.24368e-05
+12 *932:A *321:5 5.71472e-05
+13 *932:A *321:16 0.000187429
+14 *5:393 *931:A 0.000192997
+15 *20:15 *931:A 0.000169095
+16 *20:15 *321:16 0.000175002
+17 *285:10 *321:16 0
+18 *316:8 *931:A 1.42331e-05
+19 *316:8 *321:16 4.35256e-05
*RES
-1 *815:X *307:6 22.6404
-2 *307:6 *932:TE_B 13.7491
-3 *307:6 *930:TE 22.6122
+1 *926:X *321:5 9.83571
+2 *321:5 *927:A 9.3
+3 *321:5 *321:16 10.5893
+4 *321:16 *931:A 16.9607
+5 *321:16 *930:A 16.8179
*END
-*D_NET *308 0.00173912
-*CONN
-*I *931:A I *D sky130_fd_sc_hd__einvn_8
-*I *928:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *932:A I *D sky130_fd_sc_hd__einvn_4
-*I *927:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *931:A 3.42956e-05
-2 *928:A 0
-3 *932:A 2.01765e-05
-4 *927:X 0.000190761
-5 *308:12 0.000193678
-6 *308:7 0.000398559
-7 *929:A *308:12 3.89804e-05
-8 *929:TE *308:7 0.000250519
-9 *929:Z *931:A 5.04829e-06
-10 *929:Z *308:12 5.23435e-05
-11 *930:Z *308:7 0.000159032
-12 *933:A *932:A 0.000167438
-13 *22:8 *932:A 0.000165521
-14 *306:13 *308:7 6.27718e-05
-*RES
-1 *927:X *308:7 15.5427
-2 *308:7 *308:12 12.9083
-3 *308:12 *932:A 11.0817
-4 *308:12 *928:A 9.24915
-5 *308:7 *931:A 9.97254
-*END
-
-*D_NET *309 0.000382005
-*CONN
-*I *937:A I *D sky130_fd_sc_hd__einvp_2
-*I *935:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *937:A 0.000104002
-2 *935:X 0.000104002
-3 *937:A *937:Z 0.000174001
-*RES
-1 *935:X *937:A 30.6083
-*END
-
-*D_NET *310 0.00161072
-*CONN
-*I *940:A I *D sky130_fd_sc_hd__clkinv_1
-*I *937:Z O *D sky130_fd_sc_hd__einvp_2
-*I *939:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *940:A 0.00022806
-2 *937:Z 0.000222489
-3 *939:Z 0
-4 *310:4 0.000450549
-5 *937:Z *939:TE_B 1.64943e-05
-6 *937:Z *313:8 3.67528e-06
-7 *937:Z *314:13 9.68095e-05
-8 *940:A *936:A 9.75148e-06
-9 *940:A *939:TE_B 0.000263359
-10 *937:A *937:Z 0.000174001
-11 *21:16 *937:Z 0.000145529
-*RES
-1 *939:Z *310:4 9.24915
-2 *310:4 *937:Z 26.3422
-3 *310:4 *940:A 14.9881
-*END
-
-*D_NET *311 0.00122115
+*D_NET *322 0.00130067
*CONN
*I *936:A I *D sky130_fd_sc_hd__einvp_2
-*I *940:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *934:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *936:A 0.000335832
-2 *940:Y 0.000335832
-3 *936:A *939:TE_B 0.000342544
-4 *940:A *936:A 9.75148e-06
-5 *241:6 *936:A 0.000197187
+1 *936:A 0.000224442
+2 *934:X 0.000224442
+3 *936:A *934:A 0.000165789
+4 *936:A *935:TE 0.000146991
+5 *936:A *936:Z 9.75679e-05
+6 *936:A *938:A 0.000148658
+7 *936:A *327:8 0.000166852
+8 *383:DIODE *936:A 9.58632e-05
+9 *928:Z *936:A 3.006e-05
*RES
-1 *940:Y *936:A 36.1487
+1 *934:X *936:A 34.7429
*END
-*D_NET *312 0.00516939
+*D_NET *323 0.00255747
*CONN
+*I *939:A I *D sky130_fd_sc_hd__clkinv_1
+*I *936:Z O *D sky130_fd_sc_hd__einvp_2
+*I *938:Z O *D sky130_fd_sc_hd__einvn_4
+*CAP
+1 *939:A 0.000197963
+2 *936:Z 9.84286e-05
+3 *938:Z 0.000117875
+4 *323:8 0.000414267
+5 *939:A *934:A 0.000180269
+6 *939:A *938:A 0.000163863
+7 *939:A *327:8 0.000175274
+8 *323:8 *938:A 0.000221863
+9 *383:DIODE *936:Z 6.48792e-05
+10 *383:DIODE *939:A 0.000460989
+11 *800:A2 *323:8 7.77652e-05
+12 *863:A *939:A 4.27842e-06
+13 *863:A *323:8 0.000115767
+14 *936:A *936:Z 9.75679e-05
+15 *22:8 *939:A 2.13481e-06
+16 *254:8 *939:A 1.9516e-05
+17 *258:8 *323:8 0.000144773
+*RES
+1 *938:Z *323:8 18.3179
+2 *323:8 *936:Z 15.9786
+3 *323:8 *939:A 20.8357
+*END
+
+*D_NET *324 0.00114162
+*CONN
+*I *935:A I *D sky130_fd_sc_hd__einvp_2
+*I *939:Y O *D sky130_fd_sc_hd__clkinv_1
+*CAP
+1 *935:A 0.000155762
+2 *939:Y 0.000155762
+3 *383:DIODE *935:A 0.00019625
+4 *794:A2 *935:A 3.45899e-05
+5 *21:7 *935:A 0.000472988
+6 *254:8 *935:A 0.000126272
+*RES
+1 *939:Y *935:A 34.1
+*END
+
+*D_NET *325 0.00504076
+*CONN
+*I *935:TE I *D sky130_fd_sc_hd__einvp_2
+*I *937:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *764:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *935:TE 0.000335911
+2 *937:TE_B 0
+3 *764:X 0.000872269
+4 *325:7 0.00120818
+5 *935:TE *938:A 2.2918e-05
+6 *784:A *325:7 2.79421e-05
+7 *785:C *325:7 0.000133536
+8 *790:C1 *325:7 0.000209541
+9 *793:A2 *325:7 0.000272338
+10 *793:B1 *325:7 6.30316e-05
+11 *793:B2 *325:7 2.85234e-05
+12 *798:B1 *325:7 0.000188658
+13 *921:TE *325:7 0.000180207
+14 *929:TE *935:TE 5.49995e-05
+15 *929:TE *325:7 0.000103017
+16 *936:A *935:TE 0.000146991
+17 *5:838 *325:7 7.17469e-05
+18 *20:15 *325:7 0.000612288
+19 *200:7 *325:7 0.000180195
+20 *212:23 *325:7 7.14833e-05
+21 *292:13 *325:7 0.000113356
+22 *313:8 *325:7 0.000143633
+*RES
+1 *764:X *325:7 31.1036
+2 *325:7 *937:TE_B 9.3
+3 *325:7 *935:TE 24.675
+*END
+
+*D_NET *326 0.000871028
+*CONN
+*I *938:TE_B I *D sky130_fd_sc_hd__einvn_4
*I *936:TE I *D sky130_fd_sc_hd__einvp_2
-*I *938:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *812:X O *D sky130_fd_sc_hd__mux2_1
+*I *802:X O *D sky130_fd_sc_hd__a32o_2
*CAP
-1 *936:TE 0.000360517
-2 *938:TE_B 0
-3 *812:X 0.000998722
-4 *312:15 0.00135924
-5 *345:DIODE *312:15 0.000258128
-6 *346:DIODE *312:15 0.000103983
-7 *351:DIODE *936:TE 0
-8 *773:A2 *312:15 0.000107496
-9 *773:A3 *312:15 2.17953e-06
-10 *773:B1 *312:15 0.000385928
-11 *774:B1 *312:15 0.000264586
-12 *777:B *312:15 7.25274e-05
-13 *779:A *312:15 0.000117469
-14 *812:S *312:15 0.000158357
-15 *825:A0 *936:TE 5.67857e-05
-16 *825:A0 *312:15 7.92757e-06
-17 *914:A *312:15 0.000117343
-18 *5:190 *312:15 0.000211464
-19 *5:195 *312:15 0.000377273
-20 *5:223 *312:15 9.97706e-05
-21 *5:324 *312:15 6.89449e-05
-22 *25:8 *936:TE 0
-23 *118:96 *312:15 4.0752e-05
+1 *938:TE_B 0
+2 *936:TE 0.000143877
+3 *802:X 3.40632e-05
+4 *326:5 0.00017794
+5 *936:TE *938:A 0
+6 *383:DIODE *936:TE 1.46231e-05
+7 *794:A1 *326:5 5.52302e-05
+8 *800:A2 *326:5 0.000137983
+9 *802:B2 *326:5 9.71197e-05
+10 *5:377 *936:TE 7.73022e-05
+11 *5:384 *936:TE 0.000129198
+12 *5:446 *936:TE 3.69047e-06
*RES
-1 *812:X *312:15 46.8174
-2 *312:15 *938:TE_B 9.24915
-3 *312:15 *936:TE 26.4871
+1 *802:X *326:5 10.6571
+2 *326:5 *936:TE 21.3893
+3 *326:5 *938:TE_B 9.3
*END
-*D_NET *313 0.00198218
+*D_NET *327 0.00207241
*CONN
-*I *939:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *937:TE I *D sky130_fd_sc_hd__einvp_2
-*I *813:X O *D sky130_fd_sc_hd__mux2_1
+*I *934:A I *D sky130_fd_sc_hd__clkbuf_1
+*I *937:A I *D sky130_fd_sc_hd__einvn_8
+*I *938:A I *D sky130_fd_sc_hd__einvn_4
+*I *933:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
-1 *939:TE_B 0.000195059
-2 *937:TE 0
-3 *813:X 0.000191747
-4 *313:8 0.000386805
-5 *813:S *313:8 0.000169041
-6 *864:A *313:8 6.80864e-05
-7 *936:A *939:TE_B 0.000342544
-8 *937:Z *939:TE_B 1.64943e-05
-9 *937:Z *313:8 3.67528e-06
-10 *940:A *939:TE_B 0.000263359
-11 *21:16 *939:TE_B 0.000332677
-12 *241:6 *939:TE_B 7.46648e-06
-13 *241:6 *313:8 5.22654e-06
+1 *934:A 0.000100126
+2 *937:A 0
+3 *938:A 0.000165305
+4 *933:X 6.88338e-05
+5 *327:8 0.000207939
+6 *327:7 0.000211594
+7 *383:DIODE *934:A 2.58997e-05
+8 *928:Z *327:7 1.58163e-05
+9 *933:A *327:7 1.21258e-05
+10 *935:TE *938:A 2.2918e-05
+11 *936:A *934:A 0.000165789
+12 *936:A *938:A 0.000148658
+13 *936:A *327:8 0.000166852
+14 *936:TE *938:A 0
+15 *939:A *934:A 0.000180269
+16 *939:A *938:A 0.000163863
+17 *939:A *327:8 0.000175274
+18 *22:8 *934:A 1.92905e-05
+19 *323:8 *938:A 0.000221863
*RES
-1 *813:X *313:8 18.2442
-2 *313:8 *937:TE 13.7491
-3 *313:8 *939:TE_B 23.506
+1 *933:X *327:7 14.7464
+2 *327:7 *327:8 2.25
+3 *327:8 *938:A 18.7821
+4 *327:8 *937:A 13.8
+5 *327:7 *934:A 17.1929
*END
-*D_NET *314 0.00106013
+*D_NET *328 0.000102603
*CONN
-*I *939:A I *D sky130_fd_sc_hd__einvn_4
-*I *935:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *938:A I *D sky130_fd_sc_hd__einvn_8
-*I *934:X O *D sky130_fd_sc_hd__clkbuf_2
+*I *949:TE_B I *D sky130_fd_sc_hd__einvn_8
+*I *945:X O *D sky130_fd_sc_hd__or2_2
*CAP
-1 *939:A 0
-2 *935:A 0
-3 *938:A 6.77624e-05
-4 *934:X 0.000161215
-5 *314:13 0.000306207
-6 *314:8 0.000399659
-7 *937:Z *314:13 9.68095e-05
-8 *22:8 *314:8 2.84758e-05
+1 *949:TE_B 2.36895e-05
+2 *945:X 2.36895e-05
+3 *949:TE_B *945:A 5.52238e-05
*RES
-1 *934:X *314:8 16.8591
-2 *314:8 *314:13 10.6561
-3 *314:13 *938:A 10.5271
-4 *314:13 *935:A 9.24915
-5 *314:8 *939:A 13.7491
+1 *945:X *949:TE_B 19.1357
*END
-*D_NET *315 0.000832948
-*CONN
-*I *950:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *946:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *950:TE_B 0.000245615
-2 *946:X 0.000245615
-3 *950:TE_B *953:A 0.000227134
-4 *236:11 *950:TE_B 0.000114584
-*RES
-1 *946:X *950:TE_B 33.2714
-*END
-
-*D_NET *316 0.000268097
-*CONN
-*I *949:A I *D sky130_fd_sc_hd__einvp_2
-*I *947:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *949:A 0.000131683
-2 *947:X 0.000131683
-3 *846:D *949:A 4.73037e-06
-4 *947:A *949:A 0
-5 *119:25 *949:A 0
-*RES
-1 *947:X *949:A 30.0537
-*END
-
-*D_NET *317 0.00114338
-*CONN
-*I *949:Z O *D sky130_fd_sc_hd__einvp_2
-*I *952:A I *D sky130_fd_sc_hd__clkinv_1
-*I *951:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *949:Z 5.36936e-05
-2 *952:A 0.000138961
-3 *951:Z 0.000114059
-4 *317:7 0.000306714
-5 *949:Z *951:TE_B 0.000122378
-6 *952:A *948:A 0.000125876
-7 *317:7 *948:A 0.0002817
-8 *950:A *952:A 0
-9 *119:25 *952:A 0
-10 *249:24 *952:A 0
-*RES
-1 *951:Z *317:7 13.3243
-2 *317:7 *952:A 21.7421
-3 *317:7 *949:Z 11.0817
-*END
-
-*D_NET *318 0.00106138
+*D_NET *329 0.000148744
*CONN
*I *948:A I *D sky130_fd_sc_hd__einvp_2
-*I *952:Y O *D sky130_fd_sc_hd__clkinv_1
+*I *946:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
-1 *948:A 0.000267817
-2 *952:Y 0.000267817
-3 *951:A *948:A 0.000118166
-4 *952:A *948:A 0.000125876
-5 *249:24 *948:A 0
-6 *317:7 *948:A 0.0002817
+1 *948:A 7.13462e-05
+2 *946:X 7.13462e-05
+3 *946:A *948:A 6.05161e-06
*RES
-1 *952:Y *948:A 36.015
+1 *946:X *948:A 19.5643
*END
-*D_NET *319 0.00120271
+*D_NET *330 0.000450174
*CONN
-*I *953:A I *D sky130_fd_sc_hd__einvp_1
-*I *945:HI O *D sky130_fd_sc_hd__conb_1
+*I *951:A I *D sky130_fd_sc_hd__clkinv_1
+*I *948:Z O *D sky130_fd_sc_hd__einvp_2
+*I *950:Z O *D sky130_fd_sc_hd__einvn_4
*CAP
-1 *953:A 0.000238206
-2 *945:HI 0.000238206
-3 *953:A *946:A 7.58194e-05
-4 *953:A *946:B 0.000122378
-5 *953:A *321:10 0.000286306
-6 *950:TE_B *953:A 0.000227134
-7 *233:18 *953:A 1.46645e-05
+1 *951:A 7.85208e-05
+2 *948:Z 6.11788e-05
+3 *950:Z 0
+4 *330:4 0.0001397
+5 *951:A *947:A 4.94761e-05
+6 *803:A2 *948:Z 6.05999e-05
+7 *946:A *948:Z 6.06987e-05
*RES
-1 *945:HI *953:A 36.7033
+1 *950:Z *330:4 9.3
+2 *330:4 *948:Z 19.9429
+3 *330:4 *951:A 10.6571
*END
-*D_NET *320 0.000986036
+*D_NET *331 0.00173215
*CONN
-*I *946:A I *D sky130_fd_sc_hd__or2_2
-*I *953:TE I *D sky130_fd_sc_hd__einvp_1
-*I *660:B I *D sky130_fd_sc_hd__nor2_2
-*I *659:Y O *D sky130_fd_sc_hd__nand2_2
+*I *947:A I *D sky130_fd_sc_hd__einvp_2
+*I *951:Y O *D sky130_fd_sc_hd__clkinv_1
*CAP
-1 *946:A 0.000100019
-2 *953:TE 3.58004e-05
-3 *660:B 0
-4 *659:Y 0.000129704
-5 *320:15 0.00027748
-6 *320:6 0.000271365
-7 *805:A *320:6 0
-8 *863:A *320:15 0
-9 *941:A *320:6 0
-10 *941:A *320:15 0
-11 *942:A *320:6 0
-12 *953:A *946:A 7.58194e-05
-13 *11:10 *320:6 1.91246e-05
-14 *233:5 *946:A 7.16754e-05
-15 *233:18 *946:A 5.04829e-06
+1 *947:A 0.000596923
+2 *951:Y 0.000596923
+3 *947:A *945:A 0.000125717
+4 *947:A *952:TE 7.57394e-05
+5 *803:A2 *947:A 0
+6 *804:C *947:A 6.72242e-05
+7 *864:A *947:A 0.000114114
+8 *947:Z *947:A 1.76135e-05
+9 *951:A *947:A 4.94761e-05
+10 *246:7 *947:A 5.49544e-05
+11 *246:17 *947:A 3.34687e-05
*RES
-1 *659:Y *320:6 16.4116
-2 *320:6 *660:B 13.7491
-3 *320:6 *320:15 7.57775
-4 *320:15 *953:TE 9.97254
-5 *320:15 *946:A 12.7456
+1 *951:Y *947:A 39.3143
*END
-*D_NET *321 0.00162271
+*D_NET *332 6.46394e-05
+*CONN
+*I *952:A I *D sky130_fd_sc_hd__einvp_1
+*I *944:HI O *D sky130_fd_sc_hd__conb_1
+*CAP
+1 *952:A 2.96665e-05
+2 *944:HI 2.96665e-05
+3 *246:7 *952:A 5.30637e-06
+*RES
+1 *944:HI *952:A 19.1357
+*END
+
+*D_NET *333 0.030951
+*CONN
+*I *815:B I *D sky130_fd_sc_hd__nor2_2
+*I *830:B I *D sky130_fd_sc_hd__nor2_2
+*I *829:B I *D sky130_fd_sc_hd__nor2_2
+*I *828:B I *D sky130_fd_sc_hd__nor2_2
+*I *822:B I *D sky130_fd_sc_hd__nor2_2
+*I *817:B I *D sky130_fd_sc_hd__nor2_2
+*I *814:B I *D sky130_fd_sc_hd__nor2_2
+*I *813:B I *D sky130_fd_sc_hd__nor2_2
+*I *952:TE I *D sky130_fd_sc_hd__einvp_1
+*I *945:A I *D sky130_fd_sc_hd__or2_2
+*I *819:B I *D sky130_fd_sc_hd__nor2_2
+*I *820:B I *D sky130_fd_sc_hd__nor2_2
+*I *818:B I *D sky130_fd_sc_hd__nor2_2
+*I *816:B I *D sky130_fd_sc_hd__nor2_2
+*I *821:B I *D sky130_fd_sc_hd__nor2_2
+*I *810:B I *D sky130_fd_sc_hd__nor2_2
+*I *824:B I *D sky130_fd_sc_hd__nor2_2
+*I *825:B I *D sky130_fd_sc_hd__nor2_2
+*I *823:B I *D sky130_fd_sc_hd__nor2_2
+*I *808:B I *D sky130_fd_sc_hd__nor2_2
+*I *809:B I *D sky130_fd_sc_hd__nor2_2
+*I *811:B I *D sky130_fd_sc_hd__nor2_2
+*I *812:B I *D sky130_fd_sc_hd__nor2_2
+*I *827:B I *D sky130_fd_sc_hd__nor2_2
+*I *826:B I *D sky130_fd_sc_hd__nor2_2
+*I *807:Y O *D sky130_fd_sc_hd__nand2_2
+*CAP
+1 *815:B 0
+2 *830:B 0.000299537
+3 *829:B 3.13769e-05
+4 *828:B 0.000625467
+5 *822:B 5.96738e-05
+6 *817:B 0
+7 *814:B 9.20699e-05
+8 *813:B 0
+9 *952:TE 8.15065e-05
+10 *945:A 0.000181486
+11 *819:B 0.000170455
+12 *820:B 0
+13 *818:B 8.43768e-05
+14 *816:B 0
+15 *821:B 0.000174447
+16 *810:B 0
+17 *824:B 0.000163305
+18 *825:B 6.47362e-05
+19 *823:B 1.20576e-05
+20 *808:B 0
+21 *809:B 0
+22 *811:B 0.000109196
+23 *812:B 0.000361871
+24 *827:B 4.47093e-05
+25 *826:B 0.00107376
+26 *807:Y 0
+27 *333:246 0.000782629
+28 *333:245 0.00119078
+29 *333:235 0.00128688
+30 *333:219 0.000935794
+31 *333:212 0.000425693
+32 *333:201 0.000257555
+33 *333:177 0.000430804
+34 *333:162 0.00036101
+35 *333:155 0.000154245
+36 *333:144 0.000536353
+37 *333:125 0.000174447
+38 *333:123 0.000465118
+39 *333:108 0.000163305
+40 *333:106 0.000249422
+41 *333:95 0.000657441
+42 *333:84 0.000621557
+43 *333:76 0.000410168
+44 *333:64 0.000681674
+45 *333:55 0.00132062
+46 *333:50 0.00135653
+47 *333:41 0.000535601
+48 *333:27 0.00121728
+49 *333:15 0.000940078
+50 *333:4 0.00120562
+51 clockp[1] *826:B 0.000104613
+52 *346:DIODE *826:B 6.56976e-05
+53 *405:DIODE *333:15 4.35597e-05
+54 *405:DIODE *333:27 0.000250668
+55 *413:DIODE *333:235 0.00010096
+56 *421:DIODE *333:95 5.7256e-05
+57 *635:S *333:245 0
+58 *641:B *830:B 9.11365e-06
+59 *642:B *830:B 4.43256e-05
+60 *664:A2 *828:B 0.000128154
+61 *665:A3 *828:B 4.38314e-05
+62 *667:A2 *828:B 3.15739e-05
+63 *671:A *826:B 0
+64 *686:B *333:64 3.84291e-05
+65 *686:C *333:64 9.60939e-05
+66 *690:A *333:64 0.000182357
+67 *708:A1 *333:235 4.46186e-06
+68 *708:A2 *333:235 3.83772e-05
+69 *709:B1 *333:235 0.00014285
+70 *726:B *333:219 0
+71 *731:A1 *333:50 3.17163e-05
+72 *731:B1 *333:50 4.58096e-05
+73 *734:A *811:B 3.69047e-06
+74 *734:A *812:B 1.97381e-05
+75 *734:A *333:41 2.34204e-05
+76 *735:A2 *812:B 0.00010096
+77 *736:B *333:27 0.000115971
+78 *736:C *812:B 0.000108582
+79 *736:C *333:27 2.24763e-05
+80 *736:C *333:41 0
+81 *736:C *333:50 0
+82 *738:A0 *333:64 2.26424e-05
+83 *739:B *333:76 0
+84 *804:C *945:A 8.9163e-05
+85 *804:C *333:144 1.41029e-05
+86 *804:C *333:155 0.000117144
+87 *804:C *333:162 4.97121e-06
+88 *804:C *333:177 7.25984e-05
+89 *808:A *823:B 0
+90 *808:A *333:84 1.04232e-05
+91 *811:A *811:B 0.000188586
+92 *819:A *819:B 1.04232e-05
+93 *821:A *821:B 8.95839e-06
+94 *822:A *333:235 3.568e-05
+95 *824:A *824:B 9.99853e-05
+96 *825:A *825:B 1.3701e-05
+97 *827:A *826:B 0.000307349
+98 *827:A *827:B 2.62951e-05
+99 *827:A *333:15 8.42609e-05
+100 *828:A *828:B 1.02301e-05
+101 *831:RESET_B *333:76 0.000186669
+102 *832:RESET_B *333:64 0.000197984
+103 *833:CLK *333:95 0
+104 *833:D *333:64 0
+105 *833:D *333:76 0
+106 *833:RESET_B *333:95 0.000136763
+107 *833:RESET_B *333:106 6.37408e-06
+108 *834:D *812:B 0
+109 *834:D *333:41 0
+110 *836:D *333:55 3.30803e-05
+111 *836:RESET_B *333:55 2.07232e-05
+112 *836:RESET_B *333:64 1.33343e-05
+113 *837:RESET_B *814:B 0.000228876
+114 *839:CLK *333:55 2.89016e-05
+115 *839:CLK *333:64 0.000116049
+116 *839:RESET_B *821:B 0.000140933
+117 *841:RESET_B *818:B 0.000136682
+118 *841:RESET_B *333:144 0.000381867
+119 *843:RESET_B *819:B 5.52302e-05
+120 *843:RESET_B *333:162 1.52978e-05
+121 *843:RESET_B *333:177 4.66203e-05
+122 *845:RESET_B *333:235 5.56943e-05
+123 *847:RESET_B *333:95 0
+124 *847:RESET_B *333:106 0
+125 *848:D *333:95 8.07313e-05
+126 *848:RESET_B *333:95 0
+127 *849:RESET_B *826:B 6.97972e-05
+128 *850:RESET_B *827:B 3.82055e-05
+129 *852:D *828:B 0
+130 *854:A *826:B 4.16984e-05
+131 *872:TE *333:162 2.19684e-05
+132 *872:TE *333:177 4.35048e-05
+133 *875:TE_B *333:144 0
+134 *940:A *333:245 2.11419e-05
+135 *947:A *945:A 0.000125717
+136 *947:A *952:TE 7.57394e-05
+137 *947:Z *945:A 1.00375e-05
+138 *949:TE_B *945:A 5.52238e-05
+139 *4:8 *828:B 0
+140 *5:15 *830:B 0.000357054
+141 *5:27 *830:B 8.18594e-05
+142 *5:27 *333:246 6.68298e-05
+143 *5:66 *333:235 8.98897e-05
+144 *5:70 *333:219 0.000290956
+145 *5:70 *333:235 3.17163e-05
+146 *5:72 *333:201 4.65855e-05
+147 *5:72 *333:212 0.000167904
+148 *5:72 *333:219 3.2923e-05
+149 *5:77 *333:50 5.66157e-05
+150 *5:77 *333:55 2.59355e-05
+151 *5:77 *333:201 9.80405e-05
+152 *5:88 *333:50 6.8551e-05
+153 *5:105 *811:B 6.57914e-05
+154 *5:146 *333:76 0.000276211
+155 *5:146 *333:84 2.44318e-05
+156 *5:154 *333:84 0.000105163
+157 *5:154 *333:95 1.54142e-05
+158 *5:164 *823:B 1.04232e-05
+159 *5:175 *333:95 5.33005e-05
+160 *5:191 *825:B 9.80173e-05
+161 *5:191 *333:106 0.000125731
+162 *5:191 *333:123 2.31791e-05
+163 *5:194 *824:B 4.4873e-05
+164 *5:196 *824:B 5.7661e-06
+165 *5:208 *824:B 4.73286e-05
+166 *5:222 *333:123 0.000197714
+167 *5:222 *333:144 2.28343e-05
+168 *5:227 *821:B 1.55885e-05
+169 *5:252 *333:144 0.000132806
+170 *5:257 *333:144 1.12323e-05
+171 *5:257 *333:155 0.000153054
+172 *5:261 *819:B 3.19453e-05
+173 *5:266 *819:B 2.89016e-05
+174 *5:504 *818:B 2.36643e-05
+175 *5:504 *333:155 0
+176 *5:534 *821:B 1.75897e-05
+177 *5:544 *821:B 6.45471e-06
+178 *5:561 *333:64 0.00014285
+179 *5:590 *814:B 0.000149489
+180 *5:604 *828:B 1.92789e-05
+181 *5:606 *828:B 3.4879e-05
+182 *5:611 *828:B 2.27416e-05
+183 *5:685 *333:245 8.6229e-06
+184 *5:688 *333:235 5.52302e-05
+185 *5:700 *333:245 4.75956e-06
+186 *5:876 *830:B 1.08359e-05
+187 *6:24 *826:B 6.99087e-05
+188 *8:26 *828:B 5.15946e-05
+189 *11:8 *828:B 0.00037804
+190 *11:8 *333:245 2.30813e-05
+191 *11:8 *333:246 9.06613e-05
+192 *32:27 *830:B 0
+193 *32:27 *333:246 0
+194 *88:5 *825:B 7.32272e-05
+195 *88:39 *333:27 0.000102207
+196 *93:9 *828:B 0
+197 *113:9 *828:B 0.000152041
+198 *129:63 *333:235 0
+199 *156:11 *333:235 0.000186669
+200 *168:8 *333:50 0
+201 *168:8 *333:201 0
+202 *169:14 *333:219 0
+203 *171:10 *333:50 0
+204 *171:12 *333:50 0
+205 *216:13 *829:B 2.59355e-05
+206 *216:64 *333:212 0.000124019
+207 *216:64 *333:219 6.96703e-05
+208 *216:175 *333:55 7.70092e-05
+209 *218:8 *811:B 3.32631e-05
+210 *218:8 *333:41 4.35131e-05
+211 *218:8 *333:50 0.000168067
+212 *219:8 *333:50 3.48235e-05
+213 *219:8 *333:55 5.39629e-06
+214 *220:8 *814:B 2.24718e-05
+215 *221:10 *333:219 0
+216 *221:10 *333:235 0
+217 *221:43 *333:235 3.68898e-05
+218 *221:43 *333:245 5.52238e-05
+219 *221:55 *830:B 7.6644e-05
+220 *226:8 *333:246 3.64887e-05
+221 *226:19 *830:B 1.09232e-05
+222 *226:19 *333:246 1.6959e-05
+223 *246:7 *952:TE 1.59373e-05
+224 *246:22 *945:A 0.000222666
+*RES
+1 *807:Y *333:4 9.3
+2 *333:4 *826:B 33.175
+3 *333:4 *333:15 1.76786
+4 *333:15 *827:B 19.3357
+5 *333:15 *333:27 14.8929
+6 *333:27 *812:B 20.9607
+7 *333:27 *333:41 1.94643
+8 *333:41 *811:B 16.8
+9 *333:41 *333:50 8.01786
+10 *333:50 *333:55 15.9286
+11 *333:55 *333:64 13.9643
+12 *333:64 *809:B 13.8
+13 *333:64 *333:76 10.2857
+14 *333:76 *808:B 9.3
+15 *333:76 *333:84 2.60714
+16 *333:84 *823:B 9.72857
+17 *333:84 *333:95 13.7143
+18 *333:95 *825:B 15.5857
+19 *333:95 *333:106 1.94643
+20 *333:106 *333:108 4.5
+21 *333:108 *824:B 12.7464
+22 *333:108 *810:B 9.3
+23 *333:106 *333:123 2.85714
+24 *333:123 *333:125 4.5
+25 *333:125 *821:B 12.9429
+26 *333:125 *816:B 9.3
+27 *333:123 *333:144 7.76786
+28 *333:144 *818:B 15.5679
+29 *333:144 *333:155 3.39286
+30 *333:155 *820:B 13.8
+31 *333:155 *333:162 0.732143
+32 *333:162 *819:B 16.55
+33 *333:162 *333:177 4.07143
+34 *333:177 *945:A 18.7643
+35 *333:177 *952:TE 15.5679
+36 *333:55 *813:B 9.3
+37 *333:50 *333:201 1.94643
+38 *333:201 *814:B 16.925
+39 *333:201 *333:212 2.25
+40 *333:212 *817:B 13.8
+41 *333:212 *333:219 7.41071
+42 *333:219 *822:B 14.7643
+43 *333:219 *333:235 15.5714
+44 *333:235 *333:245 13.5488
+45 *333:245 *333:246 3.00893
+46 *333:246 *828:B 28.1929
+47 *333:246 *829:B 14.3357
+48 *333:245 *830:B 20.8625
+49 *333:235 *815:B 9.3
+*END
+
+*D_NET *334 0.00761883
+*CONN
+*I *945:B I *D sky130_fd_sc_hd__or2_2
+*I *947:TE I *D sky130_fd_sc_hd__einvp_2
+*I *769:X O *D sky130_fd_sc_hd__a22o_2
+*CAP
+1 *945:B 6.85026e-05
+2 *947:TE 0.000309987
+3 *769:X 0.00176545
+4 *334:14 0.00214394
+5 *379:DIODE *334:14 1.98839e-05
+6 *695:A3 *334:14 1.50563e-05
+7 *716:C1 *947:TE 9.47456e-05
+8 *769:A1 *334:14 0.000175624
+9 *771:A2 *334:14 0.000168416
+10 *772:A1 *334:14 9.58352e-05
+11 *797:A *334:14 2.11419e-05
+12 *806:B1 *334:14 9.87983e-06
+13 *806:B2 *334:14 0.000103532
+14 *5:266 *947:TE 0.000173804
+15 *5:266 *334:14 0.00026881
+16 *5:279 *334:14 0.0001663
+17 *5:307 *334:14 6.81682e-05
+18 *5:726 *334:14 0.000112414
+19 *5:727 *334:14 3.97677e-05
+20 *5:731 *334:14 8.46829e-05
+21 *16:5 *334:14 1.95772e-05
+22 *131:62 *334:14 0.000337764
+23 *142:29 *334:14 0.000779242
+24 *234:37 *334:14 1.56427e-05
+25 *236:28 *334:14 0
+26 *237:89 *334:14 0.000292361
+27 *246:22 *945:B 0.000136958
+28 *278:12 *334:14 0.000131334
+*RES
+1 *769:X *334:14 36.7965
+2 *334:14 *947:TE 19.4607
+3 *334:14 *945:B 15.1571
+*END
+
+*D_NET *335 0.00103765
*CONN
*I *948:TE I *D sky130_fd_sc_hd__einvp_2
-*I *946:B I *D sky130_fd_sc_hd__or2_2
-*I *832:X O *D sky130_fd_sc_hd__mux2_1
+*I *950:TE_B I *D sky130_fd_sc_hd__einvn_4
+*I *806:X O *D sky130_fd_sc_hd__a22o_2
*CAP
-1 *948:TE 0
-2 *946:B 5.67305e-05
-3 *832:X 0.000479954
-4 *321:10 0.000536684
-5 *881:TE *321:10 3.69003e-05
-6 *953:A *946:B 0.000122378
-7 *953:A *321:10 0.000286306
-8 *5:98 *321:10 1.43848e-05
-9 *14:11 *321:10 4.26859e-05
-10 *233:18 *946:B 4.66876e-05
-11 *284:36 *321:10 0
+1 *948:TE 0.000103885
+2 *950:TE_B 0.000165121
+3 *806:X 0.000153249
+4 *335:5 0.000422255
+5 *803:A1 *948:TE 1.46231e-05
+6 *803:A1 *335:5 2.74389e-05
+7 *803:A2 *948:TE 1.83053e-05
+8 *803:B1 *335:5 9.63908e-05
+9 *26:17 *950:TE_B 3.63842e-05
+10 *251:19 *950:TE_B 0
*RES
-1 *832:X *321:10 31.1858
-2 *321:10 *946:B 11.6605
-3 *321:10 *948:TE 9.24915
-*END
-
-*D_NET *322 0.00210766
-*CONN
-*I *949:TE I *D sky130_fd_sc_hd__einvp_2
-*I *951:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *833:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *949:TE 0
-2 *951:TE_B 0.000178483
-3 *833:X 0.000291917
-4 *322:7 0.000470401
-5 *649:A *322:7 0.000114594
-6 *723:B2 *322:7 0.000160617
-7 *730:A1 *322:7 0.000508618
-8 *830:A0 *322:7 3.1759e-05
-9 *949:Z *951:TE_B 0.000122378
-10 *119:5 *322:7 9.75148e-06
-11 *120:11 *322:7 0.000193069
-12 *186:10 *322:7 2.60704e-05
-*RES
-1 *833:X *322:7 19.9795
-2 *322:7 *951:TE_B 13.8789
-3 *322:7 *949:TE 9.24915
+1 *806:X *335:5 11.8893
+2 *335:5 *950:TE_B 21.1571
+3 *335:5 *948:TE 11.0857
*END
diff --git a/spef/digital_pll/digital_pll.nom.spef b/spef/digital_pll/digital_pll.nom.spef
deleted file mode 100644
index 87dc290a..00000000
--- a/spef/digital_pll/digital_pll.nom.spef
+++ /dev/null
@@ -1,11804 +0,0 @@
-*SPEF "ieee 1481-1999"
-*DESIGN "digital_pll"
-*DATE "11:11:11 Fri 11 11, 1111"
-*VENDOR "OpenRCX"
-*PROGRAM "Parallel Extraction"
-*VERSION "1.0"
-*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
-*DIVIDER /
-*DELIMITER :
-*BUS_DELIMITER []
-*T_UNIT 1 NS
-*C_UNIT 1 PF
-*R_UNIT 1 OHM
-*L_UNIT 1 HENRY
-
-*NAME_MAP
-*3 clockp[0]
-*4 clockp[1]
-*5 dco
-*6 div[0]
-*7 div[1]
-*8 div[2]
-*9 div[3]
-*10 div[4]
-*11 enable
-*12 ext_trim[0]
-*13 ext_trim[10]
-*14 ext_trim[11]
-*15 ext_trim[12]
-*16 ext_trim[13]
-*17 ext_trim[14]
-*18 ext_trim[15]
-*19 ext_trim[16]
-*20 ext_trim[17]
-*21 ext_trim[18]
-*22 ext_trim[19]
-*23 ext_trim[1]
-*24 ext_trim[20]
-*25 ext_trim[21]
-*26 ext_trim[22]
-*27 ext_trim[23]
-*28 ext_trim[24]
-*29 ext_trim[25]
-*30 ext_trim[2]
-*31 ext_trim[3]
-*32 ext_trim[4]
-*33 ext_trim[5]
-*34 ext_trim[6]
-*35 ext_trim[7]
-*36 ext_trim[8]
-*37 ext_trim[9]
-*38 osc
-*39 resetb
-*40 _000_
-*41 _001_
-*42 _002_
-*43 _003_
-*44 _004_
-*45 _005_
-*46 _006_
-*47 _007_
-*48 _008_
-*49 _009_
-*50 _010_
-*51 _011_
-*52 _012_
-*53 _013_
-*54 _014_
-*55 _015_
-*56 _016_
-*57 _017_
-*58 _018_
-*59 _019_
-*60 _020_
-*61 _021_
-*62 _022_
-*63 _023_
-*64 _024_
-*65 _025_
-*66 _026_
-*67 _027_
-*68 _028_
-*69 _029_
-*70 _030_
-*71 _031_
-*72 _032_
-*73 _033_
-*74 _034_
-*75 _035_
-*76 _036_
-*77 _037_
-*78 _038_
-*79 _039_
-*80 _040_
-*81 _041_
-*82 _042_
-*83 _043_
-*84 _044_
-*85 _045_
-*86 _046_
-*87 _047_
-*88 _048_
-*89 _049_
-*90 _050_
-*91 _051_
-*92 _052_
-*93 _053_
-*94 _054_
-*95 _055_
-*96 _056_
-*97 _057_
-*98 _058_
-*99 _059_
-*100 _060_
-*101 _061_
-*102 _062_
-*103 _063_
-*104 _064_
-*105 _065_
-*106 _066_
-*107 _067_
-*108 _068_
-*109 _069_
-*110 _070_
-*111 _071_
-*112 _072_
-*113 _073_
-*114 _074_
-*115 _075_
-*116 _076_
-*117 _077_
-*118 _078_
-*119 _079_
-*120 _080_
-*121 _081_
-*122 _082_
-*123 _083_
-*124 _084_
-*125 _085_
-*126 _086_
-*127 _087_
-*128 _088_
-*129 _089_
-*130 _090_
-*131 _091_
-*132 _092_
-*133 _093_
-*134 _094_
-*135 _095_
-*136 _096_
-*137 _097_
-*138 _098_
-*139 _099_
-*140 _100_
-*141 _101_
-*142 _102_
-*143 _103_
-*144 _104_
-*145 _105_
-*146 _106_
-*147 _107_
-*148 _108_
-*149 _109_
-*150 _110_
-*151 _111_
-*152 _112_
-*153 _113_
-*154 _114_
-*155 _115_
-*156 _116_
-*157 _117_
-*158 _118_
-*159 _119_
-*160 _120_
-*161 _121_
-*162 _122_
-*163 _123_
-*164 _124_
-*165 _125_
-*166 _126_
-*167 _127_
-*168 _128_
-*169 _129_
-*170 _130_
-*171 _131_
-*172 _132_
-*173 _133_
-*174 _134_
-*175 _135_
-*176 _136_
-*177 _137_
-*178 _138_
-*179 _139_
-*180 _140_
-*181 _141_
-*182 _142_
-*183 _143_
-*184 _144_
-*185 _145_
-*186 _146_
-*187 _147_
-*188 _148_
-*189 _149_
-*190 _150_
-*191 _151_
-*192 _152_
-*193 _153_
-*194 _154_
-*195 _155_
-*196 _156_
-*197 _157_
-*198 _158_
-*199 _159_
-*200 _160_
-*201 _161_
-*202 _162_
-*203 _163_
-*204 pll_control\.clock
-*205 pll_control\.count0\[0\]
-*206 pll_control\.count0\[1\]
-*207 pll_control\.count0\[2\]
-*208 pll_control\.count0\[3\]
-*209 pll_control\.count0\[4\]
-*210 pll_control\.count1\[0\]
-*211 pll_control\.count1\[1\]
-*212 pll_control\.count1\[2\]
-*213 pll_control\.count1\[3\]
-*214 pll_control\.count1\[4\]
-*215 pll_control\.oscbuf\[0\]
-*216 pll_control\.oscbuf\[1\]
-*217 pll_control\.oscbuf\[2\]
-*218 pll_control\.prep\[0\]
-*219 pll_control\.prep\[1\]
-*220 pll_control\.prep\[2\]
-*221 pll_control\.tint\[0\]
-*222 pll_control\.tint\[1\]
-*223 pll_control\.tint\[2\]
-*224 pll_control\.tint\[3\]
-*225 pll_control\.tint\[4\]
-*226 pll_control\.tval\[0\]
-*227 pll_control\.tval\[1\]
-*228 ringosc\.c\[0\]
-*229 ringosc\.c\[1\]
-*230 ringosc\.dstage\[0\]\.id\.d0
-*231 ringosc\.dstage\[0\]\.id\.d1
-*232 ringosc\.dstage\[0\]\.id\.d2
-*233 ringosc\.dstage\[0\]\.id\.in
-*234 ringosc\.dstage\[0\]\.id\.out
-*235 ringosc\.dstage\[0\]\.id\.trim\[0\]
-*236 ringosc\.dstage\[0\]\.id\.trim\[1\]
-*237 ringosc\.dstage\[0\]\.id\.ts
-*238 ringosc\.dstage\[10\]\.id\.d0
-*239 ringosc\.dstage\[10\]\.id\.d1
-*240 ringosc\.dstage\[10\]\.id\.d2
-*241 ringosc\.dstage\[10\]\.id\.in
-*242 ringosc\.dstage\[10\]\.id\.out
-*243 ringosc\.dstage\[10\]\.id\.trim\[0\]
-*244 ringosc\.dstage\[10\]\.id\.trim\[1\]
-*245 ringosc\.dstage\[10\]\.id\.ts
-*246 ringosc\.dstage\[11\]\.id\.d0
-*247 ringosc\.dstage\[11\]\.id\.d1
-*248 ringosc\.dstage\[11\]\.id\.d2
-*249 ringosc\.dstage\[11\]\.id\.out
-*250 ringosc\.dstage\[11\]\.id\.trim\[0\]
-*251 ringosc\.dstage\[11\]\.id\.trim\[1\]
-*252 ringosc\.dstage\[11\]\.id\.ts
-*253 ringosc\.dstage\[1\]\.id\.d0
-*254 ringosc\.dstage\[1\]\.id\.d1
-*255 ringosc\.dstage\[1\]\.id\.d2
-*256 ringosc\.dstage\[1\]\.id\.out
-*257 ringosc\.dstage\[1\]\.id\.trim\[0\]
-*258 ringosc\.dstage\[1\]\.id\.trim\[1\]
-*259 ringosc\.dstage\[1\]\.id\.ts
-*260 ringosc\.dstage\[2\]\.id\.d0
-*261 ringosc\.dstage\[2\]\.id\.d1
-*262 ringosc\.dstage\[2\]\.id\.d2
-*263 ringosc\.dstage\[2\]\.id\.out
-*264 ringosc\.dstage\[2\]\.id\.trim\[0\]
-*265 ringosc\.dstage\[2\]\.id\.trim\[1\]
-*266 ringosc\.dstage\[2\]\.id\.ts
-*267 ringosc\.dstage\[3\]\.id\.d0
-*268 ringosc\.dstage\[3\]\.id\.d1
-*269 ringosc\.dstage\[3\]\.id\.d2
-*270 ringosc\.dstage\[3\]\.id\.out
-*271 ringosc\.dstage\[3\]\.id\.trim\[0\]
-*272 ringosc\.dstage\[3\]\.id\.trim\[1\]
-*273 ringosc\.dstage\[3\]\.id\.ts
-*274 ringosc\.dstage\[4\]\.id\.d0
-*275 ringosc\.dstage\[4\]\.id\.d1
-*276 ringosc\.dstage\[4\]\.id\.d2
-*277 ringosc\.dstage\[4\]\.id\.out
-*278 ringosc\.dstage\[4\]\.id\.trim\[0\]
-*279 ringosc\.dstage\[4\]\.id\.trim\[1\]
-*280 ringosc\.dstage\[4\]\.id\.ts
-*281 ringosc\.dstage\[5\]\.id\.d0
-*282 ringosc\.dstage\[5\]\.id\.d1
-*283 ringosc\.dstage\[5\]\.id\.d2
-*284 ringosc\.dstage\[5\]\.id\.out
-*285 ringosc\.dstage\[5\]\.id\.trim\[0\]
-*286 ringosc\.dstage\[5\]\.id\.trim\[1\]
-*287 ringosc\.dstage\[5\]\.id\.ts
-*288 ringosc\.dstage\[6\]\.id\.d0
-*289 ringosc\.dstage\[6\]\.id\.d1
-*290 ringosc\.dstage\[6\]\.id\.d2
-*291 ringosc\.dstage\[6\]\.id\.out
-*292 ringosc\.dstage\[6\]\.id\.trim\[0\]
-*293 ringosc\.dstage\[6\]\.id\.trim\[1\]
-*294 ringosc\.dstage\[6\]\.id\.ts
-*295 ringosc\.dstage\[7\]\.id\.d0
-*296 ringosc\.dstage\[7\]\.id\.d1
-*297 ringosc\.dstage\[7\]\.id\.d2
-*298 ringosc\.dstage\[7\]\.id\.out
-*299 ringosc\.dstage\[7\]\.id\.trim\[0\]
-*300 ringosc\.dstage\[7\]\.id\.trim\[1\]
-*301 ringosc\.dstage\[7\]\.id\.ts
-*302 ringosc\.dstage\[8\]\.id\.d0
-*303 ringosc\.dstage\[8\]\.id\.d1
-*304 ringosc\.dstage\[8\]\.id\.d2
-*305 ringosc\.dstage\[8\]\.id\.out
-*306 ringosc\.dstage\[8\]\.id\.trim\[0\]
-*307 ringosc\.dstage\[8\]\.id\.trim\[1\]
-*308 ringosc\.dstage\[8\]\.id\.ts
-*309 ringosc\.dstage\[9\]\.id\.d0
-*310 ringosc\.dstage\[9\]\.id\.d1
-*311 ringosc\.dstage\[9\]\.id\.d2
-*312 ringosc\.dstage\[9\]\.id\.trim\[0\]
-*313 ringosc\.dstage\[9\]\.id\.trim\[1\]
-*314 ringosc\.dstage\[9\]\.id\.ts
-*315 ringosc\.iss\.ctrl0
-*316 ringosc\.iss\.d0
-*317 ringosc\.iss\.d1
-*318 ringosc\.iss\.d2
-*319 ringosc\.iss\.one
-*320 ringosc\.iss\.reset
-*321 ringosc\.iss\.trim\[0\]
-*322 ringosc\.iss\.trim\[1\]
-*323 ANTENNA__177__A
-*324 ANTENNA__181__A
-*325 ANTENNA__181__B
-*326 ANTENNA__182__A
-*327 ANTENNA__201__A1
-*328 ANTENNA__201__B1
-*329 ANTENNA__202__A
-*330 ANTENNA__204__A1
-*331 ANTENNA__207__A
-*332 ANTENNA__210__A1
-*333 ANTENNA__210__B1
-*334 ANTENNA__211__A1
-*335 ANTENNA__216__A
-*336 ANTENNA__218__B1
-*337 ANTENNA__330__A1
-*338 ANTENNA__330__S
-*339 ANTENNA__331__A1
-*340 ANTENNA__331__S
-*341 ANTENNA__332__A1
-*342 ANTENNA__332__S
-*343 ANTENNA__333__A1
-*344 ANTENNA__333__S
-*345 ANTENNA__334__A1
-*346 ANTENNA__334__S
-*347 ANTENNA__335__A1
-*348 ANTENNA__335__S
-*349 ANTENNA__336__A1
-*350 ANTENNA__336__S
-*351 ANTENNA__337__A1
-*352 ANTENNA__337__S
-*353 ANTENNA__338__A1
-*354 ANTENNA__338__S
-*355 ANTENNA__339__A1
-*356 ANTENNA__339__S
-*357 ANTENNA__340__A1
-*358 ANTENNA__340__S
-*359 ANTENNA__341__A1
-*360 ANTENNA__341__S
-*361 ANTENNA__342__A1
-*362 ANTENNA__342__S
-*363 ANTENNA__343__A1
-*364 ANTENNA__343__S
-*365 ANTENNA__344__A1
-*366 ANTENNA__344__S
-*367 ANTENNA__345__A1
-*368 ANTENNA__345__S
-*369 ANTENNA__346__A1
-*370 ANTENNA__346__S
-*371 ANTENNA__347__A1
-*372 ANTENNA__347__S
-*373 ANTENNA__348__A1
-*374 ANTENNA__348__S
-*375 ANTENNA__349__A1
-*376 ANTENNA__349__S
-*377 ANTENNA__350__A1
-*378 ANTENNA__350__S
-*379 ANTENNA__351__A1
-*380 ANTENNA__351__S
-*381 ANTENNA__352__A1
-*382 ANTENNA__352__S
-*383 ANTENNA__353__A1
-*384 ANTENNA__353__S
-*385 ANTENNA__354__A1
-*386 ANTENNA__354__S
-*387 ANTENNA__355__A1
-*388 ANTENNA__355__S
-*389 ANTENNA__356__D
-*390 FILLER_0_10
-*391 FILLER_0_105
-*392 FILLER_0_19
-*393 FILLER_0_3
-*394 FILLER_0_37
-*395 FILLER_0_52
-*396 FILLER_0_62
-*397 FILLER_0_66
-*398 FILLER_0_70
-*399 FILLER_0_82
-*400 FILLER_0_93
-*401 FILLER_10_10
-*402 FILLER_10_104
-*403 FILLER_10_19
-*404 FILLER_10_27
-*405 FILLER_10_36
-*406 FILLER_10_7
-*407 FILLER_10_94
-*408 FILLER_11_101
-*409 FILLER_11_111
-*410 FILLER_11_130
-*411 FILLER_11_23
-*412 FILLER_11_32
-*413 FILLER_11_38
-*414 FILLER_11_50
-*415 FILLER_11_57
-*416 FILLER_11_77
-*417 FILLER_12_101
-*418 FILLER_12_105
-*419 FILLER_12_19
-*420 FILLER_12_27
-*421 FILLER_12_39
-*422 FILLER_12_48
-*423 FILLER_12_68
-*424 FILLER_12_80
-*425 FILLER_13_134
-*426 FILLER_13_34
-*427 FILLER_13_54
-*428 FILLER_14_112
-*429 FILLER_14_13
-*430 FILLER_14_3
-*431 FILLER_14_43
-*432 FILLER_14_63
-*433 FILLER_14_81
-*434 FILLER_14_85
-*435 FILLER_15_109
-*436 FILLER_15_24
-*437 FILLER_15_39
-*438 FILLER_15_5
-*439 FILLER_15_53
-*440 FILLER_15_67
-*441 FILLER_15_76
-*442 FILLER_15_93
-*443 FILLER_16_115
-*444 FILLER_16_135
-*445 FILLER_16_23
-*446 FILLER_16_27
-*447 FILLER_16_32
-*448 FILLER_16_59
-*449 FILLER_16_7
-*450 FILLER_16_72
-*451 FILLER_16_94
-*452 FILLER_16_98
-*453 FILLER_17_100
-*454 FILLER_17_12
-*455 FILLER_17_30
-*456 FILLER_18_112
-*457 FILLER_18_134
-*458 FILLER_18_26
-*459 FILLER_18_49
-*460 FILLER_18_75
-*461 FILLER_18_96
-*462 FILLER_19_100
-*463 FILLER_19_120
-*464 FILLER_19_135
-*465 FILLER_19_28
-*466 FILLER_19_55
-*467 FILLER_19_68
-*468 FILLER_19_87
-*469 FILLER_1_111
-*470 FILLER_1_134
-*471 FILLER_1_54
-*472 FILLER_1_78
-*473 FILLER_20_112
-*474 FILLER_20_134
-*475 FILLER_20_38
-*476 FILLER_20_5
-*477 FILLER_21_104
-*478 FILLER_21_135
-*479 FILLER_21_21
-*480 FILLER_21_55
-*481 FILLER_21_69
-*482 FILLER_22_133
-*483 FILLER_22_3
-*484 FILLER_22_31
-*485 FILLER_22_42
-*486 FILLER_22_7
-*487 FILLER_22_72
-*488 FILLER_2_120
-*489 FILLER_2_134
-*490 FILLER_2_18
-*491 FILLER_2_5
-*492 FILLER_2_78
-*493 FILLER_3_111
-*494 FILLER_3_113
-*495 FILLER_3_28
-*496 FILLER_3_3
-*497 FILLER_3_33
-*498 FILLER_3_37
-*499 FILLER_3_48
-*500 FILLER_3_57
-*501 FILLER_3_71
-*502 FILLER_3_94
-*503 FILLER_4_120
-*504 FILLER_4_129
-*505 FILLER_4_135
-*506 FILLER_4_58
-*507 FILLER_4_81
-*508 FILLER_5_103
-*509 FILLER_5_134
-*510 FILLER_5_19
-*511 FILLER_5_32
-*512 FILLER_5_57
-*513 FILLER_5_69
-*514 FILLER_6_100
-*515 FILLER_6_122
-*516 FILLER_6_134
-*517 FILLER_6_17
-*518 FILLER_6_27
-*519 FILLER_6_3
-*520 FILLER_6_38
-*521 FILLER_6_53
-*522 FILLER_6_62
-*523 FILLER_6_71
-*524 FILLER_6_83
-*525 FILLER_6_88
-*526 FILLER_7_103
-*527 FILLER_7_116
-*528 FILLER_7_127
-*529 FILLER_7_135
-*530 FILLER_7_39
-*531 FILLER_7_81
-*532 FILLER_7_90
-*533 FILLER_7_96
-*534 FILLER_8_27
-*535 FILLER_8_29
-*536 FILLER_8_51
-*537 FILLER_8_63
-*538 FILLER_8_69
-*539 FILLER_9_113
-*540 FILLER_9_27
-*541 FILLER_9_47
-*542 FILLER_9_5
-*543 FILLER_9_52
-*544 FILLER_9_78
-*545 FILLER_9_87
-*546 PHY_0
-*547 PHY_1
-*548 PHY_10
-*549 PHY_11
-*550 PHY_12
-*551 PHY_13
-*552 PHY_14
-*553 PHY_15
-*554 PHY_16
-*555 PHY_17
-*556 PHY_18
-*557 PHY_19
-*558 PHY_2
-*559 PHY_20
-*560 PHY_21
-*561 PHY_22
-*562 PHY_23
-*563 PHY_24
-*564 PHY_25
-*565 PHY_26
-*566 PHY_27
-*567 PHY_28
-*568 PHY_29
-*569 PHY_3
-*570 PHY_30
-*571 PHY_31
-*572 PHY_32
-*573 PHY_33
-*574 PHY_34
-*575 PHY_35
-*576 PHY_36
-*577 PHY_37
-*578 PHY_38
-*579 PHY_39
-*580 PHY_4
-*581 PHY_40
-*582 PHY_41
-*583 PHY_42
-*584 PHY_43
-*585 PHY_44
-*586 PHY_45
-*587 PHY_5
-*588 PHY_6
-*589 PHY_7
-*590 PHY_8
-*591 PHY_9
-*592 TAP_46
-*593 TAP_47
-*594 TAP_48
-*595 TAP_49
-*596 TAP_50
-*597 TAP_51
-*598 TAP_52
-*599 TAP_53
-*600 TAP_54
-*601 TAP_55
-*602 TAP_56
-*603 TAP_57
-*604 TAP_58
-*605 TAP_59
-*606 TAP_60
-*607 TAP_61
-*608 TAP_62
-*609 TAP_63
-*610 TAP_64
-*611 TAP_65
-*612 TAP_66
-*613 TAP_67
-*614 TAP_68
-*615 TAP_69
-*616 TAP_70
-*617 TAP_71
-*618 TAP_72
-*619 TAP_73
-*620 TAP_74
-*621 TAP_75
-*622 TAP_76
-*623 TAP_77
-*624 TAP_78
-*625 TAP_79
-*626 TAP_80
-*627 TAP_81
-*628 TAP_82
-*629 TAP_83
-*630 TAP_84
-*631 TAP_85
-*632 TAP_86
-*633 TAP_87
-*634 TAP_88
-*635 TAP_89
-*636 TAP_90
-*637 TAP_91
-*638 TAP_92
-*639 TAP_93
-*640 TAP_94
-*641 TAP_95
-*642 _164_
-*643 _165_
-*644 _166_
-*645 _167_
-*646 _168_
-*647 _169_
-*648 _170_
-*649 _171_
-*650 _172_
-*651 _173_
-*652 _174_
-*653 _175_
-*654 _176_
-*655 _177_
-*656 _178_
-*657 _179_
-*658 _180_
-*659 _181_
-*660 _182_
-*661 _183_
-*662 _184_
-*663 _185_
-*664 _186_
-*665 _187_
-*666 _188_
-*667 _189_
-*668 _190_
-*669 _191_
-*670 _192_
-*671 _193_
-*672 _194_
-*673 _195_
-*674 _196_
-*675 _197_
-*676 _198_
-*677 _199_
-*678 _200_
-*679 _201_
-*680 _202_
-*681 _203_
-*682 _204_
-*683 _205_
-*684 _206_
-*685 _207_
-*686 _208_
-*687 _209_
-*688 _210_
-*689 _211_
-*690 _212_
-*691 _213_
-*692 _214_
-*693 _215_
-*694 _216_
-*695 _217_
-*696 _218_
-*697 _219_
-*698 _220_
-*699 _221_
-*700 _222_
-*701 _223_
-*702 _224_
-*703 _225_
-*704 _226_
-*705 _227_
-*706 _228_
-*707 _229_
-*708 _230_
-*709 _231_
-*710 _232_
-*711 _233_
-*712 _234_
-*713 _235_
-*714 _236_
-*715 _237_
-*716 _238_
-*717 _239_
-*718 _240_
-*719 _241_
-*720 _242_
-*721 _243_
-*722 _244_
-*723 _245_
-*724 _246_
-*725 _247_
-*726 _248_
-*727 _249_
-*728 _250_
-*729 _251_
-*730 _252_
-*731 _253_
-*732 _254_
-*733 _255_
-*734 _256_
-*735 _257_
-*736 _258_
-*737 _259_
-*738 _260_
-*739 _261_
-*740 _262_
-*741 _263_
-*742 _264_
-*743 _265_
-*744 _266_
-*745 _267_
-*746 _268_
-*747 _269_
-*748 _270_
-*749 _271_
-*750 _272_
-*751 _273_
-*752 _274_
-*753 _275_
-*754 _276_
-*755 _277_
-*756 _278_
-*757 _279_
-*758 _280_
-*759 _281_
-*760 _282_
-*761 _283_
-*762 _284_
-*763 _285_
-*764 _286_
-*765 _287_
-*766 _288_
-*767 _289_
-*768 _290_
-*769 _291_
-*770 _292_
-*771 _293_
-*772 _294_
-*773 _295_
-*774 _296_
-*775 _297_
-*776 _298_
-*777 _299_
-*778 _300_
-*779 _301_
-*780 _302_
-*781 _303_
-*782 _304_
-*783 _305_
-*784 _306_
-*785 _307_
-*786 _308_
-*787 _309_
-*788 _310_
-*789 _311_
-*790 _312_
-*791 _313_
-*792 _314_
-*793 _315_
-*794 _316_
-*795 _317_
-*796 _318_
-*797 _319_
-*798 _320_
-*799 _321_
-*800 _322_
-*801 _323_
-*802 _324_
-*803 _325_
-*804 _326_
-*805 _327_
-*806 _328_
-*807 _329_
-*808 _330_
-*809 _331_
-*810 _332_
-*811 _333_
-*812 _334_
-*813 _335_
-*814 _336_
-*815 _337_
-*816 _338_
-*817 _339_
-*818 _340_
-*819 _341_
-*820 _342_
-*821 _343_
-*822 _344_
-*823 _345_
-*824 _346_
-*825 _347_
-*826 _348_
-*827 _349_
-*828 _350_
-*829 _351_
-*830 _352_
-*831 _353_
-*832 _354_
-*833 _355_
-*834 _356_
-*835 _357_
-*836 _358_
-*837 _359_
-*838 _360_
-*839 _361_
-*840 _362_
-*841 _363_
-*842 _364_
-*843 _365_
-*844 _366_
-*845 _367_
-*846 _368_
-*847 _369_
-*848 _370_
-*849 _371_
-*850 _372_
-*851 _373_
-*852 _374_
-*853 _375_
-*854 _376_
-*855 _377_
-*856 _378_
-*857 ringosc\.dstage\[0\]\.id\.delaybuf0
-*858 ringosc\.dstage\[0\]\.id\.delaybuf1
-*859 ringosc\.dstage\[0\]\.id\.delayen0
-*860 ringosc\.dstage\[0\]\.id\.delayen1
-*861 ringosc\.dstage\[0\]\.id\.delayenb0
-*862 ringosc\.dstage\[0\]\.id\.delayenb1
-*863 ringosc\.dstage\[0\]\.id\.delayint0
-*864 ringosc\.dstage\[10\]\.id\.delaybuf0
-*865 ringosc\.dstage\[10\]\.id\.delaybuf1
-*866 ringosc\.dstage\[10\]\.id\.delayen0
-*867 ringosc\.dstage\[10\]\.id\.delayen1
-*868 ringosc\.dstage\[10\]\.id\.delayenb0
-*869 ringosc\.dstage\[10\]\.id\.delayenb1
-*870 ringosc\.dstage\[10\]\.id\.delayint0
-*871 ringosc\.dstage\[11\]\.id\.delaybuf0
-*872 ringosc\.dstage\[11\]\.id\.delaybuf1
-*873 ringosc\.dstage\[11\]\.id\.delayen0
-*874 ringosc\.dstage\[11\]\.id\.delayen1
-*875 ringosc\.dstage\[11\]\.id\.delayenb0
-*876 ringosc\.dstage\[11\]\.id\.delayenb1
-*877 ringosc\.dstage\[11\]\.id\.delayint0
-*878 ringosc\.dstage\[1\]\.id\.delaybuf0
-*879 ringosc\.dstage\[1\]\.id\.delaybuf1
-*880 ringosc\.dstage\[1\]\.id\.delayen0
-*881 ringosc\.dstage\[1\]\.id\.delayen1
-*882 ringosc\.dstage\[1\]\.id\.delayenb0
-*883 ringosc\.dstage\[1\]\.id\.delayenb1
-*884 ringosc\.dstage\[1\]\.id\.delayint0
-*885 ringosc\.dstage\[2\]\.id\.delaybuf0
-*886 ringosc\.dstage\[2\]\.id\.delaybuf1
-*887 ringosc\.dstage\[2\]\.id\.delayen0
-*888 ringosc\.dstage\[2\]\.id\.delayen1
-*889 ringosc\.dstage\[2\]\.id\.delayenb0
-*890 ringosc\.dstage\[2\]\.id\.delayenb1
-*891 ringosc\.dstage\[2\]\.id\.delayint0
-*892 ringosc\.dstage\[3\]\.id\.delaybuf0
-*893 ringosc\.dstage\[3\]\.id\.delaybuf1
-*894 ringosc\.dstage\[3\]\.id\.delayen0
-*895 ringosc\.dstage\[3\]\.id\.delayen1
-*896 ringosc\.dstage\[3\]\.id\.delayenb0
-*897 ringosc\.dstage\[3\]\.id\.delayenb1
-*898 ringosc\.dstage\[3\]\.id\.delayint0
-*899 ringosc\.dstage\[4\]\.id\.delaybuf0
-*900 ringosc\.dstage\[4\]\.id\.delaybuf1
-*901 ringosc\.dstage\[4\]\.id\.delayen0
-*902 ringosc\.dstage\[4\]\.id\.delayen1
-*903 ringosc\.dstage\[4\]\.id\.delayenb0
-*904 ringosc\.dstage\[4\]\.id\.delayenb1
-*905 ringosc\.dstage\[4\]\.id\.delayint0
-*906 ringosc\.dstage\[5\]\.id\.delaybuf0
-*907 ringosc\.dstage\[5\]\.id\.delaybuf1
-*908 ringosc\.dstage\[5\]\.id\.delayen0
-*909 ringosc\.dstage\[5\]\.id\.delayen1
-*910 ringosc\.dstage\[5\]\.id\.delayenb0
-*911 ringosc\.dstage\[5\]\.id\.delayenb1
-*912 ringosc\.dstage\[5\]\.id\.delayint0
-*913 ringosc\.dstage\[6\]\.id\.delaybuf0
-*914 ringosc\.dstage\[6\]\.id\.delaybuf1
-*915 ringosc\.dstage\[6\]\.id\.delayen0
-*916 ringosc\.dstage\[6\]\.id\.delayen1
-*917 ringosc\.dstage\[6\]\.id\.delayenb0
-*918 ringosc\.dstage\[6\]\.id\.delayenb1
-*919 ringosc\.dstage\[6\]\.id\.delayint0
-*920 ringosc\.dstage\[7\]\.id\.delaybuf0
-*921 ringosc\.dstage\[7\]\.id\.delaybuf1
-*922 ringosc\.dstage\[7\]\.id\.delayen0
-*923 ringosc\.dstage\[7\]\.id\.delayen1
-*924 ringosc\.dstage\[7\]\.id\.delayenb0
-*925 ringosc\.dstage\[7\]\.id\.delayenb1
-*926 ringosc\.dstage\[7\]\.id\.delayint0
-*927 ringosc\.dstage\[8\]\.id\.delaybuf0
-*928 ringosc\.dstage\[8\]\.id\.delaybuf1
-*929 ringosc\.dstage\[8\]\.id\.delayen0
-*930 ringosc\.dstage\[8\]\.id\.delayen1
-*931 ringosc\.dstage\[8\]\.id\.delayenb0
-*932 ringosc\.dstage\[8\]\.id\.delayenb1
-*933 ringosc\.dstage\[8\]\.id\.delayint0
-*934 ringosc\.dstage\[9\]\.id\.delaybuf0
-*935 ringosc\.dstage\[9\]\.id\.delaybuf1
-*936 ringosc\.dstage\[9\]\.id\.delayen0
-*937 ringosc\.dstage\[9\]\.id\.delayen1
-*938 ringosc\.dstage\[9\]\.id\.delayenb0
-*939 ringosc\.dstage\[9\]\.id\.delayenb1
-*940 ringosc\.dstage\[9\]\.id\.delayint0
-*941 ringosc\.ibufp00
-*942 ringosc\.ibufp01
-*943 ringosc\.ibufp10
-*944 ringosc\.ibufp11
-*945 ringosc\.iss\.const1
-*946 ringosc\.iss\.ctrlen0
-*947 ringosc\.iss\.delaybuf0
-*948 ringosc\.iss\.delayen0
-*949 ringosc\.iss\.delayen1
-*950 ringosc\.iss\.delayenb0
-*951 ringosc\.iss\.delayenb1
-*952 ringosc\.iss\.delayint0
-*953 ringosc\.iss\.reseten0
-
-*PORTS
-clockp[0] O
-clockp[1] O
-dco I
-div[0] I
-div[1] I
-div[2] I
-div[3] I
-div[4] I
-enable I
-ext_trim[0] I
-ext_trim[10] I
-ext_trim[11] I
-ext_trim[12] I
-ext_trim[13] I
-ext_trim[14] I
-ext_trim[15] I
-ext_trim[16] I
-ext_trim[17] I
-ext_trim[18] I
-ext_trim[19] I
-ext_trim[1] I
-ext_trim[20] I
-ext_trim[21] I
-ext_trim[22] I
-ext_trim[23] I
-ext_trim[24] I
-ext_trim[25] I
-ext_trim[2] I
-ext_trim[3] I
-ext_trim[4] I
-ext_trim[5] I
-ext_trim[6] I
-ext_trim[7] I
-ext_trim[8] I
-ext_trim[9] I
-osc I
-resetb I
-
-*D_NET *3 0.000789648
-*CONN
-*P clockp[0] O
-*I *806:X O *D sky130_fd_sc_hd__buf_2
-*CAP
-1 clockp[0] 0.000394824
-2 *806:X 0.000394824
-3 clockp[0] clockp[1] 0
-*RES
-1 *806:X clockp[0] 22.4772
-*END
-
-*D_NET *4 0.00597287
-*CONN
-*P clockp[1] O
-*I *944:Y O *D sky130_fd_sc_hd__clkinv_8
-*CAP
-1 clockp[1] 0.0013368
-2 *944:Y 0.000595063
-3 *4:10 0.00193187
-4 clockp[1] *655:A 2.652e-05
-5 clockp[1] *661:B1 0.000225835
-6 clockp[1] *662:B2 0
-7 clockp[1] *679:B2 0.00028516
-8 clockp[1] *692:A 3.88655e-06
-9 clockp[1] *692:B 0
-10 clockp[1] *693:A2 2.18741e-05
-11 clockp[1] *854:D 3.58321e-05
-12 clockp[1] *854:RESET_B 7.58739e-05
-13 clockp[1] *6:7 0
-14 clockp[1] *6:36 4.73136e-05
-15 clockp[1] *39:11 0
-16 clockp[1] *90:51 4.3116e-06
-17 clockp[1] *127:55 0
-18 clockp[1] *137:10 0
-19 clockp[1] *138:6 0.000367831
-20 clockp[1] *140:8 0
-21 *4:10 *643:A 0
-22 *4:10 *690:B1 6.89317e-05
-23 *4:10 *690:B2 0.000125695
-24 *4:10 *692:A 6.17553e-05
-25 *4:10 *805:A 7.06307e-05
-26 *4:10 *856:D 0
-27 *4:10 *5:22 0.000330596
-28 *4:10 *39:11 0
-29 *4:10 *113:8 0.00035709
-30 clockp[0] clockp[1] 0
-*RES
-1 *944:Y *4:10 31.2298
-2 *4:10 clockp[1] 28.8458
-*END
-
-*D_NET *5 0.0457603
-*CONN
-*P dco I
-*I *370:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *824:S I *D sky130_fd_sc_hd__mux2_1
-*I *366:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *358:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *818:S I *D sky130_fd_sc_hd__mux2_1
-*I *816:S I *D sky130_fd_sc_hd__mux2_1
-*I *814:S I *D sky130_fd_sc_hd__mux2_1
-*I *356:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *817:S I *D sky130_fd_sc_hd__mux2_1
-*I *360:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *352:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *815:S I *D sky130_fd_sc_hd__mux2_1
-*I *348:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *813:S I *D sky130_fd_sc_hd__mux2_1
-*I *809:S I *D sky130_fd_sc_hd__mux2_1
-*I *811:S I *D sky130_fd_sc_hd__mux2_1
-*I *344:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *340:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *833:S I *D sky130_fd_sc_hd__mux2_1
-*I *388:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *831:S I *D sky130_fd_sc_hd__mux2_1
-*I *384:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *372:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *825:S I *D sky130_fd_sc_hd__mux2_1
-*I *368:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *823:S I *D sky130_fd_sc_hd__mux2_1
-*I *819:S I *D sky130_fd_sc_hd__mux2_1
-*I *827:S I *D sky130_fd_sc_hd__mux2_1
-*I *821:S I *D sky130_fd_sc_hd__mux2_1
-*I *376:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *364:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *829:S I *D sky130_fd_sc_hd__mux2_1
-*I *380:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *346:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *342:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *812:S I *D sky130_fd_sc_hd__mux2_1
-*I *810:S I *D sky130_fd_sc_hd__mux2_1
-*I *350:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *354:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *822:S I *D sky130_fd_sc_hd__mux2_1
-*I *820:S I *D sky130_fd_sc_hd__mux2_1
-*I *338:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *808:S I *D sky130_fd_sc_hd__mux2_1
-*I *832:S I *D sky130_fd_sc_hd__mux2_1
-*I *386:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *362:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *826:S I *D sky130_fd_sc_hd__mux2_1
-*I *374:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *378:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *828:S I *D sky130_fd_sc_hd__mux2_1
-*I *830:S I *D sky130_fd_sc_hd__mux2_1
-*I *660:A I *D sky130_fd_sc_hd__nor2_2
-*I *326:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *382:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 dco 0.00020678
-2 *370:DIODE 0.000108644
-3 *824:S 0.000126967
-4 *366:DIODE 0.00017301
-5 *358:DIODE 0.000216552
-6 *818:S 0
-7 *816:S 9.09498e-05
-8 *814:S 0
-9 *356:DIODE 0
-10 *817:S 0
-11 *360:DIODE 4.52247e-05
-12 *352:DIODE 0
-13 *815:S 0
-14 *348:DIODE 0
-15 *813:S 0.000284018
-16 *809:S 0
-17 *811:S 0.000140161
-18 *344:DIODE 0.000441427
-19 *340:DIODE 9.71006e-05
-20 *833:S 0
-21 *388:DIODE 0
-22 *831:S 4.2094e-05
-23 *384:DIODE 0.000269978
-24 *372:DIODE 0.000189113
-25 *825:S 0
-26 *368:DIODE 0
-27 *823:S 7.11558e-05
-28 *819:S 0
-29 *827:S 0
-30 *821:S 0
-31 *376:DIODE 7.47734e-05
-32 *364:DIODE 0
-33 *829:S 5.99479e-05
-34 *380:DIODE 0
-35 *346:DIODE 6.97636e-05
-36 *342:DIODE 0
-37 *812:S 0.000355184
-38 *810:S 0
-39 *350:DIODE 0
-40 *354:DIODE 0
-41 *822:S 0
-42 *820:S 0
-43 *338:DIODE 0
-44 *808:S 0.000215777
-45 *832:S 0
-46 *386:DIODE 0
-47 *362:DIODE 0
-48 *826:S 0
-49 *374:DIODE 5.2316e-05
-50 *378:DIODE 0
-51 *828:S 3.58865e-05
-52 *830:S 0
-53 *660:A 2.97371e-05
-54 *326:DIODE 0
-55 *382:DIODE 0.000147638
-56 *5:483 0.000356673
-57 *5:414 0.000335446
-58 *5:392 0.000691196
-59 *5:387 0.000208601
-60 *5:380 0.000476438
-61 *5:351 0.00093188
-62 *5:350 0.000851142
-63 *5:339 0.000718983
-64 *5:336 0.00127698
-65 *5:332 0.000629683
-66 *5:329 0.000279299
-67 *5:324 0.000475041
-68 *5:310 0.000339151
-69 *5:302 0.00019545
-70 *5:293 0.000376514
-71 *5:284 0.000380885
-72 *5:281 0.00038595
-73 *5:279 0.000354684
-74 *5:257 7.47734e-05
-75 *5:223 0.000428235
-76 *5:222 0.000307747
-77 *5:213 0.000343829
-78 *5:204 0.00040077
-79 *5:200 0.000471499
-80 *5:195 0.00037559
-81 *5:190 0.000335856
-82 *5:184 0.000256894
-83 *5:179 0.000658013
-84 *5:172 0.000340889
-85 *5:168 0.000974635
-86 *5:163 0.000961684
-87 *5:160 0.00025905
-88 *5:154 0.000270447
-89 *5:148 0.00065251
-90 *5:142 0.000562987
-91 *5:136 0.000170146
-92 *5:130 0.000376562
-93 *5:127 0.000368606
-94 *5:120 0.000210725
-95 *5:98 0.000453588
-96 *5:94 0.000319892
-97 *5:88 0.000554553
-98 *5:84 0.000610165
-99 *5:76 0.000615796
-100 *5:73 0.000832535
-101 *5:66 0.000287275
-102 *5:57 0.000342036
-103 *5:50 0.000214019
-104 *5:41 0.000344409
-105 *5:22 0.000360691
-106 *5:10 0.00060238
-107 *5:8 0.000138559
-108 *5:7 0.00044772
-109 *340:DIODE *809:A0 6.46921e-05
-110 *340:DIODE *872:A 0.00017407
-111 *344:DIODE *739:B2 5.56461e-05
-112 *344:DIODE *792:A 6.36477e-05
-113 *344:DIODE *222:11 1.85917e-05
-114 *344:DIODE *222:13 6.54943e-05
-115 *344:DIODE *244:8 5.48642e-05
-116 *346:DIODE *312:15 0.000103983
-117 *358:DIODE *822:A0 0.000318901
-118 *360:DIODE *770:B 1.88422e-05
-119 *366:DIODE *901:TE 3.49494e-05
-120 *366:DIODE *13:12 0.000382765
-121 *366:DIODE *278:8 3.37671e-05
-122 *370:DIODE *369:DIODE 1.00004e-05
-123 *370:DIODE *824:A0 7.62627e-06
-124 *370:DIODE *824:A1 1.66626e-05
-125 *370:DIODE *271:6 7.60183e-05
-126 *372:DIODE *371:DIODE 0.000477467
-127 *374:DIODE *373:DIODE 3.28898e-06
-128 *376:DIODE *243:20 0.000113968
-129 *382:DIODE *336:DIODE 1.84293e-05
-130 *382:DIODE *381:DIODE 7.40499e-05
-131 *384:DIODE *831:A0 2.84758e-05
-132 *384:DIODE *236:11 3.00829e-05
-133 *660:A *805:A 0.000106215
-134 *660:A *284:36 5.56461e-05
-135 *808:S *337:DIODE 2.41483e-05
-136 *808:S *385:DIODE 0
-137 *808:S *808:A0 0.000164829
-138 *808:S *826:A0 0
-139 *808:S *832:A1 1.00846e-05
-140 *808:S *15:5 0
-141 *808:S *258:9 0.000275256
-142 *808:S *284:36 8.79472e-05
-143 *812:S *345:DIODE 0.000112112
-144 *812:S *312:15 0.000158357
-145 *813:S *813:A1 1.31657e-05
-146 *813:S *864:A 5.56851e-05
-147 *813:S *313:8 0.000169041
-148 *816:S *816:A1 0.000159322
-149 *816:S *35:8 1.61631e-05
-150 *823:S *823:A1 3.41075e-05
-151 *823:S *19:8 0.000118166
-152 *824:S *824:A1 0.000211546
-153 *829:S *779:B 4.70559e-05
-154 *829:S *829:A0 6.36477e-05
-155 *829:S *201:11 6.08467e-05
-156 *831:S *725:A1 0
-157 *831:S *769:A3 2.14842e-06
-158 *831:S *119:25 1.16474e-05
-159 *5:7 *11:10 0
-160 *5:7 *12:8 0
-161 *5:8 *381:DIODE 3.5534e-06
-162 *5:8 *12:8 4.31485e-06
-163 *5:10 *381:DIODE 0.000103312
-164 *5:22 *805:A 0.000171288
-165 *5:22 *859:A 1.41291e-05
-166 *5:22 *944:A 0.000122378
-167 *5:22 *284:36 0.000152239
-168 *5:41 *377:DIODE 2.50491e-05
-169 *5:41 *861:TE_B 0.000152842
-170 *5:41 *12:8 5.14479e-05
-171 *5:50 *377:DIODE 1.77537e-06
-172 *5:50 *23:8 3.40703e-05
-173 *5:57 *23:8 4.77318e-05
-174 *5:66 *373:DIODE 5.53934e-05
-175 *5:73 *373:DIODE 1.50513e-05
-176 *5:73 *30:8 4.31485e-06
-177 *5:73 *264:8 0
-178 *5:76 *887:A 2.20688e-05
-179 *5:76 *889:TE_B 0.000383703
-180 *5:76 *891:A 6.50586e-05
-181 *5:76 *263:8 2.42273e-05
-182 *5:88 *884:A 2.41274e-06
-183 *5:88 *888:A 0
-184 *5:88 *889:A 0
-185 *5:88 *911:A 0
-186 *5:88 *13:12 1.44467e-05
-187 *5:88 *261:6 0
-188 *5:88 *266:6 0
-189 *5:98 *832:A1 1.43983e-05
-190 *5:98 *321:10 1.43848e-05
-191 *5:120 *888:A 0
-192 *5:120 *910:TE_B 0.000156823
-193 *5:120 *13:12 3.91529e-05
-194 *5:127 *892:A 0
-195 *5:127 *13:12 0.000132408
-196 *5:127 *263:8 0
-197 *5:130 *822:A1 1.7883e-05
-198 *5:136 *822:A0 0.000117376
-199 *5:136 *822:A1 0.000117376
-200 *5:136 *34:15 1.5962e-05
-201 *5:142 *818:A1 0.000146858
-202 *5:142 *822:A0 0.000239947
-203 *5:142 *906:A 0.000112367
-204 *5:142 *34:15 0.000508726
-205 *5:148 *901:A 2.20837e-05
-206 *5:148 *902:A 2.99929e-05
-207 *5:148 *902:TE 0
-208 *5:148 *902:Z 4.31539e-05
-209 *5:148 *35:8 2.01874e-05
-210 *5:148 *275:8 8.53106e-06
-211 *5:154 *35:8 4.13614e-05
-212 *5:160 *35:8 1.19618e-05
-213 *5:163 *814:A0 1.18084e-05
-214 *5:163 *37:13 0.000130454
-215 *5:163 *48:8 0
-216 *5:168 *814:A0 3.30938e-05
-217 *5:168 *915:A 1.87146e-05
-218 *5:168 *915:TE 0.000234308
-219 *5:168 *915:Z 0.000365242
-220 *5:168 *37:13 0.000126335
-221 *5:172 *817:A0 2.41961e-05
-222 *5:179 *817:A0 0.00016386
-223 *5:179 *817:A1 0.000214042
-224 *5:179 *823:A0 2.02222e-05
-225 *5:179 *926:A 1.54809e-05
-226 *5:179 *293:11 0.000399216
-227 *5:184 *375:DIODE 0.00025618
-228 *5:184 *807:A1 3.20407e-05
-229 *5:184 *823:A0 1.21985e-05
-230 *5:184 *827:A0 6.01618e-05
-231 *5:184 *922:A 6.46815e-05
-232 *5:184 *926:A 1.35641e-05
-233 *5:184 *55:26 4.04576e-06
-234 *5:190 *779:A 0.000199527
-235 *5:190 *817:A0 0
-236 *5:190 *827:A0 2.2594e-05
-237 *5:190 *18:11 5.03545e-06
-238 *5:190 *55:22 0.000113374
-239 *5:190 *312:15 0.000211464
-240 *5:195 *779:A 0.000377273
-241 *5:195 *817:A0 0.000103493
-242 *5:195 *829:A1 4.52469e-05
-243 *5:195 *17:9 5.8261e-05
-244 *5:195 *312:15 0.000377273
-245 *5:200 *817:A0 2.652e-05
-246 *5:200 *829:A1 3.00073e-05
-247 *5:200 *201:11 0.000197281
-248 *5:204 *201:11 0.000213676
-249 *5:204 *222:77 3.83172e-05
-250 *5:213 *763:A3 0.000160617
-251 *5:213 *764:A2 8.62625e-06
-252 *5:213 *16:9 3.10619e-05
-253 *5:213 *44:10 0.000118171
-254 *5:213 *222:77 0.000158371
-255 *5:213 *222:79 6.50586e-05
-256 *5:213 *223:64 0
-257 *5:222 *759:A3 7.77309e-06
-258 *5:222 *779:B 0
-259 *5:222 *810:A1 0.000113374
-260 *5:222 *914:A 2.652e-05
-261 *5:222 *16:9 1.53688e-05
-262 *5:222 *44:10 5.41227e-05
-263 *5:223 *312:15 9.97706e-05
-264 *5:279 *770:B 8.57967e-05
-265 *5:279 *55:26 0.000210531
-266 *5:284 *819:A0 6.93171e-05
-267 *5:293 *823:A0 0
-268 *5:293 *825:A0 0
-269 *5:293 *930:A 3.62414e-05
-270 *5:293 *24:14 0
-271 *5:302 *19:8 0.000171288
-272 *5:310 *371:DIODE 4.48447e-05
-273 *5:310 *19:8 0.000387915
-274 *5:324 *773:A2 0
-275 *5:324 *773:B1 6.99331e-05
-276 *5:324 *773:C1 2.82537e-05
-277 *5:324 *777:B 3.07658e-05
-278 *5:324 *819:A0 3.40414e-05
-279 *5:324 *307:6 4.53156e-05
-280 *5:324 *312:15 6.89449e-05
-281 *5:329 *773:A3 0
-282 *5:329 *773:B1 3.00073e-05
-283 *5:329 *773:C1 5.22654e-06
-284 *5:329 *815:A0 0.000399507
-285 *5:329 *21:16 3.58044e-05
-286 *5:329 *222:50 8.62625e-06
-287 *5:332 *351:DIODE 0.000116454
-288 *5:332 *864:A 0
-289 *5:336 *351:DIODE 1.07248e-05
-290 *5:336 *864:A 0
-291 *5:336 *866:TE 0.000226281
-292 *5:336 *869:TE_B 0
-293 *5:336 *222:18 0
-294 *5:336 *222:32 0
-295 *5:336 *243:20 0
-296 *5:336 *245:6 0
-297 *5:336 *245:18 0
-298 *5:336 *252:6 2.85637e-05
-299 *5:336 *252:8 4.79669e-05
-300 *5:339 *648:A 1.65872e-05
-301 *5:339 *782:A1 0.000311235
-302 *5:339 *833:A1 0.000415213
-303 *5:339 *873:A 0.000118166
-304 *5:339 *874:TE 1.41291e-05
-305 *5:339 *877:A 0.00011818
-306 *5:339 *29:11 0.000158357
-307 *5:339 *225:24 2.90773e-05
-308 *5:339 *247:7 0.000428134
-309 *5:350 *702:C 1.21602e-05
-310 *5:350 *708:A 5.99361e-05
-311 *5:350 *723:A2 0
-312 *5:350 *782:A1 7.12632e-06
-313 *5:350 *833:A0 3.13173e-05
-314 *5:350 *120:11 5.54675e-05
-315 *5:350 *225:40 6.45852e-05
-316 *5:351 *769:A3 2.58616e-05
-317 *5:351 *831:A0 4.25952e-05
-318 *5:351 *223:28 3.6669e-06
-319 *5:351 *223:84 1.7883e-05
-320 *5:351 *249:23 0.000507889
-321 *5:380 *869:TE_B 8.32204e-06
-322 *5:380 *872:A 0
-323 *5:380 *874:TE 2.38044e-05
-324 *5:380 *876:TE_B 3.11269e-05
-325 *5:380 *222:18 0
-326 *5:380 *244:8 7.82051e-05
-327 *5:380 *251:8 3.37843e-05
-328 *5:380 *252:8 0
-329 *5:387 *809:A0 4.45999e-05
-330 *5:387 *809:A1 0.000118166
-331 *5:392 *343:DIODE 0
-332 *5:392 *811:A0 3.58457e-05
-333 *5:392 *244:8 2.74956e-05
-334 *5:483 *369:DIODE 0.000101987
-335 *5:483 *887:TE 0
-336 *5:483 *264:8 0
-337 *4:10 *5:22 0.000330596
-*RES
-1 dco *5:7 4.12039
-2 *5:7 *5:8 0.378612
-3 *5:8 *5:10 3.90826
-4 *5:10 *382:DIODE 17.5503
-5 *5:10 *5:22 16.3155
-6 *5:22 *326:DIODE 9.24915
-7 *5:22 *660:A 11.1059
-8 *5:8 *830:S 13.7491
-9 *5:7 *5:41 6.6074
-10 *5:41 *828:S 14.4725
-11 *5:41 *5:50 2.24725
-12 *5:50 *378:DIODE 13.7491
-13 *5:50 *5:57 3.493
-14 *5:57 *374:DIODE 14.4725
-15 *5:57 *5:66 4.32351
-16 *5:66 *826:S 13.7491
-17 *5:66 *5:73 3.07775
-18 *5:73 *5:76 18.5339
-19 *5:76 *362:DIODE 9.24915
-20 *5:76 *5:84 5.2234
-21 *5:84 *5:88 13.1504
-22 *5:88 *386:DIODE 9.24915
-23 *5:88 *5:94 1.278
-24 *5:94 *5:98 5.59426
-25 *5:98 *832:S 9.24915
-26 *5:98 *808:S 25.8226
-27 *5:94 *338:DIODE 9.24915
-28 *5:84 *5:120 3.90826
-29 *5:120 *820:S 13.7491
-30 *5:120 *5:127 2.6625
-31 *5:127 *5:130 5.778
-32 *5:130 *5:136 3.39996
-33 *5:136 *822:S 9.24915
-34 *5:136 *5:142 7.37864
-35 *5:142 *5:148 18.0657
-36 *5:148 *354:DIODE 9.24915
-37 *5:148 *5:154 2.94181
-38 *5:154 *350:DIODE 9.24915
-39 *5:154 *5:160 1.278
-40 *5:160 *5:163 7.1625
-41 *5:163 *5:168 20.2265
-42 *5:168 *5:172 2.82124
-43 *5:172 *5:179 14.1447
-44 *5:179 *5:184 8.85855
-45 *5:184 *5:190 14.465
-46 *5:190 *5:195 13.2898
-47 *5:195 *5:200 8.30395
-48 *5:200 *5:204 4.07513
-49 *5:204 *5:213 10.4535
-50 *5:213 *810:S 13.7491
-51 *5:213 *5:222 9.23876
-52 *5:222 *5:223 1.278
-53 *5:223 *812:S 15.398
-54 *5:223 *342:DIODE 9.24915
-55 *5:222 *346:DIODE 10.5271
-56 *5:204 *380:DIODE 9.24915
-57 *5:200 *829:S 19.974
-58 *5:195 *5:257 4.5
-59 *5:257 *364:DIODE 9.24915
-60 *5:257 *376:DIODE 10.5271
-61 *5:190 *821:S 9.24915
-62 *5:184 *827:S 9.24915
-63 *5:179 *5:279 9.83842
-64 *5:279 *5:281 4.5
-65 *5:281 *5:284 3.3953
-66 *5:284 *819:S 13.7491
-67 *5:284 *5:293 10.8998
-68 *5:293 *823:S 11.6605
-69 *5:293 *5:302 1.8326
-70 *5:302 *368:DIODE 9.24915
-71 *5:302 *5:310 5.73894
-72 *5:310 *825:S 9.24915
-73 *5:310 *372:DIODE 15.5186
-74 *5:281 *5:324 7.54782
-75 *5:324 *5:329 11.077
-76 *5:329 *5:332 6.74725
-77 *5:332 *5:336 18.3743
-78 *5:336 *5:339 16.2764
-79 *5:339 *5:350 18.5881
-80 *5:350 *5:351 10.1517
-81 *5:351 *384:DIODE 13.3002
-82 *5:351 *831:S 19.2506
-83 *5:350 *388:DIODE 9.24915
-84 *5:339 *833:S 9.24915
-85 *5:336 *5:380 15.7107
-86 *5:380 *340:DIODE 12.7456
-87 *5:380 *5:387 2.94181
-88 *5:387 *5:392 12.0778
-89 *5:392 *344:DIODE 18.3398
-90 *5:392 *811:S 12.191
-91 *5:387 *809:S 9.24915
-92 *5:332 *5:414 5.2234
-93 *5:414 *813:S 17.2306
-94 *5:414 *348:DIODE 9.24915
-95 *5:329 *815:S 9.24915
-96 *5:324 *352:DIODE 13.7491
-97 *5:279 *360:DIODE 9.97254
-98 *5:172 *817:S 9.24915
-99 *5:168 *356:DIODE 9.24915
-100 *5:163 *814:S 13.7491
-101 *5:160 *816:S 12.4803
-102 *5:142 *818:S 9.24915
-103 *5:130 *358:DIODE 13.3002
-104 *5:127 *366:DIODE 20.5642
-105 *5:73 *5:483 3.493
-106 *5:483 *824:S 17.2697
-107 *5:483 *370:DIODE 16.8269
-*END
-
-*D_NET *6 0.00344704
-*CONN
-*P div[0] I
-*I *323:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *655:A I *D sky130_fd_sc_hd__inv_2
-*I *688:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *333:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 div[0] 0.000287775
-2 *323:DIODE 3.27515e-05
-3 *655:A 6.08552e-05
-4 *688:B1 0
-5 *333:DIODE 0.000237299
-6 *6:36 0.000429958
-7 *6:20 0.000396452
-8 *6:7 0.000585174
-9 *323:DIODE *162:13 6.50727e-05
-10 *333:DIODE *332:DIODE 2.01853e-05
-11 *333:DIODE *806:A 6.31664e-05
-12 *333:DIODE *90:51 0
-13 *655:A *662:B2 0
-14 *6:7 *7:8 0
-15 *6:20 *332:DIODE 2.32912e-05
-16 *6:20 *688:C1 1.07248e-05
-17 *6:20 *7:28 1.42447e-05
-18 *6:20 *144:18 1.56631e-05
-19 *6:36 *688:B2 0.000177657
-20 *6:36 *7:28 1.42827e-05
-21 *6:36 *90:51 0.000179286
-22 *6:36 *138:6 7.50722e-05
-23 *6:36 *144:18 0.00047703
-24 *6:36 *162:13 0.000207266
-25 clockp[1] *655:A 2.652e-05
-26 clockp[1] *6:7 0
-27 clockp[1] *6:36 4.73136e-05
-*RES
-1 div[0] *6:7 4.34986
-2 *6:7 *333:DIODE 19.0037
-3 *6:7 *6:20 6.42648
-4 *6:20 *688:B1 9.24915
-5 *6:20 *6:36 21.4879
-6 *6:36 *655:A 19.6659
-7 *6:36 *323:DIODE 9.97254
-*END
-
-*D_NET *7 0.00442871
-*CONN
-*P div[1] I
-*I *685:A I *D sky130_fd_sc_hd__nand2_2
-*I *689:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *334:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *688:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *331:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *332:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 div[1] 0.000278271
-2 *685:A 0
-3 *689:A1 5.50208e-05
-4 *334:DIODE 0.000303305
-5 *688:A1 4.55359e-05
-6 *331:DIODE 5.38534e-05
-7 *332:DIODE 0.000171416
-8 *7:45 0.000635487
-9 *7:28 0.000588001
-10 *7:21 0.000319157
-11 *7:10 0.000263179
-12 *7:8 0.000370034
-13 *332:DIODE *688:C1 8.52652e-05
-14 *332:DIODE *204:82 1.61631e-05
-15 *334:DIODE *703:A2 2.41274e-06
-16 *334:DIODE *90:51 0.000423922
-17 *334:DIODE *146:5 7.92757e-06
-18 *688:A1 *687:A 0
-19 *688:A1 *144:18 5.22654e-06
-20 *688:A1 *144:25 3.49272e-05
-21 *688:A1 *148:6 1.44467e-05
-22 *689:A1 *683:A 5.92342e-05
-23 *689:A1 *703:C1 6.43474e-05
-24 *689:A1 *138:6 3.58321e-05
-25 *7:8 *703:D1 3.13173e-05
-26 *7:8 *8:7 0
-27 *7:10 *688:C1 7.50872e-05
-28 *7:10 *703:D1 5.22654e-06
-29 *7:10 *144:25 0
-30 *7:28 *688:B2 6.73186e-05
-31 *7:45 *687:A 0.000118485
-32 *7:45 *688:B2 9.39797e-05
-33 *7:45 *689:B1 1.66228e-05
-34 *7:45 *703:C1 1.07248e-05
-35 *7:45 *90:51 3.61282e-05
-36 *7:45 *138:6 5.66868e-06
-37 *7:45 *148:6 6.31809e-05
-38 *333:DIODE *332:DIODE 2.01853e-05
-39 *6:7 *7:8 0
-40 *6:20 *332:DIODE 2.32912e-05
-41 *6:20 *7:28 1.42447e-05
-42 *6:36 *7:28 1.42827e-05
-*RES
-1 div[1] *7:8 5.40599
-2 *7:8 *7:10 2.6625
-3 *7:10 *332:DIODE 17.9655
-4 *7:10 *7:21 4.5
-5 *7:21 *331:DIODE 9.97254
-6 *7:21 *7:28 4.05102
-7 *7:28 *688:A1 19.6659
-8 *7:28 *7:45 11.284
-9 *7:45 *334:DIODE 18.9094
-10 *7:45 *689:A1 15.9964
-11 *7:8 *685:A 13.7491
-*END
-
-*D_NET *8 0.00186009
-*CONN
-*P div[2] I
-*I *679:B1 I *D sky130_fd_sc_hd__a22oi_2
-*I *328:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *682:A1 I *D sky130_fd_sc_hd__o211a_2
-*I *330:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 div[2] 0.000243517
-2 *679:B1 0.000186065
-3 *328:DIODE 0
-4 *682:A1 9.94026e-05
-5 *330:DIODE 4.90967e-05
-6 *8:12 0.000245842
-7 *8:8 0.000110229
-8 *8:7 0.000442467
-9 *679:B1 *327:DIODE 9.78334e-05
-10 *679:B1 *679:A1 6.08467e-05
-11 *679:B1 *679:B2 1.63255e-05
-12 *679:B1 *136:5 4.01825e-05
-13 *679:B1 *154:8 0.000135423
-14 *682:A1 *682:A2 0
-15 *682:A1 *142:9 6.33254e-05
-16 *8:7 *9:7 0
-17 *8:8 *703:D1 3.5534e-06
-18 *8:8 *154:8 7.61444e-07
-19 *8:12 *703:D1 6.52182e-05
-20 *7:8 *8:7 0
-*RES
-1 div[2] *8:7 4.19688
-2 *8:7 *8:8 0.378612
-3 *8:8 *8:12 7.1625
-4 *8:12 *330:DIODE 9.97254
-5 *8:12 *682:A1 12.2754
-6 *8:8 *328:DIODE 13.7491
-7 *8:7 *679:B1 19.9027
-*END
-
-*D_NET *9 0.0017481
-*CONN
-*P div[3] I
-*I *329:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *680:A I *D sky130_fd_sc_hd__or2_2
-*I *679:A1 I *D sky130_fd_sc_hd__a22oi_2
-*I *327:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 div[3] 0.000256345
-2 *329:DIODE 9.92074e-05
-3 *680:A 0
-4 *679:A1 3.95129e-05
-5 *327:DIODE 0.000134284
-6 *9:26 0.000116797
-7 *9:8 0.000289748
-8 *9:7 0.000389886
-9 *327:DIODE *154:8 8.70662e-06
-10 *329:DIODE *154:8 4.2524e-05
-11 *679:A1 *136:5 4.47179e-05
-12 *9:7 *10:7 0
-13 *9:8 *680:B 5.65148e-05
-14 *9:8 *154:8 7.59763e-05
-15 *9:26 *680:B 1.58247e-05
-16 *9:26 *154:8 1.93781e-05
-17 *679:B1 *327:DIODE 9.78334e-05
-18 *679:B1 *679:A1 6.08467e-05
-19 *8:7 *9:7 0
-*RES
-1 div[3] *9:7 4.19688
-2 *9:7 *9:8 3.28538
-3 *9:8 *327:DIODE 16.7198
-4 *9:8 *679:A1 15.0271
-5 *9:7 *9:26 0.793864
-6 *9:26 *680:A 13.7491
-7 *9:26 *329:DIODE 16.9002
-*END
-
-*D_NET *10 0.00214954
-*CONN
-*P div[4] I
-*I *336:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *335:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *694:A I *D sky130_fd_sc_hd__nand2_2
-*I *696:B1 I *D sky130_fd_sc_hd__o221ai_2
-*CAP
-1 div[4] 0.000192509
-2 *336:DIODE 0.000342241
-3 *335:DIODE 0
-4 *694:A 0
-5 *696:B1 0.000291759
-6 *10:27 0.00036127
-7 *10:11 0.000482611
-8 *10:7 0.000402389
-9 *336:DIODE *381:DIODE 3.62662e-06
-10 *696:B1 *696:B2 4.55055e-05
-11 *696:B1 *154:8 9.19886e-06
-12 *10:7 *11:10 0
-13 *10:11 *154:8 0
-14 *382:DIODE *336:DIODE 1.84293e-05
-15 *9:7 *10:7 0
-*RES
-1 div[4] *10:7 4.04389
-2 *10:7 *10:11 8.78748
-3 *10:11 *696:B1 14.7228
-4 *10:11 *694:A 9.24915
-5 *10:7 *10:27 0.378612
-6 *10:27 *335:DIODE 13.7491
-7 *10:27 *336:DIODE 20.184
-*END
-
-*D_NET *11 0.00228023
-*CONN
-*P enable I
-*I *324:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *659:A I *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 enable 0.00104943
-2 *324:DIODE 8.02339e-05
-3 *659:A 0
-4 *11:10 0.00112967
-5 *11:10 *643:A 1.77537e-06
-6 *11:10 *805:A 0
-7 *11:10 *830:A0 0
-8 *11:10 *942:A 0
-9 *11:10 *320:6 1.91246e-05
-10 *5:7 *11:10 0
-11 *10:7 *11:10 0
-*RES
-1 enable *11:10 12.31
-2 *11:10 *659:A 9.24915
-3 *11:10 *324:DIODE 11.0817
-*END
-
-*D_NET *12 0.00197141
-*CONN
-*P ext_trim[0] I
-*I *830:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *381:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[0] 0.000457998
-2 *830:A1 0
-3 *381:DIODE 0.000292845
-4 *12:8 0.000750844
-5 *381:DIODE *830:A0 2.37478e-05
-6 *12:8 *377:DIODE 5.64215e-05
-7 *12:8 *861:TE_B 0.000149244
-8 *12:8 *23:8 0
-9 *336:DIODE *381:DIODE 3.62662e-06
-10 *382:DIODE *381:DIODE 7.40499e-05
-11 *5:7 *12:8 0
-12 *5:8 *381:DIODE 3.5534e-06
-13 *5:8 *12:8 4.31485e-06
-14 *5:10 *381:DIODE 0.000103312
-15 *5:41 *12:8 5.14479e-05
-*RES
-1 ext_trim[0] *12:8 9.635
-2 *12:8 *381:DIODE 21.0117
-3 *12:8 *830:A1 13.7491
-*END
-
-*D_NET *13 0.00671608
-*CONN
-*P ext_trim[10] I
-*I *810:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *341:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[10] 0.00198736
-2 *810:A1 0.000248565
-3 *341:DIODE 0
-4 *13:12 0.00223593
-5 *810:A1 *345:DIODE 1.80257e-05
-6 *810:A1 *766:B 3.66916e-05
-7 *810:A1 *914:A 2.65667e-05
-8 *810:A1 *16:9 4.70005e-05
-9 *810:A1 *44:13 0.000107496
-10 *810:A1 *44:27 1.03434e-05
-11 *810:A1 *160:43 0
-12 *810:A1 *201:58 9.89523e-05
-13 *13:12 *345:DIODE 5.83122e-05
-14 *13:12 *761:A1 6.67095e-06
-15 *13:12 *761:A2 3.51086e-06
-16 *13:12 *761:A3 1.58551e-05
-17 *13:12 *761:B1 3.78939e-05
-18 *13:12 *812:A0 6.50586e-05
-19 *13:12 *812:A1 6.50586e-05
-20 *13:12 *820:A0 4.69326e-06
-21 *13:12 *901:TE 0
-22 *13:12 *910:TE_B 0.00015321
-23 *13:12 *911:A 9.9028e-05
-24 *13:12 *33:11 0
-25 *13:12 *34:15 0.000690549
-26 *13:12 *284:8 1.71673e-05
-27 *13:12 *287:8 0
-28 *366:DIODE *13:12 0.000382765
-29 *5:88 *13:12 1.44467e-05
-30 *5:120 *13:12 3.91529e-05
-31 *5:127 *13:12 0.000132408
-32 *5:222 *810:A1 0.000113374
-*RES
-1 ext_trim[10] *13:12 45.6242
-2 *13:12 *341:DIODE 9.24915
-3 *13:12 *810:A1 24.9091
-*END
-
-*D_NET *14 0.00372734
-*CONN
-*P ext_trim[11] I
-*I *337:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *808:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[11] 0.00104107
-2 *337:DIODE 0.000120081
-3 *808:A1 0
-4 *14:11 0.00116115
-5 *337:DIODE *808:A0 0.000268812
-6 *14:11 *832:A0 0.000151741
-7 *14:11 *901:Z 5.92573e-05
-8 *14:11 *902:TE 0.000181357
-9 *14:11 *906:A 4.07982e-05
-10 *14:11 *908:A 0.000298488
-11 *14:11 *909:A 2.14657e-05
-12 *14:11 *913:A 1.66771e-05
-13 *14:11 *284:8 0.000115878
-14 *14:11 *284:36 0.00010984
-15 *14:11 *287:8 5.19521e-05
-16 *14:11 *287:12 2.19276e-05
-17 *14:11 *321:10 4.26859e-05
-18 *808:S *337:DIODE 2.41483e-05
-*RES
-1 ext_trim[11] *14:11 34.3493
-2 *14:11 *808:A1 9.24915
-3 *14:11 *337:DIODE 12.7456
-*END
-
-*D_NET *15 0.00467088
-*CONN
-*P ext_trim[12] I
-*I *832:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *385:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[12] 0.0010047
-2 *832:A1 0.000399312
-3 *385:DIODE 0.000135562
-4 *15:5 0.00153957
-5 *385:DIODE *808:A0 0.000228593
-6 *385:DIODE *225:81 9.75356e-05
-7 *832:A1 *826:A0 2.41483e-05
-8 *832:A1 *43:27 0.000171273
-9 *15:5 *762:A1 5.88052e-06
-10 *15:5 *762:A2 4.68822e-05
-11 *15:5 *814:A1 8.01597e-05
-12 *15:5 *818:A0 0.000444423
-13 *15:5 *822:A0 0
-14 *15:5 *826:A0 0
-15 *15:5 *37:13 0
-16 *15:5 *44:40 9.2346e-06
-17 *15:5 *201:63 7.14746e-05
-18 *15:5 *201:76 0.000195154
-19 *15:5 *222:90 1.11594e-05
-20 *15:5 *225:81 5.05252e-05
-21 *15:5 *225:96 0.000130808
-22 *15:5 *275:8 0
-23 *808:S *385:DIODE 0
-24 *808:S *832:A1 1.00846e-05
-25 *808:S *15:5 0
-26 *5:98 *832:A1 1.43983e-05
-*RES
-1 ext_trim[12] *15:5 27.2845
-2 *15:5 *385:DIODE 17.9683
-3 *15:5 *832:A1 20.0186
-*END
-
-*D_NET *16 0.00541648
-*CONN
-*P ext_trim[13] I
-*I *383:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *831:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[13] 0.000961636
-2 *383:DIODE 0.000379578
-3 *831:A1 0.000159344
-4 *16:9 0.00150056
-5 *383:DIODE *201:8 0.000118166
-6 *383:DIODE *250:9 0.000186161
-7 *831:A1 *768:A3 1.70077e-05
-8 *831:A1 *831:A0 3.00829e-05
-9 *831:A1 *48:8 3.67708e-05
-10 *831:A1 *119:25 1.6352e-05
-11 *831:A1 *119:38 9.69716e-06
-12 *831:A1 *223:28 2.83365e-05
-13 *831:A1 *223:35 4.51118e-05
-14 *16:9 *764:A2 4.33979e-05
-15 *16:9 *914:A 0.000208877
-16 *16:9 *917:A 0.000159968
-17 *16:9 *920:A 3.63588e-05
-18 *16:9 *924:TE_B 0
-19 *16:9 *37:13 0
-20 *16:9 *48:8 0.00118551
-21 *16:9 *223:35 2.652e-05
-22 *16:9 *223:64 0.000173617
-23 *810:A1 *16:9 4.70005e-05
-24 *5:213 *16:9 3.10619e-05
-25 *5:222 *16:9 1.53688e-05
-*RES
-1 ext_trim[13] *16:9 32.7561
-2 *16:9 *831:A1 17.9655
-3 *16:9 *383:DIODE 20.0186
-*END
-
-*D_NET *17 0.00366684
-*CONN
-*P ext_trim[14] I
-*I *379:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *829:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[14] 0.000899709
-2 *379:DIODE 0.000223732
-3 *829:A1 0.000181487
-4 *17:9 0.00130493
-5 *379:DIODE *810:A0 6.50727e-05
-6 *379:DIODE *160:35 5.82695e-05
-7 *829:A1 *775:D 8.62625e-06
-8 *829:A1 *779:B 0.000254075
-9 *829:A1 *817:A0 0
-10 *829:A1 *829:A0 0.000110297
-11 *829:A1 *222:77 0.000114523
-12 *17:9 *779:B 0.000106333
-13 *17:9 *817:A0 0
-14 *17:9 *919:A 8.92089e-05
-15 *17:9 *922:Z 0
-16 *17:9 *924:TE_B 0
-17 *17:9 *279:13 9.04668e-05
-18 *17:9 *286:13 2.65948e-05
-19 *5:195 *829:A1 4.52469e-05
-20 *5:195 *17:9 5.8261e-05
-21 *5:200 *829:A1 3.00073e-05
-*RES
-1 ext_trim[14] *17:9 22.79
-2 *17:9 *829:A1 20.1812
-3 *17:9 *379:DIODE 17.2456
-*END
-
-*D_NET *18 0.00429077
-*CONN
-*P ext_trim[15] I
-*I *827:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *375:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[15] 0.000543664
-2 *827:A1 0
-3 *375:DIODE 0.000434722
-4 *18:11 0.000978386
-5 *375:DIODE *770:B 0.000404059
-6 *375:DIODE *777:A 4.0752e-05
-7 *375:DIODE *807:A1 9.59526e-05
-8 *375:DIODE *827:A0 2.60631e-05
-9 *375:DIODE *55:22 0.000315016
-10 *375:DIODE *55:26 2.23042e-05
-11 *375:DIODE *62:7 0.000304887
-12 *18:11 *922:A 0.00045584
-13 *18:11 *923:TE 0.000162455
-14 *18:11 *24:14 0
-15 *18:11 *293:11 0.000107116
-16 *18:11 *300:8 0.000138334
-17 *5:184 *375:DIODE 0.00025618
-18 *5:190 *18:11 5.03545e-06
-*RES
-1 ext_trim[15] *18:11 21.8917
-2 *18:11 *375:DIODE 24.6093
-3 *18:11 *827:A1 9.24915
-*END
-
-*D_NET *19 0.002677
-*CONN
-*P ext_trim[16] I
-*I *371:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *825:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[16] 0.000312286
-2 *371:DIODE 0.000201101
-3 *825:A1 0
-4 *19:8 0.000513387
-5 *371:DIODE *20:8 6.92705e-05
-6 *19:8 *823:A1 0.000171288
-7 *19:8 *20:8 0.000209985
-8 *19:8 *272:8 0
-9 *372:DIODE *371:DIODE 0.000477467
-10 *823:S *19:8 0.000118166
-11 *5:302 *19:8 0.000171288
-12 *5:310 *371:DIODE 4.48447e-05
-13 *5:310 *19:8 0.000387915
-*RES
-1 ext_trim[16] *19:8 17.4307
-2 *19:8 *825:A1 9.24915
-3 *19:8 *371:DIODE 16.0973
-*END
-
-*D_NET *20 0.00180274
-*CONN
-*P ext_trim[17] I
-*I *367:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *823:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[17] 0.000522114
-2 *367:DIODE 0
-3 *823:A1 0.000136931
-4 *20:8 0.000659045
-5 *371:DIODE *20:8 6.92705e-05
-6 *823:S *823:A1 3.41075e-05
-7 *19:8 *823:A1 0.000171288
-8 *19:8 *20:8 0.000209985
-*RES
-1 ext_trim[17] *20:8 15.491
-2 *20:8 *823:A1 13.3484
-3 *20:8 *367:DIODE 9.24915
-*END
-
-*D_NET *21 0.00594135
-*CONN
-*P ext_trim[18] I
-*I *363:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *821:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[18] 0.00156503
-2 *363:DIODE 0
-3 *821:A1 0.000100694
-4 *21:22 0.00018458
-5 *21:16 0.00164891
-6 *821:A1 *779:A 0.000268798
-7 *21:16 *771:A2 4.39324e-05
-8 *21:16 *771:B1 6.08467e-05
-9 *21:16 *774:A4 6.50727e-05
-10 *21:16 *815:A0 0.000477044
-11 *21:16 *929:A 0.000109024
-12 *21:16 *934:A 0.000168186
-13 *21:16 *937:Z 0.000145529
-14 *21:16 *939:TE_B 0.000332677
-15 *21:16 *160:35 6.73022e-05
-16 *21:16 *222:50 4.82966e-05
-17 *21:16 *222:59 0.000393863
-18 *21:22 *774:A4 9.75356e-05
-19 *21:22 *779:A 6.50586e-05
-20 *21:22 *55:22 5.53934e-05
-21 *21:22 *222:59 7.77309e-06
-22 *5:329 *21:16 3.58044e-05
-*RES
-1 ext_trim[18] *21:16 47.0628
-2 *21:16 *21:22 11.9706
-3 *21:22 *821:A1 12.191
-4 *21:22 *363:DIODE 9.24915
-*END
-
-*D_NET *22 0.00308993
-*CONN
-*P ext_trim[19] I
-*I *359:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *819:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[19] 0.00107046
-2 *359:DIODE 0
-3 *819:A1 0.000135296
-4 *22:8 0.00120575
-5 *819:A1 *819:A0 4.89251e-05
-6 *22:8 *930:A 0.000286923
-7 *22:8 *930:Z 6.3657e-05
-8 *22:8 *932:A 0.000165521
-9 *22:8 *933:A 8.49163e-05
-10 *22:8 *314:8 2.84758e-05
-*RES
-1 ext_trim[19] *22:8 35.7214
-2 *22:8 *819:A1 12.7697
-3 *22:8 *359:DIODE 9.24915
-*END
-
-*D_NET *23 0.00157041
-*CONN
-*P ext_trim[1] I
-*I *828:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *377:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[1] 0.000461884
-2 *828:A1 0
-3 *377:DIODE 0.000240796
-4 *23:8 0.00070268
-5 *23:8 *882:TE_B 0
-6 *23:8 *30:8 0
-7 *5:41 *377:DIODE 2.50491e-05
-8 *5:50 *377:DIODE 1.77537e-06
-9 *5:50 *23:8 3.40703e-05
-10 *5:57 *23:8 4.77318e-05
-11 *12:8 *377:DIODE 5.64215e-05
-12 *12:8 *23:8 0
-*RES
-1 ext_trim[1] *23:8 8.88099
-2 *23:8 *377:DIODE 18.2442
-3 *23:8 *828:A1 13.7491
-*END
-
-*D_NET *24 0.00421965
-*CONN
-*P ext_trim[20] I
-*I *355:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *817:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[20] 0.00167747
-2 *355:DIODE 0
-3 *817:A1 0.000218792
-4 *24:14 0.00189627
-5 *817:A1 *300:8 4.24488e-05
-6 *24:14 *823:A0 6.1151e-05
-7 *24:14 *923:A 0.000109472
-8 *5:179 *817:A1 0.000214042
-9 *5:293 *24:14 0
-10 *18:11 *24:14 0
-*RES
-1 ext_trim[20] *24:14 17.6626
-2 *24:14 *817:A1 15.9526
-3 *24:14 *355:DIODE 9.24915
-*END
-
-*D_NET *25 0.00211501
-*CONN
-*P ext_trim[21] I
-*I *815:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *351:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[21] 0.000861744
-2 *815:A1 0
-3 *351:DIODE 0.000131097
-4 *25:8 0.00099284
-5 *351:DIODE *773:B1 0
-6 *351:DIODE *864:A 0
-7 *351:DIODE *936:TE 0
-8 *351:DIODE *243:20 0
-9 *351:DIODE *307:6 0
-10 *25:8 *770:A 2.14842e-06
-11 *25:8 *813:A0 0
-12 *25:8 *936:TE 0
-13 *25:8 *241:6 0
-14 *25:8 *307:6 0
-15 *5:332 *351:DIODE 0.000116454
-16 *5:336 *351:DIODE 1.07248e-05
-*RES
-1 ext_trim[21] *25:8 8.13786
-2 *25:8 *351:DIODE 17.2421
-3 *25:8 *815:A1 13.7491
-*END
-
-*D_NET *26 0.0013606
-*CONN
-*P ext_trim[22] I
-*I *813:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *347:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[22] 0.00031565
-2 *813:A1 0.00028802
-3 *347:DIODE 0
-4 *26:8 0.00060367
-5 *813:A1 *870:A 3.8079e-05
-6 *26:8 *867:Z 3.04571e-05
-7 *26:8 *870:A 7.15593e-05
-8 *813:S *813:A1 1.31657e-05
-*RES
-1 ext_trim[22] *26:8 7.66803
-2 *26:8 *347:DIODE 13.7491
-3 *26:8 *813:A1 19.6294
-*END
-
-*D_NET *27 0.00197567
-*CONN
-*P ext_trim[23] I
-*I *343:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *811:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[23] 0.000336911
-2 *343:DIODE 0.000270476
-3 *811:A1 0
-4 *27:8 0.000607387
-5 *343:DIODE *811:A0 0.000151741
-6 *343:DIODE *225:8 0.000273277
-7 *343:DIODE *225:24 0.000175802
-8 *27:8 *845:RESET_B 0
-9 *27:8 *225:8 0.000160073
-10 *5:392 *343:DIODE 0
-*RES
-1 ext_trim[23] *27:8 7.067
-2 *27:8 *811:A1 13.7491
-3 *27:8 *343:DIODE 21.8422
-*END
-
-*D_NET *28 0.00281528
-*CONN
-*P ext_trim[24] I
-*I *809:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *339:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[24] 0.000975435
-2 *809:A1 0.00027537
-3 *339:DIODE 0
-4 *28:10 0.0012508
-5 *28:10 *843:RESET_B 0
-6 *28:10 *848:RESET_B 0
-7 *28:10 *225:8 0.000195505
-8 *5:387 *809:A1 0.000118166
-*RES
-1 ext_trim[24] *28:10 29.6086
-2 *28:10 *339:DIODE 9.24915
-3 *28:10 *809:A1 16.1455
-*END
-
-*D_NET *29 0.00807285
-*CONN
-*P ext_trim[25] I
-*I *387:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *833:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[25] 0.00255147
-2 *387:DIODE 0
-3 *833:A1 0.000119929
-4 *29:11 0.000164859
-5 *29:8 0.0025964
-6 *833:A1 *717:A 0.000111708
-7 *833:A1 *830:A0 0.000171273
-8 *833:A1 *41:9 8.57221e-05
-9 *29:8 *653:A 3.52645e-06
-10 *29:8 *667:A 0
-11 *29:8 *704:D 0.000172165
-12 *29:8 *711:A 0
-13 *29:8 *712:C1 0.000330432
-14 *29:8 *715:A2 3.3556e-05
-15 *29:8 *715:B1 0.00012136
-16 *29:8 *718:B1 3.93117e-06
-17 *29:8 *718:B2 5.05252e-05
-18 *29:8 *719:B1 0.000134323
-19 *29:8 *738:C1 4.98393e-05
-20 *29:8 *809:A0 0.000165495
-21 *29:8 *850:D 0
-22 *29:8 *851:D 3.60268e-05
-23 *29:8 *853:CLK 0
-24 *29:8 *90:155 0
-25 *29:8 *118:14 1.87269e-05
-26 *29:8 *123:18 0.00017772
-27 *29:8 *126:20 0
-28 *29:8 *127:129 5.05252e-05
-29 *29:8 *157:114 7.56859e-06
-30 *29:8 *170:63 0
-31 *29:8 *173:8 0.000147913
-32 *29:8 *204:127 0
-33 *29:8 *204:209 0
-34 *29:8 *221:12 3.54287e-05
-35 *29:8 *221:23 3.61659e-05
-36 *29:8 *221:33 2.08194e-05
-37 *29:8 *221:46 3.51288e-06
-38 *29:8 *221:55 0
-39 *29:8 *225:33 2.95757e-05
-40 *29:11 *648:A 6.08467e-05
-41 *29:11 *118:7 7.92757e-06
-42 *5:339 *833:A1 0.000415213
-43 *5:339 *29:11 0.000158357
-*RES
-1 ext_trim[25] *29:8 47.0732
-2 *29:8 *29:11 6.3326
-3 *29:11 *833:A1 15.7115
-4 *29:11 *387:DIODE 9.24915
-*END
-
-*D_NET *30 0.00101727
-*CONN
-*P ext_trim[2] I
-*I *826:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *373:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[2] 0.00027408
-2 *826:A1 0
-3 *373:DIODE 0.000195529
-4 *30:8 0.000469609
-5 *30:8 *31:7 0
-6 *374:DIODE *373:DIODE 3.28898e-06
-7 *5:66 *373:DIODE 5.53934e-05
-8 *5:73 *373:DIODE 1.50513e-05
-9 *5:73 *30:8 4.31485e-06
-10 *23:8 *30:8 0
-*RES
-1 ext_trim[2] *30:8 4.65198
-2 *30:8 *373:DIODE 17.6896
-3 *30:8 *826:A1 13.7491
-*END
-
-*D_NET *31 0.00107754
-*CONN
-*P ext_trim[3] I
-*I *824:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *369:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[3] 0.00018737
-2 *824:A1 0.000115867
-3 *369:DIODE 6.54337e-05
-4 *31:7 0.00036867
-5 *31:7 *32:10 0
-6 *370:DIODE *369:DIODE 1.00004e-05
-7 *370:DIODE *824:A1 1.66626e-05
-8 *824:S *824:A1 0.000211546
-9 *5:483 *369:DIODE 0.000101987
-10 *30:8 *31:7 0
-*RES
-1 ext_trim[3] *31:7 4.04389
-2 *31:7 *369:DIODE 15.7888
-3 *31:7 *824:A1 17.0696
-*END
-
-*D_NET *32 0.00251547
-*CONN
-*P ext_trim[4] I
-*I *365:DIODE I *D sky130_fd_sc_hd__diode_2
-*I *822:A1 I *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 ext_trim[4] 0.000883246
-2 *365:DIODE 0
-3 *822:A1 0.0001873
-4 *32:10 0.00107055
-5 *822:A1 *34:15 6.64392e-05
-6 *822:A1 *278:8 5.31465e-05
-7 *32:10 *33:11 5.9765e-05
-8 *32:10 *278:8 5.9765e-05
-9 *5:130 *822:A1 1.7883e-05
-10 *5:136 *822:A1 0.000117376
-11 *31:7 *32:10 0
-*RES
-1 ext_trim[4] *32:10 11.5123
-2 *32:10 *822:A1 14.8434
-3 *32:10 *365:DIODE 9.24915
-*END
-
-*D_NET *33 0.00399046
-*CONN
-*P ext_trim[5] I
-*I *820:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *361:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[5] 0.00139766
-2 *820:A1 0.000210895
-3 *361:DIODE 0
-4 *33:11 0.00160856
-5 *820:A1 *265:13 0.00013389
-6 *33:11 *892:A 4.40158e-05
-7 *33:11 *893:A 0.000172799
-8 *33:11 *897:A 8.23577e-05
-9 *33:11 *901:TE 7.35211e-05
-10 *33:11 *34:15 0
-11 *33:11 *265:13 3.00829e-05
-12 *33:11 *272:12 0
-13 *33:11 *273:15 0.000141958
-14 *33:11 *278:8 3.49586e-05
-15 *13:12 *33:11 0
-16 *32:10 *33:11 5.9765e-05
-*RES
-1 ext_trim[5] *33:11 21.2947
-2 *33:11 *361:DIODE 9.24915
-3 *33:11 *820:A1 12.7456
-*END
-
-*D_NET *34 0.00462433
-*CONN
-*P ext_trim[6] I
-*I *818:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *357:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[6] 0.0014565
-2 *818:A1 0.000129306
-3 *357:DIODE 0
-4 *34:15 0.00158581
-5 *818:A1 *822:A0 2.41827e-05
-6 *822:A1 *34:15 6.64392e-05
-7 *5:136 *34:15 1.5962e-05
-8 *5:142 *818:A1 0.000146858
-9 *5:142 *34:15 0.000508726
-10 *13:12 *34:15 0.000690549
-11 *33:11 *34:15 0
-*RES
-1 ext_trim[6] *34:15 31.7414
-2 *34:15 *357:DIODE 9.24915
-3 *34:15 *818:A1 12.7697
-*END
-
-*D_NET *35 0.00662843
-*CONN
-*P ext_trim[7] I
-*I *816:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *353:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[7] 0.000929793
-2 *816:A1 0.000123374
-3 *353:DIODE 0
-4 *35:8 0.00105317
-5 *816:A1 *299:7 0
-6 *35:8 *814:A1 4.88955e-05
-7 *35:8 *894:A 0.000640564
-8 *35:8 *894:TE 0.000123176
-9 *35:8 *894:Z 1.41291e-05
-10 *35:8 *901:A 0.000593901
-11 *35:8 *901:TE 0.000177325
-12 *35:8 *36:8 0.00267511
-13 *816:S *816:A1 0.000159322
-14 *816:S *35:8 1.61631e-05
-15 *5:148 *35:8 2.01874e-05
-16 *5:154 *35:8 4.13614e-05
-17 *5:160 *35:8 1.19618e-05
-*RES
-1 ext_trim[7] *35:8 47.5186
-2 *35:8 *353:DIODE 9.24915
-3 *35:8 *816:A1 12.7697
-*END
-
-*D_NET *36 0.00731653
-*CONN
-*P ext_trim[8] I
-*I *814:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *349:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[8] 0.00176986
-2 *814:A1 0.000317648
-3 *349:DIODE 0
-4 *36:8 0.00208751
-5 *814:A1 *37:13 8.01597e-05
-6 *814:A1 *279:13 0.00025718
-7 *36:8 *272:12 0
-8 *15:5 *814:A1 8.01597e-05
-9 *35:8 *814:A1 4.88955e-05
-10 *35:8 *36:8 0.00267511
-*RES
-1 ext_trim[8] *36:8 38.2297
-2 *36:8 *349:DIODE 9.24915
-3 *36:8 *814:A1 25.4074
-*END
-
-*D_NET *37 0.00649371
-*CONN
-*P ext_trim[9] I
-*I *812:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *345:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 ext_trim[9] 0.000652839
-2 *812:A1 8.41956e-06
-3 *345:DIODE 0.000177916
-4 *37:13 0.000845528
-5 *37:10 0.00131203
-6 *345:DIODE *761:B1 3.23231e-06
-7 *345:DIODE *812:A0 3.53803e-05
-8 *345:DIODE *914:A 0.000113968
-9 *345:DIODE *312:15 0.000258128
-10 *37:10 *272:9 0.00216356
-11 *37:13 *761:A3 5.92192e-05
-12 *37:13 *814:A0 0
-13 *37:13 *818:A0 1.84969e-05
-14 *37:13 *824:A0 1.66771e-05
-15 *37:13 *43:8 0.000169093
-16 *37:13 *44:57 6.8762e-05
-17 *810:A1 *345:DIODE 1.80257e-05
-18 *812:S *345:DIODE 0.000112112
-19 *814:A1 *37:13 8.01597e-05
-20 *5:163 *37:13 0.000130454
-21 *5:168 *37:13 0.000126335
-22 *13:12 *345:DIODE 5.83122e-05
-23 *13:12 *812:A1 6.50586e-05
-24 *15:5 *37:13 0
-25 *16:9 *37:13 0
-*RES
-1 ext_trim[9] *37:10 35.5227
-2 *37:10 *37:13 21.2811
-3 *37:13 *345:DIODE 17.2065
-4 *37:13 *812:A1 9.97254
-*END
-
-*D_NET *38 0.00120671
-*CONN
-*P osc I
-*I *834:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *389:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 osc 0.000275126
-2 *834:D 0.00024632
-3 *389:DIODE 0
-4 *38:8 0.000521446
-5 *834:D *835:D 5.88662e-05
-6 *834:D *835:RESET_B 0
-7 *834:D *835:CLK 8.62555e-05
-8 *38:8 *835:CLK 4.30017e-06
-9 *38:8 *90:142 2.41274e-06
-10 *38:8 *90:155 1.19856e-05
-*RES
-1 osc *38:8 9.67184
-2 *38:8 *389:DIODE 9.24915
-3 *38:8 *834:D 24.4053
-*END
-
-*D_NET *39 0.00520631
-*CONN
-*P resetb I
-*I *659:B I *D sky130_fd_sc_hd__nand2_2
-*I *325:DIODE I *D sky130_fd_sc_hd__diode_2
-*CAP
-1 resetb 0.00103223
-2 *659:B 8.7919e-05
-3 *325:DIODE 4.31257e-05
-4 *39:11 0.00116328
-5 *325:DIODE *643:A 2.15184e-05
-6 *659:B *643:A 6.50586e-05
-7 *39:11 *643:A 0.000193093
-8 *39:11 *658:A2 3.51288e-06
-9 *39:11 *662:A2 0.000160328
-10 *39:11 *662:B2 0.00068818
-11 *39:11 *675:B1 0.000160467
-12 *39:11 *690:A2 1.91391e-05
-13 *39:11 *691:B1 0.000163359
-14 *39:11 *692:B 0.000226867
-15 *39:11 *856:D 8.12737e-05
-16 *39:11 *126:65 0
-17 *39:11 *127:55 0.00035923
-18 *39:11 *127:90 0.00019097
-19 *39:11 *130:8 0.00025439
-20 *39:11 *213:16 2.7961e-05
-21 *39:11 *213:27 2.41999e-06
-22 *39:11 *214:8 0.000261984
-23 clockp[1] *39:11 0
-24 *4:10 *39:11 0
-*RES
-1 resetb *39:11 41.4086
-2 *39:11 *325:DIODE 9.97254
-3 *39:11 *659:B 11.6846
-*END
-
-*D_NET *40 0.00433196
-*CONN
-*I *807:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *771:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *710:B I *D sky130_fd_sc_hd__or2_2
-*I *782:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *709:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *807:A1 0.000226888
-2 *771:C1 0
-3 *710:B 0
-4 *782:C1 8.69281e-05
-5 *709:X 0.000173936
-6 *40:27 0.000369777
-7 *40:12 0.000253808
-8 *40:9 0.000483705
-9 *782:C1 *710:A 6.08467e-05
-10 *782:C1 *782:B1 1.47978e-05
-11 *782:C1 *122:38 0.000202719
-12 *782:C1 *165:5 0.0001139
-13 *807:A1 *770:A 3.29488e-05
-14 *807:A1 *807:S 0.000328289
-15 *807:A1 *823:A0 8.70662e-06
-16 *807:A1 *827:A0 2.10004e-05
-17 *807:A1 *55:26 0.000159038
-18 *807:A1 *118:93 0.000151779
-19 *807:A1 *225:206 1.07248e-05
-20 *40:9 *709:B 0.000160617
-21 *40:9 *164:20 1.4091e-06
-22 *40:12 *781:B1 0.000236233
-23 *40:12 *809:A0 8.46774e-05
-24 *40:12 *118:46 0.000397564
-25 *40:12 *225:206 2.82537e-05
-26 *40:27 *118:46 9.04083e-05
-27 *40:27 *118:93 0.000224523
-28 *40:27 *225:206 0.000280491
-29 *375:DIODE *807:A1 9.59526e-05
-30 *5:184 *807:A1 3.20407e-05
-*RES
-1 *709:X *40:9 16.7151
-2 *40:9 *40:12 11.7303
-3 *40:12 *782:C1 12.9385
-4 *40:12 *710:B 9.24915
-5 *40:9 *40:27 5.98452
-6 *40:27 *771:C1 13.7491
-7 *40:27 *807:A1 22.3968
-*END
-
-*D_NET *41 0.00974574
-*CONN
-*I *711:C I *D sky130_fd_sc_hd__or3_2
-*I *830:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *710:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *711:C 0.000895933
-2 *830:A0 0.0023628
-3 *710:X 0.000215391
-4 *41:9 0.00347412
-5 *711:C *654:A 4.7798e-05
-6 *711:C *702:C 0.000905287
-7 *711:C *712:B2 0
-8 *711:C *712:C1 0
-9 *711:C *713:A1 7.73818e-05
-10 *711:C *723:B2 0
-11 *711:C *728:B1 9.60366e-05
-12 *711:C *734:B 0
-13 *711:C *744:A2 3.23117e-05
-14 *711:C *744:B2 0
-15 *711:C *118:14 6.03391e-06
-16 *711:C *176:16 5.0124e-05
-17 *711:C *177:8 0
-18 *711:C *180:10 0
-19 *711:C *204:200 3.78294e-05
-20 *711:C *226:11 7.88354e-05
-21 *830:A0 *708:A 0.000115934
-22 *830:A0 *720:B1 5.39635e-06
-23 *830:A0 *723:B2 4.82966e-05
-24 *830:A0 *730:B1 2.14842e-06
-25 *830:A0 *119:5 0.000161234
-26 *830:A0 *119:72 0.000313495
-27 *830:A0 *120:11 6.11359e-06
-28 *830:A0 *225:40 4.81992e-06
-29 *830:A0 *225:44 2.65832e-06
-30 *830:A0 *249:23 0.000241368
-31 *830:A0 *322:7 3.1759e-05
-32 *41:9 *717:A 0.000136533
-33 *41:9 *719:B2 1.25775e-05
-34 *41:9 *118:46 4.3116e-06
-35 *41:9 *160:6 6.51423e-05
-36 *41:9 *165:14 3.33235e-05
-37 *381:DIODE *830:A0 2.37478e-05
-38 *833:A1 *830:A0 0.000171273
-39 *833:A1 *41:9 8.57221e-05
-40 *11:10 *830:A0 0
-*RES
-1 *710:X *41:9 24.2687
-2 *41:9 *830:A0 39.2344
-3 *41:9 *711:C 44.581
-*END
-
-*D_NET *42 0.00387885
-*CONN
-*I *828:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *767:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *828:A0 0.00160679
-2 *767:X 0.00160679
-3 *828:A0 *857:A 6.45772e-05
-4 *828:A0 *880:A 3.83429e-05
-5 *828:A0 *880:TE 0.000122378
-6 *828:A0 *880:Z 6.50586e-05
-7 *828:A0 *881:TE 7.16754e-05
-8 *828:A0 *237:5 0.000107629
-9 *828:A0 *250:9 0.000195621
-*RES
-1 *767:X *828:A0 46.8554
-*END
-
-*D_NET *43 0.00651871
-*CONN
-*I *763:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *764:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *826:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *765:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *767:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *762:X O *D sky130_fd_sc_hd__o21a_2
-*CAP
-1 *763:B1 0.000113414
-2 *764:B1 0
-3 *826:A0 0.001098
-4 *765:B1 0
-5 *767:B1 0.000155836
-6 *762:X 0.000341819
-7 *43:27 0.00123867
-8 *43:24 0.000254076
-9 *43:10 0.000239384
-10 *43:8 0.000425366
-11 *763:B1 *763:A1 6.08467e-05
-12 *763:B1 *764:A1 0.000213725
-13 *763:B1 *222:79 1.65872e-05
-14 *767:B1 *765:A4 3.67708e-05
-15 *767:B1 *767:A2 2.692e-05
-16 *767:B1 *119:38 0
-17 *826:A0 *760:A2 2.41274e-06
-18 *826:A0 *822:A0 2.82537e-05
-19 *826:A0 *832:A0 0.000271044
-20 *826:A0 *886:A 7.24449e-05
-21 *826:A0 *890:TE_B 0.000132047
-22 *826:A0 *908:A 9.75148e-06
-23 *826:A0 *909:Z 7.23987e-05
-24 *826:A0 *911:TE_B 5.05707e-05
-25 *826:A0 *912:A 6.01398e-05
-26 *826:A0 *201:63 1.77537e-06
-27 *826:A0 *225:96 9.04224e-05
-28 *826:A0 *264:8 1.84293e-05
-29 *826:A0 *266:9 0.000123176
-30 *826:A0 *284:36 0
-31 *43:8 *761:A3 0.000143912
-32 *43:8 *765:A4 0.000163997
-33 *43:8 *812:A0 3.10924e-05
-34 *43:8 *814:A0 3.94229e-05
-35 *43:8 *44:40 8.21849e-06
-36 *43:8 *222:90 7.87416e-05
-37 *43:8 *286:13 6.50727e-05
-38 *43:10 *765:A4 0.000148144
-39 *43:10 *225:81 0
-40 *43:27 *764:A1 0.000171273
-41 *43:27 *764:A3 0.000111708
-42 *43:27 *764:A4 3.83336e-05
-43 *808:S *826:A0 0
-44 *832:A1 *826:A0 2.41483e-05
-45 *832:A1 *43:27 0.000171273
-46 *15:5 *826:A0 0
-47 *37:13 *43:8 0.000169093
-*RES
-1 *762:X *43:8 24.1943
-2 *43:8 *43:10 2.6625
-3 *43:10 *767:B1 17.6574
-4 *43:10 *765:B1 13.7491
-5 *43:8 *43:24 4.5
-6 *43:24 *43:27 5.18434
-7 *43:27 *826:A0 48.5025
-8 *43:27 *764:B1 9.24915
-9 *43:24 *763:B1 12.7697
-*END
-
-*D_NET *44 0.00965391
-*CONN
-*I *766:B I *D sky130_fd_sc_hd__or2_2
-*I *757:B I *D sky130_fd_sc_hd__or2_2
-*I *824:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *762:B1 I *D sky130_fd_sc_hd__o21a_2
-*I *761:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *760:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *759:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *756:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *766:B 7.79526e-05
-2 *757:B 0
-3 *824:A0 0.00174778
-4 *762:B1 2.09004e-05
-5 *761:B1 4.07872e-05
-6 *760:B1 6.00455e-05
-7 *759:B1 1.06342e-05
-8 *756:X 0.000183845
-9 *44:57 0.00191086
-10 *44:40 0.000258607
-11 *44:31 0.000199404
-12 *44:27 0.000212212
-13 *44:13 0.000204828
-14 *44:10 0.000307496
-15 *759:B1 *759:A3 3.25765e-05
-16 *760:B1 *760:A2 6.50586e-05
-17 *760:B1 *760:A3 0.00021569
-18 *761:B1 *761:A3 0.000110364
-19 *762:B1 *265:13 2.16355e-05
-20 *762:B1 *286:13 3.39346e-05
-21 *766:B *759:A3 6.08467e-05
-22 *766:B *810:A0 0.000273772
-23 *766:B *160:43 0.000124919
-24 *766:B *201:58 6.49003e-05
-25 *824:A0 *818:A0 3.27606e-06
-26 *824:A0 *913:A 0.000945655
-27 *44:10 *759:A3 0.000146646
-28 *44:10 *764:A2 2.53624e-06
-29 *44:10 *769:A3 0.000175689
-30 *44:10 *225:76 6.50727e-05
-31 *44:10 *225:135 0.000217587
-32 *44:13 *759:A3 0.000169343
-33 *44:13 *201:58 9.82896e-06
-34 *44:27 *759:A1 6.50586e-05
-35 *44:27 *759:A3 7.92757e-06
-36 *44:27 *760:A3 1.64789e-05
-37 *44:27 *761:A3 7.92757e-06
-38 *44:27 *814:A0 1.43983e-05
-39 *44:27 *201:58 0.000156343
-40 *44:27 *201:63 0.000262402
-41 *44:31 *760:A2 0
-42 *44:31 *760:A3 3.01723e-05
-43 *44:31 *761:A3 0.000118166
-44 *44:31 *201:63 1.96574e-05
-45 *44:40 *761:A3 0.000122083
-46 *44:40 *818:A0 0.000148129
-47 *44:40 *222:90 8.62625e-06
-48 *44:57 *761:A3 2.95757e-05
-49 *44:57 *818:A0 0.000193813
-50 *345:DIODE *761:B1 3.23231e-06
-51 *370:DIODE *824:A0 7.62627e-06
-52 *810:A1 *766:B 3.66916e-05
-53 *810:A1 *44:13 0.000107496
-54 *810:A1 *44:27 1.03434e-05
-55 *5:213 *44:10 0.000118171
-56 *5:222 *44:10 5.41227e-05
-57 *13:12 *761:B1 3.78939e-05
-58 *15:5 *44:40 9.2346e-06
-59 *37:13 *824:A0 1.66771e-05
-60 *37:13 *44:57 6.8762e-05
-61 *43:8 *44:40 8.21849e-06
-*RES
-1 *756:X *44:10 25.7876
-2 *44:10 *44:13 2.38721
-3 *44:13 *759:B1 10.1654
-4 *44:13 *44:27 6.19709
-5 *44:27 *44:31 2.41132
-6 *44:31 *760:B1 11.6364
-7 *44:31 *44:40 7.57775
-8 *44:40 *761:B1 15.5576
-9 *44:40 *44:57 4.64105
-10 *44:57 *762:B1 14.4725
-11 *44:57 *824:A0 25.2713
-12 *44:27 *757:B 9.24915
-13 *44:10 *766:B 14.0477
-*END
-
-*D_NET *45 0.00278603
-*CONN
-*I *822:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *764:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *822:A0 0.000647422
-2 *764:X 0.000647422
-3 *822:A0 *762:A1 3.3239e-06
-4 *822:A0 *820:A0 0.000134069
-5 *822:A0 *906:A 0.000114271
-6 *822:A0 *909:A 0
-7 *822:A0 *909:Z 0
-8 *822:A0 *911:TE_B 0.000307046
-9 *822:A0 *225:96 8.37812e-05
-10 *822:A0 *225:105 9.57557e-06
-11 *822:A0 *284:36 0
-12 *822:A0 *287:8 0.000110458
-13 *358:DIODE *822:A0 0.000318901
-14 *818:A1 *822:A0 2.41827e-05
-15 *826:A0 *822:A0 2.82537e-05
-16 *5:136 *822:A0 0.000117376
-17 *5:142 *822:A0 0.000239947
-18 *15:5 *822:A0 0
-*RES
-1 *764:X *822:A0 48.8934
-*END
-
-*D_NET *46 0.00199186
-*CONN
-*I *820:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *761:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *820:A0 0.000404918
-2 *761:X 0.000404918
-3 *820:A0 *907:A 7.41247e-05
-4 *820:A0 *908:TE 6.55651e-05
-5 *820:A0 *908:Z 2.16355e-05
-6 *820:A0 *909:Z 2.29319e-05
-7 *820:A0 *910:TE_B 5.48756e-05
-8 *820:A0 *265:13 0.000804128
-9 *822:A0 *820:A0 0.000134069
-10 *13:12 *820:A0 4.69326e-06
-*RES
-1 *761:X *820:A0 40.4812
-*END
-
-*D_NET *47 0.00173967
-*CONN
-*I *818:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *757:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *818:A0 0.000454615
-2 *757:X 0.000454615
-3 *818:A0 *915:TE 7.92757e-06
-4 *818:A0 *292:7 1.43698e-05
-5 *824:A0 *818:A0 3.27606e-06
-6 *15:5 *818:A0 0.000444423
-7 *37:13 *818:A0 1.84969e-05
-8 *44:40 *818:A0 0.000148129
-9 *44:57 *818:A0 0.000193813
-*RES
-1 *757:X *818:A0 39.4707
-*END
-
-*D_NET *48 0.00328028
-*CONN
-*I *816:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *768:X O *D sky130_fd_sc_hd__a31o_2
-*CAP
-1 *816:A0 0
-2 *768:X 0.00066989
-3 *48:8 0.00066989
-4 *48:8 *759:A1 0.000134323
-5 *48:8 *768:A3 0.000143017
-6 *48:8 *812:A0 0
-7 *48:8 *814:A0 0.000173261
-8 *48:8 *913:A 1.83188e-05
-9 *48:8 *164:42 0.000249293
-10 *831:A1 *48:8 3.67708e-05
-11 *5:163 *48:8 0
-12 *16:9 *48:8 0.00118551
-*RES
-1 *768:X *48:8 43.3353
-2 *48:8 *816:A0 9.24915
-*END
-
-*D_NET *49 0.00138372
-*CONN
-*I *814:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *759:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *814:A0 0.000487942
-2 *759:X 0.000487942
-3 *814:A0 *812:A0 9.24241e-05
-4 *814:A0 *913:A 4.34267e-05
-5 *5:163 *814:A0 1.18084e-05
-6 *5:168 *814:A0 3.30938e-05
-7 *37:13 *814:A0 0
-8 *43:8 *814:A0 3.94229e-05
-9 *44:27 *814:A0 1.43983e-05
-10 *48:8 *814:A0 0.000173261
-*RES
-1 *759:X *814:A0 40.8502
-*END
-
-*D_NET *50 0.000788803
-*CONN
-*I *812:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *763:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *812:A0 0.000166011
-2 *763:X 0.000166011
-3 *812:A0 *759:A1 3.04973e-05
-4 *812:A0 *761:A3 0.000123582
-5 *812:A0 *765:A4 7.50722e-05
-6 *812:A0 *164:42 3.67528e-06
-7 *345:DIODE *812:A0 3.53803e-05
-8 *814:A0 *812:A0 9.24241e-05
-9 *13:12 *812:A0 6.50586e-05
-10 *43:8 *812:A0 3.10924e-05
-11 *48:8 *812:A0 0
-*RES
-1 *763:X *812:A0 33.3757
-*END
-
-*D_NET *51 0.00076079
-*CONN
-*I *810:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *766:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *810:A0 0.000168514
-2 *766:X 0.000168514
-3 *810:A0 *160:35 4.31703e-05
-4 *810:A0 *160:43 4.17467e-05
-5 *379:DIODE *810:A0 6.50727e-05
-6 *766:B *810:A0 0.000273772
-*RES
-1 *766:X *810:A0 23.6585
-*END
-
-*D_NET *52 0.00121037
-*CONN
-*I *808:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *765:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *808:A0 0.000106616
-2 *765:X 0.000106616
-3 *808:A0 *225:81 6.92705e-05
-4 *808:A0 *258:9 0.000265631
-5 *337:DIODE *808:A0 0.000268812
-6 *385:DIODE *808:A0 0.000228593
-7 *808:S *808:A0 0.000164829
-*RES
-1 *765:X *808:A0 26.4315
-*END
-
-*D_NET *53 0.000992693
-*CONN
-*I *832:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *760:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *832:A0 0.000210889
-2 *760:X 0.000210889
-3 *832:A0 *284:36 0.000148129
-4 *826:A0 *832:A0 0.000271044
-5 *14:11 *832:A0 0.000151741
-*RES
-1 *760:X *832:A0 33.1026
-*END
-
-*D_NET *54 0.000294661
-*CONN
-*I *831:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *769:X O *D sky130_fd_sc_hd__a31o_2
-*CAP
-1 *831:A0 8.38228e-05
-2 *769:X 8.38228e-05
-3 *831:A0 *223:28 2.58616e-05
-4 *384:DIODE *831:A0 2.84758e-05
-5 *831:A1 *831:A0 3.00829e-05
-6 *5:351 *831:A0 4.25952e-05
-*RES
-1 *769:X *831:A0 21.4401
-*END
-
-*D_NET *55 0.00856284
-*CONN
-*I *807:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *770:B I *D sky130_fd_sc_hd__nand2_2
-*I *702:C I *D sky130_fd_sc_hd__or3_2
-*I *701:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *807:A0 0
-2 *770:B 0.000122366
-3 *702:C 0.000593428
-4 *701:X 0.00011261
-5 *55:26 0.000187338
-6 *55:23 0.000149287
-7 *55:22 0.000790066
-8 *55:7 0.00141179
-9 *702:C *702:A 6.92705e-05
-10 *702:C *719:A1 0.000130777
-11 *702:C *719:A2 2.5386e-05
-12 *702:C *719:B2 3.26195e-05
-13 *702:C *782:A1 0.000113773
-14 *702:C *782:B1 1.91391e-05
-15 *702:C *833:A0 0
-16 *702:C *848:D 0.000180515
-17 *702:C *118:14 0.000670858
-18 *702:C *118:24 6.50586e-05
-19 *702:C *119:72 0
-20 *702:C *122:38 4.18215e-06
-21 *702:C *124:18 0.000110583
-22 *702:C *124:28 2.41483e-05
-23 *702:C *160:6 0
-24 *702:C *165:14 0.000171474
-25 *702:C *166:38 0.000112897
-26 *702:C *250:20 2.22075e-05
-27 *55:7 *700:A 1.65872e-05
-28 *55:7 *701:C 0.000112361
-29 *55:22 *699:A 0.00040973
-30 *55:22 *774:A3 3.21402e-05
-31 *55:22 *774:A4 4.3116e-06
-32 *55:22 *774:B1 0.00023344
-33 *55:22 *776:B1 2.29287e-05
-34 *55:22 *817:A0 0
-35 *55:22 *65:10 0.000139435
-36 *55:22 *119:81 0
-37 *55:22 *122:47 2.53624e-06
-38 *55:22 *166:38 0.00010969
-39 *55:22 *222:59 0
-40 *55:22 *243:20 4.51619e-05
-41 *55:22 *250:20 8.89497e-06
-42 *360:DIODE *770:B 1.88422e-05
-43 *375:DIODE *770:B 0.000404059
-44 *375:DIODE *55:22 0.000315016
-45 *375:DIODE *55:26 2.23042e-05
-46 *711:C *702:C 0.000905287
-47 *807:A1 *55:26 0.000159038
-48 *5:184 *55:26 4.04576e-06
-49 *5:190 *55:22 0.000113374
-50 *5:279 *770:B 8.57967e-05
-51 *5:279 *55:26 0.000210531
-52 *5:350 *702:C 1.21602e-05
-53 *21:22 *55:22 5.53934e-05
-*RES
-1 *701:X *55:7 15.5817
-2 *55:7 *702:C 40.5341
-3 *55:7 *55:22 34.0266
-4 *55:22 *55:23 104.301
-5 *55:23 *55:26 12.191
-6 *55:26 *770:B 14.4335
-7 *55:26 *807:A0 9.24915
-*END
-
-*D_NET *56 0.00054619
-*CONN
-*I *829:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *776:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *829:A0 5.37936e-05
-2 *776:X 5.37936e-05
-3 *829:A0 *775:D 0.00011818
-4 *829:A0 *201:11 0.000109364
-5 *829:A0 *222:77 3.71142e-05
-6 *829:A1 *829:A0 0.000110297
-7 *829:S *829:A0 6.36477e-05
-*RES
-1 *776:X *829:A0 21.9947
-*END
-
-*D_NET *57 0.00203173
-*CONN
-*I *827:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *774:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *773:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *827:A0 0.000258712
-2 *774:B1 0.000341113
-3 *773:X 0
-4 *57:4 0.000599825
-5 *774:B1 *817:A0 0
-6 *774:B1 *160:35 6.50586e-05
-7 *774:B1 *312:15 0.000264586
-8 *827:A0 *922:A 7.136e-05
-9 *827:A0 *926:A 6.27718e-05
-10 *827:A0 *293:11 5.04829e-06
-11 *375:DIODE *827:A0 2.60631e-05
-12 *807:A1 *827:A0 2.10004e-05
-13 *5:184 *827:A0 6.01618e-05
-14 *5:190 *827:A0 2.2594e-05
-15 *55:22 *774:B1 0.00023344
-*RES
-1 *773:X *57:4 9.24915
-2 *57:4 *774:B1 26.2379
-3 *57:4 *827:A0 24.8233
-*END
-
-*D_NET *58 0.000577843
-*CONN
-*I *777:A I *D sky130_fd_sc_hd__and2_2
-*I *807:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *777:A 0.000203241
-2 *807:X 0.000203241
-3 *777:A *777:B 4.00438e-05
-4 *777:A *823:A0 2.54919e-05
-5 *777:A *62:7 6.50727e-05
-6 *375:DIODE *777:A 4.0752e-05
-*RES
-1 *807:X *777:A 31.5781
-*END
-
-*D_NET *59 0.00175536
-*CONN
-*I *825:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *777:X O *D sky130_fd_sc_hd__and2_2
-*CAP
-1 *825:A0 0.000723797
-2 *777:X 0.000723797
-3 *825:A0 *770:A 6.18342e-05
-4 *825:A0 *773:B1 0.000113968
-5 *825:A0 *929:TE 0
-6 *825:A0 *930:Z 6.72449e-05
-7 *825:A0 *936:TE 5.67857e-05
-8 *825:A0 *312:15 7.92757e-06
-9 *5:293 *825:A0 0
-*RES
-1 *777:X *825:A0 42.9321
-*END
-
-*D_NET *60 0.00265941
-*CONN
-*I *823:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *778:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *823:A0 0.000818701
-2 *778:X 0.000818701
-3 *823:A0 *773:A2 0.000179964
-4 *823:A0 *777:B 0
-5 *823:A0 *807:S 0.000330147
-6 *823:A0 *166:52 8.86331e-05
-7 *823:A0 *201:27 0.000158371
-8 *823:A0 *225:206 0.000137128
-9 *777:A *823:A0 2.54919e-05
-10 *807:A1 *823:A0 8.70662e-06
-11 *5:179 *823:A0 2.02222e-05
-12 *5:184 *823:A0 1.21985e-05
-13 *5:293 *823:A0 0
-14 *24:14 *823:A0 6.1151e-05
-*RES
-1 *778:X *823:A0 47.3577
-*END
-
-*D_NET *61 0.000555729
-*CONN
-*I *821:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *779:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *821:A0 0.000116905
-2 *779:Y 0.000116905
-3 *821:A0 *779:A 0.000321919
-*RES
-1 *779:Y *821:A0 21.9947
-*END
-
-*D_NET *62 0.00159147
-*CONN
-*I *819:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *777:B I *D sky130_fd_sc_hd__and2_2
-*I *770:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *819:A0 0.000235577
-2 *777:B 0.000116264
-3 *770:Y 0.000111102
-4 *62:7 0.000462942
-5 *777:B *312:15 7.25274e-05
-6 *375:DIODE *62:7 0.000304887
-7 *777:A *777:B 4.00438e-05
-8 *777:A *62:7 6.50727e-05
-9 *819:A1 *819:A0 4.89251e-05
-10 *823:A0 *777:B 0
-11 *5:284 *819:A0 6.93171e-05
-12 *5:324 *777:B 3.07658e-05
-13 *5:324 *819:A0 3.40414e-05
-*RES
-1 *770:Y *62:7 17.2456
-2 *62:7 *777:B 16.8269
-3 *62:7 *819:A0 18.6595
-*END
-
-*D_NET *63 0.00210329
-*CONN
-*I *817:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *781:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *817:A0 0.000831209
-2 *781:X 0.000831209
-3 *817:A0 *775:D 2.21133e-05
-4 *817:A0 *776:B1 0
-5 *817:A0 *65:10 0
-6 *817:A0 *286:13 0.000100687
-7 *774:B1 *817:A0 0
-8 *829:A1 *817:A0 0
-9 *5:172 *817:A0 2.41961e-05
-10 *5:179 *817:A0 0.00016386
-11 *5:190 *817:A0 0
-12 *5:195 *817:A0 0.000103493
-13 *5:200 *817:A0 2.652e-05
-14 *17:9 *817:A0 0
-15 *55:22 *817:A0 0
-*RES
-1 *781:X *817:A0 45.5602
-*END
-
-*D_NET *64 0.00155795
-*CONN
-*I *773:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *815:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *771:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *773:C1 0.000150203
-2 *815:A0 8.79775e-05
-3 *771:X 0
-4 *64:5 0.00023818
-5 *773:C1 *773:A3 3.32802e-05
-6 *773:C1 *773:B1 5.10036e-05
-7 *815:A0 *222:50 6.50586e-05
-8 *815:A0 *222:59 2.22198e-05
-9 *5:324 *773:C1 2.82537e-05
-10 *5:329 *773:C1 5.22654e-06
-11 *5:329 *815:A0 0.000399507
-12 *21:16 *815:A0 0.000477044
-*RES
-1 *771:X *64:5 13.7491
-2 *64:5 *815:A0 19.9109
-3 *64:5 *773:C1 18.1559
-*END
-
-*D_NET *65 0.003599
-*CONN
-*I *778:B1 I *D sky130_fd_sc_hd__o41a_2
-*I *813:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *776:C1 I *D sky130_fd_sc_hd__o311a_2
-*I *774:X O *D sky130_fd_sc_hd__o41a_2
-*CAP
-1 *778:B1 0
-2 *813:A0 0.000824513
-3 *776:C1 0
-4 *774:X 0.00015966
-5 *65:15 0.000929155
-6 *65:10 0.000264302
-7 *813:A0 *770:A 0
-8 *813:A0 *864:A 2.87136e-06
-9 *813:A0 *166:52 1.5714e-05
-10 *813:A0 *225:206 1.9101e-05
-11 *813:A0 *243:20 0.000123896
-12 *65:10 *776:B1 5.22654e-06
-13 *65:10 *243:20 0.000118166
-14 *65:15 *776:A3 0.000107496
-15 *65:15 *118:49 0.000351426
-16 *65:15 *118:73 0.000158371
-17 *65:15 *121:13 0.000379666
-18 *817:A0 *65:10 0
-19 *25:8 *813:A0 0
-20 *55:22 *65:10 0.000139435
-*RES
-1 *774:X *65:10 22.1896
-2 *65:10 *776:C1 9.24915
-3 *65:10 *65:15 5.71483
-4 *65:15 *813:A0 27.8591
-5 *65:15 *778:B1 9.24915
-*END
-
-*D_NET *66 0.000317636
-*CONN
-*I *811:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *804:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *811:A0 6.50246e-05
-2 *804:X 6.50246e-05
-3 *343:DIODE *811:A0 0.000151741
-4 *5:392 *811:A0 3.58457e-05
-*RES
-1 *804:X *811:A0 30.1608
-*END
-
-*D_NET *67 0.00472435
-*CONN
-*I *781:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *809:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *780:X O *D sky130_fd_sc_hd__and3_2
-*CAP
-1 *781:B1 0.000295656
-2 *809:A0 0.000583021
-3 *780:X 0
-4 *67:5 0.000878677
-5 *781:B1 *709:B 2.16355e-05
-6 *781:B1 *776:B1 4.30017e-06
-7 *781:B1 *780:A 0.000101262
-8 *781:B1 *781:A2 1.64789e-05
-9 *781:B1 *781:A3 0.000364342
-10 *781:B1 *164:28 6.89789e-05
-11 *781:B1 *225:206 0.00014533
-12 *809:A0 *652:A 0.000373061
-13 *809:A0 *719:B1 5.04829e-06
-14 *809:A0 *737:A0 6.08467e-05
-15 *809:A0 *737:S 4.17142e-05
-16 *809:A0 *780:A 1.03594e-05
-17 *809:A0 *872:A 0.000222979
-18 *809:A0 *874:A 0.000481241
-19 *809:A0 *118:14 0.000101118
-20 *809:A0 *118:46 0.000241585
-21 *809:A0 *122:7 7.98425e-06
-22 *809:A0 *225:33 7.5018e-05
-23 *809:A0 *225:187 2.80136e-05
-24 *340:DIODE *809:A0 6.46921e-05
-25 *5:387 *809:A0 4.45999e-05
-26 *29:8 *809:A0 0.000165495
-27 *40:12 *781:B1 0.000236233
-28 *40:12 *809:A0 8.46774e-05
-*RES
-1 *780:X *67:5 13.7491
-2 *67:5 *809:A0 35.1499
-3 *67:5 *781:B1 24.9208
-*END
-
-*D_NET *68 0.000323665
-*CONN
-*I *833:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *782:X O *D sky130_fd_sc_hd__o311a_2
-*CAP
-1 *833:A0 7.22498e-05
-2 *782:X 7.22498e-05
-3 *833:A0 *782:A1 0
-4 *833:A0 *119:72 0.000147848
-5 *702:C *833:A0 0
-6 *5:350 *833:A0 3.13173e-05
-*RES
-1 *782:X *833:A0 30.1608
-*END
-
-*D_NET *69 0.00115379
-*CONN
-*I *834:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *803:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *834:RESET_B 0.000227635
-2 *803:X 0.000227635
-3 *834:RESET_B *666:A1 3.20069e-06
-4 *834:RESET_B *666:B2 0
-5 *834:RESET_B *835:D 2.73369e-05
-6 *834:RESET_B *835:CLK 7.15593e-05
-7 *834:RESET_B *90:155 0.000156823
-8 *834:RESET_B *90:167 0.00043038
-9 *834:RESET_B *204:213 9.22013e-06
-*RES
-1 *803:X *834:RESET_B 26.9573
-*END
-
-*D_NET *70 0.000664113
-*CONN
-*I *835:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *802:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *835:RESET_B 0.000327575
-2 *802:X 0.000327575
-3 *835:RESET_B *835:D 0
-4 *835:RESET_B *90:167 0
-5 *835:RESET_B *126:11 8.96314e-06
-6 *834:D *835:RESET_B 0
-*RES
-1 *802:X *835:RESET_B 25.3723
-*END
-
-*D_NET *71 0.000272422
-*CONN
-*I *836:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *801:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *836:RESET_B 0.000136211
-2 *801:X 0.000136211
-*RES
-1 *801:X *836:RESET_B 20.8045
-*END
-
-*D_NET *72 0.0010343
-*CONN
-*I *837:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *800:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *837:RESET_B 0.000263792
-2 *800:X 0.000263792
-3 *837:RESET_B *750:C 9.43419e-05
-4 *837:RESET_B *837:CLK 5.52256e-05
-5 *837:RESET_B *127:44 0.000206696
-6 *837:RESET_B *155:20 0.000150455
-*RES
-1 *800:X *837:RESET_B 24.2166
-*END
-
-*D_NET *73 0.000415552
-*CONN
-*I *838:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *799:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *838:RESET_B 0.000148606
-2 *799:X 0.000148606
-3 *838:RESET_B *838:D 0
-4 *838:RESET_B *126:30 0
-5 *838:RESET_B *206:11 0.000100489
-6 *838:RESET_B *206:33 1.78514e-05
-*RES
-1 *799:X *838:RESET_B 22.0503
-*END
-
-*D_NET *74 0.00098068
-*CONN
-*I *839:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *798:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *839:RESET_B 0.00032432
-2 *798:X 0.00032432
-3 *839:RESET_B *745:A 0.000122378
-4 *839:RESET_B *745:C 2.42273e-05
-5 *839:RESET_B *753:B1 1.1246e-05
-6 *839:RESET_B *195:13 0.00017419
-*RES
-1 *798:X *839:RESET_B 25.7904
-*END
-
-*D_NET *75 0.000366663
-*CONN
-*I *840:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *797:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *840:RESET_B 0.000134963
-2 *797:X 0.000134963
-3 *840:RESET_B *90:82 3.00829e-05
-4 *840:RESET_B *156:10 0
-5 *840:RESET_B *204:47 6.66538e-05
-*RES
-1 *797:X *840:RESET_B 21.635
-*END
-
-*D_NET *76 0.00036181
-*CONN
-*I *841:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *796:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *841:RESET_B 9.45596e-05
-2 *796:X 9.45596e-05
-3 *841:RESET_B *90:24 0.000172691
-4 *841:RESET_B *204:11 0
-*RES
-1 *796:X *841:RESET_B 21.3269
-*END
-
-*D_NET *77 0.000302782
-*CONN
-*I *842:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *795:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *842:RESET_B 0.000117639
-2 *795:X 0.000117639
-3 *842:RESET_B *785:A 2.14065e-05
-4 *842:RESET_B *90:98 2.58757e-05
-5 *842:RESET_B *90:110 2.02222e-05
-*RES
-1 *795:X *842:RESET_B 20.8045
-*END
-
-*D_NET *78 0.000494724
-*CONN
-*I *843:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *794:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *843:RESET_B 0.000204089
-2 *794:X 0.000204089
-3 *843:RESET_B *794:A 2.41274e-06
-4 *843:RESET_B *801:A 1.43983e-05
-5 *843:RESET_B *90:194 6.97354e-05
-6 *28:10 *843:RESET_B 0
-*RES
-1 *794:X *843:RESET_B 22.6049
-*END
-
-*D_NET *79 0.000335465
-*CONN
-*I *844:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *793:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *844:RESET_B 7.78235e-05
-2 *793:X 7.78235e-05
-3 *844:RESET_B *743:B1 3.31733e-05
-4 *844:RESET_B *845:CLK 0
-5 *844:RESET_B *157:105 0.000146645
-*RES
-1 *793:X *844:RESET_B 20.9116
-*END
-
-*D_NET *80 0.000222582
-*CONN
-*I *845:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *792:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *845:RESET_B 6.51276e-05
-2 *792:X 6.51276e-05
-3 *845:RESET_B *792:A 9.23267e-05
-4 *27:8 *845:RESET_B 0
-*RES
-1 *792:X *845:RESET_B 20.0811
-*END
-
-*D_NET *81 0.000160888
-*CONN
-*I *846:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *791:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *846:RESET_B 6.53623e-05
-2 *791:X 6.53623e-05
-3 *846:RESET_B *846:CLK 3.01634e-05
-*RES
-1 *791:X *846:RESET_B 20.0811
-*END
-
-*D_NET *82 0.000623549
-*CONN
-*I *847:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *790:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *847:RESET_B 0.000221902
-2 *790:X 0.000221902
-3 *847:RESET_B *847:D 9.90116e-05
-4 *847:RESET_B *204:40 5.56461e-05
-5 *847:RESET_B *204:47 2.50864e-05
-*RES
-1 *790:X *847:RESET_B 15.4583
-*END
-
-*D_NET *83 0.000212944
-*CONN
-*I *848:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *789:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *848:RESET_B 5.80502e-05
-2 *789:X 5.80502e-05
-3 *848:RESET_B *90:203 9.68437e-05
-4 *28:10 *848:RESET_B 0
-*RES
-1 *789:X *848:RESET_B 20.0811
-*END
-
-*D_NET *84 0.000506038
-*CONN
-*I *849:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *788:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *849:RESET_B 0.000163462
-2 *788:X 0.000163462
-3 *849:RESET_B *663:A2 5.25402e-05
-4 *849:RESET_B *667:B 7.58595e-05
-5 *849:RESET_B *90:113 0
-6 *849:RESET_B *90:142 5.0715e-05
-*RES
-1 *788:X *849:RESET_B 22.6049
-*END
-
-*D_NET *85 0.000253582
-*CONN
-*I *850:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *787:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *850:RESET_B 4.81064e-05
-2 *787:X 4.81064e-05
-3 *850:RESET_B *666:A1 7.86847e-05
-4 *850:RESET_B *666:B2 7.86847e-05
-*RES
-1 *787:X *850:RESET_B 20.1602
-*END
-
-*D_NET *86 0.000622858
-*CONN
-*I *851:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *786:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *851:RESET_B 0.000273885
-2 *786:X 0.000273885
-3 *851:RESET_B *90:179 0
-4 *851:RESET_B *204:209 0
-5 *851:RESET_B *204:211 0
-6 *851:RESET_B *204:213 0
-7 *851:RESET_B *220:9 7.50872e-05
-*RES
-1 *786:X *851:RESET_B 24.5968
-*END
-
-*D_NET *87 0.000432583
-*CONN
-*I *852:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *785:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *852:RESET_B 0.000165832
-2 *785:X 0.000165832
-3 *852:RESET_B *664:A2 0
-4 *852:RESET_B *712:A2 6.50727e-05
-5 *852:RESET_B *842:D 0
-6 *852:RESET_B *210:10 3.58457e-05
-*RES
-1 *785:X *852:RESET_B 22.0503
-*END
-
-*D_NET *88 0.000415068
-*CONN
-*I *853:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *784:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *853:RESET_B 0.000173604
-2 *784:X 0.000173604
-3 *853:RESET_B *663:A1 6.78596e-05
-4 *853:RESET_B *90:110 0
-5 *853:RESET_B *211:8 0
-*RES
-1 *784:X *853:RESET_B 22.0503
-*END
-
-*D_NET *89 0.000410107
-*CONN
-*I *854:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *783:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *854:RESET_B 0.000127443
-2 *783:X 0.000127443
-3 *854:RESET_B *90:51 7.93468e-05
-4 clockp[1] *854:RESET_B 7.58739e-05
-*RES
-1 *783:X *854:RESET_B 22.0503
-*END
-
-*D_NET *90 0.0279793
-*CONN
-*I *790:A I *D sky130_fd_sc_hd__buf_1
-*I *791:A I *D sky130_fd_sc_hd__buf_1
-*I *796:A I *D sky130_fd_sc_hd__buf_1
-*I *795:A I *D sky130_fd_sc_hd__buf_1
-*I *784:A I *D sky130_fd_sc_hd__buf_1
-*I *802:A I *D sky130_fd_sc_hd__buf_1
-*I *792:A I *D sky130_fd_sc_hd__buf_1
-*I *793:A I *D sky130_fd_sc_hd__buf_1
-*I *789:A I *D sky130_fd_sc_hd__buf_1
-*I *801:A I *D sky130_fd_sc_hd__buf_1
-*I *794:A I *D sky130_fd_sc_hd__buf_1
-*I *786:A I *D sky130_fd_sc_hd__buf_1
-*I *787:A I *D sky130_fd_sc_hd__buf_1
-*I *803:A I *D sky130_fd_sc_hd__buf_1
-*I *788:A I *D sky130_fd_sc_hd__buf_1
-*I *799:A I *D sky130_fd_sc_hd__buf_1
-*I *798:A I *D sky130_fd_sc_hd__buf_1
-*I *785:A I *D sky130_fd_sc_hd__buf_1
-*I *797:A I *D sky130_fd_sc_hd__buf_1
-*I *800:A I *D sky130_fd_sc_hd__buf_1
-*I *783:A I *D sky130_fd_sc_hd__buf_1
-*I *855:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *805:A I *D sky130_fd_sc_hd__buf_1
-*I *660:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *790:A 5.80863e-05
-2 *791:A 0
-3 *796:A 0
-4 *795:A 0
-5 *784:A 4.68571e-05
-6 *802:A 0
-7 *792:A 0.000188337
-8 *793:A 0.000572279
-9 *789:A 3.61343e-05
-10 *801:A 2.48228e-05
-11 *794:A 5.85326e-05
-12 *786:A 0
-13 *787:A 0
-14 *803:A 2.18282e-05
-15 *788:A 0
-16 *799:A 0
-17 *798:A 9.62611e-06
-18 *785:A 0.000353756
-19 *797:A 2.64507e-05
-20 *800:A 4.9019e-05
-21 *783:A 0
-22 *855:RESET_B 0.00124944
-23 *805:A 0.000449066
-24 *660:Y 0
-25 *90:245 0.000112615
-26 *90:239 0.000104897
-27 *90:203 0.000983158
-28 *90:194 0.000698076
-29 *90:181 8.33553e-05
-30 *90:179 0.000827122
-31 *90:172 0.000443765
-32 *90:167 0.000489854
-33 *90:155 0.000566433
-34 *90:142 0.000566836
-35 *90:134 0.00052619
-36 *90:121 6.03556e-05
-37 *90:120 0.000591075
-38 *90:114 0.000871848
-39 *90:113 0.000677819
-40 *90:110 0.0010661
-41 *90:98 0.000881119
-42 *90:82 0.000796474
-43 *90:70 0.000654831
-44 *90:58 0.000643433
-45 *90:51 0.000922206
-46 *90:24 0.000989842
-47 *90:22 0.000823258
-48 *90:4 0.000873703
-49 *784:A *663:A2 0
-50 *785:A *664:A1 1.63255e-05
-51 *785:A *712:A1 0.000168313
-52 *785:A *712:A2 0.000100253
-53 *790:A *204:40 0.00021243
-54 *792:A *222:11 2.29125e-05
-55 *793:A *845:D 3.27877e-05
-56 *793:A *845:CLK 5.07314e-05
-57 *793:A *173:11 0
-58 *793:A *222:11 1.00617e-05
-59 *798:A *745:A 6.65788e-05
-60 *798:A *753:B1 2.88836e-05
-61 *800:A *750:C 5.23435e-05
-62 *800:A *116:16 1.37421e-05
-63 *800:A *204:47 0
-64 *800:A *209:8 5.79027e-06
-65 *805:A *113:8 0.000164829
-66 *805:A *284:36 4.60283e-05
-67 *805:A *320:6 0
-68 *855:RESET_B *755:A3 5.23577e-05
-69 *855:RESET_B *855:D 4.32761e-05
-70 *855:RESET_B *135:11 0.000106298
-71 *855:RESET_B *196:9 0.000111081
-72 *855:RESET_B *196:12 0.00014899
-73 *855:RESET_B *196:25 3.98646e-06
-74 *855:RESET_B *209:22 2.219e-05
-75 *90:22 *846:D 7.50872e-05
-76 *90:22 *846:CLK 0
-77 *90:22 *942:A 4.87301e-05
-78 *90:22 *284:36 0.000284983
-79 *90:24 *942:A 0
-80 *90:24 *204:11 0
-81 *90:24 *209:8 0
-82 *90:24 *209:22 0.000148144
-83 *90:51 *674:A 6.08467e-05
-84 *90:51 *687:A 0.000200221
-85 *90:51 *703:A1 0.000111722
-86 *90:51 *746:A 2.95999e-05
-87 *90:51 *752:A2 0
-88 *90:51 *839:D 8.62625e-06
-89 *90:51 *135:11 9.60366e-05
-90 *90:51 *138:6 3.74738e-05
-91 *90:51 *144:18 0
-92 *90:51 *146:5 0.000377689
-93 *90:58 *750:C 0.000160047
-94 *90:58 *208:42 1.65872e-05
-95 *90:58 *209:11 0.000253367
-96 *90:70 *750:C 4.66492e-05
-97 *90:70 *116:5 9.00181e-05
-98 *90:70 *127:44 1.04731e-05
-99 *90:82 *712:A1 0.000504253
-100 *90:82 *157:8 0.000384477
-101 *90:82 *158:9 0.000114584
-102 *90:82 *204:167 8.06202e-05
-103 *90:98 *158:9 0.000308814
-104 *90:110 *663:A2 5.05252e-05
-105 *90:110 *663:B1 0.00031994
-106 *90:110 *665:B2 0
-107 *90:110 *667:B 1.36691e-05
-108 *90:110 *744:B1 0.000340372
-109 *90:110 *853:D 7.28127e-05
-110 *90:110 *124:18 4.68256e-05
-111 *90:110 *127:26 0
-112 *90:113 *663:A2 0
-113 *90:113 *667:B 0
-114 *90:113 *849:D 0.000148144
-115 *90:114 *849:D 2.65667e-05
-116 *90:114 *206:11 0.000103943
-117 *90:120 *745:A 0.000119695
-118 *90:120 *753:B1 1.00937e-05
-119 *90:120 *754:B 3.14978e-05
-120 *90:120 *206:11 0.000104887
-121 *90:134 *667:A 4.82966e-05
-122 *90:134 *667:B 6.92705e-05
-123 *90:134 *849:D 5.07314e-05
-124 *90:142 *667:A 8.07303e-05
-125 *90:142 *667:B 4.33655e-05
-126 *90:155 *666:B2 3.67528e-06
-127 *90:155 *835:CLK 1.46079e-05
-128 *90:167 *204:213 0
-129 *90:167 *218:19 0
-130 *90:172 *126:11 0.000162739
-131 *90:179 *836:D 0.000117613
-132 *90:179 *204:213 0
-133 *90:179 *220:9 0
-134 *90:203 *848:D 0
-135 *90:239 *204:5 0.000171288
-136 *90:245 *204:5 0.000102003
-137 *90:245 *204:40 6.50727e-05
-138 clockp[1] *90:51 4.3116e-06
-139 *333:DIODE *90:51 0
-140 *334:DIODE *90:51 0.000423922
-141 *344:DIODE *792:A 6.36477e-05
-142 *660:A *805:A 0.000106215
-143 *834:RESET_B *90:155 0.000156823
-144 *834:RESET_B *90:167 0.00043038
-145 *835:RESET_B *90:167 0
-146 *840:RESET_B *90:82 3.00829e-05
-147 *841:RESET_B *90:24 0.000172691
-148 *842:RESET_B *785:A 2.14065e-05
-149 *842:RESET_B *90:98 2.58757e-05
-150 *842:RESET_B *90:110 2.02222e-05
-151 *843:RESET_B *794:A 2.41274e-06
-152 *843:RESET_B *801:A 1.43983e-05
-153 *843:RESET_B *90:194 6.97354e-05
-154 *845:RESET_B *792:A 9.23267e-05
-155 *848:RESET_B *90:203 9.68437e-05
-156 *849:RESET_B *90:113 0
-157 *849:RESET_B *90:142 5.0715e-05
-158 *851:RESET_B *90:179 0
-159 *853:RESET_B *90:110 0
-160 *854:RESET_B *90:51 7.93468e-05
-161 *4:10 *805:A 7.06307e-05
-162 *5:22 *805:A 0.000171288
-163 *6:36 *90:51 0.000179286
-164 *7:45 *90:51 3.61282e-05
-165 *11:10 *805:A 0
-166 *29:8 *90:155 0
-167 *38:8 *90:142 2.41274e-06
-168 *38:8 *90:155 1.19856e-05
-*RES
-1 *660:Y *90:4 9.24915
-2 *90:4 *805:A 30.5623
-3 *90:4 *90:22 17.7238
-4 *90:22 *90:24 9.30653
-5 *90:24 *855:RESET_B 14.1696
-6 *855:RESET_B *90:51 46.0989
-7 *90:51 *783:A 9.24915
-8 *90:24 *90:58 9.66022
-9 *90:58 *800:A 19.6659
-10 *90:58 *90:70 5.03966
-11 *90:70 *797:A 9.82786
-12 *90:70 *90:82 13.1176
-13 *90:82 *785:A 27.9452
-14 *90:82 *90:98 3.49641
-15 *90:98 *90:110 32.7516
-16 *90:110 *90:113 10.4845
-17 *90:113 *90:114 9.04245
-18 *90:114 *90:120 18.7256
-19 *90:120 *90:121 57.9449
-20 *90:121 *798:A 19.2217
-21 *90:114 *799:A 9.24915
-22 *90:113 *90:134 4.05102
-23 *90:134 *788:A 9.24915
-24 *90:134 *90:142 11.285
-25 *90:142 *803:A 9.82786
-26 *90:142 *90:155 13.2164
-27 *90:155 *787:A 9.24915
-28 *90:155 *90:167 17.3961
-29 *90:167 *786:A 9.24915
-30 *90:167 *90:172 1.8326
-31 *90:172 *90:179 13.3424
-32 *90:179 *90:181 4.5
-33 *90:181 *794:A 10.5271
-34 *90:181 *801:A 9.97254
-35 *90:179 *90:194 10.5523
-36 *90:194 *789:A 14.4725
-37 *90:194 *90:203 5.56926
-38 *90:203 *793:A 23.081
-39 *90:203 *792:A 18.7961
-40 *90:172 *802:A 9.24915
-41 *90:110 *784:A 14.7506
-42 *90:98 *795:A 9.24915
-43 *90:22 *90:239 6.3326
-44 *90:239 *796:A 9.24915
-45 *90:239 *90:245 1.8326
-46 *90:245 *791:A 9.24915
-47 *90:245 *790:A 11.6364
-*END
-
-*D_NET *91 0.000207886
-*CONN
-*I *856:RESET_B I *D sky130_fd_sc_hd__dfrtp_2
-*I *805:X O *D sky130_fd_sc_hd__buf_1
-*CAP
-1 *856:RESET_B 6.46007e-05
-2 *805:X 6.46007e-05
-3 *856:RESET_B *113:8 7.86847e-05
-*RES
-1 *805:X *856:RESET_B 20.1602
-*END
-
-*D_NET *92 0.000690596
-*CONN
-*I *837:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *755:X O *D sky130_fd_sc_hd__a311o_2
-*CAP
-1 *837:D 0.000223178
-2 *755:X 0.000223178
-3 *837:D *127:54 0.000208627
-4 *837:D *135:11 3.56119e-05
-*RES
-1 *755:X *837:D 31.7175
-*END
-
-*D_NET *93 0.000265933
-*CONN
-*I *838:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *754:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *838:D 5.22717e-05
-2 *754:X 5.22717e-05
-3 *838:D *754:A 0.000130777
-4 *838:D *126:30 3.06126e-05
-5 *838:RESET_B *838:D 0
-*RES
-1 *754:X *838:D 29.7455
-*END
-
-*D_NET *94 0.00175214
-*CONN
-*I *839:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *752:X O *D sky130_fd_sc_hd__a31o_2
-*CAP
-1 *839:D 0.00051447
-2 *752:X 0.00051447
-3 *839:D *745:B 0.000169078
-4 *839:D *752:A3 6.89449e-05
-5 *839:D *753:B2 7.50722e-05
-6 *839:D *753:C1 0
-7 *839:D *116:20 0.000118485
-8 *839:D *126:38 0
-9 *839:D *135:11 4.55024e-05
-10 *839:D *146:5 0.000160462
-11 *839:D *204:67 7.70295e-05
-12 *90:51 *839:D 8.62625e-06
-*RES
-1 *752:X *839:D 48.5052
-*END
-
-*D_NET *95 0.00136694
-*CONN
-*I *840:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *749:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *840:D 0.000489835
-2 *749:X 0.000489835
-3 *840:D *747:B 6.92705e-05
-4 *840:D *197:7 0.000122378
-5 *840:D *204:178 2.65831e-05
-6 *840:D *208:7 0.000120742
-7 *840:D *208:11 4.82966e-05
-*RES
-1 *749:X *840:D 31.9776
-*END
-
-*D_NET *96 0.000184743
-*CONN
-*I *841:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *748:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *841:D 7.31185e-05
-2 *748:Y 7.31185e-05
-3 *841:D *642:A 2.0517e-05
-4 *841:D *658:A2 3.20069e-06
-5 *841:D *748:A1 1.47882e-05
-*RES
-1 *748:Y *841:D 29.3303
-*END
-
-*D_NET *97 0.00104602
-*CONN
-*I *842:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *744:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *842:D 0.000485101
-2 *744:X 0.000485101
-3 *842:D *210:10 7.58194e-05
-4 *852:RESET_B *842:D 0
-*RES
-1 *744:X *842:D 35.4604
-*END
-
-*D_NET *98 0.00119954
-*CONN
-*I *843:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *743:Y O *D sky130_fd_sc_hd__o22ai_2
-*CAP
-1 *843:D 0.000207832
-2 *743:Y 0.000207832
-3 *843:D *716:B2 1.02917e-05
-4 *843:D *742:A1_N 1.65872e-05
-5 *843:D *742:A2_N 0.000110458
-6 *843:D *743:B1 6.27782e-05
-7 *843:D *743:B2 0.000465801
-8 *843:D *124:40 5.795e-05
-9 *843:D *172:8 6.00124e-05
-*RES
-1 *743:Y *843:D 35.1817
-*END
-
-*D_NET *99 0.000281087
-*CONN
-*I *844:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *741:X O *D sky130_fd_sc_hd__a32o_2
-*CAP
-1 *844:D 5.07301e-05
-2 *741:X 5.07301e-05
-3 *844:D *741:B2 6.64609e-05
-4 *844:D *743:B1 5.92192e-05
-5 *844:D *118:14 5.39463e-05
-*RES
-1 *741:X *844:D 29.7455
-*END
-
-*D_NET *100 0.000516672
-*CONN
-*I *845:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *739:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *845:D 0.000196282
-2 *739:X 0.000196282
-3 *845:D *222:11 9.13202e-05
-4 *793:A *845:D 3.27877e-05
-*RES
-1 *739:X *845:D 31.8863
-*END
-
-*D_NET *101 0.00233526
-*CONN
-*I *846:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *735:X O *D sky130_fd_sc_hd__a32o_2
-*CAP
-1 *846:D 0.000980308
-2 *735:X 0.000980308
-3 *846:D *733:A2 5.04054e-06
-4 *846:D *846:CLK 2.89269e-05
-5 *846:D *947:A 8.9075e-05
-6 *846:D *949:A 4.73037e-06
-7 *846:D *119:22 0.000162583
-8 *846:D *284:36 9.19886e-06
-9 *90:22 *846:D 7.50872e-05
-*RES
-1 *735:X *846:D 43.1961
-*END
-
-*D_NET *102 0.000923142
-*CONN
-*I *847:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *733:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *847:D 0.000390651
-2 *733:Y 0.000390651
-3 *847:D *170:29 0
-4 *847:D *204:47 5.04829e-06
-5 *847:D *223:11 3.77804e-05
-6 *847:RESET_B *847:D 9.90116e-05
-*RES
-1 *733:Y *847:D 36.2853
-*END
-
-*D_NET *103 0.0031912
-*CONN
-*I *848:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *728:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *848:D 0.00113475
-2 *728:Y 0.00113475
-3 *848:D *653:A 0.000464127
-4 *848:D *702:A 6.95945e-06
-5 *848:D *716:B1 6.53891e-05
-6 *848:D *728:B1 0.000160617
-7 *848:D *118:24 1.777e-05
-8 *848:D *204:200 2.63247e-05
-9 *848:D *204:250 0
-10 *702:C *848:D 0.000180515
-11 *90:203 *848:D 0
-*RES
-1 *728:Y *848:D 46.7159
-*END
-
-*D_NET *104 0.000537329
-*CONN
-*I *849:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *667:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *849:D 0.000155944
-2 *667:X 0.000155944
-3 *90:113 *849:D 0.000148144
-4 *90:114 *849:D 2.65667e-05
-5 *90:134 *849:D 5.07314e-05
-*RES
-1 *667:X *849:D 31.9934
-*END
-
-*D_NET *105 0.000352436
-*CONN
-*I *850:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *666:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *850:D 0.000137173
-2 *666:X 0.000137173
-3 *850:D *666:A2 5.11839e-05
-4 *850:D *204:127 2.69064e-05
-5 *29:8 *850:D 0
-*RES
-1 *666:X *850:D 30.5058
-*END
-
-*D_NET *106 0.000542129
-*CONN
-*I *851:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *665:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *851:D 0.000154426
-2 *665:X 0.000154426
-3 *851:D *711:A 2.16355e-05
-4 *851:D *712:C1 9.60216e-05
-5 *851:D *851:CLK 6.08467e-05
-6 *851:D *127:116 1.87469e-05
-7 *29:8 *851:D 3.60268e-05
-*RES
-1 *665:X *851:D 31.7147
-*END
-
-*D_NET *107 0.000409438
-*CONN
-*I *852:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *664:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *852:D 0.000106794
-2 *664:X 0.000106794
-3 *852:D *664:A2 0.000130777
-4 *852:D *117:8 6.50727e-05
-5 *852:D *210:10 0
-*RES
-1 *664:X *852:D 30.4689
-*END
-
-*D_NET *108 0.000693782
-*CONN
-*I *853:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *663:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *853:D 0.000220856
-2 *663:X 0.000220856
-3 *853:D *663:A1 0.000171273
-4 *853:D *663:B1 7.98425e-06
-5 *853:D *665:B2 0
-6 *853:D *127:26 0
-7 *90:110 *853:D 7.28127e-05
-*RES
-1 *663:X *853:D 32.1327
-*END
-
-*D_NET *109 0.00174056
-*CONN
-*I *854:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *662:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *854:D 0.000602868
-2 *662:X 0.000602868
-3 *854:D *662:B2 2.7961e-05
-4 *854:D *670:A 0.000205006
-5 *854:D *670:B 1.00937e-05
-6 *854:D *204:82 0.000250884
-7 *854:D *212:7 5.04829e-06
-8 clockp[1] *854:D 3.58321e-05
-*RES
-1 *662:X *854:D 42.2844
-*END
-
-*D_NET *110 0.00146554
-*CONN
-*I *855:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *661:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *855:D 0.000309933
-2 *661:X 0.000309933
-3 *855:D *661:A1 9.91804e-05
-4 *855:D *661:A2 0.000211478
-5 *855:D *750:B 7.92757e-06
-6 *855:D *126:37 5.04829e-06
-7 *855:D *126:61 0.000299373
-8 *855:D *126:65 0.000113968
-9 *855:D *196:9 4.12753e-05
-10 *855:D *213:16 2.41483e-05
-11 *855:RESET_B *855:D 4.32761e-05
-*RES
-1 *661:X *855:D 30.3379
-*END
-
-*D_NET *111 0.00165083
-*CONN
-*I *856:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *658:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *856:D 0.000579378
-2 *658:X 0.000579378
-3 *856:D *690:B1 3.82232e-05
-4 *856:D *692:B 0.00019607
-5 *856:D *856:CLK 0.000171456
-6 *856:D *214:7 5.04829e-06
-7 *4:10 *856:D 0
-8 *39:11 *856:D 8.12737e-05
-*RES
-1 *658:X *856:D 43.771
-*END
-
-*D_NET *112 0.00271307
-*CONN
-*I *748:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *690:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *696:A1 I *D sky130_fd_sc_hd__o221ai_2
-*I *642:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *748:A1 0.000366167
-2 *690:B1 0.000122723
-3 *696:A1 9.36721e-06
-4 *642:Y 6.6862e-05
-5 *112:13 0.000326646
-6 *112:8 0.000627585
-7 *690:B1 *690:A1 4.17481e-05
-8 *690:B1 *690:B2 3.85049e-05
-9 *696:A1 *692:A 6.50586e-05
-10 *696:A1 *856:CLK 6.50586e-05
-11 *748:A1 *658:A2 0
-12 *748:A1 *856:CLK 1.03403e-05
-13 *748:A1 *204:11 7.11697e-05
-14 *112:8 *642:A 0.000195154
-15 *112:8 *643:A 8.62625e-06
-16 *112:8 *214:8 0.000191541
-17 *112:13 *692:A 0.000103983
-18 *112:13 *856:CLK 0.000280597
-19 *841:D *748:A1 1.47882e-05
-20 *856:D *690:B1 3.82232e-05
-21 *4:10 *690:B1 6.89317e-05
-*RES
-1 *642:Y *112:8 21.7421
-2 *112:8 *112:13 5.20845
-3 *112:13 *696:A1 9.97254
-4 *112:13 *690:B1 21.773
-5 *112:8 *748:A1 24.5474
-*END
-
-*D_NET *113 0.00186097
-*CONN
-*I *696:A2 I *D sky130_fd_sc_hd__o221ai_2
-*I *690:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *643:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *696:A2 0
-2 *690:B2 0.000192888
-3 *643:Y 0.000263444
-4 *113:8 0.000456332
-5 *690:B2 *690:A1 4.69454e-05
-6 *690:B2 *690:A2 1.16623e-05
-7 *690:B2 *692:A 0.000101564
-8 *690:B2 *693:B1_N 0
-9 *690:B2 *152:8 0
-10 *113:8 *856:CLK 2.33334e-05
-11 *113:8 *152:8 0
-12 *690:B1 *690:B2 3.85049e-05
-13 *805:A *113:8 0.000164829
-14 *856:RESET_B *113:8 7.86847e-05
-15 *4:10 *690:B2 0.000125695
-16 *4:10 *113:8 0.00035709
-*RES
-1 *643:Y *113:8 22.5361
-2 *113:8 *690:B2 19.541
-3 *113:8 *696:A2 13.7491
-*END
-
-*D_NET *114 0.00121072
-*CONN
-*I *751:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *745:C I *D sky130_fd_sc_hd__or3_2
-*I *644:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *751:B1 0
-2 *745:C 0.00037723
-3 *644:Y 2.74444e-05
-4 *114:5 0.000404675
-5 *745:C *645:A 0
-6 *745:C *745:A 4.24529e-05
-7 *745:C *753:B1 6.92705e-05
-8 *745:C *195:13 4.81015e-05
-9 *745:C *207:21 2.36494e-05
-10 *745:C *207:41 6.23338e-05
-11 *114:5 *135:11 1.31657e-05
-12 *114:5 *144:18 0.000118166
-13 *839:RESET_B *745:C 2.42273e-05
-*RES
-1 *644:Y *114:5 10.5271
-2 *114:5 *745:C 28.8634
-3 *114:5 *751:B1 9.24915
-*END
-
-*D_NET *115 0.00239889
-*CONN
-*I *753:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *751:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *745:A I *D sky130_fd_sc_hd__or3_2
-*I *645:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *753:A1 0
-2 *751:A1 0.000183476
-3 *745:A 0.000167902
-4 *645:Y 0
-5 *115:26 0.000359692
-6 *115:5 0.000344117
-7 *745:A *753:B1 6.1028e-05
-8 *745:A *754:B 3.84001e-05
-9 *751:A1 *751:A2 8.87122e-05
-10 *751:A1 *753:A2 2.65831e-05
-11 *751:A1 *753:B1 1.86988e-05
-12 *751:A1 *144:18 0.000459316
-13 *115:26 *745:B 0
-14 *115:26 *753:B1 7.35836e-08
-15 *115:26 *754:A 0
-16 *115:26 *754:B 0.000128067
-17 *115:26 *144:18 8.1971e-06
-18 *115:26 *204:67 0.00016352
-19 *745:C *745:A 4.24529e-05
-20 *798:A *745:A 6.65788e-05
-21 *839:RESET_B *745:A 0.000122378
-22 *90:120 *745:A 0.000119695
-*RES
-1 *645:Y *115:5 13.7491
-2 *115:5 *745:A 20.2109
-3 *115:5 *115:26 11.0458
-4 *115:26 *751:A1 16.5675
-5 *115:26 *753:A1 9.24915
-*END
-
-*D_NET *116 0.00543527
-*CONN
-*I *671:A I *D sky130_fd_sc_hd__nor2_2
-*I *753:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *686:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *751:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *745:B I *D sky130_fd_sc_hd__or3_2
-*I *755:B1 I *D sky130_fd_sc_hd__a311o_2
-*I *646:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *671:A 3.16828e-05
-2 *753:A2 0.000151597
-3 *686:A1 0
-4 *751:A2 9.17e-05
-5 *745:B 0.000213586
-6 *755:B1 5.19168e-05
-7 *646:Y 6.3592e-05
-8 *116:47 0.000207635
-9 *116:41 3.64194e-05
-10 *116:20 0.000438799
-11 *116:16 0.00051732
-12 *116:5 0.000487251
-13 *671:A *686:B1 0.000171273
-14 *671:A *752:B1 0.00027329
-15 *671:A *146:5 5.08751e-05
-16 *745:B *753:B1 0.000199884
-17 *745:B *753:C1 3.31733e-05
-18 *745:B *204:67 0
-19 *751:A2 *753:B1 0.000107496
-20 *753:A2 *753:B2 3.75603e-05
-21 *753:A2 *754:A 0
-22 *753:A2 *127:32 0
-23 *753:A2 *204:67 0.000162951
-24 *755:B1 *750:C 4.76794e-05
-25 *755:B1 *755:C1 4.76161e-06
-26 *755:B1 *127:44 2.58616e-05
-27 *755:B1 *127:54 7.67734e-06
-28 *755:B1 *208:30 3.52355e-05
-29 *755:B1 *208:42 3.42102e-05
-30 *755:B1 *209:11 0.000111352
-31 *116:5 *750:C 0.000157107
-32 *116:5 *127:44 0.000152751
-33 *116:16 *750:A 0.000108029
-34 *116:16 *750:C 1.90679e-05
-35 *116:16 *753:B2 0.000294805
-36 *116:16 *753:C1 1.55462e-05
-37 *116:16 *837:CLK 4.88617e-05
-38 *116:16 *126:61 0
-39 *116:16 *208:14 2.24632e-05
-40 *116:20 *753:B2 1.83627e-05
-41 *116:20 *753:C1 0.000170177
-42 *116:41 *752:B1 6.08467e-05
-43 *116:41 *146:5 6.50586e-05
-44 *116:47 *752:B1 0.000107496
-45 *116:47 *146:5 9.32983e-05
-46 *751:A1 *751:A2 8.87122e-05
-47 *751:A1 *753:A2 2.65831e-05
-48 *800:A *116:16 1.37421e-05
-49 *839:D *745:B 0.000169078
-50 *839:D *116:20 0.000118485
-51 *90:70 *116:5 9.00181e-05
-52 *115:26 *745:B 0
-*RES
-1 *646:Y *116:5 13.3002
-2 *116:5 *755:B1 11.6605
-3 *116:5 *116:16 14.637
-4 *116:16 *116:20 4.2258
-5 *116:20 *745:B 20.4571
-6 *116:20 *751:A2 16.7151
-7 *116:16 *116:41 5.2234
-8 *116:41 *686:A1 9.24915
-9 *116:41 *116:47 1.278
-10 *116:47 *753:A2 22.629
-11 *116:47 *671:A 12.191
-*END
-
-*D_NET *117 0.00313592
-*CONN
-*I *686:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *671:B I *D sky130_fd_sc_hd__nor2_2
-*I *647:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *686:A2 1.69719e-05
-2 *671:B 2.52541e-05
-3 *647:Y 0.000208899
-4 *117:21 6.77015e-05
-5 *117:20 0.000485177
-6 *117:8 0.0006686
-7 *671:B *754:A 1.44467e-05
-8 *671:B *126:30 5.92192e-05
-9 *671:B *198:8 1.09738e-05
-10 *686:A2 *752:B1 5.88657e-05
-11 *686:A2 *146:5 6.08467e-05
-12 *117:8 *664:A2 0.000193108
-13 *117:8 *712:A2 0.000324151
-14 *117:8 *126:30 9.75356e-05
-15 *117:8 *127:32 1.57871e-05
-16 *117:8 *198:8 2.33193e-05
-17 *117:8 *204:51 0.000149643
-18 *117:20 *686:B1 2.61147e-05
-19 *117:20 *752:B1 0.000564236
-20 *852:D *117:8 6.50727e-05
-*RES
-1 *647:Y *117:8 22.3996
-2 *117:8 *671:B 14.7506
-3 *117:8 *117:20 21.1278
-4 *117:20 *117:21 57.9449
-5 *117:21 *686:A2 19.2217
-*END
-
-*D_NET *118 0.0161403
-*CONN
-*I *770:A I *D sky130_fd_sc_hd__nand2_2
-*I *773:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *774:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *779:A I *D sky130_fd_sc_hd__nor2_2
-*I *778:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *772:C I *D sky130_fd_sc_hd__or3_2
-*I *776:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *775:D I *D sky130_fd_sc_hd__or4_2
-*I *728:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *725:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *713:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *648:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *770:A 0.000645259
-2 *773:A1 0
-3 *774:A4 0.000164695
-4 *779:A 0.00023677
-5 *778:A3 1.6785e-05
-6 *772:C 0.000273713
-7 *776:A1 2.06324e-05
-8 *775:D 0.000411257
-9 *728:A1 0
-10 *725:B1 0.000226103
-11 *713:A1 0.000129747
-12 *648:Y 9.16217e-05
-13 *118:96 0.000461487
-14 *118:95 6.00226e-05
-15 *118:93 0.000813043
-16 *118:73 0.00040492
-17 *118:49 0.000561473
-18 *118:48 0.000244005
-19 *118:46 0.000520707
-20 *118:24 0.00029453
-21 *118:14 0.000849652
-22 *118:7 0.00109602
-23 *713:A1 *713:A3 4.09271e-05
-24 *713:A1 *713:B1 3.44412e-06
-25 *713:A1 *170:63 0
-26 *725:B1 *724:A 0.000110297
-27 *725:B1 *725:A2 6.36477e-05
-28 *725:B1 *727:A1 1.65872e-05
-29 *725:B1 *158:35 0.000176145
-30 *725:B1 *170:10 9.35753e-06
-31 *725:B1 *170:22 2.75427e-05
-32 *725:B1 *171:15 8.62625e-06
-33 *725:B1 *171:25 2.1558e-06
-34 *725:B1 *204:200 0.000153404
-35 *770:A *773:A2 0.000271475
-36 *770:A *243:20 0
-37 *770:A *307:6 6.61709e-05
-38 *772:C *772:B 7.02442e-06
-39 *772:C *778:A1 2.16355e-05
-40 *772:C *121:13 5.51483e-06
-41 *774:A4 *773:A2 8.10016e-06
-42 *774:A4 *160:35 1.41291e-05
-43 *774:A4 *222:59 8.62625e-06
-44 *775:D *779:B 4.49217e-05
-45 *775:D *201:8 9.84167e-06
-46 *775:D *201:11 3.61993e-05
-47 *775:D *222:77 0.000271058
-48 *775:D *225:40 0
-49 *778:A3 *121:13 9.95922e-06
-50 *779:A *312:15 0.000117469
-51 *118:7 *648:A 2.16355e-05
-52 *118:14 *719:A1 0
-53 *118:14 *719:A2 8.52968e-05
-54 *118:14 *719:A3 6.50586e-05
-55 *118:14 *719:B1 5.05252e-05
-56 *118:14 *719:B2 6.12686e-06
-57 *118:14 *737:S 0.000152878
-58 *118:14 *741:B2 8.20492e-06
-59 *118:14 *170:63 0
-60 *118:14 *173:26 6.08697e-06
-61 *118:14 *175:10 0.000237068
-62 *118:24 *728:B1 2.41483e-05
-63 *118:24 *124:18 0.000366603
-64 *118:24 *204:200 0.000222149
-65 *118:46 *717:A 2.652e-05
-66 *118:46 *719:B2 0
-67 *118:46 *160:6 0.000273949
-68 *118:46 *160:35 0.000310094
-69 *118:49 *776:A3 6.49003e-05
-70 *118:49 *201:11 1.84293e-05
-71 *118:49 *201:17 9.82896e-06
-72 *118:49 *222:77 0.000501057
-73 *118:73 *121:13 3.58044e-05
-74 *118:93 *773:A2 1.66626e-05
-75 *118:93 *160:35 0.000191365
-76 *118:93 *222:59 2.22198e-05
-77 *118:93 *222:61 1.12605e-05
-78 *118:96 *773:A2 6.08467e-05
-79 *118:96 *312:15 4.0752e-05
-80 *702:C *118:14 0.000670858
-81 *702:C *118:24 6.50586e-05
-82 *711:C *713:A1 7.73818e-05
-83 *711:C *118:14 6.03391e-06
-84 *807:A1 *770:A 3.29488e-05
-85 *807:A1 *118:93 0.000151779
-86 *809:A0 *118:14 0.000101118
-87 *809:A0 *118:46 0.000241585
-88 *813:A0 *770:A 0
-89 *817:A0 *775:D 2.21133e-05
-90 *821:A0 *779:A 0.000321919
-91 *821:A1 *779:A 0.000268798
-92 *825:A0 *770:A 6.18342e-05
-93 *829:A0 *775:D 0.00011818
-94 *829:A1 *775:D 8.62625e-06
-95 *844:D *118:14 5.39463e-05
-96 *848:D *118:24 1.777e-05
-97 *5:190 *779:A 0.000199527
-98 *5:195 *779:A 0.000377273
-99 *21:16 *774:A4 6.50727e-05
-100 *21:22 *774:A4 9.75356e-05
-101 *21:22 *779:A 6.50586e-05
-102 *25:8 *770:A 2.14842e-06
-103 *29:8 *118:14 1.87269e-05
-104 *29:11 *118:7 7.92757e-06
-105 *40:12 *118:46 0.000397564
-106 *40:27 *118:46 9.04083e-05
-107 *40:27 *118:93 0.000224523
-108 *41:9 *118:46 4.3116e-06
-109 *55:22 *774:A4 4.3116e-06
-110 *65:15 *118:49 0.000351426
-111 *65:15 *118:73 0.000158371
-*RES
-1 *648:Y *118:7 15.0271
-2 *118:7 *118:14 28.618
-3 *118:14 *713:A1 17.2421
-4 *118:14 *118:24 9.10562
-5 *118:24 *725:B1 25.962
-6 *118:24 *728:A1 9.24915
-7 *118:7 *118:46 14.7781
-8 *118:46 *118:48 4.5
-9 *118:48 *118:49 6.82404
-10 *118:49 *775:D 31.1943
-11 *118:49 *776:A1 9.82786
-12 *118:48 *118:73 2.94181
-13 *118:73 *772:C 14.4817
-14 *118:73 *778:A3 9.82786
-15 *118:46 *118:93 7.23027
-16 *118:93 *118:95 4.5
-17 *118:95 *118:96 1.8326
-18 *118:96 *779:A 20.727
-19 *118:96 *774:A4 22.763
-20 *118:95 *773:A1 9.24915
-21 *118:93 *770:A 25.7632
-*END
-
-*D_NET *119 0.0101946
-*CONN
-*I *781:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *775:A I *D sky130_fd_sc_hd__or4_2
-*I *701:A I *D sky130_fd_sc_hd__or3_2
-*I *733:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *764:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *763:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *765:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *767:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *721:A1 I *D sky130_fd_sc_hd__o22a_2
-*I *649:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *781:A1 0
-2 *775:A 9.46193e-05
-3 *701:A 0
-4 *733:A1 0
-5 *764:A1 7.49022e-05
-6 *763:A1 0.000240273
-7 *765:A1 0
-8 *767:A1 0.000240499
-9 *721:A1 0.000130625
-10 *649:Y 0.00014273
-11 *119:81 0.000255693
-12 *119:72 0.000587456
-13 *119:45 0.000371354
-14 *119:38 0.000185594
-15 *119:25 0.000808255
-16 *119:22 0.000694034
-17 *119:8 0.000483742
-18 *119:5 0.000666537
-19 *721:A1 *720:A2 0.000101133
-20 *721:A1 *734:A 3.5534e-06
-21 *721:A1 *735:A3 1.74215e-05
-22 *721:A1 *157:29 4.59978e-05
-23 *721:A1 *158:47 2.94253e-05
-24 *763:A1 *222:77 0
-25 *763:A1 *222:79 3.57683e-05
-26 *764:A1 *764:A4 6.92705e-05
-27 *764:A1 *765:A4 9.98029e-06
-28 *764:A1 *164:42 5.05252e-05
-29 *764:A1 *222:79 0.00030153
-30 *767:A1 *767:A3 0.000300363
-31 *767:A1 *250:9 8.07791e-05
-32 *775:A *756:B 0.000184217
-33 *775:A *776:B1 3.61993e-05
-34 *775:A *781:A2 0.000251285
-35 *775:A *164:28 7.73707e-05
-36 *119:5 *322:7 9.75148e-06
-37 *119:8 *720:A1 3.42931e-05
-38 *119:8 *720:A2 5.41377e-05
-39 *119:8 *720:B1 0.000100222
-40 *119:8 *723:B2 0
-41 *119:8 *224:10 0
-42 *119:22 *730:A2 0.000309483
-43 *119:22 *733:A2 7.97098e-06
-44 *119:22 *735:A2 6.00782e-06
-45 *119:22 *735:A3 0.000314504
-46 *119:22 *157:29 0.000112125
-47 *119:22 *223:11 0.000337073
-48 *119:22 *223:22 6.10162e-05
-49 *119:25 *725:A1 0
-50 *119:25 *730:A1 0
-51 *119:25 *730:A2 0
-52 *119:25 *733:B1 1.2693e-05
-53 *119:25 *769:A3 0
-54 *119:25 *949:A 0
-55 *119:25 *952:A 0
-56 *119:25 *170:29 0
-57 *119:25 *186:10 0
-58 *119:25 *223:11 1.07248e-05
-59 *119:38 *765:A4 1.2601e-05
-60 *119:38 *767:A2 9.75356e-05
-61 *119:38 *768:A3 0.00019364
-62 *119:38 *164:42 2.6046e-05
-63 *119:45 *765:A4 3.08133e-05
-64 *119:45 *164:42 0.000122083
-65 *119:72 *701:B 3.31882e-05
-66 *119:72 *708:A 6.84784e-06
-67 *119:72 *723:B2 8.01837e-05
-68 *119:72 *782:A1 1.28344e-05
-69 *119:72 *120:11 0.000173882
-70 *119:72 *120:35 9.48649e-05
-71 *119:72 *166:38 0
-72 *119:81 *701:B 7.30564e-05
-73 *119:81 *756:B 2.72267e-05
-74 *119:81 *166:38 0
-75 *702:C *119:72 0
-76 *763:B1 *763:A1 6.08467e-05
-77 *763:B1 *764:A1 0.000213725
-78 *767:B1 *119:38 0
-79 *830:A0 *119:5 0.000161234
-80 *830:A0 *119:72 0.000313495
-81 *831:A1 *119:25 1.6352e-05
-82 *831:A1 *119:38 9.69716e-06
-83 *831:S *119:25 1.16474e-05
-84 *833:A0 *119:72 0.000147848
-85 *846:D *119:22 0.000162583
-86 *43:27 *764:A1 0.000171273
-87 *55:22 *119:81 0
-*RES
-1 *649:Y *119:5 11.6364
-2 *119:5 *119:8 7.57775
-3 *119:8 *721:A1 17.5597
-4 *119:8 *119:22 14.6758
-5 *119:22 *119:25 13.8065
-6 *119:25 *767:A1 18.9576
-7 *119:25 *119:38 4.73876
-8 *119:38 *765:A1 13.7491
-9 *119:38 *119:45 2.24725
-10 *119:45 *763:A1 17.6796
-11 *119:45 *764:A1 18.8017
-12 *119:22 *733:A1 9.24915
-13 *119:5 *119:72 15.6419
-14 *119:72 *701:A 13.7491
-15 *119:72 *119:81 8.40826
-16 *119:81 *775:A 15.0544
-17 *119:81 *781:A1 9.24915
-*END
-
-*D_NET *120 0.00447761
-*CONN
-*I *701:B I *D sky130_fd_sc_hd__or3_2
-*I *758:B I *D sky130_fd_sc_hd__or2_2
-*I *720:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *730:A1 I *D sky130_fd_sc_hd__o21ai_2
-*I *650:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *701:B 4.04466e-05
-2 *758:B 0.000216639
-3 *720:B1 0.000184979
-4 *730:A1 0.000366347
-5 *650:Y 0.000112671
-6 *120:35 0.000305751
-7 *120:11 0.000878699
-8 *120:7 0.000488709
-9 *701:B *756:B 4.4434e-05
-10 *720:B1 *720:A1 1.47033e-05
-11 *720:B1 *730:B1 0
-12 *720:B1 *735:A3 4.09708e-05
-13 *720:B1 *779:B 5.01737e-05
-14 *720:B1 *185:7 1.8456e-05
-15 *720:B1 *224:10 0
-16 *730:A1 *725:A1 2.22198e-05
-17 *730:A1 *322:7 0.000508618
-18 *758:B *250:9 0.000174698
-19 *120:7 *166:5 6.08467e-05
-20 *120:7 *166:32 0.000110458
-21 *120:11 *708:A 3.77686e-05
-22 *120:11 *723:B2 2.15348e-05
-23 *120:11 *756:B 8.80283e-06
-24 *120:11 *322:7 0.000193069
-25 *120:35 *756:B 3.44197e-05
-26 *830:A0 *720:B1 5.39635e-06
-27 *830:A0 *120:11 6.11359e-06
-28 *5:350 *120:11 5.54675e-05
-29 *119:8 *720:B1 0.000100222
-30 *119:25 *730:A1 0
-31 *119:72 *701:B 3.31882e-05
-32 *119:72 *120:11 0.000173882
-33 *119:72 *120:35 9.48649e-05
-34 *119:81 *701:B 7.30564e-05
-*RES
-1 *650:Y *120:7 15.5817
-2 *120:7 *120:11 11.4894
-3 *120:11 *730:A1 24.9655
-4 *120:11 *720:B1 23.1849
-5 *120:7 *120:35 1.832
-6 *120:35 *758:B 19.0876
-7 *120:35 *701:B 15.5811
-*END
-
-*D_NET *121 0.0045811
-*CONN
-*I *773:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *778:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *699:A I *D sky130_fd_sc_hd__or2_2
-*I *776:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *651:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *773:A3 0.000410481
-2 *778:A1 2.29696e-05
-3 *699:A 0.000197002
-4 *776:A3 4.69226e-05
-5 *651:Y 0.000112011
-6 *121:13 0.000393253
-7 *121:10 0.000172297
-8 *121:8 0.000522492
-9 *699:A *122:47 0.000144078
-10 *699:A *250:20 5.41227e-05
-11 *773:A3 *773:A2 0.000163982
-12 *773:A3 *773:B1 2.19549e-05
-13 *773:A3 *778:A2 4.79289e-05
-14 *773:A3 *780:C 6.8327e-05
-15 *773:A3 *166:52 5.82161e-05
-16 *773:A3 *222:50 1.35282e-05
-17 *773:A3 *222:59 5.41227e-05
-18 *773:A3 *312:15 2.17953e-06
-19 *776:A3 *201:17 0.000264572
-20 *778:A1 *778:A2 1.65872e-05
-21 *121:8 *651:A 1.43848e-05
-22 *121:8 *778:A2 0.00011191
-23 *121:8 *780:C 0.000110477
-24 *121:13 *772:B 1.15389e-05
-25 *121:13 *778:A2 1.41976e-05
-26 *121:13 *201:17 6.50727e-05
-27 *121:13 *201:27 0.000381912
-28 *121:13 *201:35 1.65872e-05
-29 *772:C *778:A1 2.16355e-05
-30 *772:C *121:13 5.51483e-06
-31 *773:C1 *773:A3 3.32802e-05
-32 *778:A3 *121:13 9.95922e-06
-33 *5:329 *773:A3 0
-34 *55:22 *699:A 0.00040973
-35 *65:15 *776:A3 0.000107496
-36 *65:15 *121:13 0.000379666
-37 *118:49 *776:A3 6.49003e-05
-38 *118:73 *121:13 3.58044e-05
-*RES
-1 *651:Y *121:8 16.7198
-2 *121:8 *121:10 4.5
-3 *121:10 *121:13 6.84815
-4 *121:13 *776:A3 12.191
-5 *121:13 *699:A 25.8947
-6 *121:10 *778:A1 9.97254
-7 *121:8 *773:A3 23.4587
-*END
-
-*D_NET *122 0.00626749
-*CONN
-*I *771:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *774:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *699:B I *D sky130_fd_sc_hd__or2_2
-*I *738:A1 I *D sky130_fd_sc_hd__o211a_2
-*I *718:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *652:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *771:A2 0.000342937
-2 *774:A2 0
-3 *699:B 0
-4 *738:A1 3.93458e-05
-5 *718:B1 0.000134031
-6 *652:Y 0.000221108
-7 *122:47 0.000607702
-8 *122:38 0.00101477
-9 *122:8 0.000286261
-10 *122:7 0.00108399
-11 *718:B1 *718:B2 6.50586e-05
-12 *718:B1 *738:B1 0.000275256
-13 *718:B1 *738:C1 3.67528e-06
-14 *718:B1 *221:33 8.90486e-05
-15 *718:B1 *221:46 0
-16 *718:B1 *222:18 0
-17 *771:A2 *771:B1 2.21251e-05
-18 *771:A2 *160:35 3.82228e-05
-19 *771:A2 *222:50 3.01683e-06
-20 *122:7 *652:A 6.44576e-05
-21 *122:7 *737:S 1.41976e-05
-22 *122:8 *737:A1 7.50872e-05
-23 *122:8 *738:C1 3.89332e-06
-24 *122:8 *221:33 1.55462e-05
-25 *122:8 *222:18 0
-26 *122:38 *706:A 8.8761e-05
-27 *122:38 *737:A1 4.70104e-05
-28 *122:38 *873:Z 0.000109421
-29 *122:38 *874:A 9.96342e-05
-30 *122:38 *160:6 3.72649e-05
-31 *122:38 *165:5 1.9898e-05
-32 *122:38 *221:33 9.22013e-06
-33 *122:38 *221:46 4.3937e-05
-34 *122:38 *221:55 0.000362153
-35 *122:38 *222:18 0
-36 *122:38 *249:23 5.03013e-06
-37 *122:38 *250:20 8.55614e-05
-38 *122:47 *774:A3 9.46387e-05
-39 *122:47 *781:A3 0.000170577
-40 *122:47 *160:6 3.84001e-05
-41 *122:47 *160:35 3.71118e-05
-42 *122:47 *222:61 0.000166385
-43 *122:47 *250:20 4.33979e-05
-44 *699:A *122:47 0.000144078
-45 *702:C *122:38 4.18215e-06
-46 *782:C1 *122:38 0.000202719
-47 *809:A0 *122:7 7.98425e-06
-48 *21:16 *771:A2 4.39324e-05
-49 *29:8 *718:B1 3.93117e-06
-50 *55:22 *122:47 2.53624e-06
-*RES
-1 *652:Y *122:7 17.2456
-2 *122:7 *122:8 2.6625
-3 *122:8 *718:B1 18.7428
-4 *122:8 *738:A1 14.6568
-5 *122:7 *122:38 25.9927
-6 *122:38 *699:B 13.7491
-7 *122:38 *122:47 14.2218
-8 *122:47 *774:A2 9.24915
-9 *122:47 *771:A2 15.0122
-*END
-
-*D_NET *123 0.00222397
-*CONN
-*I *716:A1 I *D sky130_fd_sc_hd__o22a_2
-*I *743:A1 I *D sky130_fd_sc_hd__o22ai_2
-*I *715:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *702:A I *D sky130_fd_sc_hd__or3_2
-*I *653:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *716:A1 0
-2 *743:A1 0
-3 *715:B1 0.000155945
-4 *702:A 4.42831e-05
-5 *653:Y 9.43371e-05
-6 *123:18 0.000238505
-7 *123:9 0.000226274
-8 *123:7 0.000193768
-9 *702:A *716:B1 5.88657e-05
-10 *702:A *124:28 0.00011628
-11 *715:B1 *712:B1 4.30017e-06
-12 *715:B1 *715:A2 0.000128357
-13 *715:B1 *157:114 7.02172e-06
-14 *123:7 *716:B2 5.99527e-05
-15 *123:9 *653:A 1.15389e-05
-16 *123:9 *716:A2 4.58003e-05
-17 *123:9 *716:B1 0.000167076
-18 *123:9 *716:B2 0.000164843
-19 *123:9 *157:114 3.82228e-05
-20 *123:18 *653:A 1.45944e-05
-21 *123:18 *715:A2 3.20264e-05
-22 *123:18 *157:114 4.66634e-05
-23 *702:C *702:A 6.92705e-05
-24 *848:D *702:A 6.95945e-06
-25 *29:8 *715:B1 0.00012136
-26 *29:8 *123:18 0.00017772
-*RES
-1 *653:Y *123:7 11.6605
-2 *123:7 *123:9 4.05102
-3 *123:9 *702:A 11.0817
-4 *123:9 *123:18 7.993
-5 *123:18 *715:B1 17.8531
-6 *123:18 *743:A1 13.7491
-7 *123:7 *716:A1 9.24915
-*END
-
-*D_NET *124 0.00546813
-*CONN
-*I *742:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *742:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *716:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *702:B I *D sky130_fd_sc_hd__or3_2
-*I *744:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *654:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *742:A1_N 0.000135829
-2 *742:B1 0
-3 *716:B1 4.06558e-05
-4 *702:B 2.06324e-05
-5 *744:B1 9.78682e-05
-6 *654:Y 0
-7 *124:40 0.000667209
-8 *124:29 8.61317e-05
-9 *124:28 0.000102729
-10 *124:18 0.00040442
-11 *124:7 0.000516692
-12 *124:4 0.00062367
-13 *716:B1 *653:A 6.50586e-05
-14 *742:A1_N *742:A2_N 0.000159038
-15 *742:A1_N *743:B2 2.1846e-05
-16 *744:B1 *744:B2 0.000337014
-17 *124:7 *158:9 1.58551e-05
-18 *124:18 *713:A3 6.50727e-05
-19 *124:18 *744:B2 0.00021364
-20 *124:18 *170:10 2.69064e-05
-21 *124:40 *715:A2 0.000183941
-22 *124:40 *743:A2 7.92757e-06
-23 *124:40 *743:B1 6.46815e-05
-24 *124:40 *743:B2 0.000112367
-25 *124:40 *158:9 0.00012826
-26 *702:A *716:B1 5.88657e-05
-27 *702:A *124:28 0.00011628
-28 *702:C *124:18 0.000110583
-29 *702:C *124:28 2.41483e-05
-30 *843:D *742:A1_N 1.65872e-05
-31 *843:D *124:40 5.795e-05
-32 *848:D *716:B1 6.53891e-05
-33 *90:110 *744:B1 0.000340372
-34 *90:110 *124:18 4.68256e-05
-35 *118:24 *124:18 0.000366603
-36 *123:9 *716:B1 0.000167076
-*RES
-1 *654:Y *124:4 9.24915
-2 *124:4 *124:7 5.778
-3 *124:7 *744:B1 19.7337
-4 *124:7 *124:18 15.2323
-5 *124:18 *702:B 9.82786
-6 *124:18 *124:28 11.0817
-7 *124:28 *124:29 57.9449
-8 *124:29 *716:B1 20.8855
-9 *124:4 *124:40 13.5034
-10 *124:40 *742:B1 9.24915
-11 *124:40 *742:A1_N 13.059
-*END
-
-*D_NET *125 0.000264775
-*CONN
-*I *703:A1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *655:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *703:A1 2.06659e-05
-2 *655:Y 2.06659e-05
-3 *703:A1 *146:5 0.000111722
-4 *90:51 *703:A1 0.000111722
-*RES
-1 *655:Y *703:A1 19.7763
-*END
-
-*D_NET *126 0.017861
-*CONN
-*I *665:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *666:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *663:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *664:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *750:D I *D sky130_fd_sc_hd__and4_2
-*I *658:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *749:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *662:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *661:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *753:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *752:A1 I *D sky130_fd_sc_hd__a31o_2
-*I *657:A I *D sky130_fd_sc_hd__inv_2
-*I *656:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *665:B2 8.83257e-05
-2 *666:A2 0.000129687
-3 *663:A2 0.000172568
-4 *664:A2 0.000104969
-5 *750:D 0
-6 *658:A2 0.000277811
-7 *749:C1 0.000188
-8 *662:A2 0.000437362
-9 *661:A2 7.62179e-05
-10 *753:C1 0.000206629
-11 *752:A1 3.91997e-05
-12 *657:A 4.85885e-05
-13 *656:X 0.000785553
-14 *126:87 0.000841468
-15 *126:65 0.000611141
-16 *126:61 0.00101074
-17 *126:38 0.000327021
-18 *126:37 0.000735034
-19 *126:33 0.000256409
-20 *126:30 0.00156613
-21 *126:25 0.00180096
-22 *126:20 0.000545231
-23 *126:19 0.000196551
-24 *126:11 0.000834142
-25 *657:A *127:7 6.50586e-05
-26 *658:A2 *642:A 2.95757e-05
-27 *658:A2 *690:A1 6.37047e-05
-28 *658:A2 *690:A2 2.05342e-06
-29 *658:A2 *209:30 6.08467e-05
-30 *658:A2 *209:34 3.02961e-05
-31 *661:A2 *661:A1 2.02722e-05
-32 *661:A2 *661:B2 0.000141256
-33 *662:A2 *662:B2 8.79741e-05
-34 *662:A2 *670:B 9.2172e-05
-35 *662:A2 *674:A 4.00504e-05
-36 *662:A2 *675:A2_N 0
-37 *662:A2 *675:B1 0.000169093
-38 *662:A2 *675:B2 7.50872e-05
-39 *662:A2 *130:8 0.000210977
-40 *662:A2 *133:26 3.03866e-05
-41 *662:A2 *162:13 0
-42 *662:A2 *207:41 0
-43 *662:A2 *212:14 4.4647e-05
-44 *662:A2 *212:33 1.6594e-05
-45 *663:A2 *663:A1 5.94977e-06
-46 *663:A2 *663:B1 1.67329e-05
-47 *663:A2 *667:B 0
-48 *664:A2 *664:A1 1.67329e-05
-49 *664:A2 *712:A2 1.67329e-05
-50 *664:A2 *204:51 1.8706e-05
-51 *664:A2 *210:10 0
-52 *665:B2 *853:CLK 0.000191541
-53 *665:B2 *127:26 0.000161951
-54 *665:B2 *127:116 0
-55 *666:A2 *204:127 2.65831e-05
-56 *666:A2 *218:19 0.000246924
-57 *749:C1 *658:B2 0
-58 *749:C1 *748:A2 0.000228593
-59 *749:C1 *748:B1 4.94594e-05
-60 *749:C1 *127:90 1.41976e-05
-61 *749:C1 *209:30 0.000344708
-62 *752:A1 *195:13 6.92705e-05
-63 *753:C1 *753:B1 5.41227e-05
-64 *753:C1 *195:13 6.24956e-05
-65 *126:11 *663:A1 0
-66 *126:11 *836:D 5.04829e-06
-67 *126:11 *850:CLK 1.09551e-05
-68 *126:11 *127:7 3.01683e-06
-69 *126:11 *219:7 7.88559e-05
-70 *126:20 *667:A 3.67528e-06
-71 *126:20 *667:B 4.36187e-05
-72 *126:20 *853:CLK 6.77777e-06
-73 *126:20 *127:26 2.95757e-05
-74 *126:20 *204:127 0.000116804
-75 *126:25 *667:A 1.82832e-05
-76 *126:25 *667:B 1.82832e-05
-77 *126:25 *204:127 1.87482e-05
-78 *126:30 *673:A1 0
-79 *126:30 *673:B1 0
-80 *126:30 *754:A 0.000101116
-81 *126:30 *131:16 0.000164017
-82 *126:30 *204:114 5.74686e-05
-83 *126:30 *204:127 2.63421e-05
-84 *126:30 *206:7 0.000122392
-85 *126:30 *206:33 0
-86 *126:33 *198:8 0.000164815
-87 *126:33 *204:52 0.000144695
-88 *126:37 *750:A 1.00937e-05
-89 *126:37 *750:B 0.000313481
-90 *126:37 *855:CLK 5.97411e-05
-91 *126:37 *204:52 4.56831e-05
-92 *126:38 *196:12 3.31882e-05
-93 *126:61 *750:A 3.20069e-06
-94 *126:61 *196:12 9.55049e-05
-95 *126:65 *213:16 8.62625e-06
-96 *126:87 *691:A2_N 9.78191e-05
-97 *126:87 *127:90 3.80615e-05
-98 *126:87 *208:49 1.59966e-05
-99 *126:87 *213:16 0.000109859
-100 *126:87 *213:27 5.77203e-05
-101 *671:B *126:30 5.92192e-05
-102 *745:B *753:C1 3.31733e-05
-103 *748:A1 *658:A2 0
-104 *784:A *663:A2 0
-105 *835:RESET_B *126:11 8.96314e-06
-106 *838:D *126:30 3.06126e-05
-107 *838:RESET_B *126:30 0
-108 *839:D *753:C1 0
-109 *839:D *126:38 0
-110 *841:D *658:A2 3.20069e-06
-111 *849:RESET_B *663:A2 5.25402e-05
-112 *850:D *666:A2 5.11839e-05
-113 *852:D *664:A2 0.000130777
-114 *852:RESET_B *664:A2 0
-115 *853:D *665:B2 0
-116 *855:D *661:A2 0.000211478
-117 *855:D *126:37 5.04829e-06
-118 *855:D *126:61 0.000299373
-119 *855:D *126:65 0.000113968
-120 *29:8 *126:20 0
-121 *39:11 *658:A2 3.51288e-06
-122 *39:11 *662:A2 0.000160328
-123 *39:11 *126:65 0
-124 *90:110 *663:A2 5.05252e-05
-125 *90:110 *665:B2 0
-126 *90:113 *663:A2 0
-127 *90:172 *126:11 0.000162739
-128 *116:16 *753:C1 1.55462e-05
-129 *116:16 *126:61 0
-130 *116:20 *753:C1 0.000170177
-131 *117:8 *664:A2 0.000193108
-132 *117:8 *126:30 9.75356e-05
-*RES
-1 *656:X *126:11 27.9369
-2 *126:11 *657:A 10.5271
-3 *126:11 *126:19 4.5
-4 *126:19 *126:20 3.493
-5 *126:20 *126:25 9.55251
-6 *126:25 *126:30 29.6408
-7 *126:30 *126:33 9.10562
-8 *126:33 *126:37 9.10562
-9 *126:37 *126:38 1.832
-10 *126:38 *752:A1 14.4725
-11 *126:38 *753:C1 19.7825
-12 *126:37 *126:61 18.7652
-13 *126:61 *126:65 7.19474
-14 *126:65 *661:A2 12.4321
-15 *126:65 *662:A2 32.6727
-16 *126:61 *126:87 9.37981
-17 *126:87 *749:C1 21.176
-18 *126:87 *658:A2 19.1559
-19 *126:33 *750:D 9.24915
-20 *126:30 *664:A2 18.2716
-21 *126:25 *663:A2 22.7716
-22 *126:20 *666:A2 18.62
-23 *126:19 *665:B2 17.6574
-*END
-
-*D_NET *127 0.0164354
-*CONN
-*I *704:B I *D sky130_fd_sc_hd__and4_2
-*I *666:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *665:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *755:C1 I *D sky130_fd_sc_hd__a311o_2
-*I *748:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *658:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *661:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *662:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *664:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *663:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *667:B I *D sky130_fd_sc_hd__or2_2
-*I *657:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *704:B 1.14333e-05
-2 *666:B2 0.000172079
-3 *665:A2 0
-4 *755:C1 1.81048e-05
-5 *748:B1 1.22163e-05
-6 *658:B2 1.37829e-05
-7 *661:B2 0.000188849
-8 *662:B2 0.000522875
-9 *664:B2 0
-10 *663:B2 0
-11 *667:B 0.000296984
-12 *657:Y 0
-13 *127:129 0.000401872
-14 *127:116 0.000390269
-15 *127:90 0.00021711
-16 *127:55 0.000905946
-17 *127:54 0.000883708
-18 *127:44 0.000770001
-19 *127:32 0.00192782
-20 *127:26 0.00196671
-21 *127:7 0.000369457
-22 *127:4 0.000192977
-23 *658:B2 *690:A1 3.96305e-06
-24 *658:B2 *209:34 1.09551e-05
-25 *661:B2 *661:A1 1.88157e-05
-26 *661:B2 *682:A2 1.21461e-06
-27 *662:B2 *670:A 0.000351506
-28 *662:B2 *670:B 9.79132e-05
-29 *662:B2 *137:10 9.24241e-05
-30 *662:B2 *207:21 7.24048e-05
-31 *666:B2 *666:A1 9.2346e-06
-32 *666:B2 *704:D 0.00017046
-33 *666:B2 *219:19 0.000108726
-34 *667:B *667:A 0.000175485
-35 *704:B *665:A1 6.50727e-05
-36 *704:B *665:B1 1.41976e-05
-37 *704:B *220:9 2.15348e-05
-38 *748:B1 *209:30 2.57986e-05
-39 *755:C1 *208:30 1.7012e-06
-40 *127:7 *663:A1 3.01683e-06
-41 *127:7 *850:CLK 0
-42 *127:32 *673:A2 0.000110342
-43 *127:32 *686:B1 8.65358e-05
-44 *127:32 *750:C 0
-45 *127:32 *754:A 8.98943e-05
-46 *127:32 *853:CLK 2.15184e-05
-47 *127:32 *131:16 1.65872e-05
-48 *127:32 *198:8 8.37979e-05
-49 *127:32 *204:51 4.87198e-05
-50 *127:32 *204:67 0
-51 *127:32 *205:20 7.95572e-05
-52 *127:32 *211:5 0.00011818
-53 *127:44 *646:A 8.5976e-05
-54 *127:44 *205:20 3.55179e-05
-55 *127:54 *691:A2_N 0.000161243
-56 *127:54 *135:19 5.8911e-05
-57 *127:54 *208:30 0.000118636
-58 *127:54 *208:42 0.000474608
-59 *127:54 *208:49 0.000211961
-60 *127:55 *661:B1 0
-61 *127:55 *129:8 0.000121494
-62 *127:90 *690:A1 2.6813e-05
-63 *127:90 *129:8 4.19841e-05
-64 *127:90 *135:19 0.000109427
-65 *127:90 *151:5 0.000107496
-66 *127:90 *209:30 1.92172e-05
-67 *127:90 *209:34 5.31074e-05
-68 *127:116 *665:A1 0.000107041
-69 *127:116 *665:B1 5.61116e-05
-70 *127:116 *853:CLK 0.000113583
-71 *127:129 *665:A1 0.000222631
-72 *127:129 *665:B1 0.000234021
-73 *127:129 *704:D 8.62625e-06
-74 clockp[1] *662:B2 0
-75 clockp[1] *127:55 0
-76 *655:A *662:B2 0
-77 *657:A *127:7 6.50586e-05
-78 *661:A2 *661:B2 0.000141256
-79 *662:A2 *662:B2 8.79741e-05
-80 *663:A2 *667:B 0
-81 *665:B2 *127:26 0.000161951
-82 *665:B2 *127:116 0
-83 *749:C1 *658:B2 0
-84 *749:C1 *748:B1 4.94594e-05
-85 *749:C1 *127:90 1.41976e-05
-86 *753:A2 *127:32 0
-87 *755:B1 *755:C1 4.76161e-06
-88 *755:B1 *127:44 2.58616e-05
-89 *755:B1 *127:54 7.67734e-06
-90 *834:RESET_B *666:B2 0
-91 *837:D *127:54 0.000208627
-92 *837:RESET_B *127:44 0.000206696
-93 *849:RESET_B *667:B 7.58595e-05
-94 *850:RESET_B *666:B2 7.86847e-05
-95 *851:D *127:116 1.87469e-05
-96 *853:D *127:26 0
-97 *854:D *662:B2 2.7961e-05
-98 *29:8 *127:129 5.05252e-05
-99 *39:11 *662:B2 0.00068818
-100 *39:11 *127:55 0.00035923
-101 *39:11 *127:90 0.00019097
-102 *90:70 *127:44 1.04731e-05
-103 *90:110 *667:B 1.36691e-05
-104 *90:110 *127:26 0
-105 *90:113 *667:B 0
-106 *90:134 *667:B 6.92705e-05
-107 *90:142 *667:B 4.33655e-05
-108 *90:155 *666:B2 3.67528e-06
-109 *116:5 *127:44 0.000152751
-110 *117:8 *127:32 1.57871e-05
-111 *126:11 *127:7 3.01683e-06
-112 *126:20 *667:B 4.36187e-05
-113 *126:20 *127:26 2.95757e-05
-114 *126:25 *667:B 1.82832e-05
-115 *126:87 *127:90 3.80615e-05
-*RES
-1 *657:Y *127:4 9.24915
-2 *127:4 *127:7 1.85672
-3 *127:7 *667:B 27.312
-4 *127:7 *663:B2 9.24915
-5 *127:4 *127:26 12.493
-6 *127:26 *127:32 33.274
-7 *127:32 *664:B2 13.7491
-8 *127:32 *127:44 12.4862
-9 *127:44 *127:54 18.1963
-10 *127:54 *127:55 6.81502
-11 *127:55 *662:B2 32.2854
-12 *127:55 *661:B2 18.3789
-13 *127:54 *127:90 20.2987
-14 *127:90 *658:B2 9.82786
-15 *127:90 *748:B1 9.97254
-16 *127:44 *755:C1 9.82786
-17 *127:26 *127:116 13.4256
-18 *127:116 *665:A2 9.24915
-19 *127:116 *127:129 10.9877
-20 *127:129 *666:B2 20.5642
-21 *127:129 *704:B 14.4725
-*END
-
-*D_NET *128 0.000923
-*CONN
-*I *691:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *669:B1 I *D sky130_fd_sc_hd__a21o_2
-*I *668:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *691:B1 8.34533e-05
-2 *669:B1 0
-3 *668:Y 3.81239e-05
-4 *128:5 0.000121577
-5 *691:B1 *668:B 5.08751e-05
-6 *691:B1 *691:A2_N 5.70488e-06
-7 *691:B1 *208:49 0.000118017
-8 *691:B1 *208:52 2.16355e-05
-9 *691:B1 *213:27 1.37566e-05
-10 *128:5 *668:B 0.000217923
-11 *128:5 *208:52 8.85759e-05
-12 *39:11 *691:B1 0.000163359
-*RES
-1 *668:Y *128:5 11.6364
-2 *128:5 *669:B1 9.24915
-3 *128:5 *691:B1 22.0503
-*END
-
-*D_NET *129 0.000834805
-*CONN
-*I *676:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *676:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *669:X O *D sky130_fd_sc_hd__a21o_2
-*CAP
-1 *676:B1 0
-2 *676:A1_N 6.16827e-05
-3 *669:X 0.000101908
-4 *129:8 0.000163591
-5 *676:A1_N *676:A2_N 0.00016386
-6 *676:A1_N *693:A2 0.000117515
-7 *676:A1_N *135:19 6.27718e-05
-8 *127:55 *129:8 0.000121494
-9 *127:90 *129:8 4.19841e-05
-*RES
-1 *669:X *129:8 21.3269
-2 *129:8 *676:A1_N 12.3115
-3 *129:8 *676:B1 9.24915
-*END
-
-*D_NET *130 0.00179688
-*CONN
-*I *675:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *677:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *670:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *675:B1 5.66371e-05
-2 *677:B1 5.19477e-05
-3 *670:Y 0.000215686
-4 *130:8 0.000324271
-5 *677:B1 *204:82 0.000158357
-6 *677:B1 *212:7 3.024e-05
-7 *130:8 *662:B1 0
-8 *130:8 *670:B 0.000164815
-9 *662:A2 *675:B1 0.000169093
-10 *662:A2 *130:8 0.000210977
-11 *39:11 *675:B1 0.000160467
-12 *39:11 *130:8 0.00025439
-*RES
-1 *670:Y *130:8 20.3205
-2 *130:8 *677:B1 15.5817
-3 *130:8 *675:B1 16.8269
-*END
-
-*D_NET *131 0.00194068
-*CONN
-*I *673:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *684:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *684:B1 I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *686:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *671:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *673:B1 0.000149048
-2 *684:A1_N 0
-3 *684:B1 5.60214e-05
-4 *686:B1 0.000107474
-5 *671:Y 0
-6 *131:23 0.000182663
-7 *131:16 0.000196499
-8 *131:4 0.000214336
-9 *673:B1 *673:B2 5.65074e-05
-10 *673:B1 *684:B2 5.31074e-05
-11 *673:B1 *132:9 0.000149532
-12 *684:B1 *684:B2 0.000169041
-13 *686:B1 *752:B1 1.65872e-05
-14 *131:16 *133:11 1.91246e-05
-15 *131:23 *684:B2 0.000106215
-16 *671:A *686:B1 0.000171273
-17 *117:20 *686:B1 2.61147e-05
-18 *126:30 *673:B1 0
-19 *126:30 *131:16 0.000164017
-20 *127:32 *686:B1 8.65358e-05
-21 *127:32 *131:16 1.65872e-05
-*RES
-1 *671:Y *131:4 9.24915
-2 *131:4 *686:B1 13.3002
-3 *131:4 *131:16 12.8011
-4 *131:16 *684:B1 11.0817
-5 *131:16 *131:23 1.278
-6 *131:23 *684:A1_N 9.24915
-7 *131:23 *673:B1 23.5748
-*END
-
-*D_NET *132 0.00136446
-*CONN
-*I *673:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *684:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *684:B2 I *D sky130_fd_sc_hd__o2bb2ai_2
-*I *672:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *673:B2 6.25991e-05
-2 *684:A2_N 0
-3 *684:B2 9.52516e-05
-4 *672:X 0
-5 *132:9 0.000201491
-6 *132:5 0.000168839
-7 *673:B2 *673:A1 0.000146645
-8 *684:B2 *144:18 6.99486e-05
-9 *132:9 *673:A1 7.66539e-05
-10 *132:9 *206:33 8.62625e-06
-11 *673:B1 *673:B2 5.65074e-05
-12 *673:B1 *684:B2 5.31074e-05
-13 *673:B1 *132:9 0.000149532
-14 *684:B1 *684:B2 0.000169041
-15 *131:23 *684:B2 0.000106215
-*RES
-1 *672:X *132:5 13.7491
-2 *132:5 *132:9 8.30395
-3 *132:9 *684:B2 13.3002
-4 *132:9 *684:A2_N 9.24915
-5 *132:5 *673:B2 16.4116
-*END
-
-*D_NET *133 0.0040016
-*CONN
-*I *678:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *678:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *674:A I *D sky130_fd_sc_hd__inv_2
-*I *673:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *678:B1 0
-2 *678:A1_N 0.000101097
-3 *674:A 4.50219e-05
-4 *673:X 0.00136457
-5 *133:26 0.000358528
-6 *133:11 0.00166702
-7 *674:A *146:5 6.08467e-05
-8 *674:A *162:13 0
-9 *678:A1_N *678:A2_N 7.48633e-05
-10 *678:A1_N *144:18 2.51283e-05
-11 *133:26 *675:B2 0.00010167
-12 *133:26 *135:11 0
-13 *133:26 *144:18 5.24379e-05
-14 *662:A2 *674:A 4.00504e-05
-15 *662:A2 *133:26 3.03866e-05
-16 *90:51 *674:A 6.08467e-05
-17 *131:16 *133:11 1.91246e-05
-*RES
-1 *673:X *133:11 23.4394
-2 *133:11 *674:A 15.2664
-3 *133:11 *133:26 11.0447
-4 *133:26 *678:A1_N 12.3115
-5 *133:26 *678:B1 9.24915
-*END
-
-*D_NET *134 0.000330459
-*CONN
-*I *675:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *674:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *675:B2 7.68506e-05
-2 *674:Y 7.68506e-05
-3 *662:A2 *675:B2 7.50872e-05
-4 *133:26 *675:B2 0.00010167
-*RES
-1 *674:Y *675:B2 29.6384
-*END
-
-*D_NET *135 0.00335751
-*CONN
-*I *691:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *676:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *676:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *675:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *691:B2 0
-2 *676:B2 0
-3 *676:A2_N 5.07531e-05
-4 *675:X 0.000731193
-5 *135:19 0.000174633
-6 *135:11 0.000855072
-7 *135:11 *675:A1_N 2.7837e-05
-8 *135:11 *752:A3 1.43055e-05
-9 *135:11 *144:18 1.75637e-06
-10 *135:11 *151:5 0.00031463
-11 *135:11 *162:13 7.8406e-05
-12 *135:11 *196:9 0.000157469
-13 *135:11 *208:42 0.000140251
-14 *135:11 *208:49 8.3864e-05
-15 *135:19 *151:5 2.15591e-05
-16 *135:19 *208:49 1.41976e-05
-17 *676:A1_N *676:A2_N 0.00016386
-18 *676:A1_N *135:19 6.27718e-05
-19 *837:D *135:11 3.56119e-05
-20 *839:D *135:11 4.55024e-05
-21 *855:RESET_B *135:11 0.000106298
-22 *90:51 *135:11 9.60366e-05
-23 *114:5 *135:11 1.31657e-05
-24 *127:54 *135:19 5.8911e-05
-25 *127:90 *135:19 0.000109427
-26 *133:26 *135:11 0
-*RES
-1 *675:X *135:11 39.395
-2 *135:11 *135:19 4.26804
-3 *135:19 *676:A2_N 11.0817
-4 *135:19 *676:B2 9.24915
-5 *135:11 *691:B2 9.24915
-*END
-
-*D_NET *136 0.00135523
-*CONN
-*I *679:A2 I *D sky130_fd_sc_hd__a22oi_2
-*I *680:B I *D sky130_fd_sc_hd__or2_2
-*I *676:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *679:A2 3.6352e-05
-2 *680:B 0.000145765
-3 *676:X 0.000336055
-4 *136:5 0.000518172
-5 *136:5 *679:B2 9.07054e-05
-6 *136:5 *693:A2 6.46815e-05
-7 *136:5 *695:A2 6.25883e-06
-8 *679:A1 *136:5 4.47179e-05
-9 *679:B1 *136:5 4.01825e-05
-10 *9:8 *680:B 5.65148e-05
-11 *9:26 *680:B 1.58247e-05
-*RES
-1 *676:X *136:5 17.737
-2 *136:5 *680:B 22.0503
-3 *136:5 *679:A2 10.2378
-*END
-
-*D_NET *137 0.00071476
-*CONN
-*I *678:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *678:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *677:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *678:B2 0
-2 *678:A2_N 4.15923e-05
-3 *677:Y 0.000113978
-4 *137:10 0.000155571
-5 *678:A2_N *144:18 0.000171273
-6 *137:10 *204:82 6.50586e-05
-7 clockp[1] *137:10 0
-8 *662:B2 *137:10 9.24241e-05
-9 *678:A1_N *678:A2_N 7.48633e-05
-*RES
-1 *677:Y *137:10 20.8045
-2 *137:10 *678:A2_N 11.6605
-3 *137:10 *678:B2 9.24915
-*END
-
-*D_NET *138 0.00331162
-*CONN
-*I *679:B2 I *D sky130_fd_sc_hd__a22oi_2
-*I *682:A2 I *D sky130_fd_sc_hd__o211a_2
-*I *678:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *679:B2 0.000332846
-2 *682:A2 0.000460522
-3 *678:X 0.000191787
-4 *138:6 0.000985155
-5 *679:B2 *683:A 0
-6 *679:B2 *693:A2 6.24474e-06
-7 *679:B2 *695:A2 0.000159032
-8 *682:A2 *682:B1 1.09551e-05
-9 *682:A2 *689:A2 2.75108e-05
-10 *682:A2 *695:B2 0.000217951
-11 *682:A2 *142:9 4.33117e-06
-12 *138:6 *683:A 0
-13 clockp[1] *679:B2 0.00028516
-14 clockp[1] *138:6 0.000367831
-15 *661:B2 *682:A2 1.21461e-06
-16 *679:B1 *679:B2 1.63255e-05
-17 *682:A1 *682:A2 0
-18 *689:A1 *138:6 3.58321e-05
-19 *6:36 *138:6 7.50722e-05
-20 *7:45 *138:6 5.66868e-06
-21 *90:51 *138:6 3.74738e-05
-22 *136:5 *679:B2 9.07054e-05
-*RES
-1 *678:X *138:6 20.5642
-2 *138:6 *682:A2 21.4171
-3 *138:6 *679:B2 24.0875
-*END
-
-*D_NET *139 0.000828968
-*CONN
-*I *695:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *682:C1 I *D sky130_fd_sc_hd__o211a_2
-*I *679:Y O *D sky130_fd_sc_hd__a22oi_2
-*CAP
-1 *695:A1 0
-2 *682:C1 0.000150418
-3 *679:Y 0.000109873
-4 *139:6 0.000260292
-5 *682:C1 *682:B1 5.95349e-05
-6 *682:C1 *689:A2 3.31733e-05
-7 *682:C1 *689:B1 5.22654e-06
-8 *682:C1 *695:A2 5.03545e-06
-9 *682:C1 *695:B2 5.63629e-05
-10 *682:C1 *703:D1 0
-11 *139:6 *682:B1 6.57892e-05
-12 *139:6 *695:A2 4.77444e-05
-13 *139:6 *140:8 3.55179e-05
-*RES
-1 *679:Y *139:6 17.2421
-2 *139:6 *682:C1 18.5612
-3 *139:6 *695:A1 13.7491
-*END
-
-*D_NET *140 0.00236985
-*CONN
-*I *682:B1 I *D sky130_fd_sc_hd__o211a_2
-*I *681:A I *D sky130_fd_sc_hd__inv_2
-*I *680:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *682:B1 0.000322717
-2 *681:A 0
-3 *680:X 0.000431075
-4 *140:8 0.000753792
-5 *682:B1 *683:A 0.000236427
-6 *682:B1 *689:A2 5.99691e-05
-7 *682:B1 *689:B1 9.46343e-05
-8 *682:B1 *695:B2 1.19721e-05
-9 *682:B1 *142:9 0.000268812
-10 *140:8 *693:A1 1.41976e-05
-11 *140:8 *693:A2 0
-12 *140:8 *693:B1_N 4.45375e-06
-13 *140:8 *154:8 0
-14 clockp[1] *140:8 0
-15 *682:A2 *682:B1 1.09551e-05
-16 *682:C1 *682:B1 5.95349e-05
-17 *139:6 *682:B1 6.57892e-05
-18 *139:6 *140:8 3.55179e-05
-*RES
-1 *680:X *140:8 21.0173
-2 *140:8 *681:A 13.7491
-3 *140:8 *682:B1 25.2327
-*END
-
-*D_NET *141 0.000681628
-*CONN
-*I *695:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *681:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *695:A2 0.000231779
-2 *681:Y 0.000231779
-3 *679:B2 *695:A2 0.000159032
-4 *682:C1 *695:A2 5.03545e-06
-5 *136:5 *695:A2 6.25883e-06
-6 *139:6 *695:A2 4.77444e-05
-*RES
-1 *681:Y *695:A2 32.1327
-*END
-
-*D_NET *142 0.00224582
-*CONN
-*I *683:A I *D sky130_fd_sc_hd__inv_2
-*I *703:C1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *682:X O *D sky130_fd_sc_hd__o211a_2
-*CAP
-1 *683:A 0.000136007
-2 *703:C1 0.000183558
-3 *682:X 0.000402793
-4 *142:9 0.000722359
-5 *683:A *689:B1 4.57241e-06
-6 *703:C1 *685:B 0
-7 *703:C1 *689:B1 2.99929e-05
-8 *703:C1 *703:A2 5.04829e-06
-9 *703:C1 *162:13 4.81714e-05
-10 *142:9 *689:A2 6.12085e-06
-11 *679:B2 *683:A 0
-12 *682:A1 *142:9 6.33254e-05
-13 *682:A2 *142:9 4.33117e-06
-14 *682:B1 *683:A 0.000236427
-15 *682:B1 *142:9 0.000268812
-16 *689:A1 *683:A 5.92342e-05
-17 *689:A1 *703:C1 6.43474e-05
-18 *7:45 *703:C1 1.07248e-05
-19 *138:6 *683:A 0
-*RES
-1 *682:X *142:9 20.5973
-2 *142:9 *703:C1 18.7105
-3 *142:9 *683:A 18.4879
-*END
-
-*D_NET *143 0.00085682
-*CONN
-*I *695:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *683:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *695:B1 0.000372549
-2 *683:Y 0.000372549
-3 *695:B1 *155:20 0.000111722
-*RES
-1 *683:Y *695:B1 24.6773
-*END
-
-*D_NET *144 0.00672964
-*CONN
-*I *689:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *685:B I *D sky130_fd_sc_hd__nand2_2
-*I *688:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *684:Y O *D sky130_fd_sc_hd__o2bb2ai_2
-*CAP
-1 *689:A2 0.000209205
-2 *685:B 0.000101789
-3 *688:A2 0
-4 *684:Y 0.00188379
-5 *144:25 0.00047516
-6 *144:18 0.00204795
-7 *685:B *688:C1 3.072e-06
-8 *685:B *703:D1 6.92705e-05
-9 *685:B *162:13 2.41274e-06
-10 *689:A2 *689:B1 0.00010017
-11 *689:A2 *695:B2 0.000164829
-12 *689:A2 *703:D1 0
-13 *144:18 *675:A1_N 9.68043e-05
-14 *144:25 *688:C1 0
-15 *144:25 *689:B1 0
-16 *144:25 *703:D1 9.34396e-06
-17 *144:25 *148:6 0
-18 *678:A1_N *144:18 2.51283e-05
-19 *678:A2_N *144:18 0.000171273
-20 *682:A2 *689:A2 2.75108e-05
-21 *682:B1 *689:A2 5.99691e-05
-22 *682:C1 *689:A2 3.31733e-05
-23 *684:B2 *144:18 6.99486e-05
-24 *688:A1 *144:18 5.22654e-06
-25 *688:A1 *144:25 3.49272e-05
-26 *703:C1 *685:B 0
-27 *751:A1 *144:18 0.000459316
-28 *6:20 *144:18 1.56631e-05
-29 *6:36 *144:18 0.00047703
-30 *7:10 *144:25 0
-31 *90:51 *144:18 0
-32 *114:5 *144:18 0.000118166
-33 *115:26 *144:18 8.1971e-06
-34 *133:26 *144:18 5.24379e-05
-35 *135:11 *144:18 1.75637e-06
-36 *142:9 *689:A2 6.12085e-06
-*RES
-1 *684:Y *144:18 45.8326
-2 *144:18 *688:A2 13.7491
-3 *144:18 *144:25 4.32351
-4 *144:25 *685:B 16.1605
-5 *144:25 *689:A2 20.2242
-*END
-
-*D_NET *145 0.000440943
-*CONN
-*I *688:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *685:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *688:C1 0.000133397
-2 *685:Y 0.000133397
-3 *332:DIODE *688:C1 8.52652e-05
-4 *685:B *688:C1 3.072e-06
-5 *6:20 *688:C1 1.07248e-05
-6 *7:10 *688:C1 7.50872e-05
-7 *144:25 *688:C1 0
-*RES
-1 *685:Y *688:C1 30.8842
-*END
-
-*D_NET *146 0.00387092
-*CONN
-*I *687:A I *D sky130_fd_sc_hd__inv_2
-*I *703:A2 I *D sky130_fd_sc_hd__o2111ai_2
-*I *686:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *687:A 7.55718e-05
-2 *703:A2 4.66011e-05
-3 *686:Y 0.000454375
-4 *146:5 0.000576548
-5 *687:A *148:6 7.56859e-06
-6 *703:A2 *162:13 6.08467e-05
-7 *146:5 *746:A 0.000131277
-8 *146:5 *752:A3 0.000101954
-9 *146:5 *752:B1 3.57037e-05
-10 *146:5 *162:13 0.00104637
-11 *146:5 *195:13 1.92172e-05
-12 *334:DIODE *703:A2 2.41274e-06
-13 *334:DIODE *146:5 7.92757e-06
-14 *671:A *146:5 5.08751e-05
-15 *674:A *146:5 6.08467e-05
-16 *686:A2 *146:5 6.08467e-05
-17 *688:A1 *687:A 0
-18 *703:A1 *146:5 0.000111722
-19 *703:C1 *703:A2 5.04829e-06
-20 *839:D *146:5 0.000160462
-21 *7:45 *687:A 0.000118485
-22 *90:51 *687:A 0.000200221
-23 *90:51 *146:5 0.000377689
-24 *116:41 *146:5 6.50586e-05
-25 *116:47 *146:5 9.32983e-05
-*RES
-1 *686:Y *146:5 29.9383
-2 *146:5 *703:A2 10.5513
-3 *146:5 *687:A 21.7421
-*END
-
-*D_NET *147 0.000456797
-*CONN
-*I *688:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *687:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *688:B2 5.89208e-05
-2 *687:Y 5.89208e-05
-3 *6:36 *688:B2 0.000177657
-4 *7:28 *688:B2 6.73186e-05
-5 *7:45 *688:B2 9.39797e-05
-*RES
-1 *687:Y *688:B2 22.5493
-*END
-
-*D_NET *148 0.000763548
-*CONN
-*I *689:B1 I *D sky130_fd_sc_hd__a21oi_2
-*I *703:B1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *688:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *689:B1 0.000107347
-2 *703:B1 0
-3 *688:X 0.000106219
-4 *148:6 0.000213566
-5 *682:B1 *689:B1 9.46343e-05
-6 *682:C1 *689:B1 5.22654e-06
-7 *683:A *689:B1 4.57241e-06
-8 *687:A *148:6 7.56859e-06
-9 *688:A1 *148:6 1.44467e-05
-10 *689:A2 *689:B1 0.00010017
-11 *703:C1 *689:B1 2.99929e-05
-12 *7:45 *689:B1 1.66228e-05
-13 *7:45 *148:6 6.31809e-05
-14 *144:25 *689:B1 0
-15 *144:25 *148:6 0
-*RES
-1 *688:X *148:6 16.8269
-2 *148:6 *703:B1 13.7491
-3 *148:6 *689:B1 17.5503
-*END
-
-*D_NET *149 0.000763494
-*CONN
-*I *695:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *689:Y O *D sky130_fd_sc_hd__a21oi_2
-*CAP
-1 *695:B2 0.000132182
-2 *689:Y 0.000132182
-3 *695:B2 *703:D1 4.80148e-05
-4 *682:A2 *695:B2 0.000217951
-5 *682:B1 *695:B2 1.19721e-05
-6 *682:C1 *695:B2 5.63629e-05
-7 *689:A2 *695:B2 0.000164829
-*RES
-1 *689:Y *695:B2 32.548
-*END
-
-*D_NET *150 0.00147421
-*CONN
-*I *692:A I *D sky130_fd_sc_hd__or2_2
-*I *693:A1 I *D sky130_fd_sc_hd__a21bo_2
-*I *690:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *692:A 0.000332847
-2 *693:A1 8.11653e-05
-3 *690:X 0
-4 *150:4 0.000414012
-5 *692:A *690:A2 2.63201e-05
-6 *692:A *693:A2 9.46343e-05
-7 *692:A *693:B1_N 0
-8 *692:A *856:CLK 5.24081e-05
-9 *693:A1 *690:A1 0
-10 *693:A1 *693:A2 0.000122378
-11 clockp[1] *692:A 3.88655e-06
-12 *690:B2 *692:A 0.000101564
-13 *696:A1 *692:A 6.50586e-05
-14 *4:10 *692:A 6.17553e-05
-15 *112:13 *692:A 0.000103983
-16 *140:8 *693:A1 1.41976e-05
-*RES
-1 *690:X *150:4 9.24915
-2 *150:4 *693:A1 11.6605
-3 *150:4 *692:A 27.4203
-*END
-
-*D_NET *151 0.00312776
-*CONN
-*I *692:B I *D sky130_fd_sc_hd__or2_2
-*I *693:A2 I *D sky130_fd_sc_hd__a21bo_2
-*I *691:X O *D sky130_fd_sc_hd__o2bb2a_2
-*CAP
-1 *692:B 0.000134032
-2 *693:A2 0.000412565
-3 *691:X 0.000337778
-4 *151:5 0.000884375
-5 *693:A2 *690:A2 6.50586e-05
-6 clockp[1] *692:B 0
-7 clockp[1] *693:A2 2.18741e-05
-8 *676:A1_N *693:A2 0.000117515
-9 *679:B2 *693:A2 6.24474e-06
-10 *692:A *693:A2 9.46343e-05
-11 *693:A1 *693:A2 0.000122378
-12 *856:D *692:B 0.00019607
-13 *39:11 *692:B 0.000226867
-14 *127:90 *151:5 0.000107496
-15 *135:11 *151:5 0.00031463
-16 *135:19 *151:5 2.15591e-05
-17 *136:5 *693:A2 6.46815e-05
-18 *140:8 *693:A2 0
-*RES
-1 *691:X *151:5 14.964
-2 *151:5 *693:A2 26.9346
-3 *151:5 *692:B 23.4032
-*END
-
-*D_NET *152 0.00177785
-*CONN
-*I *696:C1 I *D sky130_fd_sc_hd__o221ai_2
-*I *693:B1_N I *D sky130_fd_sc_hd__a21bo_2
-*I *692:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *696:C1 0
-2 *693:B1_N 0.000100492
-3 *692:X 0.000119037
-4 *152:8 0.000219529
-5 *693:B1_N *690:A2 0
-6 *693:B1_N *153:8 0.00012568
-7 *693:B1_N *154:8 1.78514e-05
-8 *152:8 *696:B2 0.000277502
-9 *152:8 *856:CLK 0.000317707
-10 *152:8 *154:8 1.45944e-05
-11 *152:8 *156:7 0.000580998
-12 *690:B2 *693:B1_N 0
-13 *690:B2 *152:8 0
-14 *692:A *693:B1_N 0
-15 *113:8 *152:8 0
-16 *140:8 *693:B1_N 4.45375e-06
-*RES
-1 *692:X *152:8 21.5747
-2 *152:8 *693:B1_N 17.0017
-3 *152:8 *696:C1 13.7491
-*END
-
-*D_NET *153 0.000840098
-*CONN
-*I *696:B2 I *D sky130_fd_sc_hd__o221ai_2
-*I *694:B I *D sky130_fd_sc_hd__nand2_2
-*I *693:X O *D sky130_fd_sc_hd__a21bo_2
-*CAP
-1 *696:B2 0.000115682
-2 *694:B 0
-3 *693:X 5.30495e-05
-4 *153:8 0.000168732
-5 *153:8 *154:8 5.39463e-05
-6 *693:B1_N *153:8 0.00012568
-7 *696:B1 *696:B2 4.55055e-05
-8 *152:8 *696:B2 0.000277502
-*RES
-1 *693:X *153:8 20.4964
-2 *153:8 *694:B 9.24915
-3 *153:8 *696:B2 13.903
-*END
-
-*D_NET *154 0.00206198
-*CONN
-*I *695:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *703:D1 I *D sky130_fd_sc_hd__o2111ai_2
-*I *694:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *695:C1 0
-2 *703:D1 0.000330904
-3 *694:Y 0.000394933
-4 *154:8 0.000725837
-5 *327:DIODE *154:8 8.70662e-06
-6 *329:DIODE *154:8 4.2524e-05
-7 *679:B1 *154:8 0.000135423
-8 *682:C1 *703:D1 0
-9 *685:B *703:D1 6.92705e-05
-10 *689:A2 *703:D1 0
-11 *693:B1_N *154:8 1.78514e-05
-12 *695:B2 *703:D1 4.80148e-05
-13 *696:B1 *154:8 9.19886e-06
-14 *7:8 *703:D1 3.13173e-05
-15 *7:10 *703:D1 5.22654e-06
-16 *8:8 *703:D1 3.5534e-06
-17 *8:8 *154:8 7.61444e-07
-18 *8:12 *703:D1 6.52182e-05
-19 *9:8 *154:8 7.59763e-05
-20 *9:26 *154:8 1.93781e-05
-21 *10:11 *154:8 0
-22 *140:8 *154:8 0
-23 *144:25 *703:D1 9.34396e-06
-24 *152:8 *154:8 1.45944e-05
-25 *153:8 *154:8 5.39463e-05
-*RES
-1 *694:Y *154:8 25.0248
-2 *154:8 *703:D1 22.0204
-3 *154:8 *695:C1 13.7491
-*END
-
-*D_NET *155 0.00445785
-*CONN
-*I *697:A I *D sky130_fd_sc_hd__or2_2
-*I *695:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *697:A 0
-2 *695:X 0.00131448
-3 *155:20 0.00131448
-4 *155:20 *664:A1 0.000362216
-5 *155:20 *664:B1 6.08467e-05
-6 *155:20 *668:A 2.16355e-05
-7 *155:20 *668:B 0.000423908
-8 *155:20 *712:A2 0.000262293
-9 *155:20 *837:CLK 0.000200356
-10 *155:20 *162:13 0.000230538
-11 *155:20 *208:52 4.91225e-06
-12 *695:B1 *155:20 0.000111722
-13 *837:RESET_B *155:20 0.000150455
-*RES
-1 *695:X *155:20 47.7964
-2 *155:20 *697:A 13.7491
-*END
-
-*D_NET *156 0.0085373
-*CONN
-*I *712:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *697:B I *D sky130_fd_sc_hd__or2_2
-*I *696:Y O *D sky130_fd_sc_hd__o221ai_2
-*CAP
-1 *712:A1 0.000907
-2 *697:B 0
-3 *696:Y 0.00191703
-4 *156:10 0.0012304
-5 *156:7 0.00224043
-6 *712:A1 *712:A2 7.84191e-05
-7 *712:A1 *713:B1 6.46815e-05
-8 *712:A1 *842:CLK 1.6352e-05
-9 *712:A1 *204:167 0.000157785
-10 *712:A1 *204:178 1.37921e-05
-11 *712:A1 *226:7 6.27782e-05
-12 *156:7 *856:CLK 0.000158027
-13 *156:7 *204:11 0.000409852
-14 *156:10 *157:12 0
-15 *156:10 *157:21 0
-16 *156:10 *170:29 2.71953e-05
-17 *156:10 *204:47 0
-18 *156:10 *204:178 0
-19 *785:A *712:A1 0.000168313
-20 *840:RESET_B *156:10 0
-21 *90:82 *712:A1 0.000504253
-22 *152:8 *156:7 0.000580998
-*RES
-1 *696:Y *156:7 44.4211
-2 *156:7 *156:10 12.5608
-3 *156:10 *697:B 9.24915
-4 *156:10 *712:A1 38.7983
-*END
-
-*D_NET *157 0.0117757
-*CONN
-*I *698:A I *D sky130_fd_sc_hd__inv_2
-*I *725:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *738:A2 I *D sky130_fd_sc_hd__o211a_2
-*I *716:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *715:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *712:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *719:B1 I *D sky130_fd_sc_hd__o32a_2
-*I *718:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *723:B1 I *D sky130_fd_sc_hd__o32a_2
-*I *721:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *720:B2 I *D sky130_fd_sc_hd__a22o_2
-*I *730:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *697:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *698:A 0
-2 *725:B2 7.72827e-05
-3 *738:A2 0
-4 *716:A2 7.18729e-05
-5 *715:B2 1.31378e-05
-6 *712:B1 0.000127773
-7 *719:B1 0.000144782
-8 *718:B2 5.02153e-05
-9 *723:B1 1.81917e-05
-10 *721:B2 0
-11 *720:B2 1.1898e-05
-12 *730:A2 0.000321563
-13 *697:X 0.000255928
-14 *157:114 0.000469235
-15 *157:105 0.000784192
-16 *157:98 0.000498863
-17 *157:75 0.000194998
-18 *157:73 0.000213934
-19 *157:66 0.000149797
-20 *157:65 0.000109806
-21 *157:55 0.000339716
-22 *157:42 8.29194e-05
-23 *157:41 7.10213e-05
-24 *157:29 0.000488636
-25 *157:23 0.000191504
-26 *157:21 0.000719256
-27 *157:12 0.000687611
-28 *157:8 0.00043388
-29 *712:B1 *712:A2 4.78319e-06
-30 *715:B2 *715:A2 0
-31 *718:B2 *221:23 5.22654e-06
-32 *718:B2 *221:33 6.08467e-05
-33 *719:B1 *719:A3 3.01683e-06
-34 *719:B1 *719:B2 4.80635e-06
-35 *719:B1 *737:S 0.000111708
-36 *720:B2 *735:A3 6.39797e-05
-37 *720:B2 *185:7 2.73375e-05
-38 *723:B1 *718:A2 1.09551e-05
-39 *725:B2 *724:A 6.64392e-05
-40 *725:B2 *725:A2 6.3657e-05
-41 *725:B2 *158:35 3.09374e-06
-42 *730:A2 *725:A1 0.000230407
-43 *730:A2 *735:B2 7.50722e-05
-44 *730:A2 *186:10 4.37999e-05
-45 *157:8 *158:9 9.35979e-05
-46 *157:8 *158:35 2.39287e-05
-47 *157:8 *204:178 0
-48 *157:12 *204:178 9.34396e-06
-49 *157:21 *721:B1 4.58907e-05
-50 *157:21 *725:A1 0.000142221
-51 *157:21 *158:35 0
-52 *157:21 *158:47 0
-53 *157:21 *170:29 0
-54 *157:21 *178:5 0.000110306
-55 *157:21 *224:6 7.50722e-05
-56 *157:29 *158:47 3.26138e-05
-57 *157:29 *224:10 0
-58 *157:55 *723:A1 0.00011818
-59 *157:55 *723:B2 1.41291e-05
-60 *157:55 *734:A 8.14711e-05
-61 *157:55 *158:47 2.65831e-05
-62 *157:55 *158:55 2.41483e-05
-63 *157:55 *158:67 2.11063e-05
-64 *157:55 *180:10 0.000113968
-65 *157:65 *718:A2 5.88594e-05
-66 *157:65 *158:67 3.99086e-06
-67 *157:73 *718:A2 0.000166522
-68 *157:73 *737:A1 0.000248762
-69 *157:73 *738:B1 3.99086e-06
-70 *157:73 *175:7 6.50727e-05
-71 *157:73 *221:33 0.000122972
-72 *157:98 *737:A1 0.000258142
-73 *157:98 *738:B1 1.00937e-05
-74 *157:98 *739:A2_N 0
-75 *157:98 *221:33 0.000193069
-76 *157:105 *653:A 9.09474e-05
-77 *157:105 *738:B1 5.96839e-05
-78 *157:105 *739:A2_N 0
-79 *157:105 *743:B1 8.90468e-05
-80 *157:105 *845:CLK 0
-81 *157:105 *173:8 9.37563e-05
-82 *157:114 *653:A 0.000292027
-83 *157:114 *711:A 8.62625e-06
-84 *157:114 *712:A2 0
-85 *157:114 *715:A2 0.000275764
-86 *157:114 *227:21 4.4885e-05
-87 *715:B1 *712:B1 4.30017e-06
-88 *715:B1 *157:114 7.02172e-06
-89 *718:B1 *718:B2 6.50586e-05
-90 *721:A1 *157:29 4.59978e-05
-91 *809:A0 *719:B1 5.04829e-06
-92 *844:RESET_B *157:105 0.000146645
-93 *29:8 *718:B2 5.05252e-05
-94 *29:8 *719:B1 0.000134323
-95 *29:8 *157:114 7.56859e-06
-96 *90:82 *157:8 0.000384477
-97 *118:14 *719:B1 5.05252e-05
-98 *119:22 *730:A2 0.000309483
-99 *119:22 *157:29 0.000112125
-100 *119:25 *730:A2 0
-101 *123:9 *716:A2 4.58003e-05
-102 *123:9 *157:114 3.82228e-05
-103 *123:18 *157:114 4.66634e-05
-104 *156:10 *157:12 0
-105 *156:10 *157:21 0
-*RES
-1 *697:X *157:8 21.4325
-2 *157:8 *157:12 4.16474
-3 *157:12 *157:21 14.9455
-4 *157:21 *157:23 0.578717
-5 *157:23 *157:29 12.9405
-6 *157:29 *730:A2 26.0691
-7 *157:29 *157:41 9.24915
-8 *157:41 *157:42 81.1229
-9 *157:42 *720:B2 19.2217
-10 *157:23 *721:B2 9.24915
-11 *157:21 *157:55 7.37864
-12 *157:55 *723:B1 9.82786
-13 *157:55 *157:65 10.5271
-14 *157:65 *157:66 57.9449
-15 *157:66 *157:73 14.0477
-16 *157:73 *157:75 4.5
-17 *157:75 *718:B2 15.474
-18 *157:75 *719:B1 18.2684
-19 *157:73 *157:98 4.48505
-20 *157:98 *157:105 20.1257
-21 *157:105 *157:114 18.5345
-22 *157:114 *712:B1 12.209
-23 *157:114 *715:B2 9.82786
-24 *157:105 *716:A2 10.5271
-25 *157:98 *738:A2 9.24915
-26 *157:12 *725:B2 16.1458
-27 *157:8 *698:A 13.7491
-*END
-
-*D_NET *158 0.00912215
-*CONN
-*I *737:A1 I *D sky130_fd_sc_hd__mux2_1
-*I *718:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *720:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *721:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *725:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *715:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *713:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *698:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *737:A1 0.000488414
-2 *718:A2 0.000131939
-3 *720:A2 7.77574e-05
-4 *721:A2 0
-5 *725:A2 3.03627e-05
-6 *715:A2 0.000267254
-7 *713:A2 2.12792e-05
-8 *698:Y 0
-9 *158:67 0.00101771
-10 *158:55 0.000526165
-11 *158:47 0.000351504
-12 *158:35 0.000547239
-13 *158:9 0.000621461
-14 *158:5 0.000549349
-15 *715:A2 *743:A2 0.000304838
-16 *715:A2 *170:60 6.91907e-05
-17 *715:A2 *227:11 6.63851e-05
-18 *718:A2 *723:B2 1.03434e-05
-19 *718:A2 *221:33 0
-20 *720:A2 *720:A1 1.67329e-05
-21 *720:A2 *729:B 4.3116e-06
-22 *720:A2 *735:A3 6.86533e-05
-23 *725:A2 *724:A 3.89073e-05
-24 *725:A2 *727:A1 0.000216458
-25 *737:A1 *175:7 6.50727e-05
-26 *737:A1 *221:33 0.000122098
-27 *158:9 *170:5 6.27332e-05
-28 *158:9 *170:10 0.000105163
-29 *158:9 *170:60 6.0497e-05
-30 *158:35 *171:15 6.84074e-06
-31 *158:47 *726:A 0.000132607
-32 *158:47 *734:A 3.5756e-05
-33 *158:47 *171:15 1.51779e-05
-34 *158:55 *734:A 1.09551e-05
-35 *158:67 *723:B2 0.000217951
-36 *158:67 *734:A 5.73392e-05
-37 *715:B1 *715:A2 0.000128357
-38 *715:B2 *715:A2 0
-39 *721:A1 *720:A2 0.000101133
-40 *721:A1 *158:47 2.94253e-05
-41 *723:B1 *718:A2 1.09551e-05
-42 *725:B1 *725:A2 6.36477e-05
-43 *725:B1 *158:35 0.000176145
-44 *725:B2 *725:A2 6.3657e-05
-45 *725:B2 *158:35 3.09374e-06
-46 *29:8 *715:A2 3.3556e-05
-47 *90:82 *158:9 0.000114584
-48 *90:98 *158:9 0.000308814
-49 *119:8 *720:A2 5.41377e-05
-50 *122:8 *737:A1 7.50872e-05
-51 *122:38 *737:A1 4.70104e-05
-52 *123:18 *715:A2 3.20264e-05
-53 *124:7 *158:9 1.58551e-05
-54 *124:40 *715:A2 0.000183941
-55 *124:40 *158:9 0.00012826
-56 *157:8 *158:9 9.35979e-05
-57 *157:8 *158:35 2.39287e-05
-58 *157:21 *158:35 0
-59 *157:21 *158:47 0
-60 *157:29 *158:47 3.26138e-05
-61 *157:55 *158:47 2.65831e-05
-62 *157:55 *158:55 2.41483e-05
-63 *157:55 *158:67 2.11063e-05
-64 *157:65 *718:A2 5.88594e-05
-65 *157:65 *158:67 3.99086e-06
-66 *157:73 *718:A2 0.000166522
-67 *157:73 *737:A1 0.000248762
-68 *157:98 *737:A1 0.000258142
-69 *157:114 *715:A2 0.000275764
-*RES
-1 *698:Y *158:5 13.7491
-2 *158:5 *158:9 16.3443
-3 *158:9 *713:A2 9.82786
-4 *158:9 *715:A2 29.9279
-5 *158:5 *158:35 6.03337
-6 *158:35 *725:A2 16.1364
-7 *158:35 *158:47 12.0384
-8 *158:47 *721:A2 9.24915
-9 *158:47 *158:55 1.71204
-10 *158:55 *720:A2 21.1106
-11 *158:55 *158:67 6.26943
-12 *158:67 *718:A2 13.2761
-13 *158:67 *737:A1 27.875
-*END
-
-*D_NET *159 0.000928283
-*CONN
-*I *700:A I *D sky130_fd_sc_hd__inv_2
-*I *701:C I *D sky130_fd_sc_hd__or3_2
-*I *699:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *700:A 0.000121402
-2 *701:C 0.000126345
-3 *699:X 0
-4 *159:4 0.000247746
-5 *700:A *166:38 1.99131e-05
-6 *700:A *250:9 0.000154145
-7 *701:C *250:9 0.000129784
-8 *55:7 *700:A 1.65872e-05
-9 *55:7 *701:C 0.000112361
-*RES
-1 *699:X *159:4 9.24915
-2 *159:4 *701:C 13.3243
-3 *159:4 *700:A 12.7697
-*END
-
-*D_NET *160 0.00964298
-*CONN
-*I *766:A I *D sky130_fd_sc_hd__or2_2
-*I *761:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *765:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *782:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *717:A I *D sky130_fd_sc_hd__nor2_2
-*I *700:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *766:A 1.97543e-05
-2 *761:A3 0.000330619
-3 *765:A4 0.000167373
-4 *782:A1 0.000361098
-5 *717:A 7.19925e-05
-6 *700:Y 0
-7 *160:43 0.00107783
-8 *160:35 0.00105035
-9 *160:6 0.000627081
-10 *160:5 0.000644745
-11 *765:A4 *164:42 0
-12 *782:A1 *166:38 3.5534e-06
-13 *160:6 *710:A 1.5714e-05
-14 *160:35 *781:A3 0.000170577
-15 *160:35 *222:61 0.00022094
-16 *160:35 *243:20 0.000711255
-17 *160:43 *201:58 0.000490324
-18 *160:43 *201:63 0.000215753
-19 *160:43 *243:20 0.000130087
-20 *379:DIODE *160:35 5.82695e-05
-21 *702:C *782:A1 0.000113773
-22 *702:C *160:6 0
-23 *761:B1 *761:A3 0.000110364
-24 *764:A1 *765:A4 9.98029e-06
-25 *766:B *160:43 0.000124919
-26 *767:B1 *765:A4 3.67708e-05
-27 *771:A2 *160:35 3.82228e-05
-28 *774:A4 *160:35 1.41291e-05
-29 *774:B1 *160:35 6.50586e-05
-30 *810:A0 *160:35 4.31703e-05
-31 *810:A0 *160:43 4.17467e-05
-32 *810:A1 *160:43 0
-33 *812:A0 *761:A3 0.000123582
-34 *812:A0 *765:A4 7.50722e-05
-35 *833:A0 *782:A1 0
-36 *833:A1 *717:A 0.000111708
-37 *5:339 *782:A1 0.000311235
-38 *5:350 *782:A1 7.12632e-06
-39 *13:12 *761:A3 1.58551e-05
-40 *21:16 *160:35 6.73022e-05
-41 *37:13 *761:A3 5.92192e-05
-42 *41:9 *717:A 0.000136533
-43 *41:9 *160:6 6.51423e-05
-44 *43:8 *761:A3 0.000143912
-45 *43:8 *765:A4 0.000163997
-46 *43:10 *765:A4 0.000148144
-47 *44:27 *761:A3 7.92757e-06
-48 *44:31 *761:A3 0.000118166
-49 *44:40 *761:A3 0.000122083
-50 *44:57 *761:A3 2.95757e-05
-51 *118:46 *717:A 2.652e-05
-52 *118:46 *160:6 0.000273949
-53 *118:46 *160:35 0.000310094
-54 *118:93 *160:35 0.000191365
-55 *119:38 *765:A4 1.2601e-05
-56 *119:45 *765:A4 3.08133e-05
-57 *119:72 *782:A1 1.28344e-05
-58 *122:38 *160:6 3.72649e-05
-59 *122:47 *160:6 3.84001e-05
-60 *122:47 *160:35 3.71118e-05
-*RES
-1 *700:Y *160:5 13.7491
-2 *160:5 *160:6 6.39977
-3 *160:6 *717:A 16.4439
-4 *160:6 *782:A1 30.3737
-5 *160:5 *160:35 23.9582
-6 *160:35 *160:43 16.3637
-7 *160:43 *765:A4 19.7337
-8 *160:43 *761:A3 31.8787
-9 *160:35 *766:A 9.82786
-*END
-
-*D_NET *161 0.000346073
-*CONN
-*I *713:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *702:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *713:A3 0.000101317
-2 *702:X 0.000101317
-3 *713:A3 *170:63 3.74397e-05
-4 *713:A1 *713:A3 4.09271e-05
-5 *124:18 *713:A3 6.50727e-05
-*RES
-1 *702:X *713:A3 30.0537
-*END
-
-*D_NET *162 0.00844552
-*CONN
-*I *712:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *703:Y O *D sky130_fd_sc_hd__o2111ai_2
-*CAP
-1 *712:A2 0.00136399
-2 *703:Y 0.00117819
-3 *162:13 0.00254218
-4 *712:A2 *664:A1 0.000157962
-5 *712:A2 *664:B1 1.29759e-05
-6 *712:A2 *713:B1 7.25324e-06
-7 *712:A2 *753:B2 0.000158371
-8 *712:A2 *205:20 0.00027103
-9 *162:13 *196:9 0.000162782
-10 *323:DIODE *162:13 6.50727e-05
-11 *662:A2 *162:13 0
-12 *664:A2 *712:A2 1.67329e-05
-13 *674:A *162:13 0
-14 *685:B *162:13 2.41274e-06
-15 *703:A2 *162:13 6.08467e-05
-16 *703:C1 *162:13 4.81714e-05
-17 *712:A1 *712:A2 7.84191e-05
-18 *712:B1 *712:A2 4.78319e-06
-19 *785:A *712:A2 0.000100253
-20 *852:RESET_B *712:A2 6.50727e-05
-21 *6:36 *162:13 0.000207266
-22 *117:8 *712:A2 0.000324151
-23 *135:11 *162:13 7.8406e-05
-24 *146:5 *162:13 0.00104637
-25 *155:20 *712:A2 0.000262293
-26 *155:20 *162:13 0.000230538
-27 *157:114 *712:A2 0
-*RES
-1 *703:Y *162:13 47.1948
-2 *162:13 *712:A2 39.8247
-*END
-
-*D_NET *163 0.00103152
-*CONN
-*I *712:C1 I *D sky130_fd_sc_hd__o221a_2
-*I *704:X O *D sky130_fd_sc_hd__and4_2
-*CAP
-1 *712:C1 0.000224496
-2 *704:X 0.000224496
-3 *712:C1 *665:B1 0.000111708
-4 *712:C1 *712:B2 4.43615e-05
-5 *711:C *712:C1 0
-6 *851:D *712:C1 9.60216e-05
-7 *29:8 *712:C1 0.000330432
-*RES
-1 *704:X *712:C1 34.7608
-*END
-
-*D_NET *164 0.00837628
-*CONN
-*I *772:A I *D sky130_fd_sc_hd__or3_2
-*I *709:A I *D sky130_fd_sc_hd__or2_2
-*I *775:C I *D sky130_fd_sc_hd__or4_2
-*I *759:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *763:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *768:A3 I *D sky130_fd_sc_hd__a31o_2
-*I *706:A I *D sky130_fd_sc_hd__inv_2
-*I *705:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *772:A 0.000181062
-2 *709:A 4.40512e-05
-3 *775:C 0
-4 *759:A1 0.000105193
-5 *763:A4 4.51842e-05
-6 *768:A3 9.51947e-05
-7 *706:A 0.000225352
-8 *705:X 4.99032e-05
-9 *164:42 0.000292734
-10 *164:32 0.000382648
-11 *164:28 0.000963444
-12 *164:20 0.0010963
-13 *164:17 0.000473616
-14 *164:7 0.000333907
-15 *706:A *778:A2 6.34599e-05
-16 *706:A *873:Z 0.00015607
-17 *706:A *221:55 2.25867e-05
-18 *706:A *222:18 0
-19 *706:A *222:32 0
-20 *706:A *249:23 9.87197e-05
-21 *706:A *250:20 2.21161e-05
-22 *709:A *651:A 1.03434e-05
-23 *709:A *709:B 4.80635e-06
-24 *763:A4 *764:A2 6.08467e-05
-25 *768:A3 *767:A3 0.000169041
-26 *768:A3 *250:9 0.000164843
-27 *772:A *778:A2 2.26567e-05
-28 *772:A *866:TE 0
-29 *772:A *222:32 0
-30 *772:A *222:50 0.00019108
-31 *772:A *243:20 5.11783e-05
-32 *164:7 *705:A 9.19886e-06
-33 *164:17 *778:A2 2.47663e-05
-34 *164:20 *651:A 6.73186e-05
-35 *164:20 *709:B 5.20546e-06
-36 *164:28 *709:B 3.99086e-06
-37 *164:28 *756:B 2.06458e-05
-38 *164:28 *776:B1 2.52287e-06
-39 *164:28 *781:A2 0.0002646
-40 *164:28 *781:A3 0.000421676
-41 *164:32 *756:B 8.82765e-05
-42 *164:32 *775:B 6.29128e-05
-43 *164:32 *225:76 0.000353686
-44 *164:32 *258:9 0.000453457
-45 *764:A1 *164:42 5.05252e-05
-46 *765:A4 *164:42 0
-47 *775:A *164:28 7.73707e-05
-48 *781:B1 *164:28 6.89789e-05
-49 *812:A0 *759:A1 3.04973e-05
-50 *812:A0 *164:42 3.67528e-06
-51 *831:A1 *768:A3 1.70077e-05
-52 *40:9 *164:20 1.4091e-06
-53 *44:27 *759:A1 6.50586e-05
-54 *48:8 *759:A1 0.000134323
-55 *48:8 *768:A3 0.000143017
-56 *48:8 *164:42 0.000249293
-57 *119:38 *768:A3 0.00019364
-58 *119:38 *164:42 2.6046e-05
-59 *119:45 *164:42 0.000122083
-60 *122:38 *706:A 8.8761e-05
-*RES
-1 *705:X *164:7 14.4725
-2 *164:7 *706:A 21.0145
-3 *164:7 *164:17 1.41674
-4 *164:17 *164:20 7.99641
-5 *164:20 *164:28 14.8055
-6 *164:28 *164:32 11.8786
-7 *164:32 *768:A3 19.0748
-8 *164:32 *164:42 4.73876
-9 *164:42 *763:A4 14.4725
-10 *164:42 *759:A1 17.135
-11 *164:28 *775:C 9.24915
-12 *164:20 *709:A 10.6477
-13 *164:17 *772:A 19.3917
-*END
-
-*D_NET *165 0.00210841
-*CONN
-*I *717:B I *D sky130_fd_sc_hd__nor2_2
-*I *719:B2 I *D sky130_fd_sc_hd__o32a_2
-*I *782:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *706:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *717:B 0
-2 *719:B2 0.000193323
-3 *782:A2 4.38123e-05
-4 *706:Y 9.6009e-05
-5 *165:14 0.00030292
-6 *165:5 0.000249419
-7 *719:B2 *719:A1 0.000104731
-8 *719:B2 *719:A3 1.43848e-05
-9 *719:B2 *737:S 2.41274e-06
-10 *719:B2 *185:7 0
-11 *782:A2 *782:B1 6.08467e-05
-12 *782:A2 *166:32 2.58616e-05
-13 *782:A2 *249:23 0.00015607
-14 *165:5 *710:A 6.27718e-05
-15 *165:5 *782:B1 1.41976e-05
-16 *165:5 *249:23 0.000386928
-17 *702:C *719:B2 3.26195e-05
-18 *702:C *165:14 0.000171474
-19 *719:B1 *719:B2 4.80635e-06
-20 *782:C1 *165:5 0.0001139
-21 *41:9 *719:B2 1.25775e-05
-22 *41:9 *165:14 3.33235e-05
-23 *118:14 *719:B2 6.12686e-06
-24 *118:46 *719:B2 0
-25 *122:38 *165:5 1.9898e-05
-*RES
-1 *706:Y *165:5 13.8548
-2 *165:5 *782:A2 11.0817
-3 *165:5 *165:14 7.993
-4 *165:14 *719:B2 19.1721
-5 *165:14 *717:B 13.7491
-*END
-
-*D_NET *166 0.00799332
-*CONN
-*I *782:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *780:B I *D sky130_fd_sc_hd__and3_2
-*I *773:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *771:A3 I *D sky130_fd_sc_hd__o311a_2
-*I *709:B I *D sky130_fd_sc_hd__or2_2
-*I *756:B I *D sky130_fd_sc_hd__or2_2
-*I *708:A I *D sky130_fd_sc_hd__inv_2
-*I *707:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *782:A3 0
-2 *780:B 0
-3 *773:A2 0.000287896
-4 *771:A3 0
-5 *709:B 7.77013e-05
-6 *756:B 0.000399561
-7 *708:A 0.000153372
-8 *707:X 6.39684e-05
-9 *166:52 0.000459291
-10 *166:41 0.000305763
-11 *166:38 0.000695504
-12 *166:32 0.000822344
-13 *166:7 0.000552933
-14 *166:5 0.000247475
-15 *708:A *720:A1 0.000196819
-16 *708:A *723:B2 0.000122682
-17 *708:A *781:A2 2.43314e-05
-18 *756:B *776:B1 8.42898e-05
-19 *756:B *779:B 0
-20 *756:B *781:A2 0.000288851
-21 *773:A2 *243:20 2.11494e-05
-22 *773:A2 *312:15 0.000107496
-23 *166:5 *223:90 6.27782e-05
-24 *166:5 *223:98 6.27718e-05
-25 *166:5 *249:23 7.92757e-06
-26 *166:32 *782:B1 1.47978e-05
-27 *166:32 *249:23 7.99701e-05
-28 *166:38 *780:A 1.4091e-06
-29 *166:38 *780:C 1.41976e-05
-30 *166:38 *250:9 5.481e-05
-31 *166:41 *780:C 0.000170577
-32 *166:41 *225:206 0.000170577
-33 *166:52 *780:C 0.000199738
-34 *166:52 *225:206 0.000110758
-35 *700:A *166:38 1.99131e-05
-36 *701:B *756:B 4.4434e-05
-37 *702:C *166:38 0.000112897
-38 *709:A *709:B 4.80635e-06
-39 *770:A *773:A2 0.000271475
-40 *773:A3 *773:A2 0.000163982
-41 *773:A3 *166:52 5.82161e-05
-42 *774:A4 *773:A2 8.10016e-06
-43 *775:A *756:B 0.000184217
-44 *781:B1 *709:B 2.16355e-05
-45 *782:A1 *166:38 3.5534e-06
-46 *782:A2 *166:32 2.58616e-05
-47 *813:A0 *166:52 1.5714e-05
-48 *823:A0 *773:A2 0.000179964
-49 *823:A0 *166:52 8.86331e-05
-50 *830:A0 *708:A 0.000115934
-51 *5:324 *773:A2 0
-52 *5:350 *708:A 5.99361e-05
-53 *40:9 *709:B 0.000160617
-54 *55:22 *166:38 0.00010969
-55 *118:93 *773:A2 1.66626e-05
-56 *118:96 *773:A2 6.08467e-05
-57 *119:72 *708:A 6.84784e-06
-58 *119:72 *166:38 0
-59 *119:81 *756:B 2.72267e-05
-60 *119:81 *166:38 0
-61 *120:7 *166:5 6.08467e-05
-62 *120:7 *166:32 0.000110458
-63 *120:11 *708:A 3.77686e-05
-64 *120:11 *756:B 8.80283e-06
-65 *120:35 *756:B 3.44197e-05
-66 *164:20 *709:B 5.20546e-06
-67 *164:28 *709:B 3.99086e-06
-68 *164:28 *756:B 2.06458e-05
-69 *164:32 *756:B 8.82765e-05
-*RES
-1 *707:X *166:5 11.0817
-2 *166:5 *166:7 4.5
-3 *166:7 *708:A 19.3507
-4 *166:7 *756:B 26.6113
-5 *166:5 *166:32 5.18434
-6 *166:32 *166:38 19.5929
-7 *166:38 *166:41 7.57775
-8 *166:41 *709:B 16.1605
-9 *166:41 *166:52 5.56926
-10 *166:52 *771:A3 13.7491
-11 *166:52 *773:A2 26.6915
-12 *166:38 *780:B 9.24915
-13 *166:32 *782:A3 9.24915
-*END
-
-*D_NET *167 0.00414333
-*CONN
-*I *723:B2 I *D sky130_fd_sc_hd__o32a_2
-*I *779:B I *D sky130_fd_sc_hd__nor2_2
-*I *708:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *723:B2 0.000321306
-2 *779:B 0.000879024
-3 *708:Y 0
-4 *167:4 0.00120033
-5 *723:B2 *720:A1 2.58696e-05
-6 *723:B2 *729:B 8.16827e-05
-7 *723:B2 *734:A 0.000171273
-8 *723:B2 *176:16 4.58124e-05
-9 *723:B2 *177:8 9.60216e-05
-10 *723:B2 *322:7 0.000160617
-11 *779:B *720:A1 6.65341e-05
-12 *779:B *781:A2 7.71831e-05
-13 *779:B *224:21 0
-14 *779:B *224:38 0
-15 *779:B *225:69 0
-16 *708:A *723:B2 0.000122682
-17 *711:C *723:B2 0
-18 *718:A2 *723:B2 1.03434e-05
-19 *720:B1 *779:B 5.01737e-05
-20 *756:B *779:B 0
-21 *775:D *779:B 4.49217e-05
-22 *829:A1 *779:B 0.000254075
-23 *829:S *779:B 4.70559e-05
-24 *830:A0 *723:B2 4.82966e-05
-25 *5:222 *779:B 0
-26 *17:9 *779:B 0.000106333
-27 *119:8 *723:B2 0
-28 *119:72 *723:B2 8.01837e-05
-29 *120:11 *723:B2 2.15348e-05
-30 *157:55 *723:B2 1.41291e-05
-31 *158:67 *723:B2 0.000217951
-*RES
-1 *708:Y *167:4 9.24915
-2 *167:4 *779:B 38.8408
-3 *167:4 *723:B2 30.7348
-*END
-
-*D_NET *168 0.000492523
-*CONN
-*I *712:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *711:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *712:B2 0.000196258
-2 *711:X 0.000196258
-3 *712:B2 *711:A 5.56461e-05
-4 *711:C *712:B2 0
-5 *712:C1 *712:B2 4.43615e-05
-*RES
-1 *711:X *712:B2 31.4388
-*END
-
-*D_NET *169 0.000506531
-*CONN
-*I *713:B1 I *D sky130_fd_sc_hd__o31a_2
-*I *712:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *713:B1 0.000215576
-2 *712:X 0.000215576
-3 *712:A1 *713:B1 6.46815e-05
-4 *712:A2 *713:B1 7.25324e-06
-5 *713:A1 *713:B1 3.44412e-06
-*RES
-1 *712:X *713:B1 31.5781
-*END
-
-*D_NET *170 0.0104356
-*CONN
-*I *743:A2 I *D sky130_fd_sc_hd__o22ai_2
-*I *738:B1 I *D sky130_fd_sc_hd__o211a_2
-*I *741:A1 I *D sky130_fd_sc_hd__a32o_2
-*I *744:A2 I *D sky130_fd_sc_hd__o22a_2
-*I *714:A I *D sky130_fd_sc_hd__inv_2
-*I *735:A1 I *D sky130_fd_sc_hd__a32o_2
-*I *733:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *728:A2 I *D sky130_fd_sc_hd__o21ai_2
-*I *713:X O *D sky130_fd_sc_hd__o31a_2
-*CAP
-1 *743:A2 0.000174798
-2 *738:B1 0.000335054
-3 *741:A1 7.65268e-05
-4 *744:A2 0.000216391
-5 *714:A 0
-6 *735:A1 0.000214625
-7 *733:A2 0.000278847
-8 *728:A2 0
-9 *713:X 0
-10 *170:63 0.000828592
-11 *170:60 0.000820553
-12 *170:29 0.000893104
-13 *170:22 0.00103788
-14 *170:10 0.000928265
-15 *170:5 0.00061664
-16 *170:4 0.000338978
-17 *733:A2 *223:11 3.24105e-05
-18 *735:A1 *735:B2 2.16355e-05
-19 *735:A1 *223:11 1.96466e-05
-20 *735:A1 *223:22 1.20637e-05
-21 *738:B1 *173:26 6.79599e-05
-22 *738:B1 *221:23 7.14746e-05
-23 *738:B1 *221:33 1.00981e-05
-24 *741:A1 *173:11 0.000111722
-25 *743:A2 *743:B2 5.98993e-05
-26 *744:A2 *654:A 0.000104943
-27 *744:A2 *744:B2 0.000427334
-28 *744:A2 *226:11 0.000200433
-29 *170:10 *744:B2 0
-30 *170:22 *724:A 0.000515001
-31 *170:22 *725:A1 2.82583e-05
-32 *170:22 *744:B2 0
-33 *170:22 *171:25 8.62625e-06
-34 *170:29 *725:A1 0
-35 *170:29 *732:A2 5.41227e-05
-36 *170:29 *733:B1 5.33266e-05
-37 *170:29 *171:6 4.61732e-05
-38 *170:29 *171:72 8.93454e-05
-39 *170:29 *186:10 0.000208976
-40 *170:63 *741:B2 0.000139435
-41 *170:63 *743:B1 5.56367e-05
-42 *170:63 *173:26 1.07248e-05
-43 *170:63 *175:10 9.57557e-06
-44 *170:63 *221:12 0.000139968
-45 *170:63 *221:23 4.33979e-05
-46 *711:C *744:A2 3.23117e-05
-47 *713:A1 *170:63 0
-48 *713:A3 *170:63 3.74397e-05
-49 *715:A2 *743:A2 0.000304838
-50 *715:A2 *170:60 6.91907e-05
-51 *718:B1 *738:B1 0.000275256
-52 *725:B1 *170:10 9.35753e-06
-53 *725:B1 *170:22 2.75427e-05
-54 *846:D *733:A2 5.04054e-06
-55 *847:D *170:29 0
-56 *29:8 *170:63 0
-57 *118:14 *170:63 0
-58 *119:22 *733:A2 7.97098e-06
-59 *119:25 *170:29 0
-60 *124:18 *170:10 2.69064e-05
-61 *124:40 *743:A2 7.92757e-06
-62 *156:10 *170:29 2.71953e-05
-63 *157:21 *170:29 0
-64 *157:73 *738:B1 3.99086e-06
-65 *157:98 *738:B1 1.00937e-05
-66 *157:105 *738:B1 5.96839e-05
-67 *158:9 *170:5 6.27332e-05
-68 *158:9 *170:10 0.000105163
-69 *158:9 *170:60 6.0497e-05
-*RES
-1 *713:X *170:4 9.24915
-2 *170:4 *170:5 1.8326
-3 *170:5 *170:10 10.1043
-4 *170:10 *728:A2 13.7491
-5 *170:10 *170:22 16.2237
-6 *170:22 *170:29 21.1062
-7 *170:29 *733:A2 13.7342
-8 *170:29 *735:A1 12.191
-9 *170:22 *714:A 9.24915
-10 *170:5 *744:A2 26.6181
-11 *170:4 *170:60 4.07513
-12 *170:60 *170:63 15.0523
-13 *170:63 *741:A1 15.0271
-14 *170:63 *738:B1 20.7602
-15 *170:60 *743:A2 14.2888
-*END
-
-*D_NET *171 0.00859807
-*CONN
-*I *732:C1 I *D sky130_fd_sc_hd__a221o_2
-*I *735:B2 I *D sky130_fd_sc_hd__a32o_2
-*I *727:C1 I *D sky130_fd_sc_hd__a221o_2
-*I *741:B2 I *D sky130_fd_sc_hd__a32o_2
-*I *743:B1 I *D sky130_fd_sc_hd__o22ai_2
-*I *744:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *714:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *732:C1 0.000126728
-2 *735:B2 9.30778e-05
-3 *727:C1 0
-4 *741:B2 7.33463e-05
-5 *743:B1 0.000477791
-6 *744:B2 0.000338282
-7 *714:Y 4.94391e-05
-8 *171:72 0.000347696
-9 *171:43 0.000949424
-10 *171:25 0.000941497
-11 *171:15 0.000605433
-12 *171:6 0.000577836
-13 *732:C1 *725:A1 2.01653e-05
-14 *732:C1 *732:B2 8.36075e-05
-15 *732:C1 *178:5 3.88473e-05
-16 *735:B2 *725:A1 4.20667e-05
-17 *735:B2 *732:A2 1.04747e-05
-18 *735:B2 *186:10 0.000165495
-19 *735:B2 *223:11 6.08467e-05
-20 *741:B2 *736:B 6.50586e-05
-21 *741:B2 *740:A 6.50586e-05
-22 *741:B2 *175:10 1.44611e-05
-23 *743:B1 *653:A 3.37623e-05
-24 *743:B1 *845:CLK 5.66868e-06
-25 *743:B1 *204:206 0.000145053
-26 *743:B1 *204:207 7.02001e-05
-27 *743:B1 *204:250 1.65078e-05
-28 *743:B1 *221:12 0.000189542
-29 *744:B2 *204:200 4.20662e-05
-30 *171:6 *725:A1 4.27003e-05
-31 *171:15 *724:A 0.000122378
-32 *171:15 *726:A 0.000157741
-33 *171:15 *727:A1 1.92336e-05
-34 *171:15 *727:B2 0.000338976
-35 *171:15 *735:A3 3.20069e-06
-36 *171:15 *180:10 0
-37 *171:25 *724:A 0.00011818
-38 *171:25 *727:A1 4.89898e-06
-39 *171:25 *180:10 1.77537e-06
-40 *171:43 *724:A 1.52648e-05
-41 *171:43 *727:A1 4.30017e-06
-42 *171:43 *727:A2 0.000116842
-43 *171:43 *204:200 1.82679e-05
-44 *171:43 *204:206 1.71232e-05
-45 *171:72 *725:A1 9.74251e-05
-46 *171:72 *732:A2 4.00504e-05
-47 *711:C *744:B2 0
-48 *725:B1 *171:15 8.62625e-06
-49 *725:B1 *171:25 2.1558e-06
-50 *730:A2 *735:B2 7.50722e-05
-51 *735:A1 *735:B2 2.16355e-05
-52 *744:A2 *744:B2 0.000427334
-53 *744:B1 *744:B2 0.000337014
-54 *843:D *743:B1 6.27782e-05
-55 *844:D *741:B2 6.64609e-05
-56 *844:D *743:B1 5.92192e-05
-57 *844:RESET_B *743:B1 3.31733e-05
-58 *118:14 *741:B2 8.20492e-06
-59 *124:18 *744:B2 0.00021364
-60 *124:40 *743:B1 6.46815e-05
-61 *157:105 *743:B1 8.90468e-05
-62 *158:35 *171:15 6.84074e-06
-63 *158:47 *171:15 1.51779e-05
-64 *170:10 *744:B2 0
-65 *170:22 *744:B2 0
-66 *170:22 *171:25 8.62625e-06
-67 *170:29 *171:6 4.61732e-05
-68 *170:29 *171:72 8.93454e-05
-69 *170:63 *741:B2 0.000139435
-70 *170:63 *743:B1 5.56367e-05
-*RES
-1 *714:Y *171:6 15.5811
-2 *171:6 *171:15 24.6798
-3 *171:15 *171:25 12.7379
-4 *171:25 *744:B2 30.8777
-5 *171:25 *171:43 12.2162
-6 *171:43 *743:B1 38.5283
-7 *171:43 *741:B2 17.135
-8 *171:15 *727:C1 9.24915
-9 *171:6 *171:72 4.53113
-10 *171:72 *735:B2 17.7579
-11 *171:72 *732:C1 17.2719
-*END
-
-*D_NET *172 0.00252509
-*CONN
-*I *716:B2 I *D sky130_fd_sc_hd__o22a_2
-*I *742:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *742:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *715:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *716:B2 0.000181177
-2 *742:A2_N 3.0613e-05
-3 *742:B2 0
-4 *715:X 0.000264642
-5 *172:10 3.0613e-05
-6 *172:8 0.000445819
-7 *716:B2 *653:A 0.000313495
-8 *716:B2 *204:207 0.000203781
-9 *742:A2_N *743:B2 2.08274e-05
-10 *172:8 *204:207 0.000197462
-11 *172:8 *204:209 5.41377e-05
-12 *172:8 *227:11 0.000217923
-13 *742:A1_N *742:A2_N 0.000159038
-14 *843:D *716:B2 1.02917e-05
-15 *843:D *742:A2_N 0.000110458
-16 *843:D *172:8 6.00124e-05
-17 *123:7 *716:B2 5.99527e-05
-18 *123:9 *716:B2 0.000164843
-*RES
-1 *715:X *172:8 20.4599
-2 *172:8 *172:10 4.5
-3 *172:10 *742:B2 9.24915
-4 *172:10 *742:A2_N 11.0817
-5 *172:8 *716:B2 21.1538
-*END
-
-*D_NET *173 0.00363093
-*CONN
-*I *736:A I *D sky130_fd_sc_hd__or2_2
-*I *737:S I *D sky130_fd_sc_hd__mux2_1
-*I *719:A3 I *D sky130_fd_sc_hd__o32a_2
-*I *740:A I *D sky130_fd_sc_hd__nand2_2
-*I *716:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *736:A 2.31893e-05
-2 *737:S 0.000191282
-3 *719:A3 3.53856e-05
-4 *740:A 0.000182766
-5 *716:X 0.000193674
-6 *173:26 0.000437372
-7 *173:11 0.00049572
-8 *173:8 0.000319113
-9 *736:A *741:A2 2.15348e-05
-10 *737:S *652:A 3.82228e-05
-11 *737:S *738:C1 0.000160617
-12 *740:A *736:B 1.92336e-05
-13 *740:A *740:B 4.30291e-05
-14 *740:A *741:A3 7.92757e-06
-15 *173:8 *653:A 1.07248e-05
-16 *173:11 *736:B 0.000207747
-17 *173:11 *741:A2 0.000172058
-18 *173:11 *741:B1 2.43387e-05
-19 *173:26 *719:A2 4.55535e-05
-20 *173:26 *175:10 7.09395e-05
-21 *173:26 *221:23 2.19131e-05
-22 *719:B1 *719:A3 3.01683e-06
-23 *719:B1 *737:S 0.000111708
-24 *719:B2 *719:A3 1.43848e-05
-25 *719:B2 *737:S 2.41274e-06
-26 *738:B1 *173:26 6.79599e-05
-27 *741:A1 *173:11 0.000111722
-28 *741:B2 *740:A 6.50586e-05
-29 *793:A *173:11 0
-30 *809:A0 *737:S 4.17142e-05
-31 *29:8 *173:8 0.000147913
-32 *118:14 *719:A3 6.50586e-05
-33 *118:14 *737:S 0.000152878
-34 *118:14 *173:26 6.08697e-06
-35 *122:7 *737:S 1.41976e-05
-36 *157:105 *173:8 9.37563e-05
-37 *170:63 *173:26 1.07248e-05
-*RES
-1 *716:X *173:8 24.6489
-2 *173:8 *173:11 4.62973
-3 *173:11 *740:A 13.3243
-4 *173:11 *173:26 14.7327
-5 *173:26 *719:A3 10.5513
-6 *173:26 *737:S 15.5427
-7 *173:8 *736:A 9.97254
-*END
-
-*D_NET *174 0.000311475
-*CONN
-*I *719:A1 I *D sky130_fd_sc_hd__o32a_2
-*I *717:Y O *D sky130_fd_sc_hd__nor2_2
-*CAP
-1 *719:A1 3.79836e-05
-2 *717:Y 3.79836e-05
-3 *702:C *719:A1 0.000130777
-4 *719:B2 *719:A1 0.000104731
-5 *118:14 *719:A1 0
-*RES
-1 *717:Y *719:A1 29.7455
-*END
-
-*D_NET *175 0.00210412
-*CONN
-*I *719:A2 I *D sky130_fd_sc_hd__o32a_2
-*I *736:B I *D sky130_fd_sc_hd__or2_2
-*I *740:B I *D sky130_fd_sc_hd__nand2_2
-*I *718:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *719:A2 8.17795e-05
-2 *736:B 8.97491e-05
-3 *740:B 5.1502e-05
-4 *718:X 9.34923e-06
-5 *175:10 0.000246659
-6 *175:7 0.000196537
-7 *736:B *741:A3 0.000338989
-8 *736:B *741:B1 2.43387e-05
-9 *740:B *741:A3 0.000111722
-10 *702:C *719:A2 2.5386e-05
-11 *737:A1 *175:7 6.50727e-05
-12 *740:A *736:B 1.92336e-05
-13 *740:A *740:B 4.30291e-05
-14 *741:B2 *736:B 6.50586e-05
-15 *741:B2 *175:10 1.44611e-05
-16 *118:14 *719:A2 8.52968e-05
-17 *118:14 *175:10 0.000237068
-18 *157:73 *175:7 6.50727e-05
-19 *170:63 *175:10 9.57557e-06
-20 *173:11 *736:B 0.000207747
-21 *173:26 *719:A2 4.55535e-05
-22 *173:26 *175:10 7.09395e-05
-*RES
-1 *718:X *175:7 14.4725
-2 *175:7 *175:10 8.82351
-3 *175:10 *740:B 11.1059
-4 *175:10 *736:B 14.6023
-5 *175:7 *719:A2 16.4116
-*END
-
-*D_NET *176 0.0015781
-*CONN
-*I *723:A3 I *D sky130_fd_sc_hd__o32a_2
-*I *734:A I *D sky130_fd_sc_hd__nand2_2
-*I *729:A I *D sky130_fd_sc_hd__or2_2
-*I *719:X O *D sky130_fd_sc_hd__o32a_2
-*CAP
-1 *723:A3 0
-2 *734:A 0.000163254
-3 *729:A 2.52739e-05
-4 *719:X 7.22101e-05
-5 *176:16 0.000290526
-6 *176:5 0.000224756
-7 *729:A *185:7 2.65667e-05
-8 *734:A *723:A1 0.00011818
-9 *734:A *726:A 3.20069e-06
-10 *734:A *735:A3 0.000171263
-11 *176:5 *185:7 2.65831e-05
-12 *176:16 *177:8 0
-13 *711:C *176:16 5.0124e-05
-14 *721:A1 *734:A 3.5534e-06
-15 *723:B2 *734:A 0.000171273
-16 *723:B2 *176:16 4.58124e-05
-17 *157:55 *734:A 8.14711e-05
-18 *158:47 *734:A 3.5756e-05
-19 *158:55 *734:A 1.09551e-05
-20 *158:67 *734:A 5.73392e-05
-*RES
-1 *719:X *176:5 11.0817
-2 *176:5 *729:A 9.97254
-3 *176:5 *176:16 12.493
-4 *176:16 *734:A 25.402
-5 *176:16 *723:A3 9.24915
-*END
-
-*D_NET *177 0.00140511
-*CONN
-*I *729:B I *D sky130_fd_sc_hd__or2_2
-*I *723:A1 I *D sky130_fd_sc_hd__o32a_2
-*I *734:B I *D sky130_fd_sc_hd__nand2_2
-*I *720:X O *D sky130_fd_sc_hd__a22o_2
-*CAP
-1 *729:B 5.66094e-05
-2 *723:A1 1.23957e-05
-3 *734:B 8.26747e-05
-4 *720:X 5.29682e-05
-5 *177:8 0.000165853
-6 *177:7 0.00018036
-7 *729:B *735:A3 4.70104e-05
-8 *729:B *185:7 2.16355e-05
-9 *734:B *723:A2 2.33103e-06
-10 *734:B *735:A3 0.000171263
-11 *734:B *180:10 5.53789e-05
-12 *177:7 *735:A3 5.04829e-06
-13 *177:7 *185:7 9.80242e-07
-14 *177:8 *723:A2 1.05272e-06
-15 *177:8 *735:A3 0.000131175
-16 *711:C *734:B 0
-17 *711:C *177:8 0
-18 *720:A2 *729:B 4.3116e-06
-19 *723:B2 *729:B 8.16827e-05
-20 *723:B2 *177:8 9.60216e-05
-21 *734:A *723:A1 0.00011818
-22 *157:55 *723:A1 0.00011818
-23 *176:16 *177:8 0
-*RES
-1 *720:X *177:7 14.4725
-2 *177:7 *177:8 2.6625
-3 *177:8 *734:B 16.8269
-4 *177:8 *723:A1 15.0271
-5 *177:7 *729:B 15.8893
-*END
-
-*D_NET *178 0.00282724
-*CONN
-*I *723:A2 I *D sky130_fd_sc_hd__o32a_2
-*I *732:B1 I *D sky130_fd_sc_hd__a221o_2
-*I *722:A I *D sky130_fd_sc_hd__inv_2
-*I *721:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *723:A2 0.000582867
-2 *732:B1 0
-3 *722:A 0.000326668
-4 *721:X 0
-5 *178:5 0.000408959
-6 *178:4 0.000665159
-7 *722:A *731:A 3.01683e-06
-8 *722:A *732:A1 0.000393422
-9 *722:A *732:B2 0.000132365
-10 *723:A2 *224:6 1.77894e-05
-11 *178:5 *721:B1 6.08467e-05
-12 *178:5 *732:B2 8.36075e-05
-13 *732:C1 *178:5 3.88473e-05
-14 *734:B *723:A2 2.33103e-06
-15 *5:350 *723:A2 0
-16 *157:21 *178:5 0.000110306
-17 *177:8 *723:A2 1.05272e-06
-*RES
-1 *721:X *178:4 9.24915
-2 *178:4 *178:5 2.94181
-3 *178:5 *722:A 16.7001
-4 *178:5 *732:B1 9.24915
-5 *178:4 *723:A2 26.7117
-*END
-
-*D_NET *179 0.000604136
-*CONN
-*I *732:A1 I *D sky130_fd_sc_hd__a221o_2
-*I *722:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *732:A1 4.1456e-05
-2 *722:Y 4.1456e-05
-3 *732:A1 *732:A2 1.90494e-05
-4 *732:A1 *732:B2 0.000108753
-5 *722:A *732:A1 0.000393422
-*RES
-1 *722:Y *732:A1 21.9947
-*END
-
-*D_NET *180 0.00228134
-*CONN
-*I *727:B1 I *D sky130_fd_sc_hd__a221o_2
-*I *724:A I *D sky130_fd_sc_hd__inv_2
-*I *723:X O *D sky130_fd_sc_hd__o32a_2
-*CAP
-1 *727:B1 0
-2 *724:A 0.00015375
-3 *723:X 0.000343638
-4 *180:10 0.000497388
-5 *724:A *725:A1 2.65667e-05
-6 *724:A *727:A1 5.22117e-05
-7 *724:A *727:B2 3.23428e-05
-8 *180:10 *735:A3 1.78514e-05
-9 *180:10 *204:200 0
-10 *711:C *180:10 0
-11 *725:A2 *724:A 3.89073e-05
-12 *725:B1 *724:A 0.000110297
-13 *725:B2 *724:A 6.64392e-05
-14 *734:B *180:10 5.53789e-05
-15 *157:55 *180:10 0.000113968
-16 *170:22 *724:A 0.000515001
-17 *171:15 *724:A 0.000122378
-18 *171:15 *180:10 0
-19 *171:25 *724:A 0.00011818
-20 *171:25 *180:10 1.77537e-06
-21 *171:43 *724:A 1.52648e-05
-*RES
-1 *723:X *180:10 25.5117
-2 *180:10 *724:A 17.7852
-3 *180:10 *727:B1 9.24915
-*END
-
-*D_NET *181 0.00147068
-*CONN
-*I *727:A1 I *D sky130_fd_sc_hd__a221o_2
-*I *724:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *727:A1 0.000242026
-2 *724:Y 0.000242026
-3 *727:A1 *725:A1 0.000114518
-4 *727:A1 *727:A2 0.000432734
-5 *727:A1 *727:B2 0.000125687
-6 *724:A *727:A1 5.22117e-05
-7 *725:A2 *727:A1 0.000216458
-8 *725:B1 *727:A1 1.65872e-05
-9 *171:15 *727:A1 1.92336e-05
-10 *171:25 *727:A1 4.89898e-06
-11 *171:43 *727:A1 4.30017e-06
-*RES
-1 *724:Y *727:A1 27.9748
-*END
-
-*D_NET *182 0.00169558
-*CONN
-*I *727:A2 I *D sky130_fd_sc_hd__a221o_2
-*I *726:A I *D sky130_fd_sc_hd__inv_2
-*I *725:X O *D sky130_fd_sc_hd__o22a_2
-*CAP
-1 *727:A2 0.000282008
-2 *726:A 0.000138482
-3 *725:X 0
-4 *182:4 0.00042049
-5 *726:A *735:A3 1.14755e-05
-6 *727:A1 *727:A2 0.000432734
-7 *734:A *726:A 3.20069e-06
-8 *158:47 *726:A 0.000132607
-9 *171:15 *726:A 0.000157741
-10 *171:43 *727:A2 0.000116842
-*RES
-1 *725:X *182:4 9.24915
-2 *182:4 *726:A 22.7442
-3 *182:4 *727:A2 15.4523
-*END
-
-*D_NET *183 0.00105384
-*CONN
-*I *727:B2 I *D sky130_fd_sc_hd__a221o_2
-*I *726:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *727:B2 0.000278418
-2 *726:Y 0.000278418
-3 *724:A *727:B2 3.23428e-05
-4 *727:A1 *727:B2 0.000125687
-5 *171:15 *727:B2 0.000338976
-*RES
-1 *726:Y *727:B2 34.1643
-*END
-
-*D_NET *184 0.000626454
-*CONN
-*I *728:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *727:X O *D sky130_fd_sc_hd__a221o_2
-*CAP
-1 *728:B1 6.78236e-05
-2 *727:X 6.78236e-05
-3 *728:B1 *204:200 0.000210005
-4 *711:C *728:B1 9.60366e-05
-5 *848:D *728:B1 0.000160617
-6 *118:24 *728:B1 2.41483e-05
-*RES
-1 *727:X *728:B1 31.1629
-*END
-
-*D_NET *185 0.00175979
-*CONN
-*I *730:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *735:A2 I *D sky130_fd_sc_hd__a32o_2
-*I *729:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *730:B1 6.6631e-05
-2 *735:A2 5.05522e-05
-3 *729:X 0.000564184
-4 *185:7 0.000681367
-5 *730:B1 *224:10 9.32927e-05
-6 *735:A2 *735:A3 4.17531e-06
-7 *185:7 *720:A1 0.000113968
-8 *185:7 *735:A3 5.59012e-05
-9 *719:B2 *185:7 0
-10 *720:B1 *730:B1 0
-11 *720:B1 *185:7 1.8456e-05
-12 *720:B2 *185:7 2.73375e-05
-13 *729:A *185:7 2.65667e-05
-14 *729:B *185:7 2.16355e-05
-15 *830:A0 *730:B1 2.14842e-06
-16 *119:22 *735:A2 6.00782e-06
-17 *176:5 *185:7 2.65831e-05
-18 *177:7 *185:7 9.80242e-07
-*RES
-1 *729:X *185:7 18.3157
-2 *185:7 *735:A2 9.97254
-3 *185:7 *730:B1 20.0811
-*END
-
-*D_NET *186 0.0025139
-*CONN
-*I *731:A I *D sky130_fd_sc_hd__inv_2
-*I *732:A2 I *D sky130_fd_sc_hd__a221o_2
-*I *730:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *731:A 0.000149158
-2 *732:A2 2.56545e-05
-3 *730:Y 0.000368183
-4 *186:10 0.000542995
-5 *731:A *732:B2 0.000493179
-6 *731:A *733:B1 6.92705e-05
-7 *731:A *223:11 0.000275256
-8 *732:A2 *732:B2 1.67329e-05
-9 *186:10 *649:A 2.41274e-06
-10 *186:10 *322:7 2.60704e-05
-11 *722:A *731:A 3.01683e-06
-12 *730:A2 *186:10 4.37999e-05
-13 *732:A1 *732:A2 1.90494e-05
-14 *735:B2 *732:A2 1.04747e-05
-15 *735:B2 *186:10 0.000165495
-16 *119:25 *186:10 0
-17 *170:29 *732:A2 5.41227e-05
-18 *170:29 *186:10 0.000208976
-19 *171:72 *732:A2 4.00504e-05
-*RES
-1 *730:Y *186:10 22.4237
-2 *186:10 *732:A2 14.9496
-3 *186:10 *731:A 21.176
-*END
-
-*D_NET *187 0.00118435
-*CONN
-*I *732:B2 I *D sky130_fd_sc_hd__a221o_2
-*I *731:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *732:B2 0.000133055
-2 *731:Y 0.000133055
-3 *722:A *732:B2 0.000132365
-4 *731:A *732:B2 0.000493179
-5 *732:A1 *732:B2 0.000108753
-6 *732:A2 *732:B2 1.67329e-05
-7 *732:C1 *732:B2 8.36075e-05
-8 *178:5 *732:B2 8.36075e-05
-*RES
-1 *731:Y *732:B2 25.7323
-*END
-
-*D_NET *188 0.000484501
-*CONN
-*I *733:B1 I *D sky130_fd_sc_hd__o21ai_2
-*I *732:X O *D sky130_fd_sc_hd__a221o_2
-*CAP
-1 *733:B1 0.000117919
-2 *732:X 0.000117919
-3 *733:B1 *223:11 0.000113374
-4 *731:A *733:B1 6.92705e-05
-5 *119:25 *733:B1 1.2693e-05
-6 *170:29 *733:B1 5.33266e-05
-*RES
-1 *732:X *733:B1 30.8842
-*END
-
-*D_NET *189 0.00173669
-*CONN
-*I *735:A3 I *D sky130_fd_sc_hd__a32o_2
-*I *734:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *735:A3 0.000287787
-2 *734:Y 0.000287787
-3 *735:A3 *720:A1 3.72206e-05
-4 *720:A2 *735:A3 6.86533e-05
-5 *720:B1 *735:A3 4.09708e-05
-6 *720:B2 *735:A3 6.39797e-05
-7 *721:A1 *735:A3 1.74215e-05
-8 *726:A *735:A3 1.14755e-05
-9 *729:B *735:A3 4.70104e-05
-10 *734:A *735:A3 0.000171263
-11 *734:B *735:A3 0.000171263
-12 *735:A2 *735:A3 4.17531e-06
-13 *119:22 *735:A3 0.000314504
-14 *171:15 *735:A3 3.20069e-06
-15 *177:7 *735:A3 5.04829e-06
-16 *177:8 *735:A3 0.000131175
-17 *180:10 *735:A3 1.78514e-05
-18 *185:7 *735:A3 5.59012e-05
-*RES
-1 *734:Y *735:A3 39.8888
-*END
-
-*D_NET *190 0.000664816
-*CONN
-*I *741:A2 I *D sky130_fd_sc_hd__a32o_2
-*I *736:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *741:A2 0.000235612
-2 *736:X 0.000235612
-3 *736:A *741:A2 2.15348e-05
-4 *173:11 *741:A2 0.000172058
-*RES
-1 *736:X *741:A2 22.5734
-*END
-
-*D_NET *191 0.000718546
-*CONN
-*I *738:C1 I *D sky130_fd_sc_hd__o211a_2
-*I *737:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *738:C1 0.000193574
-2 *737:X 0.000193574
-3 *738:C1 *221:33 0.000113374
-4 *718:B1 *738:C1 3.67528e-06
-5 *737:S *738:C1 0.000160617
-6 *29:8 *738:C1 4.98393e-05
-7 *122:8 *738:C1 3.89332e-06
-*RES
-1 *737:X *738:C1 31.9934
-*END
-
-*D_NET *192 0.00117829
-*CONN
-*I *739:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *739:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *738:X O *D sky130_fd_sc_hd__o211a_2
-*CAP
-1 *739:B2 6.02212e-05
-2 *739:A2_N 0.000319575
-3 *738:X 0
-4 *192:4 0.000379796
-5 *739:A2_N *222:13 0.000119957
-6 *739:A2_N *222:18 5.56461e-05
-7 *739:B2 *222:13 6.92705e-05
-8 *739:B2 *222:18 0.00011818
-9 *344:DIODE *739:B2 5.56461e-05
-10 *157:98 *739:A2_N 0
-11 *157:105 *739:A2_N 0
-*RES
-1 *738:X *192:4 9.24915
-2 *192:4 *739:A2_N 15.2533
-3 *192:4 *739:B2 12.2151
-*END
-
-*D_NET *193 0.0009399
-*CONN
-*I *741:A3 I *D sky130_fd_sc_hd__a32o_2
-*I *740:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *741:A3 0.000240631
-2 *740:Y 0.000240631
-3 *736:B *741:A3 0.000338989
-4 *740:A *741:A3 7.92757e-06
-5 *740:B *741:A3 0.000111722
-*RES
-1 *740:Y *741:A3 24.9606
-*END
-
-*D_NET *194 0.00131586
-*CONN
-*I *743:B2 I *D sky130_fd_sc_hd__o22ai_2
-*I *742:X O *D sky130_fd_sc_hd__a2bb2o_2
-*CAP
-1 *743:B2 0.00031756
-2 *742:X 0.00031756
-3 *742:A1_N *743:B2 2.1846e-05
-4 *742:A2_N *743:B2 2.08274e-05
-5 *743:A2 *743:B2 5.98993e-05
-6 *843:D *743:B2 0.000465801
-7 *124:40 *743:B2 0.000112367
-*RES
-1 *742:X *743:B2 28.1436
-*END
-
-*D_NET *195 0.00204141
-*CONN
-*I *752:A2 I *D sky130_fd_sc_hd__a31o_2
-*I *746:A I *D sky130_fd_sc_hd__inv_2
-*I *745:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *752:A2 1.60516e-05
-2 *746:A 9.49325e-05
-3 *745:X 0.00039199
-4 *195:13 0.000502975
-5 *746:A *752:A3 4.88469e-05
-6 *195:13 *752:A3 9.536e-05
-7 *195:13 *753:B1 0.000357105
-8 *195:13 *207:41 0
-9 *745:C *195:13 4.81015e-05
-10 *752:A1 *195:13 6.92705e-05
-11 *753:C1 *195:13 6.24956e-05
-12 *839:RESET_B *195:13 0.00017419
-13 *90:51 *746:A 2.95999e-05
-14 *90:51 *752:A2 0
-15 *146:5 *746:A 0.000131277
-16 *146:5 *195:13 1.92172e-05
-*RES
-1 *745:X *195:13 30.6904
-2 *195:13 *746:A 12.3839
-3 *195:13 *752:A2 9.82786
-*END
-
-*D_NET *196 0.00378781
-*CONN
-*I *750:B I *D sky130_fd_sc_hd__and4_2
-*I *747:B I *D sky130_fd_sc_hd__nand2_2
-*I *749:A2 I *D sky130_fd_sc_hd__o221a_2
-*I *755:A2 I *D sky130_fd_sc_hd__a311o_2
-*I *746:Y O *D sky130_fd_sc_hd__inv_2
-*CAP
-1 *750:B 0.000235424
-2 *747:B 8.30016e-05
-3 *749:A2 0
-4 *755:A2 0
-5 *746:Y 0.000314216
-6 *196:25 0.000272206
-7 *196:12 0.000414679
-8 *196:9 0.000775115
-9 *747:B *747:A 0
-10 *747:B *197:7 0.000174803
-11 *747:B *208:11 0.000145722
-12 *196:12 *750:A 6.5545e-05
-13 *196:25 *750:A 3.44412e-06
-14 *196:25 *755:A3 2.1032e-05
-15 *196:25 *208:14 7.8293e-05
-16 *196:25 *209:22 5.93684e-05
-17 *840:D *747:B 6.92705e-05
-18 *855:D *750:B 7.92757e-06
-19 *855:D *196:9 4.12753e-05
-20 *855:RESET_B *196:9 0.000111081
-21 *855:RESET_B *196:12 0.00014899
-22 *855:RESET_B *196:25 3.98646e-06
-23 *126:37 *750:B 0.000313481
-24 *126:38 *196:12 3.31882e-05
-25 *126:61 *196:12 9.55049e-05
-26 *135:11 *196:9 0.000157469
-27 *162:13 *196:9 0.000162782
-*RES
-1 *746:Y *196:9 24.8233
-2 *196:9 *196:12 11.7303
-3 *196:12 *755:A2 13.7491
-4 *196:12 *196:25 9.31204
-5 *196:25 *749:A2 9.24915
-6 *196:25 *747:B 12.9385
-7 *196:9 *750:B 13.3002
-*END
-
-*D_NET *197 0.0011647
-*CONN
-*I *749:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *748:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *747:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *749:B2 0
-2 *748:A2 0.000127552
-3 *747:Y 0.000169273
-4 *197:7 0.000296825
-5 *748:A2 *209:22 1.47046e-05
-6 *748:A2 *209:30 3.05758e-05
-7 *747:B *197:7 0.000174803
-8 *749:C1 *748:A2 0.000228593
-9 *840:D *197:7 0.000122378
-*RES
-1 *747:Y *197:7 13.8789
-2 *197:7 *748:A2 13.3002
-3 *197:7 *749:B2 9.24915
-*END
-
-*D_NET *198 0.00309612
-*CONN
-*I *752:B1 I *D sky130_fd_sc_hd__a31o_2
-*I *754:A I *D sky130_fd_sc_hd__or2_2
-*I *750:X O *D sky130_fd_sc_hd__and4_2
-*CAP
-1 *752:B1 0.000120853
-2 *754:A 0.0003461
-3 *750:X 0.000161988
-4 *198:8 0.000628941
-5 *754:A *754:B 0.000102073
-6 *754:A *204:67 0
-7 *671:A *752:B1 0.00027329
-8 *671:B *754:A 1.44467e-05
-9 *671:B *198:8 1.09738e-05
-10 *686:A2 *752:B1 5.88657e-05
-11 *686:B1 *752:B1 1.65872e-05
-12 *753:A2 *754:A 0
-13 *838:D *754:A 0.000130777
-14 *115:26 *754:A 0
-15 *116:41 *752:B1 6.08467e-05
-16 *116:47 *752:B1 0.000107496
-17 *117:8 *198:8 2.33193e-05
-18 *117:20 *752:B1 0.000564236
-19 *126:30 *754:A 0.000101116
-20 *126:33 *198:8 0.000164815
-21 *127:32 *754:A 8.98943e-05
-22 *127:32 *198:8 8.37979e-05
-23 *146:5 *752:B1 3.57037e-05
-*RES
-1 *750:X *198:8 17.4137
-2 *198:8 *754:A 24.7167
-3 *198:8 *752:B1 20.5732
-*END
-
-*D_NET *199 0.000744834
-*CONN
-*I *752:A3 I *D sky130_fd_sc_hd__a31o_2
-*I *751:Y O *D sky130_fd_sc_hd__o21ai_2
-*CAP
-1 *752:A3 0.000207711
-2 *751:Y 0.000207711
-3 *746:A *752:A3 4.88469e-05
-4 *839:D *752:A3 6.89449e-05
-5 *135:11 *752:A3 1.43055e-05
-6 *146:5 *752:A3 0.000101954
-7 *195:13 *752:A3 9.536e-05
-*RES
-1 *751:Y *752:A3 32.9557
-*END
-
-*D_NET *200 0.000781979
-*CONN
-*I *754:B I *D sky130_fd_sc_hd__or2_2
-*I *753:X O *D sky130_fd_sc_hd__o221a_2
-*CAP
-1 *754:B 0.00022768
-2 *753:X 0.00022768
-3 *754:B *206:11 2.65831e-05
-4 *745:A *754:B 3.84001e-05
-5 *754:A *754:B 0.000102073
-6 *90:120 *754:B 3.14978e-05
-7 *115:26 *754:B 0.000128067
-*RES
-1 *753:X *754:B 34.6215
-*END
-
-*D_NET *201 0.00969077
-*CONN
-*I *759:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *762:A2 I *D sky130_fd_sc_hd__o21a_2
-*I *761:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *760:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *772:B I *D sky130_fd_sc_hd__or3_2
-*I *780:C I *D sky130_fd_sc_hd__and3_2
-*I *778:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *774:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *776:A2 I *D sky130_fd_sc_hd__o311a_2
-*I *758:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *759:A2 0
-2 *762:A2 0.000146953
-3 *761:A2 8.23441e-06
-4 *760:A2 9.23389e-05
-5 *772:B 0.000159375
-6 *780:C 0.000304409
-7 *778:A4 0
-8 *774:A3 4.90535e-05
-9 *776:A2 0
-10 *758:X 0.000292045
-11 *201:76 0.000262198
-12 *201:63 0.000397361
-13 *201:58 0.000408253
-14 *201:35 0.000532676
-15 *201:27 0.000208315
-16 *201:17 0.000331524
-17 *201:11 0.000331784
-18 *201:8 0.000691023
-19 *760:A2 *760:A3 2.41483e-05
-20 *760:A2 *911:TE_B 1.09551e-05
-21 *760:A2 *225:96 4.91225e-06
-22 *762:A2 *762:A1 0.000128033
-23 *762:A2 *286:13 0.000203756
-24 *772:B *778:A2 6.08467e-05
-25 *774:A3 *243:20 1.91391e-05
-26 *780:C *778:A2 9.45864e-05
-27 *780:C *780:A 2.15141e-05
-28 *780:C *225:206 1.1246e-05
-29 *201:8 *225:69 2.4815e-05
-30 *201:8 *225:135 2.78316e-05
-31 *201:8 *250:9 0.000120962
-32 *201:8 *258:9 0.000169108
-33 *201:11 *222:77 3.84937e-05
-34 *201:58 *759:A3 1.90218e-05
-35 *201:58 *225:135 4.3116e-06
-36 *201:63 *760:A3 0.000213725
-37 *201:63 *225:96 0.000111708
-38 *201:63 *225:105 1.44467e-05
-39 *201:76 *762:A1 2.19276e-05
-40 *201:76 *225:105 5.65074e-05
-41 *383:DIODE *201:8 0.000118166
-42 *760:B1 *760:A2 6.50586e-05
-43 *766:B *201:58 6.49003e-05
-44 *772:C *772:B 7.02442e-06
-45 *773:A3 *780:C 6.8327e-05
-46 *775:D *201:8 9.84167e-06
-47 *775:D *201:11 3.61993e-05
-48 *776:A3 *201:17 0.000264572
-49 *810:A1 *201:58 9.89523e-05
-50 *823:A0 *201:27 0.000158371
-51 *826:A0 *760:A2 2.41274e-06
-52 *826:A0 *201:63 1.77537e-06
-53 *829:A0 *201:11 0.000109364
-54 *829:S *201:11 6.08467e-05
-55 *5:200 *201:11 0.000197281
-56 *5:204 *201:11 0.000213676
-57 *13:12 *761:A2 3.51086e-06
-58 *15:5 *762:A2 4.68822e-05
-59 *15:5 *201:63 7.14746e-05
-60 *15:5 *201:76 0.000195154
-61 *44:13 *201:58 9.82896e-06
-62 *44:27 *201:58 0.000156343
-63 *44:27 *201:63 0.000262402
-64 *44:31 *760:A2 0
-65 *44:31 *201:63 1.96574e-05
-66 *55:22 *774:A3 3.21402e-05
-67 *118:49 *201:11 1.84293e-05
-68 *118:49 *201:17 9.82896e-06
-69 *121:8 *780:C 0.000110477
-70 *121:13 *772:B 1.15389e-05
-71 *121:13 *201:17 6.50727e-05
-72 *121:13 *201:27 0.000381912
-73 *121:13 *201:35 1.65872e-05
-74 *122:47 *774:A3 9.46387e-05
-75 *160:43 *201:58 0.000490324
-76 *160:43 *201:63 0.000215753
-77 *166:38 *780:C 1.41976e-05
-78 *166:41 *780:C 0.000170577
-79 *166:52 *780:C 0.000199738
-*RES
-1 *758:X *201:8 21.8422
-2 *201:8 *201:11 11.8786
-3 *201:11 *776:A2 9.24915
-4 *201:11 *201:17 4.05102
-5 *201:17 *774:A3 20.0811
-6 *201:17 *201:27 4.60562
-7 *201:27 *778:A4 9.24915
-8 *201:27 *201:35 1.30211
-9 *201:35 *780:C 27.6014
-10 *201:35 *772:B 11.6364
-11 *201:8 *201:58 12.438
-12 *201:58 *201:63 12.2103
-13 *201:63 *760:A2 16.1605
-14 *201:63 *201:76 4.2258
-15 *201:76 *761:A2 13.9421
-16 *201:76 *762:A2 18.3836
-17 *201:58 *759:A2 9.24915
-*END
-
-*D_NET *202 0.00176491
-*CONN
-*I *773:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *772:X O *D sky130_fd_sc_hd__or3_2
-*CAP
-1 *773:B1 0.000420833
-2 *772:X 0.000420833
-3 *773:B1 *222:50 2.534e-05
-4 *773:B1 *243:20 5.74984e-05
-5 *773:B1 *307:6 0.000167615
-6 *773:B1 *312:15 0.000385928
-7 *351:DIODE *773:B1 0
-8 *773:A3 *773:B1 2.19549e-05
-9 *773:C1 *773:B1 5.10036e-05
-10 *825:A0 *773:B1 0.000113968
-11 *5:324 *773:B1 6.99331e-05
-12 *5:329 *773:B1 3.00073e-05
-*RES
-1 *772:X *773:B1 40.9451
-*END
-
-*D_NET *203 0.000954705
-*CONN
-*I *776:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *775:X O *D sky130_fd_sc_hd__or4_2
-*CAP
-1 *776:B1 0.00039058
-2 *775:X 0.00039058
-3 *776:B1 *781:A2 7.98425e-06
-4 *776:B1 *781:A3 1.00937e-05
-5 *756:B *776:B1 8.42898e-05
-6 *775:A *776:B1 3.61993e-05
-7 *781:B1 *776:B1 4.30017e-06
-8 *817:A0 *776:B1 0
-9 *55:22 *776:B1 2.29287e-05
-10 *65:10 *776:B1 5.22654e-06
-11 *164:28 *776:B1 2.52287e-06
-*RES
-1 *775:X *776:B1 34.3512
-*END
-
-*D_NET *204 0.0306545
-*CONN
-*I *847:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *844:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *845:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *848:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *843:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *851:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *836:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *834:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *835:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *840:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *842:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *852:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *837:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *853:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *850:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *849:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *838:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *839:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *854:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *806:A I *D sky130_fd_sc_hd__buf_2
-*I *855:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *846:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *841:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *856:CLK I *D sky130_fd_sc_hd__dfrtp_2
-*I *942:Y O *D sky130_fd_sc_hd__clkinv_8
-*CAP
-1 *847:CLK 0
-2 *844:CLK 0
-3 *845:CLK 0.000200803
-4 *848:CLK 5.41691e-05
-5 *843:CLK 0
-6 *851:CLK 0.000558095
-7 *836:CLK 4.68729e-05
-8 *834:CLK 0
-9 *835:CLK 0.000131755
-10 *840:CLK 2.69375e-05
-11 *842:CLK 0.00010348
-12 *852:CLK 0
-13 *837:CLK 0.00074318
-14 *853:CLK 0.000176336
-15 *850:CLK 8.30535e-06
-16 *849:CLK 1.82006e-05
-17 *838:CLK 0
-18 *839:CLK 0
-19 *854:CLK 0
-20 *806:A 0.0001844
-21 *855:CLK 6.77012e-05
-22 *846:CLK 0.000348601
-23 *841:CLK 0
-24 *856:CLK 0.000673077
-25 *942:Y 0.000113285
-26 *204:250 0.000286087
-27 *204:213 0.000410952
-28 *204:211 0.000456673
-29 *204:209 0.000862059
-30 *204:207 0.000485888
-31 *204:206 0.00109302
-32 *204:200 0.00125391
-33 *204:178 0.000851751
-34 *204:167 0.000868423
-35 *204:127 0.000921801
-36 *204:114 0.00157578
-37 *204:102 0.000934862
-38 *204:82 0.00157549
-39 *204:67 0.00187617
-40 *204:60 0.0011138
-41 *204:52 0.00021308
-42 *204:51 0.000318654
-43 *204:47 0.00119627
-44 *204:40 0.000720023
-45 *204:11 0.00107015
-46 *204:7 0.000745673
-47 *204:5 0.000254971
-48 *836:CLK *220:9 2.85274e-05
-49 *837:CLK *750:C 0.000231729
-50 *837:CLK *753:B2 0.000114955
-51 *848:CLK *221:12 2.41274e-06
-52 *851:CLK *711:A 8.84017e-05
-53 *855:CLK *750:A 2.65667e-05
-54 *204:47 *646:A 5.0317e-05
-55 *204:51 *646:A 9.29919e-05
-56 *204:51 *205:20 0.000101224
-57 *204:67 *750:C 3.31733e-05
-58 *204:67 *753:B2 0.000313195
-59 *204:82 *677:A1 4.41793e-05
-60 *204:82 *677:A2 0.000114584
-61 *204:82 *207:17 6.52652e-05
-62 *204:82 *212:7 1.46263e-05
-63 *204:114 *206:7 9.32179e-05
-64 *204:206 *221:12 8.2383e-06
-65 *204:207 *227:21 0
-66 *204:209 *711:A 0
-67 *204:213 *666:A1 0
-68 *204:213 *219:19 0
-69 *332:DIODE *204:82 1.61631e-05
-70 *333:DIODE *806:A 6.31664e-05
-71 *664:A2 *204:51 1.8706e-05
-72 *665:B2 *853:CLK 0.000191541
-73 *666:A2 *204:127 2.65831e-05
-74 *677:B1 *204:82 0.000158357
-75 *692:A *856:CLK 5.24081e-05
-76 *696:A1 *856:CLK 6.50586e-05
-77 *711:C *204:200 3.78294e-05
-78 *712:A1 *842:CLK 1.6352e-05
-79 *712:A1 *204:167 0.000157785
-80 *712:A1 *204:178 1.37921e-05
-81 *716:B2 *204:207 0.000203781
-82 *725:B1 *204:200 0.000153404
-83 *728:B1 *204:200 0.000210005
-84 *743:B1 *845:CLK 5.66868e-06
-85 *743:B1 *204:206 0.000145053
-86 *743:B1 *204:207 7.02001e-05
-87 *743:B1 *204:250 1.65078e-05
-88 *744:B2 *204:200 4.20662e-05
-89 *745:B *204:67 0
-90 *748:A1 *856:CLK 1.03403e-05
-91 *748:A1 *204:11 7.11697e-05
-92 *753:A2 *204:67 0.000162951
-93 *754:A *204:67 0
-94 *790:A *204:40 0.00021243
-95 *793:A *845:CLK 5.07314e-05
-96 *800:A *204:47 0
-97 *834:D *835:CLK 8.62555e-05
-98 *834:RESET_B *835:CLK 7.15593e-05
-99 *834:RESET_B *204:213 9.22013e-06
-100 *837:RESET_B *837:CLK 5.52256e-05
-101 *839:D *204:67 7.70295e-05
-102 *840:D *204:178 2.65831e-05
-103 *840:RESET_B *204:47 6.66538e-05
-104 *841:RESET_B *204:11 0
-105 *844:RESET_B *845:CLK 0
-106 *846:D *846:CLK 2.89269e-05
-107 *846:RESET_B *846:CLK 3.01634e-05
-108 *847:D *204:47 5.04829e-06
-109 *847:RESET_B *204:40 5.56461e-05
-110 *847:RESET_B *204:47 2.50864e-05
-111 *848:D *204:200 2.63247e-05
-112 *848:D *204:250 0
-113 *850:D *204:127 2.69064e-05
-114 *851:D *851:CLK 6.08467e-05
-115 *851:RESET_B *204:209 0
-116 *851:RESET_B *204:211 0
-117 *851:RESET_B *204:213 0
-118 *854:D *204:82 0.000250884
-119 *856:D *856:CLK 0.000171456
-120 *29:8 *853:CLK 0
-121 *29:8 *204:127 0
-122 *29:8 *204:209 0
-123 *38:8 *835:CLK 4.30017e-06
-124 *90:22 *846:CLK 0
-125 *90:24 *204:11 0
-126 *90:82 *204:167 8.06202e-05
-127 *90:155 *835:CLK 1.46079e-05
-128 *90:167 *204:213 0
-129 *90:179 *204:213 0
-130 *90:239 *204:5 0.000171288
-131 *90:245 *204:5 0.000102003
-132 *90:245 *204:40 6.50727e-05
-133 *112:13 *856:CLK 0.000280597
-134 *113:8 *856:CLK 2.33334e-05
-135 *115:26 *204:67 0.00016352
-136 *116:16 *837:CLK 4.88617e-05
-137 *117:8 *204:51 0.000149643
-138 *118:24 *204:200 0.000222149
-139 *126:11 *850:CLK 1.09551e-05
-140 *126:20 *853:CLK 6.77777e-06
-141 *126:20 *204:127 0.000116804
-142 *126:25 *204:127 1.87482e-05
-143 *126:30 *204:114 5.74686e-05
-144 *126:30 *204:127 2.63421e-05
-145 *126:33 *204:52 0.000144695
-146 *126:37 *855:CLK 5.97411e-05
-147 *126:37 *204:52 4.56831e-05
-148 *127:7 *850:CLK 0
-149 *127:32 *853:CLK 2.15184e-05
-150 *127:32 *204:51 4.87198e-05
-151 *127:32 *204:67 0
-152 *127:116 *853:CLK 0.000113583
-153 *137:10 *204:82 6.50586e-05
-154 *152:8 *856:CLK 0.000317707
-155 *155:20 *837:CLK 0.000200356
-156 *156:7 *856:CLK 0.000158027
-157 *156:7 *204:11 0.000409852
-158 *156:10 *204:47 0
-159 *156:10 *204:178 0
-160 *157:8 *204:178 0
-161 *157:12 *204:178 9.34396e-06
-162 *157:105 *845:CLK 0
-163 *171:43 *204:200 1.82679e-05
-164 *171:43 *204:206 1.71232e-05
-165 *172:8 *204:207 0.000197462
-166 *172:8 *204:209 5.41377e-05
-167 *180:10 *204:200 0
-*RES
-1 *942:Y *204:5 12.7456
-2 *204:5 *204:7 4.5
-3 *204:7 *204:11 17.7294
-4 *204:11 *856:CLK 35.1331
-5 *204:11 *841:CLK 9.24915
-6 *204:7 *846:CLK 22.263
-7 *204:5 *204:40 5.16022
-8 *204:40 *204:47 17.4583
-9 *204:47 *204:51 10.0693
-10 *204:51 *204:52 4.60562
-11 *204:52 *855:CLK 11.0817
-12 *204:52 *204:60 4.5
-13 *204:60 *204:67 15.9561
-14 *204:67 *204:82 30.4309
-15 *204:82 *806:A 23.0201
-16 *204:82 *854:CLK 9.24915
-17 *204:67 *839:CLK 9.24915
-18 *204:67 *204:102 2.38721
-19 *204:102 *838:CLK 9.24915
-20 *204:102 *204:114 14.5161
-21 *204:114 *849:CLK 9.82786
-22 *204:114 *204:127 16.7887
-23 *204:127 *850:CLK 14.0506
-24 *204:127 *853:CLK 19.2091
-25 *204:60 *837:CLK 28.9959
-26 *204:51 *852:CLK 9.24915
-27 *204:47 *204:167 16.3786
-28 *204:167 *842:CLK 15.9964
-29 *204:167 *204:178 12.0412
-30 *204:178 *840:CLK 9.82786
-31 *204:178 *204:200 22.9403
-32 *204:200 *204:206 16.1949
-33 *204:206 *204:207 9.30653
-34 *204:207 *204:209 4.32351
-35 *204:209 *204:211 2.6625
-36 *204:211 *204:213 6.39977
-37 *204:213 *835:CLK 18.5201
-38 *204:213 *834:CLK 13.7491
-39 *204:211 *836:CLK 15.0271
-40 *204:209 *851:CLK 22.671
-41 *204:207 *843:CLK 13.7491
-42 *204:206 *204:250 1.00149
-43 *204:250 *848:CLK 15.0271
-44 *204:250 *845:CLK 18.5201
-45 *204:200 *844:CLK 9.24915
-46 *204:40 *847:CLK 9.24915
-*END
-
-*D_NET *205 0.00312228
-*CONN
-*I *646:A I *D sky130_fd_sc_hd__inv_2
-*I *664:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *753:B2 I *D sky130_fd_sc_hd__o221a_2
-*I *837:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *646:A 0.000108869
-2 *664:B1 2.26212e-05
-3 *753:B2 0.000321697
-4 *837:Q 0
-5 *205:20 0.000319452
-6 *205:4 0.000509659
-7 *753:B2 *753:B1 3.72254e-05
-8 *712:A2 *664:B1 1.29759e-05
-9 *712:A2 *753:B2 0.000158371
-10 *712:A2 *205:20 0.00027103
-11 *753:A2 *753:B2 3.75603e-05
-12 *837:CLK *753:B2 0.000114955
-13 *839:D *753:B2 7.50722e-05
-14 *116:16 *753:B2 0.000294805
-15 *116:20 *753:B2 1.83627e-05
-16 *127:32 *205:20 7.95572e-05
-17 *127:44 *646:A 8.5976e-05
-18 *127:44 *205:20 3.55179e-05
-19 *155:20 *664:B1 6.08467e-05
-20 *204:47 *646:A 5.0317e-05
-21 *204:51 *646:A 9.29919e-05
-22 *204:51 *205:20 0.000101224
-23 *204:67 *753:B2 0.000313195
-*RES
-1 *837:Q *205:4 9.24915
-2 *205:4 *753:B2 29.1312
-3 *205:4 *205:20 9.27381
-4 *205:20 *664:B1 14.4725
-5 *205:20 *646:A 17.1444
-*END
-
-*D_NET *206 0.00588753
-*CONN
-*I *673:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *672:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *663:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *672:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *645:A I *D sky130_fd_sc_hd__inv_2
-*I *753:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *838:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *673:A1 0.000192642
-2 *672:B1 0
-3 *663:B1 0.000233361
-4 *672:A1_N 0
-5 *645:A 3.5247e-05
-6 *753:B1 0.00041687
-7 *838:Q 4.53787e-05
-8 *206:42 0.000329404
-9 *206:36 0.000116205
-10 *206:33 0.000347581
-11 *206:11 0.000872965
-12 *206:7 0.000601003
-13 *663:B1 *663:A1 0.000148489
-14 *673:A1 *672:A2_N 5.92342e-05
-15 *673:A1 *673:A2 9.27195e-05
-16 *673:A1 *211:8 0.000114955
-17 *206:33 *672:A2_N 5.92192e-05
-18 *206:42 *663:A1 2.29454e-05
-19 *206:42 *211:18 9.82435e-05
-20 *663:A2 *663:B1 1.67329e-05
-21 *673:B2 *673:A1 0.000146645
-22 *745:A *753:B1 6.1028e-05
-23 *745:B *753:B1 0.000199884
-24 *745:C *645:A 0
-25 *745:C *753:B1 6.92705e-05
-26 *751:A1 *753:B1 1.86988e-05
-27 *751:A2 *753:B1 0.000107496
-28 *753:B2 *753:B1 3.72254e-05
-29 *753:C1 *753:B1 5.41227e-05
-30 *754:B *206:11 2.65831e-05
-31 *798:A *753:B1 2.88836e-05
-32 *838:RESET_B *206:11 0.000100489
-33 *838:RESET_B *206:33 1.78514e-05
-34 *839:RESET_B *753:B1 1.1246e-05
-35 *853:D *663:B1 7.98425e-06
-36 *90:110 *663:B1 0.00031994
-37 *90:114 *206:11 0.000103943
-38 *90:120 *753:B1 1.00937e-05
-39 *90:120 *206:11 0.000104887
-40 *115:26 *753:B1 7.35836e-08
-41 *126:30 *673:A1 0
-42 *126:30 *206:7 0.000122392
-43 *126:30 *206:33 0
-44 *132:9 *673:A1 7.66539e-05
-45 *132:9 *206:33 8.62625e-06
-46 *195:13 *753:B1 0.000357105
-47 *204:114 *206:7 9.32179e-05
-*RES
-1 *838:Q *206:7 16.691
-2 *206:7 *206:11 16.205
-3 *206:11 *753:B1 32.485
-4 *206:11 *645:A 10.2378
-5 *206:7 *206:33 3.493
-6 *206:33 *206:36 4.84964
-7 *206:36 *672:A1_N 9.24915
-8 *206:36 *206:42 3.31557
-9 *206:42 *663:B1 17.2065
-10 *206:42 *672:B1 9.24915
-11 *206:33 *673:A1 20.4599
-*END
-
-*D_NET *207 0.00297805
-*CONN
-*I *644:A I *D sky130_fd_sc_hd__inv_2
-*I *675:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *662:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *670:A I *D sky130_fd_sc_hd__nor2_2
-*I *677:A1 I *D sky130_fd_sc_hd__a21oi_2
-*I *839:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *644:A 0
-2 *675:A1_N 4.78175e-05
-3 *662:B1 7.01979e-05
-4 *670:A 0.000162084
-5 *677:A1 0.00011824
-6 *839:Q 0
-7 *207:41 0.000227831
-8 *207:21 0.000410295
-9 *207:17 0.000502928
-10 *207:4 0.000263142
-11 *662:B1 *212:14 4.38386e-05
-12 *670:A *670:B 2.50842e-05
-13 *677:A1 *677:A2 0.000111802
-14 *677:A1 *212:7 4.58003e-05
-15 *662:A2 *207:41 0
-16 *662:B2 *670:A 0.000351506
-17 *662:B2 *207:21 7.24048e-05
-18 *745:C *207:21 2.36494e-05
-19 *745:C *207:41 6.23338e-05
-20 *854:D *670:A 0.000205006
-21 *130:8 *662:B1 0
-22 *135:11 *675:A1_N 2.7837e-05
-23 *144:18 *675:A1_N 9.68043e-05
-24 *195:13 *207:41 0
-25 *204:82 *677:A1 4.41793e-05
-26 *204:82 *207:17 6.52652e-05
-*RES
-1 *839:Q *207:4 9.24915
-2 *207:4 *677:A1 13.2037
-3 *207:4 *207:17 6.88721
-4 *207:17 *207:21 8.7192
-5 *207:21 *670:A 14.8434
-6 *207:21 *662:B1 19.6659
-7 *207:17 *207:41 8.82351
-8 *207:41 *675:A1_N 11.2626
-9 *207:41 *644:A 9.24915
-*END
-
-*D_NET *208 0.00556179
-*CONN
-*I *747:A I *D sky130_fd_sc_hd__nand2_2
-*I *749:A1 I *D sky130_fd_sc_hd__o221a_2
-*I *691:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *669:A1 I *D sky130_fd_sc_hd__a21o_2
-*I *661:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *668:A I *D sky130_fd_sc_hd__nor2_2
-*I *755:A1 I *D sky130_fd_sc_hd__a311o_2
-*I *750:A I *D sky130_fd_sc_hd__and4_2
-*I *840:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *747:A 1.33251e-05
-2 *749:A1 0
-3 *691:A1_N 0
-4 *669:A1 0
-5 *661:B1 0.000148653
-6 *668:A 3.02589e-05
-7 *755:A1 0
-8 *750:A 0.000278899
-9 *840:Q 0.00010876
-10 *208:52 0.000505524
-11 *208:49 0.000423396
-12 *208:42 0.000251613
-13 *208:30 0.000195055
-14 *208:14 0.000453638
-15 *208:11 0.000254924
-16 *208:7 0.000242496
-17 *208:11 *209:30 0
-18 *208:14 *209:8 0.000163567
-19 *208:30 *209:11 9.81288e-06
-20 *208:42 *209:11 0.000110458
-21 *208:49 *691:A2_N 7.26748e-05
-22 *208:52 *668:B 3.8485e-05
-23 clockp[1] *661:B1 0.000225835
-24 *691:B1 *208:49 0.000118017
-25 *691:B1 *208:52 2.16355e-05
-26 *747:B *747:A 0
-27 *747:B *208:11 0.000145722
-28 *755:B1 *208:30 3.52355e-05
-29 *755:B1 *208:42 3.42102e-05
-30 *755:C1 *208:30 1.7012e-06
-31 *840:D *208:7 0.000120742
-32 *840:D *208:11 4.82966e-05
-33 *855:CLK *750:A 2.65667e-05
-34 *90:58 *208:42 1.65872e-05
-35 *116:16 *750:A 0.000108029
-36 *116:16 *208:14 2.24632e-05
-37 *126:37 *750:A 1.00937e-05
-38 *126:61 *750:A 3.20069e-06
-39 *126:87 *208:49 1.59966e-05
-40 *127:54 *208:30 0.000118636
-41 *127:54 *208:42 0.000474608
-42 *127:54 *208:49 0.000211961
-43 *127:55 *661:B1 0
-44 *128:5 *208:52 8.85759e-05
-45 *135:11 *208:42 0.000140251
-46 *135:11 *208:49 8.3864e-05
-47 *135:19 *208:49 1.41976e-05
-48 *155:20 *668:A 2.16355e-05
-49 *155:20 *208:52 4.91225e-06
-50 *196:12 *750:A 6.5545e-05
-51 *196:25 *750:A 3.44412e-06
-52 *196:25 *208:14 7.8293e-05
-*RES
-1 *840:Q *208:7 12.7697
-2 *208:7 *208:11 3.52053
-3 *208:11 *208:14 8.82351
-4 *208:14 *750:A 20.7599
-5 *208:14 *208:30 6.35672
-6 *208:30 *755:A1 9.24915
-7 *208:30 *208:42 8.1382
-8 *208:42 *208:49 13.6465
-9 *208:49 *208:52 5.59426
-10 *208:52 *668:A 9.97254
-11 *208:52 *661:B1 22.1574
-12 *208:49 *669:A1 9.24915
-13 *208:42 *691:A1_N 9.24915
-14 *208:11 *749:A1 9.24915
-15 *208:7 *747:A 9.82786
-*END
-
-*D_NET *209 0.00579962
-*CONN
-*I *750:C I *D sky130_fd_sc_hd__and4_2
-*I *642:A I *D sky130_fd_sc_hd__inv_2
-*I *658:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *690:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *749:B1 I *D sky130_fd_sc_hd__o221a_2
-*I *755:A3 I *D sky130_fd_sc_hd__a311o_2
-*I *841:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *750:C 0.000297799
-2 *642:A 0.000202998
-3 *658:B1 0
-4 *690:A1 0.000264827
-5 *749:B1 0
-6 *755:A3 2.73514e-05
-7 *841:Q 0.000216455
-8 *209:34 0.000283815
-9 *209:30 0.000514235
-10 *209:22 0.000377426
-11 *209:11 0.000161436
-12 *209:8 0.000563163
-13 *642:A *643:A 3.66465e-05
-14 *642:A *690:A2 3.5534e-06
-15 *642:A *942:A 0
-16 *642:A *214:8 3.1709e-05
-17 *658:A2 *642:A 2.95757e-05
-18 *658:A2 *690:A1 6.37047e-05
-19 *658:A2 *209:30 6.08467e-05
-20 *658:A2 *209:34 3.02961e-05
-21 *658:B2 *690:A1 3.96305e-06
-22 *658:B2 *209:34 1.09551e-05
-23 *690:B1 *690:A1 4.17481e-05
-24 *690:B2 *690:A1 4.69454e-05
-25 *693:A1 *690:A1 0
-26 *748:A2 *209:22 1.47046e-05
-27 *748:A2 *209:30 3.05758e-05
-28 *748:B1 *209:30 2.57986e-05
-29 *749:C1 *209:30 0.000344708
-30 *755:B1 *750:C 4.76794e-05
-31 *755:B1 *209:11 0.000111352
-32 *800:A *750:C 5.23435e-05
-33 *800:A *209:8 5.79027e-06
-34 *837:RESET_B *750:C 9.43419e-05
-35 *837:CLK *750:C 0.000231729
-36 *841:D *642:A 2.0517e-05
-37 *855:RESET_B *755:A3 5.23577e-05
-38 *855:RESET_B *209:22 2.219e-05
-39 *90:24 *209:8 0
-40 *90:24 *209:22 0.000148144
-41 *90:58 *750:C 0.000160047
-42 *90:58 *209:11 0.000253367
-43 *90:70 *750:C 4.66492e-05
-44 *112:8 *642:A 0.000195154
-45 *116:5 *750:C 0.000157107
-46 *116:16 *750:C 1.90679e-05
-47 *127:32 *750:C 0
-48 *127:90 *690:A1 2.6813e-05
-49 *127:90 *209:30 1.92172e-05
-50 *127:90 *209:34 5.31074e-05
-51 *196:25 *755:A3 2.1032e-05
-52 *196:25 *209:22 5.93684e-05
-53 *204:67 *750:C 3.31733e-05
-54 *208:11 *209:30 0
-55 *208:14 *209:8 0.000163567
-56 *208:30 *209:11 9.81288e-06
-57 *208:42 *209:11 0.000110458
-*RES
-1 *841:Q *209:8 23.4032
-2 *209:8 *209:11 7.44181
-3 *209:11 *755:A3 14.7506
-4 *209:11 *209:22 7.75257
-5 *209:22 *749:B1 9.24915
-6 *209:22 *209:30 8.50593
-7 *209:30 *209:34 1.30211
-8 *209:34 *690:A1 16.893
-9 *209:34 *658:B1 9.24915
-10 *209:30 *642:A 24.6489
-11 *209:8 *750:C 28.2819
-*END
-
-*D_NET *210 0.00160652
-*CONN
-*I *664:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *647:A I *D sky130_fd_sc_hd__inv_2
-*I *852:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *664:A1 6.82194e-05
-2 *647:A 0
-3 *852:Q 0.000402591
-4 *210:10 0.00047081
-5 *664:A2 *664:A1 1.67329e-05
-6 *664:A2 *210:10 0
-7 *712:A2 *664:A1 0.000157962
-8 *785:A *664:A1 1.63255e-05
-9 *842:D *210:10 7.58194e-05
-10 *852:D *210:10 0
-11 *852:RESET_B *210:10 3.58457e-05
-12 *155:20 *664:A1 0.000362216
-*RES
-1 *852:Q *210:10 28.0116
-2 *210:10 *647:A 9.24915
-3 *210:10 *664:A1 13.8789
-*END
-
-*D_NET *211 0.00233987
-*CONN
-*I *673:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *663:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *672:B2 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *672:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *853:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *673:A2 0.000136004
-2 *663:A1 0.000257246
-3 *672:B2 0
-4 *672:A2_N 5.10461e-05
-5 *853:Q 2.91477e-05
-6 *211:18 0.000339109
-7 *211:8 0.000211326
-8 *211:5 0.000243568
-9 *663:A2 *663:A1 5.94977e-06
-10 *663:B1 *663:A1 0.000148489
-11 *673:A1 *672:A2_N 5.92342e-05
-12 *673:A1 *673:A2 9.27195e-05
-13 *673:A1 *211:8 0.000114955
-14 *853:D *663:A1 0.000171273
-15 *853:RESET_B *663:A1 6.78596e-05
-16 *853:RESET_B *211:8 0
-17 *126:11 *663:A1 0
-18 *127:7 *663:A1 3.01683e-06
-19 *127:32 *673:A2 0.000110342
-20 *127:32 *211:5 0.00011818
-21 *206:33 *672:A2_N 5.92192e-05
-22 *206:42 *663:A1 2.29454e-05
-23 *206:42 *211:18 9.82435e-05
-*RES
-1 *853:Q *211:5 10.5271
-2 *211:5 *211:8 6.74725
-3 *211:8 *672:A2_N 15.5811
-4 *211:8 *211:18 6.88721
-5 *211:18 *672:B2 9.24915
-6 *211:18 *663:A1 17.6164
-7 *211:5 *673:A2 14.1019
-*END
-
-*D_NET *212 0.00258108
-*CONN
-*I *675:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *677:A2 I *D sky130_fd_sc_hd__a21oi_2
-*I *662:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *670:B I *D sky130_fd_sc_hd__nor2_2
-*I *854:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *675:A2_N 0.000107604
-2 *677:A2 1.8268e-05
-3 *662:A1 0
-4 *670:B 0.000164325
-5 *854:Q 0.000315325
-6 *212:33 0.000258488
-7 *212:14 0.000308097
-8 *212:7 0.000591712
-9 *662:A2 *670:B 9.2172e-05
-10 *662:A2 *675:A2_N 0
-11 *662:A2 *212:14 4.4647e-05
-12 *662:A2 *212:33 1.6594e-05
-13 *662:B1 *212:14 4.38386e-05
-14 *662:B2 *670:B 9.79132e-05
-15 *670:A *670:B 2.50842e-05
-16 *677:A1 *677:A2 0.000111802
-17 *677:A1 *212:7 4.58003e-05
-18 *677:B1 *212:7 3.024e-05
-19 *854:D *670:B 1.00937e-05
-20 *854:D *212:7 5.04829e-06
-21 *130:8 *670:B 0.000164815
-22 *204:82 *677:A2 0.000114584
-23 *204:82 *212:7 1.46263e-05
-*RES
-1 *854:Q *212:7 18.3548
-2 *212:7 *212:14 8.06628
-3 *212:14 *670:B 15.0122
-4 *212:14 *662:A1 9.24915
-5 *212:7 *212:33 2.88234
-6 *212:33 *677:A2 15.0271
-7 *212:33 *675:A2_N 15.9964
-*END
-
-*D_NET *213 0.0027532
-*CONN
-*I *691:A2_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *669:A2 I *D sky130_fd_sc_hd__a21o_2
-*I *668:B I *D sky130_fd_sc_hd__nor2_2
-*I *661:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *855:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *691:A2_N 0.000147175
-2 *669:A2 0
-3 *668:B 8.27281e-05
-4 *661:A1 0.000292764
-5 *855:Q 0
-6 *213:27 0.000167823
-7 *213:16 0.000210964
-8 *213:4 0.000400351
-9 *661:A2 *661:A1 2.02722e-05
-10 *661:B2 *661:A1 1.88157e-05
-11 *691:B1 *668:B 5.08751e-05
-12 *691:B1 *691:A2_N 5.70488e-06
-13 *691:B1 *213:27 1.37566e-05
-14 *855:D *661:A1 9.91804e-05
-15 *855:D *213:16 2.41483e-05
-16 *39:11 *213:16 2.7961e-05
-17 *39:11 *213:27 2.41999e-06
-18 *126:65 *213:16 8.62625e-06
-19 *126:87 *691:A2_N 9.78191e-05
-20 *126:87 *213:16 0.000109859
-21 *126:87 *213:27 5.77203e-05
-22 *127:54 *691:A2_N 0.000161243
-23 *128:5 *668:B 0.000217923
-24 *155:20 *668:B 0.000423908
-25 *208:49 *691:A2_N 7.26748e-05
-26 *208:52 *668:B 3.8485e-05
-*RES
-1 *855:Q *213:4 9.24915
-2 *213:4 *661:A1 14.6987
-3 *213:4 *213:16 7.47064
-4 *213:16 *668:B 18.9335
-5 *213:16 *213:27 1.00149
-6 *213:27 *669:A2 13.7491
-7 *213:27 *691:A2_N 17.4137
-*END
-
-*D_NET *214 0.00284633
-*CONN
-*I *643:A I *D sky130_fd_sc_hd__inv_2
-*I *658:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *690:A2 I *D sky130_fd_sc_hd__a22o_2
-*I *856:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *643:A 0.000222208
-2 *658:A1 0
-3 *690:A2 0.000469408
-4 *856:Q 0.000138913
-5 *214:8 0.000589648
-6 *214:7 0.000481362
-7 *643:A *942:A 0
-8 *325:DIODE *643:A 2.15184e-05
-9 *642:A *643:A 3.66465e-05
-10 *642:A *690:A2 3.5534e-06
-11 *642:A *214:8 3.1709e-05
-12 *658:A2 *690:A2 2.05342e-06
-13 *659:B *643:A 6.50586e-05
-14 *690:B2 *690:A2 1.16623e-05
-15 *692:A *690:A2 2.63201e-05
-16 *693:A2 *690:A2 6.50586e-05
-17 *693:B1_N *690:A2 0
-18 *856:D *214:7 5.04829e-06
-19 *4:10 *643:A 0
-20 *11:10 *643:A 1.77537e-06
-21 *39:11 *643:A 0.000193093
-22 *39:11 *690:A2 1.91391e-05
-23 *39:11 *214:8 0.000261984
-24 *112:8 *643:A 8.62625e-06
-25 *112:8 *214:8 0.000191541
-*RES
-1 *856:Q *214:7 15.5817
-2 *214:7 *214:8 5.15401
-3 *214:8 *690:A2 23.3831
-4 *214:8 *658:A1 13.7491
-5 *214:7 *643:A 20.4599
-*END
-
-*D_NET *215 0.00132566
-*CONN
-*I *835:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *834:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *835:D 0.000619731
-2 *834:Q 0.000619731
-3 *834:D *835:D 5.88662e-05
-4 *834:RESET_B *835:D 2.73369e-05
-5 *835:RESET_B *835:D 0
-*RES
-1 *834:Q *835:D 37.6788
-*END
-
-*D_NET *216 0.00178966
-*CONN
-*I *656:B1 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *656:A1_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *836:D I *D sky130_fd_sc_hd__dfrtp_2
-*I *835:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *656:B1 0
-2 *656:A1_N 0
-3 *836:D 0.000391017
-4 *835:Q 0.000248966
-5 *216:15 0.000511651
-6 *216:9 0.0003696
-7 *836:D *217:9 0
-8 *836:D *220:9 4.30017e-06
-9 *216:9 *217:9 2.65667e-05
-10 *216:15 *656:A2_N 9.07495e-05
-11 *216:15 *217:9 2.41483e-05
-12 *90:179 *836:D 0.000117613
-13 *126:11 *836:D 5.04829e-06
-*RES
-1 *835:Q *216:9 24.1266
-2 *216:9 *216:15 3.80989
-3 *216:15 *836:D 27.5052
-4 *216:15 *656:A1_N 9.24915
-5 *216:9 *656:B1 9.24915
-*END
-
-*D_NET *217 0.000554581
-*CONN
-*I *656:B2 I *D sky130_fd_sc_hd__a2bb2o_2
-*I *656:A2_N I *D sky130_fd_sc_hd__a2bb2o_2
-*I *836:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *656:B2 0
-2 *656:A2_N 6.68917e-05
-3 *836:Q 0.000139666
-4 *217:9 0.000206558
-5 *836:D *217:9 0
-6 *216:9 *217:9 2.65667e-05
-7 *216:15 *656:A2_N 9.07495e-05
-8 *216:15 *217:9 2.41483e-05
-*RES
-1 *836:Q *217:9 21.7744
-2 *217:9 *656:A2_N 11.6605
-3 *217:9 *656:B2 9.24915
-*END
-
-*D_NET *218 0.00287759
-*CONN
-*I *666:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *704:D I *D sky130_fd_sc_hd__and4_2
-*I *667:A I *D sky130_fd_sc_hd__or2_2
-*I *849:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *666:B1 0
-2 *704:D 0.000182145
-3 *667:A 0.000565928
-4 *849:Q 0
-5 *218:19 0.000329724
-6 *218:4 0.000713507
-7 *704:D *665:A1 6.50727e-05
-8 *704:D *665:B1 6.50727e-05
-9 *218:19 *666:A1 3.14978e-05
-10 *666:A2 *218:19 0.000246924
-11 *666:B2 *704:D 0.00017046
-12 *667:B *667:A 0.000175485
-13 *29:8 *667:A 0
-14 *29:8 *704:D 0.000172165
-15 *90:134 *667:A 4.82966e-05
-16 *90:142 *667:A 8.07303e-05
-17 *90:167 *218:19 0
-18 *126:20 *667:A 3.67528e-06
-19 *126:25 *667:A 1.82832e-05
-20 *127:129 *704:D 8.62625e-06
-*RES
-1 *849:Q *218:4 9.24915
-2 *218:4 *667:A 29.4208
-3 *218:4 *218:19 4.62973
-4 *218:19 *704:D 25.7876
-5 *218:19 *666:B1 9.24915
-*END
-
-*D_NET *219 0.00245493
-*CONN
-*I *704:A I *D sky130_fd_sc_hd__and4_2
-*I *665:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *666:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *850:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *704:A 2.48228e-05
-2 *665:A1 0.000213143
-3 *666:A1 0.000199794
-4 *850:Q 0.000222153
-5 *219:19 0.000383337
-6 *219:7 0.000567318
-7 *665:A1 *665:B1 4.98561e-05
-8 *665:A1 *220:9 1.00846e-05
-9 *704:A *220:9 1.43983e-05
-10 *666:B2 *666:A1 9.2346e-06
-11 *666:B2 *219:19 0.000108726
-12 *704:B *665:A1 6.50727e-05
-13 *704:D *665:A1 6.50727e-05
-14 *834:RESET_B *666:A1 3.20069e-06
-15 *850:RESET_B *666:A1 7.86847e-05
-16 *126:11 *219:7 7.88559e-05
-17 *127:116 *665:A1 0.000107041
-18 *127:129 *665:A1 0.000222631
-19 *204:213 *666:A1 0
-20 *204:213 *219:19 0
-21 *218:19 *666:A1 3.14978e-05
-*RES
-1 *850:Q *219:7 17.2456
-2 *219:7 *666:A1 18.523
-3 *219:7 *219:19 8.82351
-4 *219:19 *665:A1 16.0973
-5 *219:19 *704:A 9.97254
-*END
-
-*D_NET *220 0.00204244
-*CONN
-*I *704:C I *D sky130_fd_sc_hd__and4_2
-*I *665:B1 I *D sky130_fd_sc_hd__a22o_2
-*I *851:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *704:C 0
-2 *665:B1 0.000202872
-3 *851:Q 0.000475899
-4 *220:9 0.000678771
-5 *665:A1 *665:B1 4.98561e-05
-6 *665:A1 *220:9 1.00846e-05
-7 *704:A *220:9 1.43983e-05
-8 *704:B *665:B1 1.41976e-05
-9 *704:B *220:9 2.15348e-05
-10 *704:D *665:B1 6.50727e-05
-11 *712:C1 *665:B1 0.000111708
-12 *836:D *220:9 4.30017e-06
-13 *836:CLK *220:9 2.85274e-05
-14 *851:RESET_B *220:9 7.50872e-05
-15 *90:179 *220:9 0
-16 *127:116 *665:B1 5.61116e-05
-17 *127:129 *665:B1 0.000234021
-*RES
-1 *851:Q *220:9 25.9353
-2 *220:9 *665:B1 15.5427
-3 *220:9 *704:C 9.24915
-*END
-
-*D_NET *221 0.00624236
-*CONN
-*I *705:B I *D sky130_fd_sc_hd__or2_2
-*I *778:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *737:A0 I *D sky130_fd_sc_hd__mux2_1
-*I *652:A I *D sky130_fd_sc_hd__inv_2
-*I *718:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *741:B1 I *D sky130_fd_sc_hd__a32o_2
-*I *844:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *705:B 9.55995e-05
-2 *778:A2 0.000253173
-3 *737:A0 4.45933e-05
-4 *652:A 7.51092e-05
-5 *718:A1 0
-6 *741:B1 5.90433e-06
-7 *844:Q 0.000548964
-8 *221:55 0.000612957
-9 *221:46 0.000376928
-10 *221:33 0.000312568
-11 *221:23 0.00026528
-12 *221:12 0.000650839
-13 *705:B *780:A 1.05504e-05
-14 *778:A2 *222:50 8.47153e-05
-15 *778:A2 *225:187 2.88179e-05
-16 *778:A2 *225:206 0
-17 *221:55 *873:A 4.86366e-05
-18 *221:55 *225:33 2.50181e-05
-19 *221:55 *225:187 1.74552e-05
-20 *706:A *778:A2 6.34599e-05
-21 *706:A *221:55 2.25867e-05
-22 *718:A2 *221:33 0
-23 *718:B1 *221:33 8.90486e-05
-24 *718:B1 *221:46 0
-25 *718:B2 *221:23 5.22654e-06
-26 *718:B2 *221:33 6.08467e-05
-27 *736:B *741:B1 2.43387e-05
-28 *737:A1 *221:33 0.000122098
-29 *737:S *652:A 3.82228e-05
-30 *738:B1 *221:23 7.14746e-05
-31 *738:B1 *221:33 1.00981e-05
-32 *738:C1 *221:33 0.000113374
-33 *743:B1 *221:12 0.000189542
-34 *772:A *778:A2 2.26567e-05
-35 *772:B *778:A2 6.08467e-05
-36 *773:A3 *778:A2 4.79289e-05
-37 *778:A1 *778:A2 1.65872e-05
-38 *780:C *778:A2 9.45864e-05
-39 *809:A0 *652:A 0.000373061
-40 *809:A0 *737:A0 6.08467e-05
-41 *848:CLK *221:12 2.41274e-06
-42 *29:8 *221:12 3.54287e-05
-43 *29:8 *221:23 3.61659e-05
-44 *29:8 *221:33 2.08194e-05
-45 *29:8 *221:46 3.51288e-06
-46 *29:8 *221:55 0
-47 *121:8 *778:A2 0.00011191
-48 *121:13 *778:A2 1.41976e-05
-49 *122:7 *652:A 6.44576e-05
-50 *122:8 *221:33 1.55462e-05
-51 *122:38 *221:33 9.22013e-06
-52 *122:38 *221:46 4.3937e-05
-53 *122:38 *221:55 0.000362153
-54 *157:73 *221:33 0.000122972
-55 *157:98 *221:33 0.000193069
-56 *164:17 *778:A2 2.47663e-05
-57 *170:63 *221:12 0.000139968
-58 *170:63 *221:23 4.33979e-05
-59 *173:11 *741:B1 2.43387e-05
-60 *173:26 *221:23 2.19131e-05
-61 *204:206 *221:12 8.2383e-06
-*RES
-1 *844:Q *221:12 24.1395
-2 *221:12 *741:B1 14.0385
-3 *221:12 *221:23 7.57775
-4 *221:23 *718:A1 9.24915
-5 *221:23 *221:33 12.0681
-6 *221:33 *652:A 17.8002
-7 *221:33 *221:46 1.90527
-8 *221:46 *737:A0 14.4725
-9 *221:46 *221:55 8.06078
-10 *221:55 *778:A2 22.9486
-11 *221:55 *705:B 15.778
-*END
-
-*D_NET *222 0.0156958
-*CONN
-*I *739:A1_N I *D sky130_fd_sc_hd__o2bb2a_2
-*I *739:B1 I *D sky130_fd_sc_hd__o2bb2a_2
-*I *771:A1 I *D sky130_fd_sc_hd__o311a_2
-*I *774:A1 I *D sky130_fd_sc_hd__o41a_2
-*I *769:A3 I *D sky130_fd_sc_hd__a31o_2
-*I *757:A I *D sky130_fd_sc_hd__or2_2
-*I *760:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *764:A4 I *D sky130_fd_sc_hd__o41a_2
-*I *781:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *651:A I *D sky130_fd_sc_hd__inv_2
-*I *705:A I *D sky130_fd_sc_hd__or2_2
-*I *845:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *739:A1_N 0
-2 *739:B1 0
-3 *771:A1 0
-4 *774:A1 0
-5 *769:A3 0.000378695
-6 *757:A 0
-7 *760:A3 5.87549e-05
-8 *764:A4 5.93685e-05
-9 *781:A3 0.000113709
-10 *651:A 7.01605e-05
-11 *705:A 0.000114535
-12 *845:Q 0.000588445
-13 *222:90 0.000187972
-14 *222:79 0.000327452
-15 *222:77 0.00138472
-16 *222:61 0.00111297
-17 *222:59 0.000475944
-18 *222:50 0.000640712
-19 *222:32 0.000647857
-20 *222:18 0.000965078
-21 *222:13 0.000618973
-22 *222:11 0.000637697
-23 *760:A3 *225:96 4.82966e-05
-24 *764:A4 *764:A2 0.000160617
-25 *764:A4 *764:A3 0.000111708
-26 *769:A3 *725:A1 1.58897e-05
-27 *769:A3 *764:A2 1.44467e-05
-28 *769:A3 *223:23 9.95922e-06
-29 *769:A3 *223:28 8.70446e-05
-30 *769:A3 *223:35 0.000189419
-31 *769:A3 *223:64 0.000164911
-32 *769:A3 *225:54 4.43378e-05
-33 *769:A3 *225:69 8.75997e-05
-34 *769:A3 *225:135 4.57241e-06
-35 *222:18 *872:A 3.98412e-05
-36 *222:18 *874:A 0
-37 *222:18 *250:20 2.21161e-05
-38 *222:18 *252:6 2.69701e-05
-39 *222:18 *252:8 5.16418e-05
-40 *222:32 *866:TE 1.61631e-05
-41 *222:50 *243:20 0
-42 *222:61 *243:20 4.58897e-06
-43 *222:79 *763:A3 1.41853e-05
-44 *222:79 *764:A2 0.00050655
-45 *222:90 *225:96 4.70005e-05
-46 *344:DIODE *222:11 1.85917e-05
-47 *344:DIODE *222:13 6.54943e-05
-48 *706:A *222:18 0
-49 *706:A *222:32 0
-50 *709:A *651:A 1.03434e-05
-51 *718:B1 *222:18 0
-52 *739:A2_N *222:13 0.000119957
-53 *739:A2_N *222:18 5.56461e-05
-54 *739:B2 *222:13 6.92705e-05
-55 *739:B2 *222:18 0.00011818
-56 *760:A2 *760:A3 2.41483e-05
-57 *760:B1 *760:A3 0.00021569
-58 *763:A1 *222:77 0
-59 *763:A1 *222:79 3.57683e-05
-60 *763:B1 *222:79 1.65872e-05
-61 *764:A1 *764:A4 6.92705e-05
-62 *764:A1 *222:79 0.00030153
-63 *771:A2 *222:50 3.01683e-06
-64 *772:A *222:32 0
-65 *772:A *222:50 0.00019108
-66 *773:A3 *222:50 1.35282e-05
-67 *773:A3 *222:59 5.41227e-05
-68 *773:B1 *222:50 2.534e-05
-69 *774:A4 *222:59 8.62625e-06
-70 *775:D *222:77 0.000271058
-71 *776:B1 *781:A3 1.00937e-05
-72 *778:A2 *222:50 8.47153e-05
-73 *781:B1 *781:A3 0.000364342
-74 *792:A *222:11 2.29125e-05
-75 *793:A *222:11 1.00617e-05
-76 *815:A0 *222:50 6.50586e-05
-77 *815:A0 *222:59 2.22198e-05
-78 *829:A0 *222:77 3.71142e-05
-79 *829:A1 *222:77 0.000114523
-80 *831:S *769:A3 2.14842e-06
-81 *845:D *222:11 9.13202e-05
-82 *5:204 *222:77 3.83172e-05
-83 *5:213 *222:77 0.000158371
-84 *5:213 *222:79 6.50586e-05
-85 *5:329 *222:50 8.62625e-06
-86 *5:336 *222:18 0
-87 *5:336 *222:32 0
-88 *5:351 *769:A3 2.58616e-05
-89 *5:380 *222:18 0
-90 *15:5 *222:90 1.11594e-05
-91 *21:16 *222:50 4.82966e-05
-92 *21:16 *222:59 0.000393863
-93 *21:22 *222:59 7.77309e-06
-94 *43:8 *222:90 7.87416e-05
-95 *43:27 *764:A4 3.83336e-05
-96 *44:10 *769:A3 0.000175689
-97 *44:27 *760:A3 1.64789e-05
-98 *44:31 *760:A3 3.01723e-05
-99 *44:40 *222:90 8.62625e-06
-100 *55:22 *222:59 0
-101 *118:49 *222:77 0.000501057
-102 *118:93 *222:59 2.22198e-05
-103 *118:93 *222:61 1.12605e-05
-104 *119:25 *769:A3 0
-105 *121:8 *651:A 1.43848e-05
-106 *122:8 *222:18 0
-107 *122:38 *222:18 0
-108 *122:47 *781:A3 0.000170577
-109 *122:47 *222:61 0.000166385
-110 *160:35 *781:A3 0.000170577
-111 *160:35 *222:61 0.00022094
-112 *164:7 *705:A 9.19886e-06
-113 *164:20 *651:A 6.73186e-05
-114 *164:28 *781:A3 0.000421676
-115 *201:11 *222:77 3.84937e-05
-116 *201:63 *760:A3 0.000213725
-*RES
-1 *845:Q *222:11 28.2247
-2 *222:11 *222:13 3.31557
-3 *222:13 *222:18 18.8218
-4 *222:18 *705:A 16.1364
-5 *222:18 *222:32 10.9589
-6 *222:32 *651:A 11.6605
-7 *222:32 *222:50 18.3898
-8 *222:50 *222:59 20.1086
-9 *222:59 *222:61 4.73876
-10 *222:61 *781:A3 21.4325
-11 *222:61 *222:77 21.2104
-12 *222:77 *222:79 6.82404
-13 *222:79 *764:A4 12.191
-14 *222:79 *222:90 12.493
-15 *222:90 *760:A3 13.3243
-16 *222:90 *757:A 9.24915
-17 *222:77 *769:A3 30.8363
-18 *222:59 *774:A1 13.7491
-19 *222:50 *771:A1 9.24915
-20 *222:13 *739:B1 9.24915
-21 *222:11 *739:A1_N 9.24915
-*END
-
-*D_NET *223 0.0114102
-*CONN
-*I *781:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *720:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *650:A I *D sky130_fd_sc_hd__inv_2
-*I *707:B I *D sky130_fd_sc_hd__or2_2
-*I *769:A2 I *D sky130_fd_sc_hd__a31o_2
-*I *764:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *763:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *775:B I *D sky130_fd_sc_hd__or4_2
-*I *765:A2 I *D sky130_fd_sc_hd__o41a_2
-*I *767:A2 I *D sky130_fd_sc_hd__o31a_2
-*I *768:A2 I *D sky130_fd_sc_hd__a31o_2
-*I *735:B1 I *D sky130_fd_sc_hd__a32o_2
-*I *846:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *781:A2 0.000236341
-2 *720:A1 0.000245815
-3 *650:A 0
-4 *707:B 0
-5 *769:A2 0
-6 *764:A2 0.000271098
-7 *763:A2 0
-8 *775:B 0.000263027
-9 *765:A2 0
-10 *767:A2 0.000144563
-11 *768:A2 0
-12 *735:B1 0
-13 *846:Q 0.000420358
-14 *223:98 0.000495331
-15 *223:90 7.23604e-05
-16 *223:84 0.000121486
-17 *223:64 0.000411363
-18 *223:38 0.000357901
-19 *223:37 0.000476365
-20 *223:35 0.000235087
-21 *223:28 0.000296544
-22 *223:23 0.00023802
-23 *223:22 0.000281671
-24 *223:11 0.00060343
-25 *764:A2 *763:A3 6.08467e-05
-26 *767:A2 *250:9 0.000272947
-27 *775:B *258:9 0.0002646
-28 *223:22 *725:A1 0.000379516
-29 *223:22 *224:10 0.000213422
-30 *223:22 *224:21 0.000167593
-31 *223:23 *707:A 0
-32 *223:38 *258:9 0.000300565
-33 *223:84 *707:A 7.16893e-05
-34 *223:84 *249:23 4.87439e-05
-35 *223:90 *707:A 5.28741e-05
-36 *223:90 *249:23 0.000108465
-37 *223:98 *249:23 1.88422e-05
-38 *708:A *720:A1 0.000196819
-39 *708:A *781:A2 2.43314e-05
-40 *720:A2 *720:A1 1.67329e-05
-41 *720:B1 *720:A1 1.47033e-05
-42 *723:B2 *720:A1 2.58696e-05
-43 *731:A *223:11 0.000275256
-44 *733:A2 *223:11 3.24105e-05
-45 *733:B1 *223:11 0.000113374
-46 *735:A1 *223:11 1.96466e-05
-47 *735:A1 *223:22 1.20637e-05
-48 *735:A3 *720:A1 3.72206e-05
-49 *735:B2 *223:11 6.08467e-05
-50 *756:B *781:A2 0.000288851
-51 *763:A4 *764:A2 6.08467e-05
-52 *764:A4 *764:A2 0.000160617
-53 *767:B1 *767:A2 2.692e-05
-54 *769:A3 *764:A2 1.44467e-05
-55 *769:A3 *223:23 9.95922e-06
-56 *769:A3 *223:28 8.70446e-05
-57 *769:A3 *223:35 0.000189419
-58 *769:A3 *223:64 0.000164911
-59 *775:A *781:A2 0.000251285
-60 *776:B1 *781:A2 7.98425e-06
-61 *779:B *720:A1 6.65341e-05
-62 *779:B *781:A2 7.71831e-05
-63 *781:B1 *781:A2 1.64789e-05
-64 *831:A0 *223:28 2.58616e-05
-65 *831:A1 *223:28 2.83365e-05
-66 *831:A1 *223:35 4.51118e-05
-67 *847:D *223:11 3.77804e-05
-68 *5:213 *764:A2 8.62625e-06
-69 *5:213 *223:64 0
-70 *5:351 *223:28 3.6669e-06
-71 *5:351 *223:84 1.7883e-05
-72 *16:9 *764:A2 4.33979e-05
-73 *16:9 *223:35 2.652e-05
-74 *16:9 *223:64 0.000173617
-75 *44:10 *764:A2 2.53624e-06
-76 *119:8 *720:A1 3.42931e-05
-77 *119:22 *223:11 0.000337073
-78 *119:22 *223:22 6.10162e-05
-79 *119:25 *223:11 1.07248e-05
-80 *119:38 *767:A2 9.75356e-05
-81 *164:28 *781:A2 0.0002646
-82 *164:32 *775:B 6.29128e-05
-83 *166:5 *223:90 6.27782e-05
-84 *166:5 *223:98 6.27718e-05
-85 *185:7 *720:A1 0.000113968
-86 *222:79 *764:A2 0.00050655
-*RES
-1 *846:Q *223:11 28.4779
-2 *223:11 *735:B1 9.24915
-3 *223:11 *223:22 17.0742
-4 *223:22 *223:23 0.988641
-5 *223:23 *223:28 8.30395
-6 *223:28 *768:A2 13.7491
-7 *223:28 *223:35 3.493
-8 *223:35 *223:37 4.5
-9 *223:37 *223:38 3.49641
-10 *223:38 *767:A2 22.9988
-11 *223:38 *765:A2 9.24915
-12 *223:37 *775:B 13.8548
-13 *223:35 *223:64 5.22729
-14 *223:64 *763:A2 13.7491
-15 *223:64 *764:A2 22.1293
-16 *223:23 *769:A2 9.24915
-17 *223:22 *223:84 2.38721
-18 *223:84 *707:B 9.24915
-19 *223:84 *223:90 2.94181
-20 *223:90 *650:A 9.24915
-21 *223:90 *223:98 5.2234
-22 *223:98 *720:A1 21.4269
-23 *223:98 *781:A2 23.6641
-*END
-
-*D_NET *224 0.00351172
-*CONN
-*I *721:B1 I *D sky130_fd_sc_hd__o22a_2
-*I *758:A I *D sky130_fd_sc_hd__or2_2
-*I *768:A1 I *D sky130_fd_sc_hd__a31o_2
-*I *707:A I *D sky130_fd_sc_hd__or2_2
-*I *769:A1 I *D sky130_fd_sc_hd__a31o_2
-*I *649:A I *D sky130_fd_sc_hd__inv_2
-*I *847:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *721:B1 5.39874e-05
-2 *758:A 2.52839e-05
-3 *768:A1 0.00016876
-4 *707:A 0.000195472
-5 *769:A1 0
-6 *649:A 6.20115e-05
-7 *847:Q 8.20133e-05
-8 *224:38 0.000293225
-9 *224:23 0.000195472
-10 *224:21 0.00026568
-11 *224:10 0.000515387
-12 *224:6 0.000422877
-13 *649:A *322:7 0.000114594
-14 *758:A *250:9 2.57986e-05
-15 *768:A1 *767:A3 0.000110458
-16 *768:A1 *250:9 1.96344e-05
-17 *224:6 *725:A1 8.20492e-06
-18 *224:10 *725:A1 7.20391e-05
-19 *224:21 *725:A1 4.31485e-06
-20 *224:21 *769:B1 7.13655e-06
-21 *224:21 *225:54 1.55642e-05
-22 *224:21 *249:23 2.02035e-05
-23 *224:38 *225:54 2.95167e-05
-24 *224:38 *225:69 3.20069e-06
-25 *720:B1 *224:10 0
-26 *723:A2 *224:6 1.77894e-05
-27 *730:B1 *224:10 9.32927e-05
-28 *779:B *224:21 0
-29 *779:B *224:38 0
-30 *119:8 *224:10 0
-31 *157:21 *721:B1 4.58907e-05
-32 *157:21 *224:6 7.50722e-05
-33 *157:29 *224:10 0
-34 *178:5 *721:B1 6.08467e-05
-35 *186:10 *649:A 2.41274e-06
-36 *223:22 *224:10 0.000213422
-37 *223:22 *224:21 0.000167593
-38 *223:23 *707:A 0
-39 *223:84 *707:A 7.16893e-05
-40 *223:90 *707:A 5.28741e-05
-*RES
-1 *847:Q *224:6 15.9964
-2 *224:6 *224:10 7.96307
-3 *224:10 *649:A 15.5938
-4 *224:10 *224:21 4.32351
-5 *224:21 *224:23 4.5
-6 *224:23 *769:A1 9.24915
-7 *224:23 *707:A 12.7697
-8 *224:21 *224:38 6.332
-9 *224:38 *768:A1 12.2151
-10 *224:38 *758:A 9.97254
-11 *224:6 *721:B1 15.5817
-*END
-
-*D_NET *225 0.0229961
-*CONN
-*I *807:S I *D sky130_fd_sc_hd__mux2_1
-*I *771:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *780:A I *D sky130_fd_sc_hd__and3_2
-*I *710:A I *D sky130_fd_sc_hd__or2_2
-*I *782:B1 I *D sky130_fd_sc_hd__o311a_2
-*I *769:B1 I *D sky130_fd_sc_hd__a31o_2
-*I *759:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *763:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *756:A I *D sky130_fd_sc_hd__or2_2
-*I *765:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *762:A1 I *D sky130_fd_sc_hd__o21a_2
-*I *761:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *760:A1 I *D sky130_fd_sc_hd__o31a_2
-*I *764:A3 I *D sky130_fd_sc_hd__o41a_2
-*I *768:B1 I *D sky130_fd_sc_hd__a31o_2
-*I *767:A3 I *D sky130_fd_sc_hd__o31a_2
-*I *725:A1 I *D sky130_fd_sc_hd__o22a_2
-*I *648:A I *D sky130_fd_sc_hd__inv_2
-*I *804:A I *D sky130_fd_sc_hd__buf_1
-*I *848:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *807:S 0.000187856
-2 *771:B1 2.14233e-05
-3 *780:A 0.000144755
-4 *710:A 3.29801e-05
-5 *782:B1 5.71866e-05
-6 *769:B1 1.65625e-05
-7 *759:A3 0.000387475
-8 *763:A3 0.000178089
-9 *756:A 0
-10 *765:A3 1.63938e-05
-11 *762:A1 0.000219124
-12 *761:A1 1.01716e-05
-13 *760:A1 0
-14 *764:A3 2.06838e-05
-15 *768:B1 0
-16 *767:A3 0.000194916
-17 *725:A1 0.000540031
-18 *648:A 3.93455e-05
-19 *804:A 0
-20 *848:Q 0.000303875
-21 *225:206 0.000530901
-22 *225:187 0.000638326
-23 *225:135 0.000677748
-24 *225:105 0.000380761
-25 *225:96 0.000467749
-26 *225:81 0.000566947
-27 *225:76 0.000460414
-28 *225:71 0.000214041
-29 *225:69 0.000266879
-30 *225:56 0.000194916
-31 *225:54 0.000241568
-32 *225:44 0.000787268
-33 *225:40 0.000907426
-34 *225:38 0.00088327
-35 *225:36 0.000302624
-36 *225:33 0.000471081
-37 *225:24 0.00129359
-38 *225:8 0.00143304
-39 *762:A1 *911:TE_B 7.73852e-05
-40 *762:A1 *265:13 4.24488e-05
-41 *762:A1 *286:13 0.000109421
-42 *767:A3 *250:9 0.000213902
-43 *225:24 *867:TE 1.41291e-05
-44 *225:24 *874:TE 0.000321985
-45 *225:24 *876:TE_B 0.00014366
-46 *225:24 *877:A 2.23259e-05
-47 *225:24 *247:7 6.01574e-05
-48 *225:33 *873:A 0.000122708
-49 *225:36 *250:20 0.000355926
-50 *225:38 *250:20 0.000145485
-51 *225:44 *249:23 0.000187405
-52 *225:69 *258:9 1.87469e-05
-53 *225:76 *258:9 2.97286e-05
-54 *225:81 *258:9 6.44576e-05
-55 *225:105 *911:TE_B 5.39463e-05
-56 *225:135 *258:9 5.54078e-05
-57 *343:DIODE *225:8 0.000273277
-58 *343:DIODE *225:24 0.000175802
-59 *385:DIODE *225:81 9.75356e-05
-60 *702:C *782:B1 1.91391e-05
-61 *705:B *780:A 1.05504e-05
-62 *724:A *725:A1 2.65667e-05
-63 *727:A1 *725:A1 0.000114518
-64 *730:A1 *725:A1 2.22198e-05
-65 *730:A2 *725:A1 0.000230407
-66 *732:C1 *725:A1 2.01653e-05
-67 *735:B2 *725:A1 4.20667e-05
-68 *759:B1 *759:A3 3.25765e-05
-69 *760:A2 *225:96 4.91225e-06
-70 *760:A3 *225:96 4.82966e-05
-71 *762:A2 *762:A1 0.000128033
-72 *764:A2 *763:A3 6.08467e-05
-73 *764:A4 *764:A3 0.000111708
-74 *766:B *759:A3 6.08467e-05
-75 *767:A1 *767:A3 0.000300363
-76 *768:A1 *767:A3 0.000110458
-77 *768:A3 *767:A3 0.000169041
-78 *769:A3 *725:A1 1.58897e-05
-79 *769:A3 *225:54 4.43378e-05
-80 *769:A3 *225:69 8.75997e-05
-81 *769:A3 *225:135 4.57241e-06
-82 *771:A2 *771:B1 2.21251e-05
-83 *775:D *225:40 0
-84 *778:A2 *225:187 2.88179e-05
-85 *778:A2 *225:206 0
-86 *779:B *225:69 0
-87 *780:C *780:A 2.15141e-05
-88 *780:C *225:206 1.1246e-05
-89 *781:B1 *780:A 0.000101262
-90 *781:B1 *225:206 0.00014533
-91 *782:A2 *782:B1 6.08467e-05
-92 *782:C1 *710:A 6.08467e-05
-93 *782:C1 *782:B1 1.47978e-05
-94 *807:A1 *807:S 0.000328289
-95 *807:A1 *225:206 1.07248e-05
-96 *808:A0 *225:81 6.92705e-05
-97 *809:A0 *780:A 1.03594e-05
-98 *809:A0 *225:33 7.5018e-05
-99 *809:A0 *225:187 2.80136e-05
-100 *813:A0 *225:206 1.9101e-05
-101 *822:A0 *762:A1 3.3239e-06
-102 *822:A0 *225:96 8.37812e-05
-103 *822:A0 *225:105 9.57557e-06
-104 *823:A0 *807:S 0.000330147
-105 *823:A0 *225:206 0.000137128
-106 *826:A0 *225:96 9.04224e-05
-107 *830:A0 *225:40 4.81992e-06
-108 *830:A0 *225:44 2.65832e-06
-109 *831:S *725:A1 0
-110 *5:213 *763:A3 0.000160617
-111 *5:222 *759:A3 7.77309e-06
-112 *5:339 *648:A 1.65872e-05
-113 *5:339 *225:24 2.90773e-05
-114 *5:350 *225:40 6.45852e-05
-115 *13:12 *761:A1 6.67095e-06
-116 *15:5 *762:A1 5.88052e-06
-117 *15:5 *225:81 5.05252e-05
-118 *15:5 *225:96 0.000130808
-119 *21:16 *771:B1 6.08467e-05
-120 *27:8 *225:8 0.000160073
-121 *28:10 *225:8 0.000195505
-122 *29:8 *225:33 2.95757e-05
-123 *29:11 *648:A 6.08467e-05
-124 *40:12 *225:206 2.82537e-05
-125 *40:27 *225:206 0.000280491
-126 *43:10 *225:81 0
-127 *43:27 *764:A3 0.000111708
-128 *44:10 *759:A3 0.000146646
-129 *44:10 *225:76 6.50727e-05
-130 *44:10 *225:135 0.000217587
-131 *44:13 *759:A3 0.000169343
-132 *44:27 *759:A3 7.92757e-06
-133 *118:7 *648:A 2.16355e-05
-134 *119:25 *725:A1 0
-135 *157:21 *725:A1 0.000142221
-136 *160:6 *710:A 1.5714e-05
-137 *164:32 *225:76 0.000353686
-138 *165:5 *710:A 6.27718e-05
-139 *165:5 *782:B1 1.41976e-05
-140 *166:32 *782:B1 1.47978e-05
-141 *166:38 *780:A 1.4091e-06
-142 *166:41 *225:206 0.000170577
-143 *166:52 *225:206 0.000110758
-144 *170:22 *725:A1 2.82583e-05
-145 *170:29 *725:A1 0
-146 *171:6 *725:A1 4.27003e-05
-147 *171:72 *725:A1 9.74251e-05
-148 *201:8 *225:69 2.4815e-05
-149 *201:8 *225:135 2.78316e-05
-150 *201:58 *759:A3 1.90218e-05
-151 *201:58 *225:135 4.3116e-06
-152 *201:63 *225:96 0.000111708
-153 *201:63 *225:105 1.44467e-05
-154 *201:76 *762:A1 2.19276e-05
-155 *201:76 *225:105 5.65074e-05
-156 *221:55 *225:33 2.50181e-05
-157 *221:55 *225:187 1.74552e-05
-158 *222:79 *763:A3 1.41853e-05
-159 *222:90 *225:96 4.70005e-05
-160 *223:22 *725:A1 0.000379516
-161 *224:6 *725:A1 8.20492e-06
-162 *224:10 *725:A1 7.20391e-05
-163 *224:21 *725:A1 4.31485e-06
-164 *224:21 *769:B1 7.13655e-06
-165 *224:21 *225:54 1.55642e-05
-166 *224:38 *225:54 2.95167e-05
-167 *224:38 *225:69 3.20069e-06
-*RES
-1 *848:Q *225:8 23.7791
-2 *225:8 *804:A 13.7491
-3 *225:8 *225:24 25.1509
-4 *225:24 *648:A 10.5271
-5 *225:24 *225:33 8.61588
-6 *225:33 *225:36 3.87761
-7 *225:36 *225:38 0.279355
-8 *225:38 *225:40 1.42671
-9 *225:40 *225:44 3.72463
-10 *225:44 *725:A1 32.5704
-11 *225:44 *225:54 2.45487
-12 *225:54 *225:56 4.5
-13 *225:56 *767:A3 17.2065
-14 *225:56 *768:B1 9.24915
-15 *225:54 *225:69 4.32351
-16 *225:69 *225:71 4.5
-17 *225:71 *225:76 6.46234
-18 *225:76 *225:81 10.6589
-19 *225:81 *764:A3 15.0271
-20 *225:81 *225:96 16.5757
-21 *225:96 *760:A1 13.7491
-22 *225:96 *225:105 4.37236
-23 *225:105 *761:A1 13.9722
-24 *225:105 *762:A1 20.23
-25 *225:76 *765:A3 9.82786
-26 *225:71 *756:A 9.24915
-27 *225:69 *225:135 4.32351
-28 *225:135 *763:A3 16.691
-29 *225:135 *759:A3 21.7272
-30 *225:40 *769:B1 17.4965
-31 *225:38 *782:B1 18.7987
-32 *225:36 *710:A 18.2199
-33 *225:33 *225:187 4.2258
-34 *225:187 *780:A 17.8933
-35 *225:187 *225:206 10.8332
-36 *225:206 *771:B1 14.4725
-37 *225:206 *807:S 20.1489
-*END
-
-*D_NET *226 0.00113314
-*CONN
-*I *654:A I *D sky130_fd_sc_hd__inv_2
-*I *711:B I *D sky130_fd_sc_hd__or3_2
-*I *744:A1 I *D sky130_fd_sc_hd__o22a_2
-*I *842:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *654:A 6.16119e-05
-2 *711:B 4.70993e-05
-3 *744:A1 3.5247e-05
-4 *842:Q 4.28882e-05
-5 *226:11 0.000214674
-6 *226:7 0.000236828
-7 *711:C *654:A 4.7798e-05
-8 *711:C *226:11 7.88354e-05
-9 *712:A1 *226:7 6.27782e-05
-10 *744:A2 *654:A 0.000104943
-11 *744:A2 *226:11 0.000200433
-*RES
-1 *842:Q *226:7 14.4725
-2 *226:7 *226:11 8.7164
-3 *226:11 *744:A1 10.2378
-4 *226:11 *711:B 9.97254
-5 *226:7 *654:A 15.9964
-*END
-
-*D_NET *227 0.00455967
-*CONN
-*I *653:A I *D sky130_fd_sc_hd__inv_2
-*I *715:A1 I *D sky130_fd_sc_hd__a22o_2
-*I *711:A I *D sky130_fd_sc_hd__or3_2
-*I *843:Q O *D sky130_fd_sc_hd__dfrtp_2
-*CAP
-1 *653:A 0.000372384
-2 *715:A1 0
-3 *711:A 0.000377916
-4 *843:Q 0.000590648
-5 *227:21 0.000409618
-6 *227:11 0.0010058
-7 *712:B2 *711:A 5.56461e-05
-8 *715:A2 *227:11 6.63851e-05
-9 *716:B1 *653:A 6.50586e-05
-10 *716:B2 *653:A 0.000313495
-11 *743:B1 *653:A 3.37623e-05
-12 *848:D *653:A 0.000464127
-13 *851:D *711:A 2.16355e-05
-14 *851:CLK *711:A 8.84017e-05
-15 *29:8 *653:A 3.52645e-06
-16 *29:8 *711:A 0
-17 *123:9 *653:A 1.15389e-05
-18 *123:18 *653:A 1.45944e-05
-19 *157:105 *653:A 9.09474e-05
-20 *157:114 *653:A 0.000292027
-21 *157:114 *711:A 8.62625e-06
-22 *157:114 *227:21 4.4885e-05
-23 *172:8 *227:11 0.000217923
-24 *173:8 *653:A 1.07248e-05
-25 *204:207 *227:21 0
-26 *204:209 *711:A 0
-*RES
-1 *843:Q *227:11 28.5305
-2 *227:11 *711:A 23.7903
-3 *227:11 *227:21 1.00149
-4 *227:21 *715:A1 13.7491
-5 *227:21 *653:A 27.6221
-*END
-
-*D_NET *228 0.000678604
-*CONN
-*I *942:A I *D sky130_fd_sc_hd__clkinv_8
-*I *941:Y O *D sky130_fd_sc_hd__clkinv_2
-*CAP
-1 *942:A 0.000314937
-2 *941:Y 0.000314937
-3 *942:A *320:6 0
-4 *642:A *942:A 0
-5 *643:A *942:A 0
-6 *11:10 *942:A 0
-7 *90:22 *942:A 4.87301e-05
-8 *90:24 *942:A 0
-*RES
-1 *941:Y *942:A 34.3456
-*END
-
-*D_NET *229 0.000185068
-*CONN
-*I *944:A I *D sky130_fd_sc_hd__clkinv_8
-*I *943:Y O *D sky130_fd_sc_hd__clkinv_2
-*CAP
-1 *944:A 3.13449e-05
-2 *943:Y 3.13449e-05
-3 *5:22 *944:A 0.000122378
-*RES
-1 *943:Y *944:A 19.7763
-*END
-
-*D_NET *230 0.00118403
-*CONN
-*I *860:A I *D sky130_fd_sc_hd__einvp_2
-*I *858:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *860:A 0.000213183
-2 *858:X 0.000213183
-3 *860:A *859:Z 0.000125197
-4 *860:A *860:TE 0.00019786
-5 *860:A *860:Z 0.000164829
-6 *860:A *863:A 2.95757e-05
-7 *860:A *878:A 2.78772e-05
-8 *860:A *231:8 0.000171814
-9 *860:A *237:11 4.0508e-05
-*RES
-1 *858:X *860:A 36.0094
-*END
-
-*D_NET *231 0.00136903
-*CONN
-*I *860:Z O *D sky130_fd_sc_hd__einvp_2
-*I *863:A I *D sky130_fd_sc_hd__clkinv_1
-*I *862:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *860:Z 6.56954e-05
-2 *863:A 0.000205089
-3 *862:Z 9.46297e-05
-4 *231:8 0.000365414
-5 *863:A *859:A 0
-6 *863:A *859:Z 1.6917e-05
-7 *863:A *284:36 0.000122378
-8 *863:A *320:15 0
-9 *231:8 *859:Z 7.18465e-05
-10 *231:8 *237:11 6.08467e-05
-11 *860:A *860:Z 0.000164829
-12 *860:A *863:A 2.95757e-05
-13 *860:A *231:8 0.000171814
-*RES
-1 *862:Z *231:8 17.5503
-2 *231:8 *863:A 18.1049
-3 *231:8 *860:Z 15.5817
-*END
-
-*D_NET *232 0.000512457
-*CONN
-*I *859:A I *D sky130_fd_sc_hd__einvp_2
-*I *863:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *859:A 0.000187975
-2 *863:Y 0.000187975
-3 *859:A *284:36 0.000122378
-4 *863:A *859:A 0
-5 *5:22 *859:A 1.41291e-05
-*RES
-1 *863:Y *859:A 31.1629
-*END
-
-*D_NET *233 0.00302609
-*CONN
-*I *857:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *950:Z O *D sky130_fd_sc_hd__einvn_8
-*I *948:Z O *D sky130_fd_sc_hd__einvp_2
-*I *941:A I *D sky130_fd_sc_hd__clkinv_2
-*I *953:Z O *D sky130_fd_sc_hd__einvp_1
-*CAP
-1 *857:A 0.000309655
-2 *950:Z 8.06216e-05
-3 *948:Z 0
-4 *941:A 0.000107506
-5 *953:Z 0.000109032
-6 *233:25 0.00045589
-7 *233:18 0.000492999
-8 *233:5 0.000643923
-9 *857:A *881:TE 7.34948e-06
-10 *857:A *284:36 0.000183508
-11 *941:A *320:6 0
-12 *941:A *320:15 0
-13 *950:Z *236:11 0.000211546
-14 *233:5 *946:A 7.16754e-05
-15 *233:18 *946:A 5.04829e-06
-16 *233:18 *946:B 4.66876e-05
-17 *233:18 *947:A 0
-18 *233:18 *953:A 1.46645e-05
-19 *233:18 *249:24 0
-20 *233:18 *284:36 0.000103563
-21 *233:25 *249:24 0
-22 *233:25 *284:36 0.000117846
-23 *828:A0 *857:A 6.45772e-05
-*RES
-1 *953:Z *233:5 11.0817
-2 *233:5 *941:A 20.4964
-3 *233:5 *233:18 12.0468
-4 *233:18 *948:Z 13.7491
-5 *233:18 *233:25 2.24725
-6 *233:25 *950:Z 16.1364
-7 *233:25 *857:A 21.8478
-*END
-
-*D_NET *234 0.000960295
-*CONN
-*I *878:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *859:Z O *D sky130_fd_sc_hd__einvp_2
-*I *861:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *878:A 8.21388e-05
-2 *859:Z 0.00027709
-3 *861:Z 0
-4 *234:5 0.000359229
-5 *878:A *237:11 0
-6 *860:A *859:Z 0.000125197
-7 *860:A *878:A 2.78772e-05
-8 *863:A *859:Z 1.6917e-05
-9 *231:8 *859:Z 7.18465e-05
-*RES
-1 *861:Z *234:5 13.7491
-2 *234:5 *859:Z 21.0145
-3 *234:5 *878:A 15.9964
-*END
-
-*D_NET *235 0.000997721
-*CONN
-*I *859:TE I *D sky130_fd_sc_hd__einvp_2
-*I *861:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *830:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *859:TE 6.22563e-05
-2 *861:TE_B 0.000285561
-3 *830:X 0
-4 *235:4 0.000347818
-5 *5:41 *861:TE_B 0.000152842
-6 *12:8 *861:TE_B 0.000149244
-*RES
-1 *830:X *235:4 9.24915
-2 *235:4 *861:TE_B 24.4081
-3 *235:4 *859:TE 10.9612
-*END
-
-*D_NET *236 0.00256959
-*CONN
-*I *862:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *860:TE I *D sky130_fd_sc_hd__einvp_2
-*I *831:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *862:TE_B 0
-2 *860:TE 0.000264296
-3 *831:X 0.000712073
-4 *236:11 0.00097637
-5 *860:TE *237:11 6.27782e-05
-6 *236:11 *950:TE_B 0.000114584
-7 *384:DIODE *236:11 3.00829e-05
-8 *860:A *860:TE 0.00019786
-9 *950:Z *236:11 0.000211546
-*RES
-1 *831:X *236:11 26.7553
-2 *236:11 *860:TE 25.8655
-3 *236:11 *862:TE_B 9.24915
-*END
-
-*D_NET *237 0.0012438
-*CONN
-*I *858:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *862:A I *D sky130_fd_sc_hd__einvn_4
-*I *861:A I *D sky130_fd_sc_hd__einvn_8
-*I *857:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *858:A 0
-2 *862:A 0
-3 *861:A 8.00415e-05
-4 *857:X 0.000166431
-5 *237:11 0.000286368
-6 *237:5 0.000372758
-7 *237:5 *881:TE 6.64392e-05
-8 *828:A0 *237:5 0.000107629
-9 *860:A *237:11 4.0508e-05
-10 *860:TE *237:11 6.27782e-05
-11 *878:A *237:11 0
-12 *231:8 *237:11 6.08467e-05
-*RES
-1 *857:X *237:5 14.4094
-2 *237:5 *237:11 14.0497
-3 *237:11 *861:A 11.1059
-4 *237:11 *862:A 9.24915
-5 *237:5 *858:A 9.24915
-*END
-
-*D_NET *238 0.000256463
-*CONN
-*I *867:A I *D sky130_fd_sc_hd__einvp_2
-*I *865:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *867:A 7.65256e-05
-2 *865:X 7.65256e-05
-3 *867:A *867:Z 0.000103412
-*RES
-1 *865:X *867:A 29.7455
-*END
-
-*D_NET *239 0.00113101
-*CONN
-*I *870:A I *D sky130_fd_sc_hd__clkinv_1
-*I *867:Z O *D sky130_fd_sc_hd__einvp_2
-*I *869:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *870:A 8.43396e-05
-2 *867:Z 0.000231335
-3 *869:Z 0
-4 *239:5 0.000315675
-5 *867:Z *865:A 0.000128956
-6 *867:Z *245:18 0
-7 *870:A *866:A 0.000127194
-8 *870:A *242:11 0
-9 *870:A *245:18 0
-10 *813:A1 *870:A 3.8079e-05
-11 *867:A *867:Z 0.000103412
-12 *26:8 *867:Z 3.04571e-05
-13 *26:8 *870:A 7.15593e-05
-*RES
-1 *869:Z *239:5 13.7491
-2 *239:5 *867:Z 20.6374
-3 *239:5 *870:A 17.6574
-*END
-
-*D_NET *240 0.000843333
-*CONN
-*I *866:A I *D sky130_fd_sc_hd__einvp_2
-*I *870:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *866:A 0.000202926
-2 *870:Y 0.000202926
-3 *866:A *869:A 2.65831e-05
-4 *866:A *242:11 0.000165459
-5 *866:A *245:18 0.000118245
-6 *870:A *866:A 0.000127194
-*RES
-1 *870:Y *866:A 34.3512
-*END
-
-*D_NET *241 0.00110111
-*CONN
-*I *936:Z O *D sky130_fd_sc_hd__einvp_2
-*I *864:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *938:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *936:Z 0
-2 *864:A 0.000263989
-3 *938:Z 0.000118303
-4 *241:6 0.000382291
-5 *864:A *313:8 6.80864e-05
-6 *241:6 *936:A 0.000197187
-7 *241:6 *939:TE_B 7.46648e-06
-8 *241:6 *313:8 5.22654e-06
-9 *351:DIODE *864:A 0
-10 *813:A0 *864:A 2.87136e-06
-11 *813:S *864:A 5.56851e-05
-12 *5:332 *864:A 0
-13 *5:336 *864:A 0
-14 *25:8 *241:6 0
-*RES
-1 *938:Z *241:6 17.6574
-2 *241:6 *864:A 20.4599
-3 *241:6 *936:Z 13.7491
-*END
-
-*D_NET *242 0.00110202
-*CONN
-*I *866:Z O *D sky130_fd_sc_hd__einvp_2
-*I *871:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *868:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *866:Z 0
-2 *871:A 0.000227988
-3 *868:Z 0.000176693
-4 *242:11 0.000404681
-5 *242:11 *245:18 0.000127194
-6 *866:A *242:11 0.000165459
-7 *870:A *242:11 0
-*RES
-1 *868:Z *242:11 23.4623
-2 *242:11 *871:A 14.4335
-3 *242:11 *866:Z 9.24915
-*END
-
-*D_NET *243 0.00435396
-*CONN
-*I *866:TE I *D sky130_fd_sc_hd__einvp_2
-*I *868:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *810:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *866:TE 0.000236111
-2 *868:TE_B 0
-3 *810:X 0.0011216
-4 *243:22 0.000236111
-5 *243:20 0.0011216
-6 *351:DIODE *243:20 0
-7 *376:DIODE *243:20 0.000113968
-8 *770:A *243:20 0
-9 *772:A *866:TE 0
-10 *772:A *243:20 5.11783e-05
-11 *773:A2 *243:20 2.11494e-05
-12 *773:B1 *243:20 5.74984e-05
-13 *774:A3 *243:20 1.91391e-05
-14 *813:A0 *243:20 0.000123896
-15 *5:336 *866:TE 0.000226281
-16 *5:336 *243:20 0
-17 *55:22 *243:20 4.51619e-05
-18 *65:10 *243:20 0.000118166
-19 *160:35 *243:20 0.000711255
-20 *160:43 *243:20 0.000130087
-21 *222:32 *866:TE 1.61631e-05
-22 *222:50 *243:20 0
-23 *222:61 *243:20 4.58897e-06
-*RES
-1 *810:X *243:20 47.013
-2 *243:20 *243:22 4.5
-3 *243:22 *868:TE_B 9.24915
-4 *243:22 *866:TE 25.0992
-*END
-
-*D_NET *244 0.00153795
-*CONN
-*I *869:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *867:TE I *D sky130_fd_sc_hd__einvp_2
-*I *811:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *869:TE_B 0.00026349
-2 *867:TE 4.02577e-05
-3 *811:X 0.000324223
-4 *244:8 0.000627971
-5 *867:TE *876:TE_B 6.50727e-05
-6 *869:TE_B *245:18 3.39219e-05
-7 *344:DIODE *244:8 5.48642e-05
-8 *5:336 *869:TE_B 0
-9 *5:380 *869:TE_B 8.32204e-06
-10 *5:380 *244:8 7.82051e-05
-11 *5:392 *244:8 2.74956e-05
-12 *225:24 *867:TE 1.41291e-05
-*RES
-1 *811:X *244:8 21.0117
-2 *244:8 *867:TE 15.0271
-3 *244:8 *869:TE_B 20.1489
-*END
-
-*D_NET *245 0.00158668
-*CONN
-*I *869:A I *D sky130_fd_sc_hd__einvn_4
-*I *865:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *868:A I *D sky130_fd_sc_hd__einvn_8
-*I *864:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *869:A 0.000110909
-2 *865:A 8.42907e-05
-3 *868:A 0
-4 *864:X 9.24833e-05
-5 *245:18 0.000483407
-6 *245:6 0.000380691
-7 *866:A *869:A 2.65831e-05
-8 *866:A *245:18 0.000118245
-9 *867:Z *865:A 0.000128956
-10 *867:Z *245:18 0
-11 *869:TE_B *245:18 3.39219e-05
-12 *870:A *245:18 0
-13 *5:336 *245:6 0
-14 *5:336 *245:18 0
-15 *242:11 *245:18 0.000127194
-*RES
-1 *864:X *245:6 15.9964
-2 *245:6 *868:A 13.7491
-3 *245:6 *245:18 13.0599
-4 *245:18 *865:A 20.9116
-5 *245:18 *869:A 11.6364
-*END
-
-*D_NET *246 0.00127922
-*CONN
-*I *874:A I *D sky130_fd_sc_hd__einvp_2
-*I *872:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *874:A 0.000349174
-2 *872:X 0.000349174
-3 *809:A0 *874:A 0.000481241
-4 *122:38 *874:A 9.96342e-05
-5 *222:18 *874:A 0
-*RES
-1 *872:X *874:A 34.4905
-*END
-
-*D_NET *247 0.000978491
-*CONN
-*I *874:Z O *D sky130_fd_sc_hd__einvp_2
-*I *877:A I *D sky130_fd_sc_hd__clkinv_1
-*I *876:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *874:Z 0
-2 *877:A 5.0441e-05
-3 *876:Z 8.67727e-05
-4 *247:7 0.000137214
-5 *877:A *874:TE 0
-6 *247:7 *874:TE 7.52666e-05
-7 *247:7 *876:TE_B 0
-8 *5:339 *877:A 0.00011818
-9 *5:339 *247:7 0.000428134
-10 *225:24 *877:A 2.23259e-05
-11 *225:24 *247:7 6.01574e-05
-*RES
-1 *876:Z *247:7 14.4335
-2 *247:7 *877:A 11.1059
-3 *247:7 *874:Z 9.24915
-*END
-
-*D_NET *248 0.000546835
-*CONN
-*I *873:A I *D sky130_fd_sc_hd__einvp_2
-*I *877:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *873:A 0.000128662
-2 *877:Y 0.000128662
-3 *5:339 *873:A 0.000118166
-4 *221:55 *873:A 4.86366e-05
-5 *225:33 *873:A 0.000122708
-*RES
-1 *877:Y *873:A 31.0235
-*END
-
-*D_NET *249 0.00781257
-*CONN
-*I *950:A I *D sky130_fd_sc_hd__einvn_8
-*I *951:A I *D sky130_fd_sc_hd__einvn_4
-*I *947:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *873:Z O *D sky130_fd_sc_hd__einvp_2
-*I *875:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *950:A 3.46859e-05
-2 *951:A 3.67843e-05
-3 *947:A 0.000253557
-4 *873:Z 0.000146516
-5 *875:Z 0.000372978
-6 *249:24 0.000440803
-7 *249:23 0.00166546
-8 *249:5 0.0019998
-9 *873:Z *875:TE_B 0.000110458
-10 *947:A *949:A 0
-11 *947:A *284:36 0
-12 *950:A *952:A 0
-13 *951:A *948:A 0.000118166
-14 *249:5 *875:A 0.000362316
-15 *249:23 *875:TE_B 4.89251e-05
-16 *249:24 *948:A 0
-17 *249:24 *952:A 0
-18 *706:A *873:Z 0.00015607
-19 *706:A *249:23 9.87197e-05
-20 *782:A2 *249:23 0.00015607
-21 *830:A0 *249:23 0.000241368
-22 *846:D *947:A 8.9075e-05
-23 *5:351 *249:23 0.000507889
-24 *122:38 *873:Z 0.000109421
-25 *122:38 *249:23 5.03013e-06
-26 *165:5 *249:23 0.000386928
-27 *166:5 *249:23 7.92757e-06
-28 *166:32 *249:23 7.99701e-05
-29 *223:84 *249:23 4.87439e-05
-30 *223:90 *249:23 0.000108465
-31 *223:98 *249:23 1.88422e-05
-32 *224:21 *249:23 2.02035e-05
-33 *225:44 *249:23 0.000187405
-34 *233:18 *947:A 0
-35 *233:18 *249:24 0
-36 *233:25 *249:24 0
-*RES
-1 *875:Z *249:5 18.2916
-2 *249:5 *873:Z 13.8548
-3 *249:5 *249:23 36.1441
-4 *249:23 *249:24 3.28538
-5 *249:24 *947:A 20.3233
-6 *249:24 *951:A 15.0271
-7 *249:23 *950:A 14.543
-*END
-
-*D_NET *250 0.00557557
-*CONN
-*I *875:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *873:TE I *D sky130_fd_sc_hd__einvp_2
-*I *808:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *875:TE_B 2.23302e-05
-2 *873:TE 0
-3 *808:X 0.000811544
-4 *250:20 0.000602353
-5 *250:9 0.00139157
-6 *250:9 *284:36 3.4475e-05
-7 *383:DIODE *250:9 0.000186161
-8 *699:A *250:20 5.41227e-05
-9 *700:A *250:9 0.000154145
-10 *701:C *250:9 0.000129784
-11 *702:C *250:20 2.22075e-05
-12 *706:A *250:20 2.21161e-05
-13 *758:A *250:9 2.57986e-05
-14 *758:B *250:9 0.000174698
-15 *767:A1 *250:9 8.07791e-05
-16 *767:A2 *250:9 0.000272947
-17 *767:A3 *250:9 0.000213902
-18 *768:A1 *250:9 1.96344e-05
-19 *768:A3 *250:9 0.000164843
-20 *828:A0 *250:9 0.000195621
-21 *873:Z *875:TE_B 0.000110458
-22 *55:22 *250:20 8.89497e-06
-23 *122:38 *250:20 8.55614e-05
-24 *122:47 *250:20 4.33979e-05
-25 *166:38 *250:9 5.481e-05
-26 *201:8 *250:9 0.000120962
-27 *222:18 *250:20 2.21161e-05
-28 *225:36 *250:20 0.000355926
-29 *225:38 *250:20 0.000145485
-30 *249:23 *875:TE_B 4.89251e-05
-*RES
-1 *808:X *250:9 47.2862
-2 *250:9 *250:20 20.5988
-3 *250:20 *873:TE 9.24915
-4 *250:20 *875:TE_B 10.5271
-*END
-
-*D_NET *251 0.00119841
-*CONN
-*I *876:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *874:TE I *D sky130_fd_sc_hd__einvp_2
-*I *809:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *876:TE_B 8.94399e-05
-2 *874:TE 7.28875e-05
-3 *809:X 8.24607e-05
-4 *251:8 0.000244788
-5 *867:TE *876:TE_B 6.50727e-05
-6 *877:A *874:TE 0
-7 *5:339 *874:TE 1.41291e-05
-8 *5:380 *874:TE 2.38044e-05
-9 *5:380 *876:TE_B 3.11269e-05
-10 *5:380 *251:8 3.37843e-05
-11 *225:24 *874:TE 0.000321985
-12 *225:24 *876:TE_B 0.00014366
-13 *247:7 *874:TE 7.52666e-05
-14 *247:7 *876:TE_B 0
-*RES
-1 *809:X *251:8 20.0811
-2 *251:8 *874:TE 13.3243
-3 *251:8 *876:TE_B 12.9385
-*END
-
-*D_NET *252 0.00194571
-*CONN
-*I *875:A I *D sky130_fd_sc_hd__einvn_8
-*I *876:A I *D sky130_fd_sc_hd__einvn_4
-*I *872:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *871:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *875:A 0.00011381
-2 *876:A 0
-3 *872:A 0.000170516
-4 *871:X 7.73365e-05
-5 *252:8 0.000304533
-6 *252:6 0.000325163
-7 *340:DIODE *872:A 0.00017407
-8 *809:A0 *872:A 0.000222979
-9 *5:336 *252:6 2.85637e-05
-10 *5:336 *252:8 4.79669e-05
-11 *5:380 *872:A 0
-12 *5:380 *252:8 0
-13 *222:18 *872:A 3.98412e-05
-14 *222:18 *252:6 2.69701e-05
-15 *222:18 *252:8 5.16418e-05
-16 *249:5 *875:A 0.000362316
-*RES
-1 *871:X *252:6 15.9964
-2 *252:6 *252:8 3.90826
-3 *252:8 *872:A 19.2141
-4 *252:8 *876:A 13.7491
-5 *252:6 *875:A 17.8002
-*END
-
-*D_NET *253 0.000889161
-*CONN
-*I *881:A I *D sky130_fd_sc_hd__einvp_2
-*I *879:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *881:A 0.000336109
-2 *879:X 0.000336109
-3 *881:A *879:A 4.41414e-05
-4 *881:A *880:A 0
-5 *881:A *881:TE 0
-6 *881:A *881:Z 9.60216e-05
-7 *881:A *883:TE_B 6.64392e-05
-8 *881:A *254:7 1.03403e-05
-9 *881:A *259:8 0
-*RES
-1 *879:X *881:A 33.7966
-*END
-
-*D_NET *254 0.000875096
-*CONN
-*I *884:A I *D sky130_fd_sc_hd__clkinv_1
-*I *881:Z O *D sky130_fd_sc_hd__einvp_2
-*I *883:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *884:A 0.000163012
-2 *881:Z 0.00011298
-3 *883:Z 3.48023e-05
-4 *254:7 0.000310794
-5 *881:Z *881:TE 0
-6 *881:Z *259:8 0
-7 *884:A *880:A 2.65667e-05
-8 *884:A *885:A 0
-9 *884:A *259:8 0
-10 *254:7 *879:A 0.000118166
-11 *881:A *881:Z 9.60216e-05
-12 *881:A *254:7 1.03403e-05
-13 *5:88 *884:A 2.41274e-06
-*RES
-1 *883:Z *254:7 15.0271
-2 *254:7 *881:Z 16.8269
-3 *254:7 *884:A 17.5503
-*END
-
-*D_NET *255 0.00139864
-*CONN
-*I *880:A I *D sky130_fd_sc_hd__einvp_2
-*I *884:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *880:A 0.000518999
-2 *884:Y 0.000518999
-3 *880:A *880:Z 3.85675e-05
-4 *880:A *885:A 5.69404e-05
-5 *880:A *259:8 0.000200221
-6 *828:A0 *880:A 3.83429e-05
-7 *881:A *880:A 0
-8 *884:A *880:A 2.65667e-05
-*RES
-1 *884:Y *880:A 39.3664
-*END
-
-*D_NET *256 0.000593606
-*CONN
-*I *885:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *880:Z O *D sky130_fd_sc_hd__einvp_2
-*I *882:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *885:A 0.000112008
-2 *880:Z 0.000104512
-3 *882:Z 0
-4 *256:5 0.00021652
-5 *880:Z *882:TE_B 0
-6 *885:A *882:TE_B 0
-7 *828:A0 *880:Z 6.50586e-05
-8 *880:A *880:Z 3.85675e-05
-9 *880:A *885:A 5.69404e-05
-10 *884:A *885:A 0
-*RES
-1 *882:Z *256:5 13.7491
-2 *256:5 *880:Z 16.7198
-3 *256:5 *885:A 17.2421
-*END
-
-*D_NET *257 0.00080788
-*CONN
-*I *882:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *880:TE I *D sky130_fd_sc_hd__einvp_2
-*I *828:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *882:TE_B 0.000276159
-2 *880:TE 6.65919e-05
-3 *828:X 0
-4 *257:4 0.000342751
-5 *828:A0 *880:TE 0.000122378
-6 *880:Z *882:TE_B 0
-7 *885:A *882:TE_B 0
-8 *23:8 *882:TE_B 0
-*RES
-1 *828:X *257:4 9.24915
-2 *257:4 *880:TE 11.5158
-3 *257:4 *882:TE_B 24.4081
-*END
-
-*D_NET *258 0.00408416
-*CONN
-*I *881:TE I *D sky130_fd_sc_hd__einvp_2
-*I *883:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *829:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *881:TE 0.00014623
-2 *883:TE_B 9.29839e-05
-3 *829:X 0.000706548
-4 *258:9 0.000945762
-5 *881:TE *321:10 3.69003e-05
-6 *883:TE_B *879:A 4.4466e-05
-7 *258:9 *879:A 2.41274e-06
-8 *775:B *258:9 0.0002646
-9 *808:A0 *258:9 0.000265631
-10 *808:S *258:9 0.000275256
-11 *828:A0 *881:TE 7.16754e-05
-12 *857:A *881:TE 7.34948e-06
-13 *881:A *881:TE 0
-14 *881:A *883:TE_B 6.64392e-05
-15 *881:Z *881:TE 0
-16 *164:32 *258:9 0.000453457
-17 *201:8 *258:9 0.000169108
-18 *223:38 *258:9 0.000300565
-19 *225:69 *258:9 1.87469e-05
-20 *225:76 *258:9 2.97286e-05
-21 *225:81 *258:9 6.44576e-05
-22 *225:135 *258:9 5.54078e-05
-23 *237:5 *881:TE 6.64392e-05
-*RES
-1 *829:X *258:9 42.016
-2 *258:9 *883:TE_B 12.0704
-3 *258:9 *881:TE 22.7442
-*END
-
-*D_NET *259 0.0014843
-*CONN
-*I *879:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *883:A I *D sky130_fd_sc_hd__einvn_4
-*I *882:A I *D sky130_fd_sc_hd__einvn_8
-*I *878:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *879:A 0.000310654
-2 *883:A 0
-3 *882:A 9.21476e-05
-4 *878:X 0.000101398
-5 *259:17 0.0003439
-6 *259:8 0.000226792
-7 *880:A *259:8 0.000200221
-8 *881:A *879:A 4.41414e-05
-9 *881:A *259:8 0
-10 *881:Z *259:8 0
-11 *883:TE_B *879:A 4.4466e-05
-12 *884:A *259:8 0
-13 *254:7 *879:A 0.000118166
-14 *258:9 *879:A 2.41274e-06
-*RES
-1 *878:X *259:8 21.7421
-2 *259:8 *882:A 11.1059
-3 *259:8 *259:17 0.723396
-4 *259:17 *883:A 9.24915
-5 *259:17 *879:A 17.2065
-*END
-
-*D_NET *260 0.000854343
-*CONN
-*I *888:A I *D sky130_fd_sc_hd__einvp_2
-*I *886:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *888:A 0.000241736
-2 *886:X 0.000241736
-3 *888:A *886:A 3.14978e-05
-4 *888:A *888:Z 0.000173563
-5 *888:A *261:6 0.000122083
-6 *888:A *263:8 1.22289e-05
-7 *888:A *266:9 3.14978e-05
-8 *5:88 *888:A 0
-9 *5:120 *888:A 0
-*RES
-1 *886:X *888:A 34.3456
-*END
-
-*D_NET *261 0.000831405
-*CONN
-*I *888:Z O *D sky130_fd_sc_hd__einvp_2
-*I *891:A I *D sky130_fd_sc_hd__clkinv_1
-*I *890:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *888:Z 6.04043e-05
-2 *891:A 1.52633e-05
-3 *890:Z 6.85303e-05
-4 *261:6 0.000144198
-5 *888:Z *890:TE_B 0
-6 *888:Z *263:8 0.000121456
-7 *891:A *887:A 1.00846e-05
-8 *891:A *263:8 7.34948e-06
-9 *261:6 *889:A 4.34143e-05
-10 *888:A *888:Z 0.000173563
-11 *888:A *261:6 0.000122083
-12 *5:76 *891:A 6.50586e-05
-13 *5:88 *261:6 0
-*RES
-1 *890:Z *261:6 16.4116
-2 *261:6 *891:A 14.4725
-3 *261:6 *888:Z 16.8269
-*END
-
-*D_NET *262 0.000856305
-*CONN
-*I *887:A I *D sky130_fd_sc_hd__einvp_2
-*I *891:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *887:A 0.00029016
-2 *891:Y 0.00029016
-3 *887:A *887:TE 0
-4 *887:A *890:TE_B 0.000125665
-5 *887:A *263:8 0.000118166
-6 *891:A *887:A 1.00846e-05
-7 *5:76 *887:A 2.20688e-05
-*RES
-1 *891:Y *887:A 33.242
-*END
-
-*D_NET *263 0.00130553
-*CONN
-*I *892:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *887:Z O *D sky130_fd_sc_hd__einvp_2
-*I *889:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *892:A 8.77005e-05
-2 *887:Z 0.000140285
-3 *889:Z 0.00015624
-4 *263:8 0.000384226
-5 *887:Z *265:13 0.000209636
-6 *263:8 *890:TE_B 0
-7 *887:A *263:8 0.000118166
-8 *888:A *263:8 1.22289e-05
-9 *888:Z *263:8 0.000121456
-10 *891:A *263:8 7.34948e-06
-11 *5:76 *263:8 2.42273e-05
-12 *5:127 *892:A 0
-13 *5:127 *263:8 0
-14 *33:11 *892:A 4.40158e-05
-*RES
-1 *889:Z *263:8 18.5201
-2 *263:8 *887:Z 17.2456
-3 *263:8 *892:A 15.9964
-*END
-
-*D_NET *264 0.00119273
-*CONN
-*I *887:TE I *D sky130_fd_sc_hd__einvp_2
-*I *889:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *826:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *887:TE 0.000146682
-2 *889:TE_B 9.61225e-05
-3 *826:X 0.000152492
-4 *264:8 0.000395297
-5 *887:TE *890:TE_B 0
-6 *264:8 *890:TE_B 0
-7 *826:A0 *264:8 1.84293e-05
-8 *887:A *887:TE 0
-9 *5:73 *264:8 0
-10 *5:76 *889:TE_B 0.000383703
-11 *5:483 *887:TE 0
-12 *5:483 *264:8 0
-*RES
-1 *826:X *264:8 16.7198
-2 *264:8 *889:TE_B 17.8002
-3 *264:8 *887:TE 17.2421
-*END
-
-*D_NET *265 0.00713956
-*CONN
-*I *888:TE I *D sky130_fd_sc_hd__einvp_2
-*I *890:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *827:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *888:TE 0
-2 *890:TE_B 0.000297701
-3 *827:X 0.0018332
-4 *265:13 0.0021309
-5 *890:TE_B *889:A 7.26877e-05
-6 *890:TE_B *266:6 3.20069e-06
-7 *265:13 *907:A 0.000184114
-8 *265:13 *909:A 0.000357395
-9 *265:13 *910:TE_B 1.51335e-05
-10 *265:13 *918:TE_B 0.000104598
-11 *265:13 *286:13 0.000110566
-12 *265:13 *289:5 0.000466227
-13 *265:13 *293:11 2.60765e-05
-14 *265:13 *294:5 3.82228e-05
-15 *762:A1 *265:13 4.24488e-05
-16 *762:B1 *265:13 2.16355e-05
-17 *820:A0 *265:13 0.000804128
-18 *820:A1 *265:13 0.00013389
-19 *826:A0 *890:TE_B 0.000132047
-20 *887:A *890:TE_B 0.000125665
-21 *887:TE *890:TE_B 0
-22 *887:Z *265:13 0.000209636
-23 *888:Z *890:TE_B 0
-24 *33:11 *265:13 3.00829e-05
-25 *263:8 *890:TE_B 0
-26 *264:8 *890:TE_B 0
-*RES
-1 *827:X *265:13 49.1806
-2 *265:13 *890:TE_B 26.8968
-3 *265:13 *888:TE 9.24915
-*END
-
-*D_NET *266 0.00100135
-*CONN
-*I *889:A I *D sky130_fd_sc_hd__einvn_8
-*I *886:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *890:A I *D sky130_fd_sc_hd__einvn_4
-*I *885:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *889:A 8.55559e-05
-2 *886:A 3.5475e-05
-3 *890:A 0
-4 *885:X 0.00011773
-5 *266:9 0.000108428
-6 *266:6 0.000276238
-7 *826:A0 *886:A 7.24449e-05
-8 *826:A0 *266:9 0.000123176
-9 *888:A *886:A 3.14978e-05
-10 *888:A *266:9 3.14978e-05
-11 *890:TE_B *889:A 7.26877e-05
-12 *890:TE_B *266:6 3.20069e-06
-13 *5:88 *889:A 0
-14 *5:88 *266:6 0
-15 *261:6 *889:A 4.34143e-05
-*RES
-1 *885:X *266:6 16.4116
-2 *266:6 *266:9 7.44181
-3 *266:9 *890:A 9.24915
-4 *266:9 *886:A 11.0817
-5 *266:6 *889:A 16.8269
-*END
-
-*D_NET *267 0.000167079
-*CONN
-*I *895:A I *D sky130_fd_sc_hd__einvp_2
-*I *893:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *895:A 5.79064e-05
-2 *893:X 5.79064e-05
-3 *895:A *898:A 5.12665e-05
-*RES
-1 *893:X *895:A 19.8004
-*END
-
-*D_NET *268 0.00118834
-*CONN
-*I *898:A I *D sky130_fd_sc_hd__clkinv_1
-*I *895:Z O *D sky130_fd_sc_hd__einvp_2
-*I *897:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *898:A 0.000111525
-2 *895:Z 0
-3 *897:Z 0.000306372
-4 *268:10 0.000417897
-5 *898:A *894:A 0.000178133
-6 *268:10 *893:A 0
-7 *268:10 *894:Z 0.000123152
-8 *268:10 *897:TE_B 0
-9 *268:10 *272:12 0
-10 *268:10 *273:15 0
-11 *895:A *898:A 5.12665e-05
-*RES
-1 *897:Z *268:10 25.7876
-2 *268:10 *895:Z 9.24915
-3 *268:10 *898:A 12.9385
-*END
-
-*D_NET *269 0.00113723
-*CONN
-*I *894:A I *D sky130_fd_sc_hd__einvp_2
-*I *898:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *894:A 0.000101459
-2 *898:Y 0.000101459
-3 *894:A *894:Z 0.000115615
-4 *898:A *894:A 0.000178133
-5 *35:8 *894:A 0.000640564
-*RES
-1 *898:Y *894:A 25.3223
-*END
-
-*D_NET *270 0.00104238
-*CONN
-*I *899:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *894:Z O *D sky130_fd_sc_hd__einvp_2
-*I *896:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *899:A 6.14994e-05
-2 *894:Z 0.000227975
-3 *896:Z 0
-4 *270:4 0.000289474
-5 *894:Z *896:A 6.27718e-05
-6 *894:Z *897:TE_B 0
-7 *899:A *896:A 0.000147759
-8 *894:A *894:Z 0.000115615
-9 *35:8 *894:Z 1.41291e-05
-10 *268:10 *894:Z 0.000123152
-*RES
-1 *896:Z *270:4 9.24915
-2 *270:4 *894:Z 24.7162
-3 *270:4 *899:A 11.0817
-*END
-
-*D_NET *271 0.00109229
-*CONN
-*I *894:TE I *D sky130_fd_sc_hd__einvp_2
-*I *896:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *824:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *894:TE 0.000212602
-2 *896:TE_B 0
-3 *824:X 0.000233945
-4 *271:6 0.000446546
-5 *370:DIODE *271:6 7.60183e-05
-6 *35:8 *894:TE 0.000123176
-*RES
-1 *824:X *271:6 19.7337
-2 *271:6 *896:TE_B 13.7491
-3 *271:6 *894:TE 19.7687
-*END
-
-*D_NET *272 0.010318
-*CONN
-*I *895:TE I *D sky130_fd_sc_hd__einvp_2
-*I *897:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *825:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *895:TE 0
-2 *897:TE_B 0.000394504
-3 *825:X 9.23691e-05
-4 *272:12 0.000536298
-5 *272:9 0.00359033
-6 *272:8 0.00354091
-7 *894:Z *897:TE_B 0
-8 *19:8 *272:8 0
-9 *33:11 *272:12 0
-10 *36:8 *272:12 0
-11 *37:10 *272:9 0.00216356
-12 *268:10 *897:TE_B 0
-13 *268:10 *272:12 0
-*RES
-1 *825:X *272:8 20.0811
-2 *272:8 *272:9 54.5199
-3 *272:9 *272:12 7.1625
-4 *272:12 *897:TE_B 22.9514
-5 *272:12 *895:TE 13.7491
-*END
-
-*D_NET *273 0.00144548
-*CONN
-*I *893:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *896:A I *D sky130_fd_sc_hd__einvn_8
-*I *897:A I *D sky130_fd_sc_hd__einvn_4
-*I *892:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *893:A 9.12591e-05
-2 *896:A 0.000120248
-3 *897:A 0.000121143
-4 *892:X 0
-5 *273:15 0.000297774
-6 *273:5 0.000207411
-7 *894:Z *896:A 6.27718e-05
-8 *899:A *896:A 0.000147759
-9 *33:11 *893:A 0.000172799
-10 *33:11 *897:A 8.23577e-05
-11 *33:11 *273:15 0.000141958
-12 *268:10 *893:A 0
-13 *268:10 *273:15 0
-*RES
-1 *892:X *273:5 13.7491
-2 *273:5 *897:A 16.9985
-3 *273:5 *273:15 2.6625
-4 *273:15 *896:A 17.2456
-5 *273:15 *893:A 16.8269
-*END
-
-*D_NET *274 0.00100228
-*CONN
-*I *902:A I *D sky130_fd_sc_hd__einvp_2
-*I *900:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *902:A 0.000285003
-2 *900:X 0.000285003
-3 *902:A *902:TE 0
-4 *902:A *275:8 9.60366e-05
-5 *902:A *279:13 0.00026012
-6 *902:A *279:15 4.61271e-05
-7 *5:148 *902:A 2.99929e-05
-*RES
-1 *900:X *902:A 33.3813
-*END
-
-*D_NET *275 0.000506605
-*CONN
-*I *905:A I *D sky130_fd_sc_hd__clkinv_1
-*I *902:Z O *D sky130_fd_sc_hd__einvp_2
-*I *904:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *905:A 0
-2 *902:Z 2.37728e-05
-3 *904:Z 9.65856e-05
-4 *275:8 0.000120358
-5 *902:Z *901:A 0.000118166
-6 *275:8 *902:TE 0
-7 *902:A *275:8 9.60366e-05
-8 *5:148 *902:Z 4.31539e-05
-9 *5:148 *275:8 8.53106e-06
-10 *15:5 *275:8 0
-*RES
-1 *904:Z *275:8 20.9116
-2 *275:8 *902:Z 10.5271
-3 *275:8 *905:A 9.24915
-*END
-
-*D_NET *276 0.000998342
-*CONN
-*I *901:A I *D sky130_fd_sc_hd__einvp_2
-*I *905:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *901:A 0.000132096
-2 *905:Y 0.000132096
-3 *902:Z *901:A 0.000118166
-4 *5:148 *901:A 2.20837e-05
-5 *35:8 *901:A 0.000593901
-*RES
-1 *905:Y *901:A 24.7677
-*END
-
-*D_NET *277 0.00084315
-*CONN
-*I *901:Z O *D sky130_fd_sc_hd__einvp_2
-*I *906:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *903:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *901:Z 0.000119021
-2 *906:A 0.000139207
-3 *903:Z 0
-4 *277:5 0.000258228
-5 *822:A0 *906:A 0.000114271
-6 *5:142 *906:A 0.000112367
-7 *14:11 *901:Z 5.92573e-05
-8 *14:11 *906:A 4.07982e-05
-*RES
-1 *903:Z *277:5 13.7491
-2 *277:5 *906:A 18.1049
-3 *277:5 *901:Z 17.2421
-*END
-
-*D_NET *278 0.00138354
-*CONN
-*I *901:TE I *D sky130_fd_sc_hd__einvp_2
-*I *903:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *822:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *901:TE 0.000245991
-2 *903:TE_B 2.43238e-05
-3 *822:X 0.000156354
-4 *278:8 0.000426668
-5 *903:TE_B *280:5 6.27718e-05
-6 *366:DIODE *901:TE 3.49494e-05
-7 *366:DIODE *278:8 3.37671e-05
-8 *822:A1 *278:8 5.31465e-05
-9 *13:12 *901:TE 0
-10 *32:10 *278:8 5.9765e-05
-11 *33:11 *901:TE 7.35211e-05
-12 *33:11 *278:8 3.49586e-05
-13 *35:8 *901:TE 0.000177325
-*RES
-1 *822:X *278:8 17.6896
-2 *278:8 *903:TE_B 14.4725
-3 *278:8 *901:TE 21.4325
-*END
-
-*D_NET *279 0.0050684
-*CONN
-*I *904:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *902:TE I *D sky130_fd_sc_hd__einvp_2
-*I *823:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *904:TE_B 0
-2 *902:TE 8.37055e-05
-3 *823:X 0.00130948
-4 *279:15 0.000199578
-5 *279:13 0.00142535
-6 *279:13 *900:A 0.00026012
-7 *279:13 *922:A 0.000211464
-8 *279:13 *922:TE 5.05841e-05
-9 *279:13 *924:TE_B 0
-10 *279:13 *280:15 4.38694e-05
-11 *279:13 *301:5 0.000167799
-12 *279:13 *306:13 7.23063e-05
-13 *279:15 *280:15 0.000408887
-14 *814:A1 *279:13 0.00025718
-15 *902:A *902:TE 0
-16 *902:A *279:13 0.00026012
-17 *902:A *279:15 4.61271e-05
-18 *5:148 *902:TE 0
-19 *14:11 *902:TE 0.000181357
-20 *17:9 *279:13 9.04668e-05
-21 *275:8 *902:TE 0
-*RES
-1 *823:X *279:13 47.0638
-2 *279:13 *279:15 4.60562
-3 *279:15 *902:TE 21.3269
-4 *279:15 *904:TE_B 9.24915
-*END
-
-*D_NET *280 0.00233773
-*CONN
-*I *900:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *904:A I *D sky130_fd_sc_hd__einvn_4
-*I *903:A I *D sky130_fd_sc_hd__einvn_8
-*I *899:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *900:A 7.94464e-05
-2 *904:A 0
-3 *903:A 2.1308e-05
-4 *899:X 0.000296283
-5 *280:15 0.000463449
-6 *280:5 0.000701593
-7 *903:TE_B *280:5 6.27718e-05
-8 *279:13 *900:A 0.00026012
-9 *279:13 *280:15 4.38694e-05
-10 *279:15 *280:15 0.000408887
-*RES
-1 *899:X *280:5 15.5186
-2 *280:5 *903:A 9.82786
-3 *280:5 *280:15 10.7304
-4 *280:15 *904:A 9.24915
-5 *280:15 *900:A 12.191
-*END
-
-*D_NET *281 0.000996142
-*CONN
-*I *909:A I *D sky130_fd_sc_hd__einvp_2
-*I *907:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *909:A 0.000304079
-2 *907:X 0.000304079
-3 *909:A *908:A 9.12416e-06
-4 *822:A0 *909:A 0
-5 *14:11 *909:A 2.14657e-05
-6 *265:13 *909:A 0.000357395
-*RES
-1 *907:X *909:A 32.9661
-*END
-
-*D_NET *282 0.00143916
-*CONN
-*I *909:Z O *D sky130_fd_sc_hd__einvp_2
-*I *912:A I *D sky130_fd_sc_hd__clkinv_1
-*I *911:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *909:Z 0.000266125
-2 *912:A 3.37491e-05
-3 *911:Z 0
-4 *282:4 0.000299874
-5 *909:Z *908:A 0.000266568
-6 *909:Z *284:36 0.000196638
-7 *912:A *908:A 0.000220738
-8 *820:A0 *909:Z 2.29319e-05
-9 *822:A0 *909:Z 0
-10 *826:A0 *909:Z 7.23987e-05
-11 *826:A0 *912:A 6.01398e-05
-*RES
-1 *911:Z *282:4 9.24915
-2 *282:4 *912:A 11.6364
-3 *282:4 *909:Z 27.3148
-*END
-
-*D_NET *283 0.00181528
-*CONN
-*I *908:A I *D sky130_fd_sc_hd__einvp_2
-*I *912:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *908:A 0.000420017
-2 *912:Y 0.000420017
-3 *908:A *284:36 0.000170577
-4 *826:A0 *908:A 9.75148e-06
-5 *909:A *908:A 9.12416e-06
-6 *909:Z *908:A 0.000266568
-7 *912:A *908:A 0.000220738
-8 *14:11 *908:A 0.000298488
-*RES
-1 *912:Y *908:A 38.2278
-*END
-
-*D_NET *284 0.00748346
-*CONN
-*I *943:A I *D sky130_fd_sc_hd__clkinv_2
-*I *913:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *908:Z O *D sky130_fd_sc_hd__einvp_2
-*I *910:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *943:A 0
-2 *913:A 0.000703694
-3 *908:Z 1.98947e-05
-4 *910:Z 0
-5 *284:36 0.00120376
-6 *284:8 0.000872352
-7 *284:4 0.00135252
-8 *908:Z *907:A 6.08467e-05
-9 *913:A *287:8 1.25793e-05
-10 *284:8 *287:8 3.25618e-05
-11 *284:8 *287:12 0.000101118
-12 *284:36 *321:10 0
-13 *660:A *284:36 5.56461e-05
-14 *805:A *284:36 4.60283e-05
-15 *808:S *284:36 8.79472e-05
-16 *814:A0 *913:A 4.34267e-05
-17 *820:A0 *908:Z 2.16355e-05
-18 *822:A0 *284:36 0
-19 *824:A0 *913:A 0.000945655
-20 *826:A0 *284:36 0
-21 *832:A0 *284:36 0.000148129
-22 *846:D *284:36 9.19886e-06
-23 *857:A *284:36 0.000183508
-24 *859:A *284:36 0.000122378
-25 *863:A *284:36 0.000122378
-26 *908:A *284:36 0.000170577
-27 *909:Z *284:36 0.000196638
-28 *947:A *284:36 0
-29 *5:22 *284:36 0.000152239
-30 *13:12 *284:8 1.71673e-05
-31 *14:11 *913:A 1.66771e-05
-32 *14:11 *284:8 0.000115878
-33 *14:11 *284:36 0.00010984
-34 *48:8 *913:A 1.83188e-05
-35 *90:22 *284:36 0.000284983
-36 *233:18 *284:36 0.000103563
-37 *233:25 *284:36 0.000117846
-38 *250:9 *284:36 3.4475e-05
-*RES
-1 *910:Z *284:4 9.24915
-2 *284:4 *284:8 8.57985
-3 *284:8 *908:Z 14.4725
-4 *284:8 *913:A 23.4684
-5 *284:4 *284:36 47.5923
-6 *284:36 *943:A 9.24915
-*END
-
-*D_NET *285 0.00107634
-*CONN
-*I *908:TE I *D sky130_fd_sc_hd__einvp_2
-*I *910:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *820:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *908:TE 5.29192e-05
-2 *910:TE_B 0.00026245
-3 *820:X 0
-4 *285:4 0.000315369
-5 *820:A0 *908:TE 6.55651e-05
-6 *820:A0 *910:TE_B 5.48756e-05
-7 *5:120 *910:TE_B 0.000156823
-8 *13:12 *910:TE_B 0.00015321
-9 *265:13 *910:TE_B 1.51335e-05
-*RES
-1 *820:X *285:4 9.24915
-2 *285:4 *910:TE_B 24.4081
-3 *285:4 *908:TE 10.9612
-*END
-
-*D_NET *286 0.00372083
-*CONN
-*I *909:TE I *D sky130_fd_sc_hd__einvp_2
-*I *911:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *821:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *909:TE 0
-2 *911:TE_B 0.00027175
-3 *821:X 0.000764673
-4 *286:13 0.00103642
-5 *286:13 *907:A 0.000159038
-6 *286:13 *916:A 0.000114594
-7 *286:13 *918:TE_B 0.00010448
-8 *286:13 *289:5 8.17138e-05
-9 *286:13 *294:5 3.82228e-05
-10 *760:A2 *911:TE_B 1.09551e-05
-11 *762:A1 *911:TE_B 7.73852e-05
-12 *762:A1 *286:13 0.000109421
-13 *762:A2 *286:13 0.000203756
-14 *762:B1 *286:13 3.39346e-05
-15 *817:A0 *286:13 0.000100687
-16 *822:A0 *911:TE_B 0.000307046
-17 *826:A0 *911:TE_B 5.05707e-05
-18 *17:9 *286:13 2.65948e-05
-19 *43:8 *286:13 6.50727e-05
-20 *225:105 *911:TE_B 5.39463e-05
-21 *265:13 *286:13 0.000110566
-*RES
-1 *821:X *286:13 39.854
-2 *286:13 *911:TE_B 27.3631
-3 *286:13 *909:TE 9.24915
-*END
-
-*D_NET *287 0.00282611
-*CONN
-*I *907:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *910:A I *D sky130_fd_sc_hd__einvn_8
-*I *911:A I *D sky130_fd_sc_hd__einvn_4
-*I *906:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *907:A 0.00045084
-2 *910:A 0
-3 *911:A 0.000208078
-4 *906:X 0.000215669
-5 *287:12 0.000292675
-6 *287:8 0.000751105
-7 *820:A0 *907:A 7.41247e-05
-8 *822:A0 *287:8 0.000110458
-9 *908:Z *907:A 6.08467e-05
-10 *913:A *287:8 1.25793e-05
-11 *5:88 *911:A 0
-12 *13:12 *911:A 9.9028e-05
-13 *13:12 *287:8 0
-14 *14:11 *287:8 5.19521e-05
-15 *14:11 *287:12 2.19276e-05
-16 *265:13 *907:A 0.000184114
-17 *284:8 *287:8 3.25618e-05
-18 *284:8 *287:12 0.000101118
-19 *286:13 *907:A 0.000159038
-*RES
-1 *906:X *287:8 18.5201
-2 *287:8 *287:12 7.1625
-3 *287:12 *911:A 23.7141
-4 *287:12 *910:A 9.24915
-5 *287:8 *907:A 22.6951
-*END
-
-*D_NET *288 0.000452425
-*CONN
-*I *916:A I *D sky130_fd_sc_hd__einvp_2
-*I *914:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *916:A 0.000126288
-2 *914:X 0.000126288
-3 *916:A *914:A 0
-4 *916:A *918:TE_B 6.64392e-05
-5 *916:A *289:5 1.88152e-05
-6 *286:13 *916:A 0.000114594
-*RES
-1 *914:X *916:A 31.0235
-*END
-
-*D_NET *289 0.0010712
-*CONN
-*I *916:Z O *D sky130_fd_sc_hd__einvp_2
-*I *919:A I *D sky130_fd_sc_hd__clkinv_1
-*I *918:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *916:Z 0
-2 *919:A 7.24431e-05
-3 *918:Z 7.64871e-05
-4 *289:5 0.00014893
-5 *289:5 *918:TE_B 0.000117376
-6 *916:A *289:5 1.88152e-05
-7 *17:9 *919:A 8.92089e-05
-8 *265:13 *289:5 0.000466227
-9 *286:13 *289:5 8.17138e-05
-*RES
-1 *918:Z *289:5 14.4094
-2 *289:5 *919:A 20.0811
-3 *289:5 *916:Z 9.24915
-*END
-
-*D_NET *290 0.000135789
-*CONN
-*I *915:A I *D sky130_fd_sc_hd__einvp_2
-*I *919:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *915:A 5.85372e-05
-2 *919:Y 5.85372e-05
-3 *5:168 *915:A 1.87146e-05
-*RES
-1 *919:Y *915:A 19.7763
-*END
-
-*D_NET *291 0.000929906
-*CONN
-*I *915:Z O *D sky130_fd_sc_hd__einvp_2
-*I *920:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *917:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *915:Z 8.24108e-05
-2 *920:A 0.000122884
-3 *917:Z 0
-4 *291:4 0.000205295
-5 *915:Z *915:TE 0.000114271
-6 *920:A *924:TE_B 3.44412e-06
-7 *5:168 *915:Z 0.000365242
-8 *16:9 *920:A 3.63588e-05
-*RES
-1 *917:Z *291:4 9.24915
-2 *291:4 *920:A 21.3269
-3 *291:4 *915:Z 13.3002
-*END
-
-*D_NET *292 0.00121505
-*CONN
-*I *915:TE I *D sky130_fd_sc_hd__einvp_2
-*I *917:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *818:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *915:TE 0.000354891
-2 *917:TE_B 1.86223e-05
-3 *818:X 4.85752e-05
-4 *292:7 0.000422089
-5 *818:A0 *915:TE 7.92757e-06
-6 *818:A0 *292:7 1.43698e-05
-7 *915:Z *915:TE 0.000114271
-8 *5:168 *915:TE 0.000234308
-*RES
-1 *818:X *292:7 10.5513
-2 *292:7 *917:TE_B 9.82786
-3 *292:7 *915:TE 19.4008
-*END
-
-*D_NET *293 0.00223259
-*CONN
-*I *918:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *916:TE I *D sky130_fd_sc_hd__einvp_2
-*I *819:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *918:TE_B 9.7119e-05
-2 *916:TE 0
-3 *819:X 0.000554003
-4 *293:11 0.000651122
-5 *827:A0 *293:11 5.04829e-06
-6 *916:A *918:TE_B 6.64392e-05
-7 *5:179 *293:11 0.000399216
-8 *18:11 *293:11 0.000107116
-9 *265:13 *918:TE_B 0.000104598
-10 *265:13 *293:11 2.60765e-05
-11 *286:13 *918:TE_B 0.00010448
-12 *289:5 *918:TE_B 0.000117376
-*RES
-1 *819:X *293:11 29.5683
-2 *293:11 *916:TE 9.24915
-3 *293:11 *918:TE_B 13.8789
-*END
-
-*D_NET *294 0.00147961
-*CONN
-*I *918:A I *D sky130_fd_sc_hd__einvn_4
-*I *917:A I *D sky130_fd_sc_hd__einvn_8
-*I *914:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *913:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *918:A 0
-2 *917:A 9.39693e-05
-3 *914:A 0.000236775
-4 *913:X 4.42171e-05
-5 *294:7 0.000330744
-6 *294:5 4.42171e-05
-7 *914:A *312:15 0.000117343
-8 *345:DIODE *914:A 0.000113968
-9 *810:A1 *914:A 2.65667e-05
-10 *916:A *914:A 0
-11 *5:222 *914:A 2.652e-05
-12 *16:9 *914:A 0.000208877
-13 *16:9 *917:A 0.000159968
-14 *265:13 *294:5 3.82228e-05
-15 *286:13 *294:5 3.82228e-05
-*RES
-1 *913:X *294:5 10.5271
-2 *294:5 *294:7 4.5
-3 *294:7 *914:A 21.7084
-4 *294:7 *917:A 16.8269
-5 *294:5 *918:A 9.24915
-*END
-
-*D_NET *295 0.000672948
-*CONN
-*I *923:A I *D sky130_fd_sc_hd__einvp_2
-*I *921:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *923:A 0.000141788
-2 *921:X 0.000141788
-3 *923:A *923:Z 0.000116557
-4 *923:A *926:A 0.000163344
-5 *24:14 *923:A 0.000109472
-*RES
-1 *921:X *923:A 32.6523
-*END
-
-*D_NET *296 0.00170785
-*CONN
-*I *923:Z O *D sky130_fd_sc_hd__einvp_2
-*I *926:A I *D sky130_fd_sc_hd__clkinv_1
-*I *925:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *923:Z 6.4253e-05
-2 *926:A 0.000267814
-3 *925:Z 3.23952e-05
-4 *296:7 0.000364463
-5 *923:Z *922:A 4.01774e-05
-6 *926:A *921:A 0.000188503
-7 *926:A *922:A 6.43151e-05
-8 *296:7 *925:A 0.000157107
-9 *296:7 *927:A 0.000157107
-10 *827:A0 *926:A 6.27718e-05
-11 *923:A *923:Z 0.000116557
-12 *923:A *926:A 0.000163344
-13 *5:179 *926:A 1.54809e-05
-14 *5:184 *926:A 1.35641e-05
-*RES
-1 *925:Z *296:7 15.5817
-2 *296:7 *926:A 21.4269
-3 *296:7 *923:Z 15.9964
-*END
-
-*D_NET *297 0.00187026
-*CONN
-*I *922:A I *D sky130_fd_sc_hd__einvp_2
-*I *926:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *922:A 0.000356853
-2 *926:Y 0.000356853
-3 *922:A *921:A 0.000183661
-4 *922:A *923:TE 6.50586e-05
-5 *827:A0 *922:A 7.136e-05
-6 *923:Z *922:A 4.01774e-05
-7 *926:A *922:A 6.43151e-05
-8 *5:184 *922:A 6.46815e-05
-9 *18:11 *922:A 0.00045584
-10 *279:13 *922:A 0.000211464
-*RES
-1 *926:Y *922:A 40.8192
-*END
-
-*D_NET *298 0.0027261
-*CONN
-*I *927:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *922:Z O *D sky130_fd_sc_hd__einvp_2
-*I *924:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *927:A 0.000775334
-2 *922:Z 0.000154907
-3 *924:Z 2.09545e-05
-4 *298:5 0.000951196
-5 *922:Z *923:TE 2.22923e-05
-6 *922:Z *301:13 3.25052e-05
-7 *927:A *925:A 8.35465e-05
-8 *927:A *301:13 3.14466e-05
-9 *927:A *306:13 0.000496811
-10 *17:9 *922:Z 0
-11 *296:7 *927:A 0.000157107
-*RES
-1 *924:Z *298:5 9.82786
-2 *298:5 *922:Z 21.3591
-3 *298:5 *927:A 22.1979
-*END
-
-*D_NET *299 0.00105914
-*CONN
-*I *922:TE I *D sky130_fd_sc_hd__einvp_2
-*I *924:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *816:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *922:TE 0.00023268
-2 *924:TE_B 0.000144705
-3 *816:X 0.000125169
-4 *299:7 0.000502555
-5 *816:A1 *299:7 0
-6 *920:A *924:TE_B 3.44412e-06
-7 *16:9 *924:TE_B 0
-8 *17:9 *924:TE_B 0
-9 *279:13 *922:TE 5.05841e-05
-10 *279:13 *924:TE_B 0
-*RES
-1 *816:X *299:7 12.2151
-2 *299:7 *924:TE_B 21.7421
-3 *299:7 *922:TE 14.964
-*END
-
-*D_NET *300 0.00102337
-*CONN
-*I *923:TE I *D sky130_fd_sc_hd__einvp_2
-*I *925:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *817:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *923:TE 0.000117853
-2 *925:TE_B 0
-3 *817:X 0.000178536
-4 *300:8 0.000296389
-5 *817:A1 *300:8 4.24488e-05
-6 *922:A *923:TE 6.50586e-05
-7 *922:Z *923:TE 2.22923e-05
-8 *18:11 *923:TE 0.000162455
-9 *18:11 *300:8 0.000138334
-*RES
-1 *817:X *300:8 17.6896
-2 *300:8 *925:TE_B 13.7491
-3 *300:8 *923:TE 17.5503
-*END
-
-*D_NET *301 0.00302123
-*CONN
-*I *925:A I *D sky130_fd_sc_hd__einvn_4
-*I *921:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *924:A I *D sky130_fd_sc_hd__einvn_8
-*I *920:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *925:A 0.000108531
-2 *921:A 7.65263e-05
-3 *924:A 2.12792e-05
-4 *920:X 0.000109289
-5 *301:13 0.000339726
-6 *301:5 0.000285237
-7 *925:A *306:13 0.000135577
-8 *301:5 *306:13 0.000565745
-9 *301:13 *306:13 0.000534751
-10 *922:A *921:A 0.000183661
-11 *922:Z *301:13 3.25052e-05
-12 *926:A *921:A 0.000188503
-13 *927:A *925:A 8.35465e-05
-14 *927:A *301:13 3.14466e-05
-15 *279:13 *301:5 0.000167799
-16 *296:7 *925:A 0.000157107
-*RES
-1 *920:X *301:5 15.5186
-2 *301:5 *924:A 9.82786
-3 *301:5 *301:13 6.26943
-4 *301:13 *921:A 21.7421
-5 *301:13 *925:A 13.8789
-*END
-
-*D_NET *302 0.00143318
-*CONN
-*I *930:A I *D sky130_fd_sc_hd__einvp_2
-*I *928:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *930:A 0.000496958
-2 *928:X 0.000496958
-3 *930:A *930:TE 0.000116101
-4 *5:293 *930:A 3.62414e-05
-5 *22:8 *930:A 0.000286923
-*RES
-1 *928:X *930:A 37.1242
-*END
-
-*D_NET *303 0.00164712
-*CONN
-*I *933:A I *D sky130_fd_sc_hd__clkinv_1
-*I *930:Z O *D sky130_fd_sc_hd__einvp_2
-*I *932:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *933:A 0.000152478
-2 *930:Z 0.000340279
-3 *932:Z 0
-4 *303:4 0.000492757
-5 *930:Z *930:TE 4.24488e-05
-6 *930:Z *306:13 7.6868e-05
-7 *930:Z *308:7 0.000159032
-8 *933:A *932:A 0.000167438
-9 *825:A0 *930:Z 6.72449e-05
-10 *22:8 *930:Z 6.3657e-05
-11 *22:8 *933:A 8.49163e-05
-*RES
-1 *932:Z *303:4 9.24915
-2 *303:4 *930:Z 27.2105
-3 *303:4 *933:A 13.8789
-*END
-
-*D_NET *304 0.000584973
-*CONN
-*I *929:A I *D sky130_fd_sc_hd__einvp_2
-*I *933:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *929:A 0.000119896
-2 *933:Y 0.000119896
-3 *929:A *929:Z 0.000197176
-4 *929:A *308:12 3.89804e-05
-5 *21:16 *929:A 0.000109024
-*RES
-1 *933:Y *929:A 32.6523
-*END
-
-*D_NET *305 0.00106716
-*CONN
-*I *934:A I *D sky130_fd_sc_hd__clkbuf_2
-*I *929:Z O *D sky130_fd_sc_hd__einvp_2
-*I *931:Z O *D sky130_fd_sc_hd__einvn_8
-*CAP
-1 *934:A 9.62399e-05
-2 *929:Z 0.000225964
-3 *931:Z 0
-4 *305:4 0.000322204
-5 *929:Z *931:A 5.04829e-06
-6 *929:Z *308:12 5.23435e-05
-7 *929:A *929:Z 0.000197176
-8 *21:16 *934:A 0.000168186
-*RES
-1 *931:Z *305:4 9.24915
-2 *305:4 *929:Z 24.1294
-3 *305:4 *934:A 21.3269
-*END
-
-*D_NET *306 0.00490406
-*CONN
-*I *929:TE I *D sky130_fd_sc_hd__einvp_2
-*I *931:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *814:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *929:TE 0.000218398
-2 *931:TE_B 0
-3 *814:X 0.000957261
-4 *306:13 0.00117566
-5 *929:TE *308:7 0.000250519
-6 *306:13 *930:TE 0.000357388
-7 *306:13 *308:7 6.27718e-05
-8 *825:A0 *929:TE 0
-9 *925:A *306:13 0.000135577
-10 *927:A *306:13 0.000496811
-11 *930:Z *306:13 7.6868e-05
-12 *279:13 *306:13 7.23063e-05
-13 *301:5 *306:13 0.000565745
-14 *301:13 *306:13 0.000534751
-*RES
-1 *814:X *306:13 38.9084
-2 *306:13 *931:TE_B 9.24915
-3 *306:13 *929:TE 24.2687
-*END
-
-*D_NET *307 0.00202276
-*CONN
-*I *930:TE I *D sky130_fd_sc_hd__einvp_2
-*I *932:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *815:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *930:TE 0.000288543
-2 *932:TE_B 0
-3 *815:X 0.000325317
-4 *307:6 0.00061386
-5 *351:DIODE *307:6 0
-6 *770:A *307:6 6.61709e-05
-7 *773:B1 *307:6 0.000167615
-8 *930:A *930:TE 0.000116101
-9 *930:Z *930:TE 4.24488e-05
-10 *5:324 *307:6 4.53156e-05
-11 *25:8 *307:6 0
-12 *306:13 *930:TE 0.000357388
-*RES
-1 *815:X *307:6 22.6404
-2 *307:6 *932:TE_B 13.7491
-3 *307:6 *930:TE 22.6122
-*END
-
-*D_NET *308 0.00173912
-*CONN
-*I *931:A I *D sky130_fd_sc_hd__einvn_8
-*I *928:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *932:A I *D sky130_fd_sc_hd__einvn_4
-*I *927:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *931:A 3.42956e-05
-2 *928:A 0
-3 *932:A 2.01765e-05
-4 *927:X 0.000190761
-5 *308:12 0.000193678
-6 *308:7 0.000398559
-7 *929:A *308:12 3.89804e-05
-8 *929:TE *308:7 0.000250519
-9 *929:Z *931:A 5.04829e-06
-10 *929:Z *308:12 5.23435e-05
-11 *930:Z *308:7 0.000159032
-12 *933:A *932:A 0.000167438
-13 *22:8 *932:A 0.000165521
-14 *306:13 *308:7 6.27718e-05
-*RES
-1 *927:X *308:7 15.5427
-2 *308:7 *308:12 12.9083
-3 *308:12 *932:A 11.0817
-4 *308:12 *928:A 9.24915
-5 *308:7 *931:A 9.97254
-*END
-
-*D_NET *309 0.000382005
-*CONN
-*I *937:A I *D sky130_fd_sc_hd__einvp_2
-*I *935:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *937:A 0.000104002
-2 *935:X 0.000104002
-3 *937:A *937:Z 0.000174001
-*RES
-1 *935:X *937:A 30.6083
-*END
-
-*D_NET *310 0.00161072
-*CONN
-*I *940:A I *D sky130_fd_sc_hd__clkinv_1
-*I *937:Z O *D sky130_fd_sc_hd__einvp_2
-*I *939:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *940:A 0.00022806
-2 *937:Z 0.000222489
-3 *939:Z 0
-4 *310:4 0.000450549
-5 *937:Z *939:TE_B 1.64943e-05
-6 *937:Z *313:8 3.67528e-06
-7 *937:Z *314:13 9.68095e-05
-8 *940:A *936:A 9.75148e-06
-9 *940:A *939:TE_B 0.000263359
-10 *937:A *937:Z 0.000174001
-11 *21:16 *937:Z 0.000145529
-*RES
-1 *939:Z *310:4 9.24915
-2 *310:4 *937:Z 26.3422
-3 *310:4 *940:A 14.9881
-*END
-
-*D_NET *311 0.00122115
-*CONN
-*I *936:A I *D sky130_fd_sc_hd__einvp_2
-*I *940:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *936:A 0.000335832
-2 *940:Y 0.000335832
-3 *936:A *939:TE_B 0.000342544
-4 *940:A *936:A 9.75148e-06
-5 *241:6 *936:A 0.000197187
-*RES
-1 *940:Y *936:A 36.1487
-*END
-
-*D_NET *312 0.00516939
-*CONN
-*I *936:TE I *D sky130_fd_sc_hd__einvp_2
-*I *938:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *812:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *936:TE 0.000360517
-2 *938:TE_B 0
-3 *812:X 0.000998722
-4 *312:15 0.00135924
-5 *345:DIODE *312:15 0.000258128
-6 *346:DIODE *312:15 0.000103983
-7 *351:DIODE *936:TE 0
-8 *773:A2 *312:15 0.000107496
-9 *773:A3 *312:15 2.17953e-06
-10 *773:B1 *312:15 0.000385928
-11 *774:B1 *312:15 0.000264586
-12 *777:B *312:15 7.25274e-05
-13 *779:A *312:15 0.000117469
-14 *812:S *312:15 0.000158357
-15 *825:A0 *936:TE 5.67857e-05
-16 *825:A0 *312:15 7.92757e-06
-17 *914:A *312:15 0.000117343
-18 *5:190 *312:15 0.000211464
-19 *5:195 *312:15 0.000377273
-20 *5:223 *312:15 9.97706e-05
-21 *5:324 *312:15 6.89449e-05
-22 *25:8 *936:TE 0
-23 *118:96 *312:15 4.0752e-05
-*RES
-1 *812:X *312:15 46.8174
-2 *312:15 *938:TE_B 9.24915
-3 *312:15 *936:TE 26.4871
-*END
-
-*D_NET *313 0.00198218
-*CONN
-*I *939:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *937:TE I *D sky130_fd_sc_hd__einvp_2
-*I *813:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *939:TE_B 0.000195059
-2 *937:TE 0
-3 *813:X 0.000191747
-4 *313:8 0.000386805
-5 *813:S *313:8 0.000169041
-6 *864:A *313:8 6.80864e-05
-7 *936:A *939:TE_B 0.000342544
-8 *937:Z *939:TE_B 1.64943e-05
-9 *937:Z *313:8 3.67528e-06
-10 *940:A *939:TE_B 0.000263359
-11 *21:16 *939:TE_B 0.000332677
-12 *241:6 *939:TE_B 7.46648e-06
-13 *241:6 *313:8 5.22654e-06
-*RES
-1 *813:X *313:8 18.2442
-2 *313:8 *937:TE 13.7491
-3 *313:8 *939:TE_B 23.506
-*END
-
-*D_NET *314 0.00106013
-*CONN
-*I *939:A I *D sky130_fd_sc_hd__einvn_4
-*I *935:A I *D sky130_fd_sc_hd__clkbuf_1
-*I *938:A I *D sky130_fd_sc_hd__einvn_8
-*I *934:X O *D sky130_fd_sc_hd__clkbuf_2
-*CAP
-1 *939:A 0
-2 *935:A 0
-3 *938:A 6.77624e-05
-4 *934:X 0.000161215
-5 *314:13 0.000306207
-6 *314:8 0.000399659
-7 *937:Z *314:13 9.68095e-05
-8 *22:8 *314:8 2.84758e-05
-*RES
-1 *934:X *314:8 16.8591
-2 *314:8 *314:13 10.6561
-3 *314:13 *938:A 10.5271
-4 *314:13 *935:A 9.24915
-5 *314:8 *939:A 13.7491
-*END
-
-*D_NET *315 0.000832948
-*CONN
-*I *950:TE_B I *D sky130_fd_sc_hd__einvn_8
-*I *946:X O *D sky130_fd_sc_hd__or2_2
-*CAP
-1 *950:TE_B 0.000245615
-2 *946:X 0.000245615
-3 *950:TE_B *953:A 0.000227134
-4 *236:11 *950:TE_B 0.000114584
-*RES
-1 *946:X *950:TE_B 33.2714
-*END
-
-*D_NET *316 0.000268097
-*CONN
-*I *949:A I *D sky130_fd_sc_hd__einvp_2
-*I *947:X O *D sky130_fd_sc_hd__clkbuf_1
-*CAP
-1 *949:A 0.000131683
-2 *947:X 0.000131683
-3 *846:D *949:A 4.73037e-06
-4 *947:A *949:A 0
-5 *119:25 *949:A 0
-*RES
-1 *947:X *949:A 30.0537
-*END
-
-*D_NET *317 0.00114338
-*CONN
-*I *949:Z O *D sky130_fd_sc_hd__einvp_2
-*I *952:A I *D sky130_fd_sc_hd__clkinv_1
-*I *951:Z O *D sky130_fd_sc_hd__einvn_4
-*CAP
-1 *949:Z 5.36936e-05
-2 *952:A 0.000138961
-3 *951:Z 0.000114059
-4 *317:7 0.000306714
-5 *949:Z *951:TE_B 0.000122378
-6 *952:A *948:A 0.000125876
-7 *317:7 *948:A 0.0002817
-8 *950:A *952:A 0
-9 *119:25 *952:A 0
-10 *249:24 *952:A 0
-*RES
-1 *951:Z *317:7 13.3243
-2 *317:7 *952:A 21.7421
-3 *317:7 *949:Z 11.0817
-*END
-
-*D_NET *318 0.00106138
-*CONN
-*I *948:A I *D sky130_fd_sc_hd__einvp_2
-*I *952:Y O *D sky130_fd_sc_hd__clkinv_1
-*CAP
-1 *948:A 0.000267817
-2 *952:Y 0.000267817
-3 *951:A *948:A 0.000118166
-4 *952:A *948:A 0.000125876
-5 *249:24 *948:A 0
-6 *317:7 *948:A 0.0002817
-*RES
-1 *952:Y *948:A 36.015
-*END
-
-*D_NET *319 0.00120271
-*CONN
-*I *953:A I *D sky130_fd_sc_hd__einvp_1
-*I *945:HI O *D sky130_fd_sc_hd__conb_1
-*CAP
-1 *953:A 0.000238206
-2 *945:HI 0.000238206
-3 *953:A *946:A 7.58194e-05
-4 *953:A *946:B 0.000122378
-5 *953:A *321:10 0.000286306
-6 *950:TE_B *953:A 0.000227134
-7 *233:18 *953:A 1.46645e-05
-*RES
-1 *945:HI *953:A 36.7033
-*END
-
-*D_NET *320 0.000986036
-*CONN
-*I *946:A I *D sky130_fd_sc_hd__or2_2
-*I *953:TE I *D sky130_fd_sc_hd__einvp_1
-*I *660:B I *D sky130_fd_sc_hd__nor2_2
-*I *659:Y O *D sky130_fd_sc_hd__nand2_2
-*CAP
-1 *946:A 0.000100019
-2 *953:TE 3.58004e-05
-3 *660:B 0
-4 *659:Y 0.000129704
-5 *320:15 0.00027748
-6 *320:6 0.000271365
-7 *805:A *320:6 0
-8 *863:A *320:15 0
-9 *941:A *320:6 0
-10 *941:A *320:15 0
-11 *942:A *320:6 0
-12 *953:A *946:A 7.58194e-05
-13 *11:10 *320:6 1.91246e-05
-14 *233:5 *946:A 7.16754e-05
-15 *233:18 *946:A 5.04829e-06
-*RES
-1 *659:Y *320:6 16.4116
-2 *320:6 *660:B 13.7491
-3 *320:6 *320:15 7.57775
-4 *320:15 *953:TE 9.97254
-5 *320:15 *946:A 12.7456
-*END
-
-*D_NET *321 0.00162271
-*CONN
-*I *948:TE I *D sky130_fd_sc_hd__einvp_2
-*I *946:B I *D sky130_fd_sc_hd__or2_2
-*I *832:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *948:TE 0
-2 *946:B 5.67305e-05
-3 *832:X 0.000479954
-4 *321:10 0.000536684
-5 *881:TE *321:10 3.69003e-05
-6 *953:A *946:B 0.000122378
-7 *953:A *321:10 0.000286306
-8 *5:98 *321:10 1.43848e-05
-9 *14:11 *321:10 4.26859e-05
-10 *233:18 *946:B 4.66876e-05
-11 *284:36 *321:10 0
-*RES
-1 *832:X *321:10 31.1858
-2 *321:10 *946:B 11.6605
-3 *321:10 *948:TE 9.24915
-*END
-
-*D_NET *322 0.00210766
-*CONN
-*I *949:TE I *D sky130_fd_sc_hd__einvp_2
-*I *951:TE_B I *D sky130_fd_sc_hd__einvn_4
-*I *833:X O *D sky130_fd_sc_hd__mux2_1
-*CAP
-1 *949:TE 0
-2 *951:TE_B 0.000178483
-3 *833:X 0.000291917
-4 *322:7 0.000470401
-5 *649:A *322:7 0.000114594
-6 *723:B2 *322:7 0.000160617
-7 *730:A1 *322:7 0.000508618
-8 *830:A0 *322:7 3.1759e-05
-9 *949:Z *951:TE_B 0.000122378
-10 *119:5 *322:7 9.75148e-06
-11 *120:11 *322:7 0.000193069
-12 *186:10 *322:7 2.60704e-05
-*RES
-1 *833:X *322:7 19.9795
-2 *322:7 *951:TE_B 13.8789
-3 *322:7 *949:TE 9.24915
-*END
diff --git a/spi/lvs/digital_pll.spice b/spi/lvs/digital_pll.spice
index 7b7afb90..49e2e692 100644
--- a/spi/lvs/digital_pll.spice
+++ b/spi/lvs/digital_pll.spice
@@ -1,35 +1,31 @@
* NGSPICE file created from digital_pll.ext - technology: sky130A
-* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view
-.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3_2 abstract view
+.subckt sky130_fd_sc_hd__and3_2 A B C VGND VNB VPB VPWR X
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view
-.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__or3_2 abstract view
-.subckt sky130_fd_sc_hd__or3_2 A B C VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_2 abstract view
-.subckt sky130_fd_sc_hd__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_2 abstract view
+.subckt sky130_fd_sc_hd__nor2_2 A B VGND VNB VPB VPWR Y
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_1 abstract view
.subckt sky130_fd_sc_hd__clkinv_1 A VGND VNB VPB VPWR Y
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_1 abstract view
-.subckt sky130_fd_sc_hd__mux2_1 A0 A1 S VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__a32o_2 abstract view
+.subckt sky130_fd_sc_hd__a32o_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__a311o_2 abstract view
-.subckt sky130_fd_sc_hd__a311o_2 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__o211a_2 abstract view
+.subckt sky130_fd_sc_hd__o211a_2 A1 A2 B1 C1 VGND VNB VPB VPWR X
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__a2bb2o_2 abstract view
-.subckt sky130_fd_sc_hd__a2bb2o_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__xor2_2 abstract view
+.subckt sky130_fd_sc_hd__xor2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a21o_2 abstract view
+.subckt sky130_fd_sc_hd__a21o_2 A1 A2 B1 VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__einvp_2 abstract view
@@ -44,184 +40,176 @@
.subckt sky130_fd_sc_hd__einvn_8 A TE_B VGND VNB VPB VPWR Z
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
-.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o311a_2 abstract view
-.subckt sky130_fd_sc_hd__o311a_2 A1 A2 A3 B1 C1 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__or2_2 abstract view
-.subckt sky130_fd_sc_hd__or2_2 A B VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view
-.subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__einvn_4 abstract view
-.subckt sky130_fd_sc_hd__einvn_4 A TE_B VGND VNB VPB VPWR Z
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view
-.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR
+* Black-box entry subcircuit for sky130_fd_sc_hd__and2b_2 abstract view
+.subckt sky130_fd_sc_hd__and2b_2 A_N B VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_2 abstract view
.subckt sky130_fd_sc_hd__nand2_2 A B VGND VNB VPB VPWR Y
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__o221a_2 abstract view
-.subckt sky130_fd_sc_hd__o221a_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand2b_2 abstract view
+.subckt sky130_fd_sc_hd__nand2b_2 A_N B VGND VNB VPB VPWR Y
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view
-.subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__or2_2 abstract view
+.subckt sky130_fd_sc_hd__or2_2 A B VGND VNB VPB VPWR X
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
-.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view
+.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_2 abstract view
-.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__xnor2_2 abstract view
+.subckt sky130_fd_sc_hd__xnor2_2 A B VGND VNB VPB VPWR Y
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__a31o_2 abstract view
-.subckt sky130_fd_sc_hd__a31o_2 A1 A2 A3 B1 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__a22o_2 abstract view
-.subckt sky130_fd_sc_hd__a22o_2 A1 A2 B1 B2 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__a32o_2 abstract view
-.subckt sky130_fd_sc_hd__a32o_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_1 abstract view
-.subckt sky130_fd_sc_hd__clkbuf_1 A VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__einvn_4 abstract view
+.subckt sky130_fd_sc_hd__einvn_4 A TE_B VGND VNB VPB VPWR Z
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_2 abstract view
.subckt sky130_fd_sc_hd__o21ai_2 A1 A2 B1 VGND VNB VPB VPWR Y
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_2 abstract view
-.subckt sky130_fd_sc_hd__nor2_2 A B VGND VNB VPB VPWR Y
+* Black-box entry subcircuit for sky130_fd_sc_hd__o21a_2 abstract view
+.subckt sky130_fd_sc_hd__o21a_2 A1 A2 B1 VGND VNB VPB VPWR X
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__and4_2 abstract view
-.subckt sky130_fd_sc_hd__and4_2 A B C D VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view
-.subckt sky130_fd_sc_hd__inv_2 A VGND VNB VPB VPWR Y
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o22a_2 abstract view
-.subckt sky130_fd_sc_hd__o22a_2 A1 A2 B1 B2 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__a221o_2 abstract view
-.subckt sky130_fd_sc_hd__a221o_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
+.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__a21oi_2 abstract view
.subckt sky130_fd_sc_hd__a21oi_2 A1 A2 B1 VGND VNB VPB VPWR Y
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__o31a_2 abstract view
-.subckt sky130_fd_sc_hd__o31a_2 A1 A2 A3 B1 VGND VNB VPB VPWR X
+* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view
+.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__o221ai_2 abstract view
-.subckt sky130_fd_sc_hd__o221ai_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR Y
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_2 abstract view
+.subckt sky130_fd_sc_hd__clkbuf_2 A VGND VNB VPB VPWR X
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__einvp_1 abstract view
-.subckt sky130_fd_sc_hd__einvp_1 A TE VGND VNB VPB VPWR Z
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__and3_2 abstract view
-.subckt sky130_fd_sc_hd__and3_2 A B C VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__a21bo_2 abstract view
-.subckt sky130_fd_sc_hd__a21bo_2 A1 A2 B1_N VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o41a_2 abstract view
-.subckt sky130_fd_sc_hd__o41a_2 A1 A2 A3 A4 B1 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view
-.subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o2bb2a_2 abstract view
-.subckt sky130_fd_sc_hd__o2bb2a_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__decap_12 abstract view
-.subckt sky130_fd_sc_hd__decap_12 VGND VNB VPB VPWR
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o21a_2 abstract view
-.subckt sky130_fd_sc_hd__o21a_2 A1 A2 B1 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o22ai_2 abstract view
-.subckt sky130_fd_sc_hd__o22ai_2 A1 A2 B1 B2 VGND VNB VPB VPWR Y
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view
-.subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_2 abstract view
-.subckt sky130_fd_sc_hd__clkinv_2 A VGND VNB VPB VPWR Y
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o32a_2 abstract view
-.subckt sky130_fd_sc_hd__o32a_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_8 abstract view
-.subckt sky130_fd_sc_hd__clkinv_8 A VGND VNB VPB VPWR Y
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o211a_2 abstract view
-.subckt sky130_fd_sc_hd__o211a_2 A1 A2 B1 C1 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__a21o_2 abstract view
-.subckt sky130_fd_sc_hd__a21o_2 A1 A2 B1 VGND VNB VPB VPWR X
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o2111ai_2 abstract view
-.subckt sky130_fd_sc_hd__o2111ai_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__conb_1 abstract view
-.subckt sky130_fd_sc_hd__conb_1 VGND VNB VPB VPWR HI LO
-.ends
-
-* Black-box entry subcircuit for sky130_fd_sc_hd__o2bb2ai_2 abstract view
-.subckt sky130_fd_sc_hd__o2bb2ai_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
+* Black-box entry subcircuit for sky130_fd_sc_hd__a22o_2 abstract view
+.subckt sky130_fd_sc_hd__a22o_2 A1 A2 B1 B2 VGND VNB VPB VPWR X
.ends
* Black-box entry subcircuit for sky130_fd_sc_hd__and2_2 abstract view
.subckt sky130_fd_sc_hd__and2_2 A B VGND VNB VPB VPWR X
.ends
+* Black-box entry subcircuit for sky130_fd_sc_hd__o22a_2 abstract view
+.subckt sky130_fd_sc_hd__o22a_2 A1 A2 B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_1 abstract view
+.subckt sky130_fd_sc_hd__clkbuf_1 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3_2 abstract view
+.subckt sky130_fd_sc_hd__or3_2 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__mux2_1 abstract view
+.subckt sky130_fd_sc_hd__mux2_1 A0 A1 S VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and4_2 abstract view
+.subckt sky130_fd_sc_hd__and4_2 A B C D VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_2 abstract view
+.subckt sky130_fd_sc_hd__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3b_2 abstract view
+.subckt sky130_fd_sc_hd__or3b_2 A B C_N VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a211o_2 abstract view
+.subckt sky130_fd_sc_hd__a211o_2 A1 A2 B1 C1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a21bo_2 abstract view
+.subckt sky130_fd_sc_hd__a21bo_2 A1 A2 B1_N VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__einvp_1 abstract view
+.subckt sky130_fd_sc_hd__einvp_1 A TE VGND VNB VPB VPWR Z
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or4bb_2 abstract view
+.subckt sky130_fd_sc_hd__or4bb_2 A B C_N D_N VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__inv_2 abstract view
+.subckt sky130_fd_sc_hd__inv_2 A VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view
+.subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
+.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand3_2 abstract view
+.subckt sky130_fd_sc_hd__nand3_2 A B C VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o221a_2 abstract view
+.subckt sky130_fd_sc_hd__o221a_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o2bb2a_2 abstract view
+.subckt sky130_fd_sc_hd__o2bb2a_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3b_2 abstract view
+.subckt sky130_fd_sc_hd__and3b_2 A_N B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view
+.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_ef_sc_hd__decap_12 abstract view
+.subckt sky130_ef_sc_hd__decap_12 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_2 abstract view
+.subckt sky130_fd_sc_hd__clkinv_2 A VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o31a_2 abstract view
+.subckt sky130_fd_sc_hd__o31a_2 A1 A2 A3 B1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkinv_8 abstract view
+.subckt sky130_fd_sc_hd__clkinv_8 A VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view
+.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR
+.ends
+
* Black-box entry subcircuit for sky130_fd_sc_hd__or4_2 abstract view
.subckt sky130_fd_sc_hd__or4_2 A B C D VGND VNB VPB VPWR X
.ends
-* Black-box entry subcircuit for sky130_fd_sc_hd__a22oi_2 abstract view
-.subckt sky130_fd_sc_hd__a22oi_2 A1 A2 B1 B2 VGND VNB VPB VPWR Y
+* Black-box entry subcircuit for sky130_fd_sc_hd__conb_1 abstract view
+.subckt sky130_fd_sc_hd__conb_1 VGND VNB VPB VPWR HI LO
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a2111oi_2 abstract view
+.subckt sky130_fd_sc_hd__a2111oi_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a31o_2 abstract view
+.subckt sky130_fd_sc_hd__a31o_2 A1 A2 A3 B1 VGND VNB VPB VPWR X
.ends
.subckt digital_pll VGND VPWR clockp[0] clockp[1] dco div[0] div[1] div[2] div[3]
@@ -229,728 +217,714 @@
+ ext_trim[15] ext_trim[16] ext_trim[17] ext_trim[18] ext_trim[19] ext_trim[1] ext_trim[20]
+ ext_trim[21] ext_trim[22] ext_trim[23] ext_trim[24] ext_trim[25] ext_trim[2] ext_trim[3]
+ ext_trim[4] ext_trim[5] ext_trim[6] ext_trim[7] ext_trim[8] ext_trim[9] osc resetb
-XFILLER_22_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__338__A1 ext_trim[7] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_294_ _297_/C _302_/C _301_/A VGND VGND VPWR VPWR _294_/X sky130_fd_sc_hd__or3_2
-X_363_ _328_/A _363_/D _318_/X VGND VGND VPWR VPWR _363_/Q sky130_fd_sc_hd__dfrtp_2
+X_294_ _315_/B _303_/B _294_/C VGND VGND VPWR VPWR _336_/A sky130_fd_sc_hd__and3_2
+X_363_ dco _378_/B VGND VGND VPWR VPWR _363_/Y sky130_fd_sc_hd__nor2_2
Xringosc.dstage\[1\].id.delayint0 ringosc.dstage\[1\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[1\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-X_346_ _288_/B ext_trim[3] dco VGND VGND VPWR VPWR _346_/X sky130_fd_sc_hd__mux2_1
-X_277_ _362_/Q _272_/B _363_/Q _267_/B _226_/B VGND VGND VPWR VPWR _359_/D sky130_fd_sc_hd__a311o_2
-X_200_ _196_/A _199_/Y _196_/A _199_/Y VGND VGND VPWR VPWR _200_/X sky130_fd_sc_hd__a2bb2o_2
-X_329_ _292_/B _232_/B _370_/Q VGND VGND VPWR VPWR _329_/X sky130_fd_sc_hd__mux2_1
-Xringosc.dstage\[11\].id.delayen1 ringosc.dstage\[11\].id.delayen1/A _331_/X VGND
+X_346_ _298_/X _333_/X _345_/Y ext_trim[20] dco VGND VGND VPWR VPWR _346_/X sky130_fd_sc_hd__a32o_2
+X_277_ _385_/Q _280_/A _276_/Y _285_/S VGND VGND VPWR VPWR _385_/D sky130_fd_sc_hd__o211a_2
+X_200_ _383_/Q _398_/Q VGND VGND VPWR VPWR _218_/B sky130_fd_sc_hd__xor2_2
+X_329_ _315_/B _303_/B _248_/B VGND VGND VPWR VPWR _332_/C sky130_fd_sc_hd__a21o_2
+Xringosc.dstage\[11\].id.delayen1 ringosc.dstage\[11\].id.delayen1/A _353_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[11\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-XFILLER_22_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XFILLER_18_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-Xringosc.dstage\[10\].id.delayenb0 ringosc.dstage\[10\].id.delayenb1/A _332_/X VGND
+Xringosc.dstage\[10\].id.delayenb0 ringosc.dstage\[10\].id.delayenb1/A _314_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[10\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
-Xringosc.dstage\[9\].id.delayenb0 ringosc.dstage\[9\].id.delayenb1/A _334_/X VGND
+Xringosc.dstage\[9\].id.delayenb0 ringosc.dstage\[9\].id.delayenb1/A _312_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[9\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
-XFILLER_15_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_293_ _367_/Q _221_/B _302_/B _370_/Q _232_/B VGND VGND VPWR VPWR _293_/X sky130_fd_sc_hd__o311a_2
-XFILLER_13_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_3_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_362_ _328_/A _362_/D _319_/X VGND VGND VPWR VPWR _362_/Q sky130_fd_sc_hd__dfrtp_2
-XFILLER_10_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_345_ _300_/X ext_trim[17] dco VGND VGND VPWR VPWR _345_/X sky130_fd_sc_hd__mux2_1
-X_276_ _276_/A _276_/B VGND VGND VPWR VPWR _360_/D sky130_fd_sc_hd__or2_2
-X_328_ _328_/A VGND VGND VPWR VPWR clockp[0] sky130_fd_sc_hd__buf_2
-XFILLER_9_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_259_ _366_/Q _220_/Y _262_/A VGND VGND VPWR VPWR _259_/X sky130_fd_sc_hd__mux2_1
-Xringosc.dstage\[9\].id.delayenb1 ringosc.dstage\[9\].id.delayenb1/A _335_/X VGND
+XFILLER_13_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_293_ dco _298_/C VGND VGND VPWR VPWR _294_/C sky130_fd_sc_hd__and2b_2
+X_362_ dco _378_/B VGND VGND VPWR VPWR _362_/Y sky130_fd_sc_hd__nor2_2
+X_345_ _393_/Q _345_/B VGND VGND VPWR VPWR _345_/Y sky130_fd_sc_hd__nand2_2
+X_276_ _386_/Q _278_/B VGND VGND VPWR VPWR _276_/Y sky130_fd_sc_hd__nand2b_2
+X_328_ _328_/A _328_/B VGND VGND VPWR VPWR _336_/B sky130_fd_sc_hd__or2_2
+XFILLER_9_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_259_ _259_/A _259_/B VGND VGND VPWR VPWR _259_/Y sky130_fd_sc_hd__xnor2_2
+XFILLER_20_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xringosc.dstage\[9\].id.delayenb1 ringosc.dstage\[9\].id.delayenb1/A _350_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[9\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
-XFILLER_20_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-Xringosc.dstage\[10\].id.delayenb1 ringosc.dstage\[10\].id.delayenb1/A _333_/X VGND
+Xringosc.dstage\[10\].id.delayenb1 ringosc.dstage\[10\].id.delayenb1/A _351_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[10\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
-X_292_ _301_/A _292_/B VGND VGND VPWR VPWR _299_/B sky130_fd_sc_hd__nand2_2
-X_361_ _328_/A _361_/D _320_/X VGND VGND VPWR VPWR _361_/Q sky130_fd_sc_hd__dfrtp_2
-X_344_ _286_/X ext_trim[4] dco VGND VGND VPWR VPWR _344_/X sky130_fd_sc_hd__mux2_1
-X_275_ _267_/A _267_/B _360_/Q _359_/Q _272_/D VGND VGND VPWR VPWR _276_/B sky130_fd_sc_hd__o221a_2
-Xringosc.dstage\[4\].id.delayen0 ringosc.dstage\[4\].id.delayen0/A _344_/X VGND VGND
+XFILLER_15_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_292_ _324_/A _323_/B VGND VGND VPWR VPWR _303_/B sky130_fd_sc_hd__nand2_2
+X_361_ dco _378_/B VGND VGND VPWR VPWR _361_/Y sky130_fd_sc_hd__nor2_2
+X_344_ _391_/Q _390_/Q _392_/Q VGND VGND VPWR VPWR _345_/B sky130_fd_sc_hd__o21ai_2
+X_275_ _386_/Q _278_/B _285_/S VGND VGND VPWR VPWR _386_/D sky130_fd_sc_hd__o21a_2
+XFILLER_4_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xringosc.dstage\[4\].id.delayen0 ringosc.dstage\[4\].id.delayen0/A _302_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[4\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
XFILLER_3_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XFILLER_2_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_0_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-X_189_ _371_/Q _226_/B VGND VGND VPWR VPWR _371_/D sky130_fd_sc_hd__or2_2
-X_258_ _262_/A _262_/B VGND VGND VPWR VPWR _258_/X sky130_fd_sc_hd__or2_2
-X_327_ _327_/A VGND VGND VPWR VPWR _327_/X sky130_fd_sc_hd__buf_1
-XFILLER_15_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-XANTENNA__210__B1 div[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_327_ _392_/Q _327_/B VGND VGND VPWR VPWR _327_/X sky130_fd_sc_hd__or2_2
+XFILLER_9_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_258_ _261_/A _261_/B _247_/B VGND VGND VPWR VPWR _259_/B sky130_fd_sc_hd__a21oi_2
+X_189_ _386_/Q _401_/Q VGND VGND VPWR VPWR _190_/B sky130_fd_sc_hd__or2_2
+XANTENNA__310__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_15_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
Xringosc.dstage\[9\].id.delaybuf0 ringosc.dstage\[8\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[9\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
-Xringosc.dstage\[5\].id.delayenb0 ringosc.dstage\[5\].id.delayenb1/A _342_/X VGND
-+ VGND VPWR VPWR ringosc.ibufp10/A sky130_fd_sc_hd__einvn_8
Xringosc.dstage\[10\].id.delaybuf0 ringosc.dstage\[9\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[10\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
-XANTENNA__201__B1 div[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_360_ _328_/A _360_/D _321_/X VGND VGND VPWR VPWR _360_/Q sky130_fd_sc_hd__dfrtp_2
-XFILLER_7_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-X_291_ _369_/Q _368_/Q _367_/Q _370_/Q VGND VGND VPWR VPWR _291_/X sky130_fd_sc_hd__a31o_2
-XFILLER_3_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_3_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_12_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_343_ _301_/Y ext_trim[18] dco VGND VGND VPWR VPWR _343_/X sky130_fd_sc_hd__mux2_1
-X_274_ _272_/D _268_/A _273_/Y _276_/A VGND VGND VPWR VPWR _361_/D sky130_fd_sc_hd__a31o_2
-Xringosc.dstage\[4\].id.delayen1 ringosc.dstage\[4\].id.delayen1/A _345_/X VGND VGND
+Xringosc.dstage\[5\].id.delayenb0 ringosc.dstage\[5\].id.delayenb1/A _305_/X VGND
++ VGND VPWR VPWR ringosc.ibufp10/A sky130_fd_sc_hd__einvn_8
+X_291_ _392_/Q _307_/C VGND VGND VPWR VPWR _323_/B sky130_fd_sc_hd__nor2_2
+X_360_ dco _378_/B VGND VGND VPWR VPWR _360_/Y sky130_fd_sc_hd__nor2_2
+X_343_ dco ext_trim[19] _336_/A _336_/B VGND VGND VPWR VPWR _343_/X sky130_fd_sc_hd__a22o_2
+X_274_ _385_/Q _280_/A VGND VGND VPWR VPWR _278_/B sky130_fd_sc_hd__and2_2
+Xringosc.dstage\[4\].id.delayen1 ringosc.dstage\[4\].id.delayen1/A _341_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[4\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-X_188_ _372_/Q _272_/D _371_/Q _226_/B VGND VGND VPWR VPWR _372_/D sky130_fd_sc_hd__a22o_2
-XFILLER_9_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_257_ _236_/A _251_/X _256_/Y _368_/Q _236_/Y VGND VGND VPWR VPWR _368_/D sky130_fd_sc_hd__a32o_2
-X_326_ _370_/Q VGND VGND VPWR VPWR _326_/X sky130_fd_sc_hd__buf_1
-X_309_ _327_/A VGND VGND VPWR VPWR _309_/X sky130_fd_sc_hd__buf_1
-XFILLER_19_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_15_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XANTENNA__210__A1 div[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_326_ dco ext_trim[14] _304_/X _322_/X VGND VGND VPWR VPWR _326_/X sky130_fd_sc_hd__a22o_2
+X_188_ _386_/Q _401_/Q VGND VGND VPWR VPWR _190_/A sky130_fd_sc_hd__nand2_2
+X_257_ _393_/Q _271_/B _255_/X _256_/Y VGND VGND VPWR VPWR _393_/D sky130_fd_sc_hd__o22a_2
+X_309_ dco ext_trim[7] _336_/A _308_/X VGND VGND VPWR VPWR _309_/X sky130_fd_sc_hd__a22o_2
+XANTENNA__310__A2 ext_trim[8] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__295__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[9\].id.delaybuf1 ringosc.dstage\[9\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[9\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-Xringosc.dstage\[5\].id.delayenb1 ringosc.dstage\[5\].id.delayenb1/A _343_/X VGND
+Xringosc.dstage\[5\].id.delayenb1 ringosc.dstage\[5\].id.delayenb1/A _342_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[5\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
Xringosc.dstage\[10\].id.delaybuf1 ringosc.dstage\[10\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[10\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-XANTENNA__201__A1 div[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_290_ _369_/Q _368_/Q _297_/C _370_/Q VGND VGND VPWR VPWR _290_/X sky130_fd_sc_hd__a31o_2
-X_342_ _283_/X ext_trim[5] dco VGND VGND VPWR VPWR _342_/X sky130_fd_sc_hd__mux2_1
-X_273_ _267_/A _267_/B _267_/C VGND VGND VPWR VPWR _273_/Y sky130_fd_sc_hd__o21ai_2
-XFILLER_4_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_325_ _327_/A VGND VGND VPWR VPWR _325_/X sky130_fd_sc_hd__buf_1
-X_187_ _372_/Q _226_/B _373_/Q _272_/D VGND VGND VPWR VPWR _373_/D sky130_fd_sc_hd__a22o_2
-X_256_ _256_/A _256_/B VGND VGND VPWR VPWR _256_/Y sky130_fd_sc_hd__nand2_2
-X_308_ _327_/A VGND VGND VPWR VPWR _308_/X sky130_fd_sc_hd__buf_1
-X_239_ _288_/A _239_/B VGND VGND VPWR VPWR _239_/Y sky130_fd_sc_hd__nor2_2
-XFILLER_6_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_6_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_290_ _393_/Q _307_/B _391_/Q VGND VGND VPWR VPWR _315_/B sky130_fd_sc_hd__or3_2
+XFILLER_3_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_342_ dco ext_trim[18] _324_/B _296_/Y VGND VGND VPWR VPWR _342_/X sky130_fd_sc_hd__a22o_2
+X_273_ _384_/Q _383_/Q _382_/Q VGND VGND VPWR VPWR _280_/A sky130_fd_sc_hd__and3_2
+X_325_ _328_/A _349_/B VGND VGND VPWR VPWR _325_/X sky130_fd_sc_hd__or2_2
+X_187_ _382_/Q _397_/Q _285_/S VGND VGND VPWR VPWR _397_/D sky130_fd_sc_hd__mux2_1
+X_256_ _255_/A _255_/B _271_/B VGND VGND VPWR VPWR _256_/Y sky130_fd_sc_hd__o21ai_2
+X_308_ _327_/B _328_/B VGND VGND VPWR VPWR _308_/X sky130_fd_sc_hd__or2_2
+X_239_ _388_/Q _387_/Q VGND VGND VPWR VPWR _268_/B sky130_fd_sc_hd__nand2_2
+XANTENNA__295__A2 ext_trim[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XFILLER_16_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_7_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_3_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_22_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
Xringosc.dstage\[5\].id.delaybuf0 ringosc.dstage\[4\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[5\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
-X_341_ _299_/B ext_trim[19] dco VGND VGND VPWR VPWR _341_/X sky130_fd_sc_hd__mux2_1
-Xringosc.dstage\[1\].id.delayenb0 ringosc.dstage\[1\].id.delayenb1/A _350_/X VGND
+X_341_ _325_/X _338_/X _340_/X ext_trim[17] dco VGND VGND VPWR VPWR _341_/X sky130_fd_sc_hd__a32o_2
+Xringosc.dstage\[1\].id.delayenb0 ringosc.dstage\[1\].id.delayenb1/A _295_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[1\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
-X_272_ _362_/Q _272_/B _363_/Q _272_/D VGND VGND VPWR VPWR _276_/A sky130_fd_sc_hd__and4_2
-XFILLER_12_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA__361__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_272_ _387_/Q _238_/X _271_/Y VGND VGND VPWR VPWR _387_/D sky130_fd_sc_hd__o21a_2
Xringosc.dstage\[8\].id.delayint0 ringosc.dstage\[8\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[8\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-XANTENNA__340__A1 ext_trim[6] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XFILLER_5_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XANTENNA__181__A enable VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__331__A1 ext_trim[24] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_324_ _327_/A VGND VGND VPWR VPWR _324_/X sky130_fd_sc_hd__buf_1
-X_186_ _374_/Q _272_/D _359_/Q _226_/B VGND VGND VPWR VPWR _374_/D sky130_fd_sc_hd__a22o_2
-XFILLER_9_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_255_ _297_/A _236_/A _254_/X VGND VGND VPWR VPWR _369_/D sky130_fd_sc_hd__o21ai_2
-X_169_ _374_/Q VGND VGND VPWR VPWR _193_/B sky130_fd_sc_hd__inv_2
-X_307_ _327_/A VGND VGND VPWR VPWR _307_/X sky130_fd_sc_hd__buf_1
-X_238_ _224_/A _220_/A _224_/B _237_/X VGND VGND VPWR VPWR _262_/A sky130_fd_sc_hd__o22a_2
-XFILLER_19_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_6_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__356__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_324_ _324_/A _324_/B VGND VGND VPWR VPWR _347_/C sky130_fd_sc_hd__or2_2
+X_186_ _383_/Q _398_/Q _285_/S VGND VGND VPWR VPWR _398_/D sky130_fd_sc_hd__mux2_1
+X_255_ _255_/A _255_/B VGND VGND VPWR VPWR _255_/X sky130_fd_sc_hd__and2_2
+XFILLER_1_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_307_ _393_/Q _307_/B _307_/C VGND VGND VPWR VPWR _328_/B sky130_fd_sc_hd__or3_2
+XFILLER_1_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_238_ _380_/Q _238_/B _238_/C _238_/D VGND VGND VPWR VPWR _238_/X sky130_fd_sc_hd__and4_2
+XANTENNA__213__B1 div[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_19_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__364__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_0 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA__359__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[5\].id.delaybuf1 ringosc.dstage\[5\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[5\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-Xringosc.dstage\[1\].id.delayenb1 ringosc.dstage\[1\].id.delayenb1/A _351_/X VGND
-+ VGND VPWR VPWR ringosc.dstage\[1\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
+XFILLER_12_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XFILLER_12_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_340_ _279_/X ext_trim[6] dco VGND VGND VPWR VPWR _340_/X sky130_fd_sc_hd__mux2_1
-X_271_ _362_/Q _272_/B _363_/Q _269_/Y _272_/D VGND VGND VPWR VPWR _362_/D sky130_fd_sc_hd__o221a_2
-XANTENNA__181__B resetb VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-Xringosc.dstage\[1\].id.delayen0 ringosc.dstage\[1\].id.delayen0/A _350_/X VGND VGND
+Xringosc.dstage\[1\].id.delayenb1 ringosc.dstage\[1\].id.delayenb1/A _326_/X VGND
++ VGND VPWR VPWR ringosc.dstage\[1\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
+X_340_ _340_/A _340_/B _340_/C VGND VGND VPWR VPWR _340_/X sky130_fd_sc_hd__and3_2
+X_271_ _387_/Q _271_/B VGND VGND VPWR VPWR _271_/Y sky130_fd_sc_hd__nand2_2
+Xringosc.dstage\[1\].id.delayen0 ringosc.dstage\[1\].id.delayen0/A _295_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[1\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-X_185_ _375_/Q _272_/D _360_/Q _226_/B VGND VGND VPWR VPWR _375_/D sky130_fd_sc_hd__a22o_2
-XFILLER_0_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-X_323_ _327_/A VGND VGND VPWR VPWR _323_/X sky130_fd_sc_hd__buf_1
-X_254_ _244_/Y _253_/A _244_/A _253_/Y _236_/Y VGND VGND VPWR VPWR _254_/X sky130_fd_sc_hd__a221o_2
-XFILLER_18_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_306_ _327_/A VGND VGND VPWR VPWR _306_/X sky130_fd_sc_hd__buf_1
-X_237_ _365_/Q _220_/Y _224_/A _220_/A VGND VGND VPWR VPWR _237_/X sky130_fd_sc_hd__a22o_2
-X_168_ _359_/Q VGND VGND VPWR VPWR _267_/B sky130_fd_sc_hd__inv_2
-XFILLER_20_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XANTENNA__204__A1 div[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_16_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_323_ _393_/Q _323_/B VGND VGND VPWR VPWR _349_/B sky130_fd_sc_hd__nand2_2
+XANTENNA__372__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_185_ _384_/Q _399_/Q _285_/S VGND VGND VPWR VPWR _399_/D sky130_fd_sc_hd__mux2_1
+X_254_ _393_/Q _269_/A VGND VGND VPWR VPWR _255_/B sky130_fd_sc_hd__xnor2_2
+XANTENNA__367__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_306_ dco ext_trim[6] _332_/A _298_/X VGND VGND VPWR VPWR _306_/X sky130_fd_sc_hd__a22o_2
+X_237_ _237_/A _237_/B _237_/C VGND VGND VPWR VPWR _238_/D sky130_fd_sc_hd__or3_2
+XANTENNA__313__A_N ext_trim[10] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__222__B2 div[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__222__A1 div[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__289__A1 ext_trim[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__213__A1 div[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_1 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_12_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_5_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_270_ _164_/Y _269_/Y _226_/B VGND VGND VPWR VPWR _363_/D sky130_fd_sc_hd__a21oi_2
-Xringosc.dstage\[1\].id.delayen1 ringosc.dstage\[1\].id.delayen1/A _351_/X VGND VGND
+XANTENNA__375__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_13_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__334__B1 ext_trim[15] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_270_ _388_/Q _269_/Y _271_/B VGND VGND VPWR VPWR _388_/D sky130_fd_sc_hd__mux2_1
+XANTENNA__316__B1 ext_trim[11] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[1\].id.delayen1 ringosc.dstage\[1\].id.delayen1/A _326_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[1\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-X_322_ _327_/A VGND VGND VPWR VPWR _322_/X sky130_fd_sc_hd__buf_1
+XFILLER_4_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_399_ _401_/CLK _399_/D _376_/Y VGND VGND VPWR VPWR _399_/Q sky130_fd_sc_hd__dfrtp_2
+X_322_ _393_/Q _307_/B _320_/X _321_/Y VGND VGND VPWR VPWR _322_/X sky130_fd_sc_hd__o211a_2
Xringosc.dstage\[1\].id.delaybuf0 ringosc.dstage\[0\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[1\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
Xringosc.dstage\[4\].id.delayint0 ringosc.dstage\[4\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[4\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-X_184_ _376_/Q _272_/D _361_/Q _226_/B VGND VGND VPWR VPWR _376_/D sky130_fd_sc_hd__a22o_2
-X_253_ _253_/A VGND VGND VPWR VPWR _253_/Y sky130_fd_sc_hd__inv_2
-XFILLER_18_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_167_ _360_/Q VGND VGND VPWR VPWR _267_/A sky130_fd_sc_hd__inv_2
-X_305_ _327_/A VGND VGND VPWR VPWR _305_/X sky130_fd_sc_hd__buf_1
-X_236_ _236_/A VGND VGND VPWR VPWR _236_/Y sky130_fd_sc_hd__inv_2
-XFILLER_10_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_19_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_219_ _219_/A _219_/B VGND VGND VPWR VPWR _220_/A sky130_fd_sc_hd__or2_2
-Xringosc.dstage\[9\].id.delayen0 ringosc.dstage\[9\].id.delayen0/A _334_/X VGND VGND
+X_184_ _385_/Q _400_/Q _285_/S VGND VGND VPWR VPWR _400_/D sky130_fd_sc_hd__mux2_1
+X_253_ _259_/A _261_/A _261_/B _324_/B _269_/A VGND VGND VPWR VPWR _255_/A sky130_fd_sc_hd__a32o_2
+X_305_ dco ext_trim[5] _304_/X VGND VGND VPWR VPWR _305_/X sky130_fd_sc_hd__a21o_2
+X_236_ _236_/A _236_/B _207_/X VGND VGND VPWR VPWR _237_/C sky130_fd_sc_hd__or3b_2
+XANTENNA__289__A2 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__378__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__288__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_219_ div[1] _219_/B VGND VGND VPWR VPWR _223_/A sky130_fd_sc_hd__nand2_2
+Xringosc.dstage\[9\].id.delayen0 ringosc.dstage\[9\].id.delayen0/A _312_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[9\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-XFILLER_15_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XPHY_2 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__343__A1 ext_trim[18] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__330__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__352__A1 ext_trim[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__334__A1 ext_trim[9] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__343__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_8_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA__334__B2 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__316__B2 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_398_ _401_/CLK _398_/D _375_/Y VGND VGND VPWR VPWR _398_/Q sky130_fd_sc_hd__dfrtp_2
Xringosc.dstage\[1\].id.delaybuf1 ringosc.dstage\[1\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[1\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-XANTENNA__356__D osc VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_321_ _327_/A VGND VGND VPWR VPWR _321_/X sky130_fd_sc_hd__buf_1
-X_183_ _377_/Q _272_/D _362_/Q _226_/B VGND VGND VPWR VPWR _377_/D sky130_fd_sc_hd__a22o_2
-X_252_ _280_/B _220_/A _251_/X VGND VGND VPWR VPWR _253_/A sky130_fd_sc_hd__o21ai_2
-X_304_ _288_/A _239_/B _302_/B _370_/Q _232_/B VGND VGND VPWR VPWR _304_/X sky130_fd_sc_hd__o311a_2
-X_235_ _301_/A _220_/Y _224_/X _234_/X VGND VGND VPWR VPWR _236_/A sky130_fd_sc_hd__o31a_2
-X_166_ _361_/Q VGND VGND VPWR VPWR _267_/C sky130_fd_sc_hd__inv_2
-XANTENNA__333__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_218_ _164_/Y _165_/Y div[4] _216_/B _214_/X VGND VGND VPWR VPWR _219_/B sky130_fd_sc_hd__o221ai_2
-Xringosc.dstage\[9\].id.delayen1 ringosc.dstage\[9\].id.delayen1/A _335_/X VGND VGND
+X_321_ _393_/Q _328_/A _323_/B VGND VGND VPWR VPWR _321_/Y sky130_fd_sc_hd__o21ai_2
+X_183_ _386_/Q _401_/Q _285_/S VGND VGND VPWR VPWR _401_/D sky130_fd_sc_hd__mux2_1
+X_252_ _339_/A _266_/A _266_/B _327_/B _269_/A VGND VGND VPWR VPWR _261_/B sky130_fd_sc_hd__a32o_2
+XFILLER_13_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__225__B1 div[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_304_ _339_/A _303_/B _294_/C _303_/X VGND VGND VPWR VPWR _304_/X sky130_fd_sc_hd__o211a_2
+X_235_ div[0] _235_/B VGND VGND VPWR VPWR _236_/A sky130_fd_sc_hd__and2_2
+X_218_ _218_/A _218_/B VGND VGND VPWR VPWR _219_/B sky130_fd_sc_hd__xnor2_2
+Xringosc.dstage\[9\].id.delayen1 ringosc.dstage\[9\].id.delayen1/A _350_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[9\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
+XFILLER_21_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XPHY_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_7_96 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_16_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-XFILLER_16_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_12_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XANTENNA__341__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__336__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_320_ _327_/A VGND VGND VPWR VPWR _320_/X sky130_fd_sc_hd__buf_1
-X_182_ dco _182_/B VGND VGND VPWR VPWR _327_/A sky130_fd_sc_hd__nor2_2
-X_251_ _256_/A _256_/B VGND VGND VPWR VPWR _251_/X sky130_fd_sc_hd__or2_2
-X_303_ _297_/A _368_/Q _367_/Q _302_/X VGND VGND VPWR VPWR _303_/X sky130_fd_sc_hd__o31a_2
-XFILLER_1_54 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_234_ _219_/B _225_/Y _220_/A _233_/X _226_/X VGND VGND VPWR VPWR _234_/X sky130_fd_sc_hd__o221a_2
-X_165_ _378_/Q VGND VGND VPWR VPWR _165_/Y sky130_fd_sc_hd__inv_2
+XFILLER_7_41 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__343__A2 ext_trim[19] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__296__B dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_397_ _401_/CLK _397_/D _374_/Y VGND VGND VPWR VPWR _397_/Q sky130_fd_sc_hd__dfrtp_2
+XFILLER_4_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_320_ _392_/Q _327_/B _391_/Q _324_/A VGND VGND VPWR VPWR _320_/X sky130_fd_sc_hd__a211o_2
+X_182_ _396_/D _396_/Q VGND VGND VPWR VPWR _287_/B sky130_fd_sc_hd__xor2_2
+X_251_ _269_/A _268_/A _268_/B VGND VGND VPWR VPWR _266_/B sky130_fd_sc_hd__a21bo_2
+X_303_ _327_/B _303_/B VGND VGND VPWR VPWR _303_/X sky130_fd_sc_hd__or2_2
+X_234_ _381_/Q _379_/Q _287_/B VGND VGND VPWR VPWR _238_/C sky130_fd_sc_hd__and3_2
+XANTENNA__207__A1 div[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.iss.reseten0 ringosc.iss.const1/HI _378_/B VGND VGND VPWR VPWR ringosc.ibufp00/A
++ sky130_fd_sc_hd__einvp_1
Xringosc.dstage\[0\].id.delayint0 ringosc.dstage\[0\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[0\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-Xringosc.iss.reseten0 ringosc.iss.const1/HI _182_/B VGND VGND VPWR VPWR ringosc.ibufp00/A
-+ sky130_fd_sc_hd__einvp_1
-X_217_ _201_/Y _203_/Y _205_/Y _211_/Y _216_/Y VGND VGND VPWR VPWR _219_/A sky130_fd_sc_hd__o221a_2
-XANTENNA__344__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_217_ _226_/A _217_/B _217_/C VGND VGND VPWR VPWR _237_/A sky130_fd_sc_hd__or3_2
+XFILLER_10_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA__346__B1 ext_trim[20] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_4 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__339__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-Xringosc.dstage\[8\].id.delayenb0 ringosc.dstage\[8\].id.delayenb1/A _336_/X VGND
+Xringosc.dstage\[8\].id.delayenb0 ringosc.dstage\[8\].id.delayenb1/A _310_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[8\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
-X_250_ _301_/A _236_/A _249_/X VGND VGND VPWR VPWR _370_/D sky130_fd_sc_hd__o21ai_2
-XANTENNA__352__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_181_ enable resetb VGND VGND VPWR VPWR _182_/B sky130_fd_sc_hd__nand2_2
-XANTENNA__347__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_302_ _370_/Q _302_/B _302_/C VGND VGND VPWR VPWR _302_/X sky130_fd_sc_hd__and3_2
-X_233_ _365_/Q _364_/Q _233_/C VGND VGND VPWR VPWR _233_/X sky130_fd_sc_hd__or3_2
-X_164_ _363_/Q VGND VGND VPWR VPWR _164_/Y sky130_fd_sc_hd__inv_2
-X_216_ div[4] _216_/B VGND VGND VPWR VPWR _216_/Y sky130_fd_sc_hd__nand2_2
-XANTENNA__355__A1 ext_trim[25] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_396_ _401_/CLK _396_/D _373_/Y VGND VGND VPWR VPWR _396_/Q sky130_fd_sc_hd__dfrtp_2
+X_181_ _396_/D _396_/Q VGND VGND VPWR VPWR _285_/S sky130_fd_sc_hd__xnor2_2
+X_250_ _347_/B _269_/A VGND VGND VPWR VPWR _266_/A sky130_fd_sc_hd__xnor2_2
+X_379_ _401_/CLK _379_/D _356_/Y VGND VGND VPWR VPWR _379_/Q sky130_fd_sc_hd__dfrtp_2
+X_302_ dco ext_trim[4] _340_/A VGND VGND VPWR VPWR _302_/X sky130_fd_sc_hd__a21o_2
+X_233_ _388_/Q _387_/Q _269_/A _288_/B VGND VGND VPWR VPWR _238_/B sky130_fd_sc_hd__or4bb_2
+X_216_ div[2] _216_/B VGND VGND VPWR VPWR _217_/C sky130_fd_sc_hd__nor2_2
Xringosc.iss.delayint0 ringosc.iss.delayen1/Z VGND VGND VPWR VPWR ringosc.iss.delayen0/A
+ sky130_fd_sc_hd__clkinv_1
-XANTENNA__346__A1 ext_trim[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__346__B2 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__337__A1 ext_trim[21] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__355__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-Xringosc.dstage\[8\].id.delayenb1 ringosc.dstage\[8\].id.delayenb1/A _337_/X VGND
+XANTENNA__337__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_21_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__319__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[8\].id.delayenb1 ringosc.dstage\[8\].id.delayenb1/A _348_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[8\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
-X_180_ _378_/Q _272_/D _363_/Q _226_/B VGND VGND VPWR VPWR _378_/D sky130_fd_sc_hd__a22o_2
-X_378_ _328_/A _378_/D _327_/X VGND VGND VPWR VPWR _378_/Q sky130_fd_sc_hd__dfrtp_2
-X_301_ _301_/A _301_/B VGND VGND VPWR VPWR _301_/Y sky130_fd_sc_hd__nor2_2
-X_232_ _370_/Q _232_/B VGND VGND VPWR VPWR _233_/C sky130_fd_sc_hd__or2_2
-XFILLER_1_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_10_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-Xringosc.dstage\[6\].id.delayen0 ringosc.dstage\[6\].id.delayen0/A _340_/X VGND VGND
+X_395_ _401_/CLK _395_/D _372_/Y VGND VGND VPWR VPWR _396_/D sky130_fd_sc_hd__dfrtp_2
+XFILLER_4_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_180_ _389_/Q VGND VGND VPWR VPWR _347_/B sky130_fd_sc_hd__inv_2
+X_378_ dco _378_/B VGND VGND VPWR VPWR _378_/Y sky130_fd_sc_hd__nor2_2
+X_301_ _390_/Q _315_/B _303_/B _294_/C VGND VGND VPWR VPWR _340_/A sky130_fd_sc_hd__o211a_2
+X_232_ _388_/Q _387_/Q VGND VGND VPWR VPWR _268_/A sky130_fd_sc_hd__or2_2
+XANTENNA__394__D osc VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[6\].id.delayen0 ringosc.dstage\[6\].id.delayen0/A _306_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[6\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-X_215_ _214_/A _214_/B _214_/X VGND VGND VPWR VPWR _216_/B sky130_fd_sc_hd__a21bo_2
-XANTENNA__207__A div[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_215_ _211_/Y _212_/X div[3] VGND VGND VPWR VPWR _226_/B sky130_fd_sc_hd__a21o_2
XPHY_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_12_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_1_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_377_ _328_/A _377_/D _327_/A VGND VGND VPWR VPWR _377_/Q sky130_fd_sc_hd__dfrtp_2
-XFILLER_13_54 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__337__A2 ext_trim[16] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__319__A2 ext_trim[13] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_394_ _401_/CLK osc _371_/Y VGND VGND VPWR VPWR _395_/D sky130_fd_sc_hd__dfrtp_2
+X_377_ dco _378_/B VGND VGND VPWR VPWR _377_/Y sky130_fd_sc_hd__nor2_2
Xringosc.dstage\[8\].id.delaybuf0 ringosc.dstage\[7\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[8\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
-Xringosc.dstage\[4\].id.delayenb0 ringosc.dstage\[4\].id.delayenb1/A _344_/X VGND
+Xringosc.dstage\[4\].id.delayenb0 ringosc.dstage\[4\].id.delayenb1/A _302_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[4\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
-X_300_ _221_/A _366_/Q _301_/A _302_/C _296_/X VGND VGND VPWR VPWR _300_/X sky130_fd_sc_hd__o41a_2
-X_231_ _297_/C _302_/B VGND VGND VPWR VPWR _232_/B sky130_fd_sc_hd__or2_2
+X_300_ dco ext_trim[3] _294_/C VGND VGND VPWR VPWR _300_/X sky130_fd_sc_hd__a21o_2
+X_231_ _327_/B _298_/C VGND VGND VPWR VPWR _288_/B sky130_fd_sc_hd__nor2_2
+XANTENNA__352__A_N dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XTAP_90 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-Xringosc.dstage\[10\].id.delayen0 ringosc.dstage\[10\].id.delayen0/A _332_/X VGND
+Xringosc.dstage\[10\].id.delayen0 ringosc.dstage\[10\].id.delayen0/A _314_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[10\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-Xringosc.dstage\[6\].id.delayen1 ringosc.dstage\[6\].id.delayen1/A _341_/X VGND VGND
+Xringosc.dstage\[6\].id.delayen1 ringosc.dstage\[6\].id.delayen1/A _343_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[6\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-X_214_ _214_/A _214_/B VGND VGND VPWR VPWR _214_/X sky130_fd_sc_hd__or2_2
-XFILLER_21_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_214_ _211_/Y _212_/X div[3] VGND VGND VPWR VPWR _217_/B sky130_fd_sc_hd__a21oi_2
XPHY_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XFILLER_21_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_16_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_16_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-XFILLER_4_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_1_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-Xringosc.dstage\[4\].id.delayenb1 ringosc.dstage\[4\].id.delayenb1/A _345_/X VGND
-+ VGND VPWR VPWR ringosc.dstage\[4\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
+XFILLER_7_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_393_ _401_/CLK _393_/D _370_/Y VGND VGND VPWR VPWR _393_/Q sky130_fd_sc_hd__dfrtp_2
Xringosc.dstage\[8\].id.delaybuf1 ringosc.dstage\[8\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[8\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-X_376_ _328_/A _376_/D _305_/X VGND VGND VPWR VPWR _376_/Q sky130_fd_sc_hd__dfrtp_2
-X_230_ _302_/B VGND VGND VPWR VPWR _301_/B sky130_fd_sc_hd__inv_2
-X_359_ _328_/A _359_/D _322_/X VGND VGND VPWR VPWR _359_/Q sky130_fd_sc_hd__dfrtp_2
+Xringosc.dstage\[4\].id.delayenb1 ringosc.dstage\[4\].id.delayenb1/A _341_/X VGND
++ VGND VPWR VPWR ringosc.dstage\[4\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
+X_376_ dco _378_/B VGND VGND VPWR VPWR _376_/Y sky130_fd_sc_hd__nor2_2
+X_230_ _393_/Q _324_/B VGND VGND VPWR VPWR _298_/C sky130_fd_sc_hd__or2_2
+X_359_ dco _378_/B VGND VGND VPWR VPWR _359_/Y sky130_fd_sc_hd__nor2_2
XTAP_91 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-XTAP_80 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-Xringosc.dstage\[10\].id.delayen1 ringosc.dstage\[10\].id.delayen1/A _333_/X VGND
+XANTENNA__300__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[10\].id.delayen1 ringosc.dstage\[10\].id.delayen1/A _351_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[10\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-XFILLER_19_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_213_ _362_/Q _377_/Q _190_/Y _197_/X VGND VGND VPWR VPWR _214_/B sky130_fd_sc_hd__o2bb2a_2
-XANTENNA__349__A1 ext_trim[15] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_21_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XTAP_80 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_213_ div[3] _211_/Y _212_/X div[2] _216_/B VGND VGND VPWR VPWR _226_/A sky130_fd_sc_hd__a32o_2
+XANTENNA__313__B dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_4_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_13_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_375_ _328_/A _375_/D _306_/X VGND VGND VPWR VPWR _375_/Q sky130_fd_sc_hd__dfrtp_2
-X_358_ _328_/A _358_/D _323_/X VGND VGND VPWR VPWR _358_/Q sky130_fd_sc_hd__dfrtp_2
+XFILLER_4_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_392_ _401_/CLK _392_/D _369_/Y VGND VGND VPWR VPWR _392_/Q sky130_fd_sc_hd__dfrtp_2
+X_375_ dco _378_/B VGND VGND VPWR VPWR _375_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_1_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_358_ dco _378_/B VGND VGND VPWR VPWR _358_/Y sky130_fd_sc_hd__nor2_2
XTAP_92 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
Xringosc.dstage\[4\].id.delaybuf0 ringosc.dstage\[3\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[4\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
XTAP_81 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_70 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-X_289_ _297_/A _368_/Q _370_/Q _284_/X VGND VGND VPWR VPWR _289_/X sky130_fd_sc_hd__o31a_2
+X_289_ ext_trim[0] dco _332_/A VGND VGND VPWR VPWR _289_/X sky130_fd_sc_hd__a21o_2
+XANTENNA__300__A2 ext_trim[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[7\].id.delayint0 ringosc.dstage\[7\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[7\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-XFILLER_19_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_212_ _363_/Q _378_/Q _164_/Y _165_/Y VGND VGND VPWR VPWR _214_/A sky130_fd_sc_hd__a22o_2
-Xringosc.dstage\[0\].id.delayenb0 ringosc.dstage\[0\].id.delayenb1/A _352_/X VGND
+X_212_ _211_/A _211_/B _211_/C VGND VGND VPWR VPWR _212_/X sky130_fd_sc_hd__a21o_2
+Xringosc.dstage\[0\].id.delayenb0 ringosc.dstage\[0\].id.delayenb1/A _289_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[0\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
XPHY_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_21_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_16_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-X_374_ _328_/A _374_/D _307_/X VGND VGND VPWR VPWR _374_/Q sky130_fd_sc_hd__dfrtp_2
-XFILLER_6_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_45 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_391_ _401_/CLK _391_/D _368_/Y VGND VGND VPWR VPWR _391_/Q sky130_fd_sc_hd__dfrtp_2
+XFILLER_13_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_374_ dco _378_/B VGND VGND VPWR VPWR _374_/Y sky130_fd_sc_hd__nor2_2
XTAP_93 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-Xringosc.dstage\[3\].id.delayen0 ringosc.dstage\[3\].id.delayen0/A _346_/X VGND VGND
+Xringosc.dstage\[3\].id.delayen0 ringosc.dstage\[3\].id.delayen0/A _300_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[3\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-X_288_ _288_/A _288_/B VGND VGND VPWR VPWR _288_/X sky130_fd_sc_hd__or2_2
XTAP_82 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-X_357_ _328_/A _357_/D _324_/X VGND VGND VPWR VPWR _358_/D sky130_fd_sc_hd__dfrtp_2
+X_357_ dco _378_/B VGND VGND VPWR VPWR _357_/Y sky130_fd_sc_hd__nor2_2
XTAP_60 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_71 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_288_ dco _288_/B VGND VGND VPWR VPWR _332_/A sky130_fd_sc_hd__nor2_2
Xringosc.dstage\[4\].id.delaybuf1 ringosc.dstage\[4\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[4\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-X_211_ div[1] _207_/B _210_/X VGND VGND VPWR VPWR _211_/Y sky130_fd_sc_hd__a21oi_2
-XFILLER_10_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-Xringosc.dstage\[0\].id.delayenb1 ringosc.dstage\[0\].id.delayenb1/A _353_/X VGND
+X_211_ _211_/A _211_/B _211_/C VGND VGND VPWR VPWR _211_/Y sky130_fd_sc_hd__nand3_2
+Xringosc.dstage\[0\].id.delayenb1 ringosc.dstage\[0\].id.delayenb1/A _319_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[0\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
Xringosc.iss.delayenb0 ringosc.iss.delayenb1/A ringosc.iss.ctrlen0/X VGND VGND VPWR
+ VPWR ringosc.ibufp00/A sky130_fd_sc_hd__einvn_8
-XFILLER_12_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XANTENNA__330__A1 ext_trim[11] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_373_ _328_/A _373_/D _308_/X VGND VGND VPWR VPWR _373_/Q sky130_fd_sc_hd__dfrtp_2
-X_287_ _297_/A _368_/Q _370_/Q _288_/A _284_/X VGND VGND VPWR VPWR _287_/X sky130_fd_sc_hd__o41a_2
-X_356_ _328_/A osc _325_/X VGND VGND VPWR VPWR _357_/D sky130_fd_sc_hd__dfrtp_2
+XFILLER_15_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_390_ _401_/CLK _390_/D _367_/Y VGND VGND VPWR VPWR _390_/Q sky130_fd_sc_hd__dfrtp_2
+XFILLER_13_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA__312__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_373_ dco _378_/B VGND VGND VPWR VPWR _373_/Y sky130_fd_sc_hd__nor2_2
+X_356_ dco _378_/B VGND VGND VPWR VPWR _356_/Y sky130_fd_sc_hd__nor2_2
+X_287_ _379_/Q _287_/B VGND VGND VPWR VPWR _379_/D sky130_fd_sc_hd__or2_2
XTAP_94 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-Xringosc.dstage\[3\].id.delayen1 ringosc.dstage\[3\].id.delayen1/A _347_/X VGND VGND
+Xringosc.dstage\[3\].id.delayen1 ringosc.dstage\[3\].id.delayen1/A _337_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[3\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-XFILLER_19_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XTAP_83 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_50 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_61 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_72 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-X_210_ div[1] _207_/B div[0] _209_/Y _207_/Y VGND VGND VPWR VPWR _210_/X sky130_fd_sc_hd__o221a_2
-X_339_ _303_/X ext_trim[20] dco VGND VGND VPWR VPWR _339_/X sky130_fd_sc_hd__mux2_1
-XFILLER_21_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-Xringosc.iss.delayenb1 ringosc.iss.delayenb1/A _355_/X VGND VGND VPWR VPWR ringosc.iss.delayen1/Z
-+ sky130_fd_sc_hd__einvn_4
+X_210_ _210_/A _210_/B VGND VGND VPWR VPWR _211_/C sky130_fd_sc_hd__or2_2
+X_339_ _339_/A _347_/C VGND VGND VPWR VPWR _340_/C sky130_fd_sc_hd__or2_2
Xringosc.dstage\[0\].id.delaybuf0 ringosc.ibufp00/A VGND VGND VPWR VPWR ringosc.dstage\[0\].id.delayenb1/A
+ sky130_fd_sc_hd__clkbuf_2
+Xringosc.iss.delayenb1 ringosc.iss.delayenb1/A _354_/X VGND VGND VPWR VPWR ringosc.iss.delayen1/Z
++ sky130_fd_sc_hd__einvn_4
Xringosc.dstage\[3\].id.delayint0 ringosc.dstage\[3\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[3\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-XFILLER_14_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_4_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_372_ _328_/A _372_/D _309_/X VGND VGND VPWR VPWR _372_/Q sky130_fd_sc_hd__dfrtp_2
-XANTENNA__182__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_286_ _297_/A _368_/Q _370_/Q _367_/Q _284_/X VGND VGND VPWR VPWR _286_/X sky130_fd_sc_hd__o41a_2
-X_355_ _304_/X ext_trim[25] dco VGND VGND VPWR VPWR _355_/X sky130_fd_sc_hd__mux2_1
+XFILLER_8_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_372_ dco _378_/B VGND VGND VPWR VPWR _372_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA__362__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__312__A2 ext_trim[9] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__357__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_286_ _380_/Q _379_/Q _287_/B VGND VGND VPWR VPWR _380_/D sky130_fd_sc_hd__mux2_1
+X_355_ enable resetb VGND VGND VPWR VPWR _378_/B sky130_fd_sc_hd__nand2_2
XTAP_95 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XTAP_84 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-XANTENNA__177__A div[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XTAP_51 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_62 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XFILLER_10_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XTAP_73 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-XFILLER_18_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_18_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_338_ _290_/X ext_trim[7] dco VGND VGND VPWR VPWR _338_/X sky130_fd_sc_hd__mux2_1
-X_269_ _362_/Q _272_/B VGND VGND VPWR VPWR _269_/Y sky130_fd_sc_hd__nand2_2
+X_338_ _265_/A _315_/B _347_/C _248_/B _336_/B VGND VGND VPWR VPWR _338_/X sky130_fd_sc_hd__o221a_2
+X_269_ _269_/A _269_/B VGND VGND VPWR VPWR _269_/Y sky130_fd_sc_hd__xnor2_2
+XANTENNA__370__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[0\].id.delaybuf1 ringosc.dstage\[0\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[0\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-XFILLER_16_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
-XFILLER_7_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_16_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA__365__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_12_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
Xringosc.iss.delaybuf0 ringosc.iss.delayenb1/A VGND VGND VPWR VPWR ringosc.iss.delayen1/A
+ sky130_fd_sc_hd__clkbuf_1
-X_371_ _328_/A _371_/D _310_/X VGND VGND VPWR VPWR _371_/Q sky130_fd_sc_hd__dfrtp_2
-X_285_ _297_/A _368_/Q _370_/Q _297_/C _284_/X VGND VGND VPWR VPWR _285_/X sky130_fd_sc_hd__o41a_2
-X_354_ _282_/X ext_trim[12] dco VGND VGND VPWR VPWR _354_/X sky130_fd_sc_hd__mux2_1
-XFILLER_14_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_371_ dco _378_/B VGND VGND VPWR VPWR _371_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA__373__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_285_ _380_/Q _381_/Q _285_/S VGND VGND VPWR VPWR _381_/D sky130_fd_sc_hd__mux2_1
+X_354_ dco ext_trim[25] _336_/X _340_/C VGND VGND VPWR VPWR _354_/X sky130_fd_sc_hd__a22o_2
XTAP_85 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_52 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_63 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_74 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-X_337_ _293_/X ext_trim[21] dco VGND VGND VPWR VPWR _337_/X sky130_fd_sc_hd__mux2_1
-X_268_ _268_/A VGND VGND VPWR VPWR _272_/B sky130_fd_sc_hd__inv_2
-X_199_ _361_/Q _376_/Q _192_/Y VGND VGND VPWR VPWR _199_/Y sky130_fd_sc_hd__a21oi_2
-XFILLER_16_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XANTENNA__351__A1 ext_trim[14] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_11_130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XANTENNA__342__A1 ext_trim[5] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__333__A1 ext_trim[23] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_370_ _328_/A _370_/D _311_/X VGND VGND VPWR VPWR _370_/Q sky130_fd_sc_hd__dfrtp_2
-X_284_ _370_/Q _302_/C _288_/B VGND VGND VPWR VPWR _284_/X sky130_fd_sc_hd__o21a_2
-Xringosc.dstage\[0\].id.delayen0 ringosc.dstage\[0\].id.delayen0/A _352_/X VGND VGND
+XANTENNA__368__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_337_ dco ext_trim[16] _336_/X VGND VGND VPWR VPWR _337_/X sky130_fd_sc_hd__a21o_2
+X_199_ _382_/Q _397_/Q VGND VGND VPWR VPWR _221_/A sky130_fd_sc_hd__nand2_2
+X_268_ _268_/A _268_/B VGND VGND VPWR VPWR _269_/B sky130_fd_sc_hd__nand2_2
+XANTENNA__351__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__342__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_14_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__376__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__306__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_370_ dco _378_/B VGND VGND VPWR VPWR _370_/Y sky130_fd_sc_hd__nor2_2
+X_284_ _382_/Q _285_/S _284_/C VGND VGND VPWR VPWR _382_/D sky130_fd_sc_hd__nand3_2
+X_353_ dco ext_trim[24] _352_/X VGND VGND VPWR VPWR _353_/X sky130_fd_sc_hd__a21o_2
+XANTENNA__215__B1 div[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[0\].id.delayen0 ringosc.dstage\[0\].id.delayen0/A _289_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[0\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-X_353_ _291_/X ext_trim[13] dco VGND VGND VPWR VPWR _353_/X sky130_fd_sc_hd__mux2_1
XTAP_86 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-XTAP_75 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_53 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_64 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-Xringosc.dstage\[7\].id.delayenb0 ringosc.dstage\[7\].id.delayenb1/A _338_/X VGND
+XTAP_75 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_336_ _336_/A _336_/B _340_/B VGND VGND VPWR VPWR _336_/X sky130_fd_sc_hd__and3_2
+Xringosc.dstage\[7\].id.delayenb0 ringosc.dstage\[7\].id.delayenb1/A _309_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[7\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
-X_336_ _281_/X ext_trim[8] dco VGND VGND VPWR VPWR _336_/X sky130_fd_sc_hd__mux2_1
-X_267_ _267_/A _267_/B _267_/C VGND VGND VPWR VPWR _268_/A sky130_fd_sc_hd__or3_2
-X_198_ _191_/X _197_/X _191_/X _197_/X VGND VGND VPWR VPWR _202_/B sky130_fd_sc_hd__a2bb2o_2
-XFILLER_11_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-X_319_ _327_/A VGND VGND VPWR VPWR _319_/X sky130_fd_sc_hd__buf_1
-XFILLER_7_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_8_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
-XANTENNA__331__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-Xringosc.dstage\[0\].id.delayen1 ringosc.dstage\[0\].id.delayen1/A _353_/X VGND VGND
+X_198_ _382_/Q _397_/Q VGND VGND VPWR VPWR _218_/A sky130_fd_sc_hd__and2_2
+X_267_ _271_/B _266_/Y _389_/Q _238_/X VGND VGND VPWR VPWR _389_/D sky130_fd_sc_hd__o2bb2a_2
+X_319_ dco ext_trim[13] _336_/A _318_/X VGND VGND VPWR VPWR _319_/X sky130_fd_sc_hd__a22o_2
+XANTENNA__351__A2 ext_trim[23] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__342__A2 ext_trim[18] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_7_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA__306__A2 ext_trim[6] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[0\].id.delayen1 ringosc.dstage\[0\].id.delayen1/A _319_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[0\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
XTAP_87 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-X_283_ _370_/Q _302_/C _288_/A _288_/B VGND VGND VPWR VPWR _283_/X sky130_fd_sc_hd__o31a_2
XTAP_54 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_283_ _284_/C _282_/Y _287_/B VGND VGND VPWR VPWR _383_/D sky130_fd_sc_hd__a21oi_2
+X_352_ dco _392_/Q _393_/Q VGND VGND VPWR VPWR _352_/X sky130_fd_sc_hd__and3b_2
XTAP_65 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-X_352_ _233_/C ext_trim[0] dco VGND VGND VPWR VPWR _352_/X sky130_fd_sc_hd__mux2_1
XTAP_76 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-XFILLER_19_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_10_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-Xringosc.dstage\[7\].id.delayenb1 ringosc.dstage\[7\].id.delayenb1/A _339_/X VGND
+XFILLER_14_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xringosc.dstage\[7\].id.delayenb1 ringosc.dstage\[7\].id.delayenb1/A _346_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[7\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
-X_335_ _296_/X ext_trim[22] dco VGND VGND VPWR VPWR _335_/X sky130_fd_sc_hd__mux2_1
-X_197_ _361_/Q _376_/Q _192_/Y _196_/Y VGND VGND VPWR VPWR _197_/X sky130_fd_sc_hd__o2bb2a_2
-X_266_ _364_/Q _236_/A _224_/B _236_/Y VGND VGND VPWR VPWR _364_/D sky130_fd_sc_hd__o22a_2
-XANTENNA__334__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_249_ _246_/Y _248_/A _246_/A _248_/Y _236_/Y VGND VGND VPWR VPWR _249_/X sky130_fd_sc_hd__a221o_2
-X_318_ _327_/A VGND VGND VPWR VPWR _318_/X sky130_fd_sc_hd__buf_1
-Xringosc.dstage\[8\].id.delayen0 ringosc.dstage\[8\].id.delayen0/A _336_/X VGND VGND
+X_335_ _248_/B _328_/B _347_/C _327_/B VGND VGND VPWR VPWR _340_/B sky130_fd_sc_hd__o22a_2
+X_197_ _383_/Q _398_/Q VGND VGND VPWR VPWR _197_/X sky130_fd_sc_hd__and2_2
+X_266_ _266_/A _266_/B VGND VGND VPWR VPWR _266_/Y sky130_fd_sc_hd__xnor2_2
+X_249_ _389_/Q _269_/A VGND VGND VPWR VPWR _249_/Y sky130_fd_sc_hd__nor2_2
+X_318_ _390_/Q _328_/B VGND VGND VPWR VPWR _318_/X sky130_fd_sc_hd__or2_2
+Xringosc.dstage\[8\].id.delayen0 ringosc.dstage\[8\].id.delayen0/A _310_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[8\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-XFILLER_22_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
-XFILLER_7_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_8_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-XANTENNA__342__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__337__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_282_ _370_/Q _302_/C _367_/Q _288_/B VGND VGND VPWR VPWR _282_/X sky130_fd_sc_hd__o31a_2
-X_351_ _298_/X ext_trim[14] dco VGND VGND VPWR VPWR _351_/X sky130_fd_sc_hd__mux2_1
+XFILLER_22_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclockp_buffer_0 _401_/CLK VGND VGND VPWR VPWR clockp[0] sky130_fd_sc_hd__clkbuf_16
+X_282_ _383_/Q _382_/Q VGND VGND VPWR VPWR _282_/Y sky130_fd_sc_hd__xnor2_2
+X_351_ dco ext_trim[23] _296_/Y VGND VGND VPWR VPWR _351_/X sky130_fd_sc_hd__a21o_2
XTAP_88 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_55 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_66 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_77 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-X_334_ _285_/X ext_trim[9] dco VGND VGND VPWR VPWR _334_/X sky130_fd_sc_hd__mux2_1
-X_196_ _196_/A VGND VGND VPWR VPWR _196_/Y sky130_fd_sc_hd__inv_2
-X_265_ _224_/A _236_/A _236_/Y _264_/X VGND VGND VPWR VPWR _365_/D sky130_fd_sc_hd__o22ai_2
-XANTENNA__354__A1 ext_trim[12] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__345__A1 ext_trim[17] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__350__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_179_ _272_/D VGND VGND VPWR VPWR _226_/B sky130_fd_sc_hd__inv_2
-X_317_ _327_/A VGND VGND VPWR VPWR _317_/X sky130_fd_sc_hd__buf_1
-X_248_ _248_/A VGND VGND VPWR VPWR _248_/Y sky130_fd_sc_hd__inv_2
-XANTENNA__336__A1 ext_trim[8] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_334_ _324_/B _296_/Y _327_/X ext_trim[15] dco VGND VGND VPWR VPWR _334_/X sky130_fd_sc_hd__a32o_2
+X_196_ _384_/Q _399_/Q VGND VGND VPWR VPWR _208_/B sky130_fd_sc_hd__and2_2
+X_265_ _265_/A _265_/B VGND VGND VPWR VPWR _390_/D sky130_fd_sc_hd__xnor2_2
+XANTENNA__354__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_317_ dco ext_trim[12] _294_/C _297_/X VGND VGND VPWR VPWR _317_/X sky130_fd_sc_hd__a22o_2
+XFILLER_2_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_248_ _327_/B _248_/B VGND VGND VPWR VPWR _339_/A sky130_fd_sc_hd__nand2_2
+X_179_ _390_/Q VGND VGND VPWR VPWR _265_/A sky130_fd_sc_hd__inv_2
Xringosc.dstage\[7\].id.delaybuf0 ringosc.dstage\[6\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[7\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
-Xringosc.dstage\[3\].id.delayenb0 ringosc.dstage\[3\].id.delayenb1/A _346_/X VGND
+Xringosc.dstage\[3\].id.delayenb0 ringosc.dstage\[3\].id.delayenb1/A _300_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[3\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
-XANTENNA__345__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_11_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
Xringosc.dstage\[11\].id.delayint0 ringosc.dstage\[11\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[11\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-Xringosc.dstage\[8\].id.delayen1 ringosc.dstage\[8\].id.delayen1/A _337_/X VGND VGND
+XFILLER_11_100 VGND VGND VPWR VPWR sky130_ef_sc_hd__decap_12
+Xringosc.dstage\[8\].id.delayen1 ringosc.dstage\[8\].id.delayen1/A _348_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[8\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-XFILLER_4_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-XANTENNA__218__B1 div[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_5_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_281_ _297_/C _302_/C _370_/Q _288_/B VGND VGND VPWR VPWR _281_/X sky130_fd_sc_hd__o31a_2
-X_350_ _289_/X ext_trim[1] dco VGND VGND VPWR VPWR _350_/X sky130_fd_sc_hd__mux2_1
-XANTENNA__353__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_14_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-XFILLER_14_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_42 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclockp_buffer_1 ringosc.ibufp11/Y VGND VGND VPWR VPWR clockp[1] sky130_fd_sc_hd__clkbuf_16
+XANTENNA__309__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_17_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_350_ _338_/X _340_/X _349_/X ext_trim[22] dco VGND VGND VPWR VPWR _350_/X sky130_fd_sc_hd__a32o_2
+X_281_ _284_/C _280_/X _287_/B VGND VGND VPWR VPWR _384_/D sky130_fd_sc_hd__a21oi_2
XTAP_89 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_78 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_56 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_67 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-XANTENNA__348__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__202__A div[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_333_ _333_/A _333_/B _333_/C VGND VGND VPWR VPWR _333_/X sky130_fd_sc_hd__and3_2
XPHY_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_195_ _360_/Q _375_/Q _193_/Y _194_/X VGND VGND VPWR VPWR _196_/A sky130_fd_sc_hd__a22o_2
-X_264_ _224_/B _237_/X _224_/B _237_/X VGND VGND VPWR VPWR _264_/X sky130_fd_sc_hd__a2bb2o_2
-X_333_ _326_/X ext_trim[23] dco VGND VGND VPWR VPWR _333_/X sky130_fd_sc_hd__mux2_1
-XFILLER_15_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_316_ _327_/A VGND VGND VPWR VPWR _316_/X sky130_fd_sc_hd__buf_1
-X_247_ _370_/Q _220_/Y _301_/A _220_/A VGND VGND VPWR VPWR _248_/A sky130_fd_sc_hd__o22a_2
-XFILLER_20_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_20_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_195_ _384_/Q _399_/Q VGND VGND VPWR VPWR _211_/A sky130_fd_sc_hd__or2_2
+X_264_ _347_/B _266_/B _263_/Y _271_/B VGND VGND VPWR VPWR _265_/B sky130_fd_sc_hd__o211a_2
+X_316_ _303_/B _294_/C _315_/X ext_trim[11] dco VGND VGND VPWR VPWR _316_/X sky130_fd_sc_hd__a32o_2
+X_247_ _247_/A _247_/B VGND VGND VPWR VPWR _261_/A sky130_fd_sc_hd__nor2_2
+XANTENNA__354__A2 ext_trim[25] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[7\].id.delaybuf1 ringosc.dstage\[7\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[7\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-Xringosc.dstage\[3\].id.delayenb1 ringosc.dstage\[3\].id.delayenb1/A _347_/X VGND
+Xringosc.dstage\[3\].id.delayenb1 ringosc.dstage\[3\].id.delayenb1/A _337_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[3\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
-X_178_ _358_/D _358_/Q _358_/D _358_/Q VGND VGND VPWR VPWR _272_/D sky130_fd_sc_hd__a2bb2o_2
-XFILLER_7_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
-XFILLER_7_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
-XFILLER_11_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_17_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-X_280_ _369_/Q _280_/B VGND VGND VPWR VPWR _302_/C sky130_fd_sc_hd__or2_2
+X_178_ _391_/Q VGND VGND VPWR VPWR _307_/C sky130_fd_sc_hd__inv_2
+XANTENNA__309__A2 ext_trim[7] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_10_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_280_ _280_/A _280_/B VGND VGND VPWR VPWR _280_/X sky130_fd_sc_hd__or2_2
XTAP_79 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_46 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_57 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_68 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XPHY_41 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_332_ _288_/X ext_trim[10] dco VGND VGND VPWR VPWR _332_/X sky130_fd_sc_hd__mux2_1
+X_332_ _332_/A _336_/B _332_/C VGND VGND VPWR VPWR _333_/C sky130_fd_sc_hd__and3_2
+X_194_ _384_/Q _399_/Q VGND VGND VPWR VPWR _208_/A sky130_fd_sc_hd__nor2_2
+X_401_ _401_/CLK _401_/D _378_/Y VGND VGND VPWR VPWR _401_/Q sky130_fd_sc_hd__dfrtp_2
+X_263_ _269_/A _268_/A _249_/Y VGND VGND VPWR VPWR _263_/Y sky130_fd_sc_hd__a21oi_2
XPHY_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_194_ _360_/Q _375_/Q _360_/Q _375_/Q VGND VGND VPWR VPWR _194_/X sky130_fd_sc_hd__o2bb2a_2
-XFILLER_2_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_263_ _236_/A _258_/X _262_/Y _366_/Q _236_/Y VGND VGND VPWR VPWR _366_/D sky130_fd_sc_hd__a32o_2
-XFILLER_2_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_11_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_177_ div[0] VGND VGND VPWR VPWR _177_/Y sky130_fd_sc_hd__inv_2
-X_246_ _246_/A VGND VGND VPWR VPWR _246_/Y sky130_fd_sc_hd__inv_2
-X_315_ _327_/A VGND VGND VPWR VPWR _315_/X sky130_fd_sc_hd__buf_1
-XFILLER_22_42 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_22_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-X_229_ _369_/Q _368_/Q VGND VGND VPWR VPWR _302_/B sky130_fd_sc_hd__or2_2
+X_315_ _328_/A _315_/B VGND VGND VPWR VPWR _315_/X sky130_fd_sc_hd__or2_2
+X_177_ _392_/Q VGND VGND VPWR VPWR _307_/B sky130_fd_sc_hd__inv_2
+X_246_ _391_/Q _269_/A VGND VGND VPWR VPWR _247_/B sky130_fd_sc_hd__and2_2
+XFILLER_11_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_229_ _392_/Q _391_/Q VGND VGND VPWR VPWR _324_/B sky130_fd_sc_hd__or2_2
Xringosc.dstage\[3\].id.delaybuf0 ringosc.dstage\[2\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[3\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
Xringosc.dstage\[6\].id.delayint0 ringosc.dstage\[6\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[6\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-XFILLER_14_43 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_14_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XTAP_47 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_58 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-XANTENNA__216__A div[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__216__A div[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XTAP_69 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
Xringosc.ibufp10 ringosc.ibufp10/A VGND VGND VPWR VPWR ringosc.ibufp11/A sky130_fd_sc_hd__clkinv_2
XPHY_42 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_331_ _339_/A _303_/B _328_/B _248_/B VGND VGND VPWR VPWR _333_/B sky130_fd_sc_hd__o22a_2
XPHY_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_193_ _267_/B _193_/B VGND VGND VPWR VPWR _193_/Y sky130_fd_sc_hd__nor2_2
-X_262_ _262_/A _262_/B VGND VGND VPWR VPWR _262_/Y sky130_fd_sc_hd__nand2_2
+X_193_ _385_/Q _400_/Q VGND VGND VPWR VPWR _210_/B sky130_fd_sc_hd__and2_2
XPHY_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_331_ _302_/X ext_trim[24] dco VGND VGND VPWR VPWR _331_/X sky130_fd_sc_hd__mux2_1
-XANTENNA__348__A1 ext_trim[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__339__A1 ext_trim[20] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_11_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_176_ _364_/Q VGND VGND VPWR VPWR _224_/B sky130_fd_sc_hd__inv_2
-X_245_ _256_/B _244_/A _256_/A _220_/A _301_/B VGND VGND VPWR VPWR _246_/A sky130_fd_sc_hd__o32a_2
-X_314_ _327_/A VGND VGND VPWR VPWR _314_/X sky130_fd_sc_hd__buf_1
-Xringosc.dstage\[5\].id.delayen0 ringosc.dstage\[5\].id.delayen0/A _342_/X VGND VGND
+X_262_ _391_/Q _261_/X _271_/B VGND VGND VPWR VPWR _391_/D sky130_fd_sc_hd__mux2_1
+X_400_ _401_/CLK _400_/D _377_/Y VGND VGND VPWR VPWR _400_/Q sky130_fd_sc_hd__dfrtp_2
+XANTENNA__348__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_176_ _393_/Q VGND VGND VPWR VPWR _324_/A sky130_fd_sc_hd__inv_2
+X_314_ dco _298_/C _328_/A _313_/Y VGND VGND VPWR VPWR _314_/X sky130_fd_sc_hd__o31a_2
+X_245_ _391_/Q _269_/A VGND VGND VPWR VPWR _247_/A sky130_fd_sc_hd__nor2_2
+Xringosc.dstage\[5\].id.delayen0 ringosc.dstage\[5\].id.delayen0/A _305_/X VGND VGND
+ VPWR VPWR ringosc.ibufp10/A sky130_fd_sc_hd__einvp_2
-XFILLER_0_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
Xringosc.dstage\[3\].id.delaybuf1 ringosc.dstage\[3\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[3\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-X_228_ _297_/C VGND VGND VPWR VPWR _239_/B sky130_fd_sc_hd__inv_2
-XFILLER_5_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_228_ _390_/Q _389_/Q VGND VGND VPWR VPWR _327_/B sky130_fd_sc_hd__or2_2
+XFILLER_3_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA__219__A div[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_0_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XTAP_48 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
XTAP_59 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-Xringosc.ibufp11 ringosc.ibufp11/A VGND VGND VPWR VPWR clockp[1] sky130_fd_sc_hd__clkinv_8
Xringosc.ibufp00 ringosc.ibufp00/A VGND VGND VPWR VPWR ringosc.ibufp01/A sky130_fd_sc_hd__clkinv_2
+Xringosc.ibufp11 ringosc.ibufp11/A VGND VGND VPWR VPWR ringosc.ibufp11/Y sky130_fd_sc_hd__clkinv_8
XPHY_43 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_330_ _265_/A _298_/C _303_/X _315_/X VGND VGND VPWR VPWR _333_/A sky130_fd_sc_hd__o211a_2
XPHY_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_330_ _287_/X ext_trim[11] dco VGND VGND VPWR VPWR _330_/X sky130_fd_sc_hd__mux2_1
-X_192_ _361_/Q _376_/Q VGND VGND VPWR VPWR _192_/Y sky130_fd_sc_hd__nor2_2
XPHY_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_261_ _367_/Q _260_/X _367_/Q _260_/X VGND VGND VPWR VPWR _367_/D sky130_fd_sc_hd__o2bb2a_2
+X_192_ _210_/A VGND VGND VPWR VPWR _192_/Y sky130_fd_sc_hd__inv_2
XPHY_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_11_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_14_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_175_ _365_/Q VGND VGND VPWR VPWR _224_/A sky130_fd_sc_hd__inv_2
-X_313_ _327_/A VGND VGND VPWR VPWR _313_/X sky130_fd_sc_hd__buf_1
-X_244_ _244_/A VGND VGND VPWR VPWR _244_/Y sky130_fd_sc_hd__inv_2
-Xringosc.dstage\[5\].id.delayen1 ringosc.dstage\[5\].id.delayen1/A _343_/X VGND VGND
+X_261_ _261_/A _261_/B VGND VGND VPWR VPWR _261_/X sky130_fd_sc_hd__xor2_2
+XANTENNA__348__A2 ext_trim[21] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__293__A_N dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_313_ ext_trim[10] dco VGND VGND VPWR VPWR _313_/Y sky130_fd_sc_hd__nand2b_2
+X_244_ _307_/B _269_/A VGND VGND VPWR VPWR _259_/A sky130_fd_sc_hd__xnor2_2
+Xringosc.dstage\[5\].id.delayen1 ringosc.dstage\[5\].id.delayen1/A _342_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[5\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-X_227_ _367_/Q _366_/Q VGND VGND VPWR VPWR _297_/C sky130_fd_sc_hd__or2_2
-XFILLER_3_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_5_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_227_ _224_/Y _237_/B _226_/X _207_/X VGND VGND VPWR VPWR _269_/A sky130_fd_sc_hd__o31a_2
+XFILLER_8_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__235__A div[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[2\].id.delayint0 ringosc.dstage\[2\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[2\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
XTAP_49 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
-Xringosc.ibufp01 ringosc.ibufp01/A VGND VGND VPWR VPWR _328_/A sky130_fd_sc_hd__clkinv_8
+Xringosc.ibufp01 ringosc.ibufp01/A VGND VGND VPWR VPWR _401_/CLK sky130_fd_sc_hd__clkinv_8
XPHY_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_260_ _221_/B _220_/A _236_/A _259_/X VGND VGND VPWR VPWR _260_/X sky130_fd_sc_hd__o211a_2
+X_260_ _392_/Q _259_/Y _271_/B VGND VGND VPWR VPWR _392_/D sky130_fd_sc_hd__mux2_1
XPHY_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_191_ _362_/Q _377_/Q _190_/Y VGND VGND VPWR VPWR _191_/X sky130_fd_sc_hd__a21o_2
-XFILLER_11_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_312_ _327_/A VGND VGND VPWR VPWR _312_/X sky130_fd_sc_hd__buf_1
-X_243_ _297_/A _220_/Y _369_/Q _220_/A VGND VGND VPWR VPWR _244_/A sky130_fd_sc_hd__o22a_2
-X_174_ _366_/Q VGND VGND VPWR VPWR _221_/B sky130_fd_sc_hd__inv_2
-Xringosc.dstage\[11\].id.delayenb0 ringosc.dstage\[11\].id.delayenb1/A _330_/X VGND
+X_389_ _401_/CLK _389_/D _366_/Y VGND VGND VPWR VPWR _389_/Q sky130_fd_sc_hd__dfrtp_2
+X_191_ _385_/Q _400_/Q VGND VGND VPWR VPWR _210_/A sky130_fd_sc_hd__nor2_2
+X_312_ dco ext_trim[9] _304_/X _311_/X VGND VGND VPWR VPWR _312_/X sky130_fd_sc_hd__a22o_2
+X_243_ _307_/B _269_/A _242_/X _238_/X VGND VGND VPWR VPWR _271_/B sky130_fd_sc_hd__o31a_2
+XFILLER_14_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_226_ _226_/A _226_/B VGND VGND VPWR VPWR _226_/X sky130_fd_sc_hd__and2_2
+XFILLER_6_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_58 VGND VGND VPWR VPWR sky130_ef_sc_hd__decap_12
+Xringosc.dstage\[11\].id.delayenb0 ringosc.dstage\[11\].id.delayenb1/A _316_/X VGND
+ VGND VPWR VPWR ringosc.iss.delayenb1/A sky130_fd_sc_hd__einvn_8
-X_226_ _372_/Q _226_/B _373_/Q _371_/Q VGND VGND VPWR VPWR _226_/X sky130_fd_sc_hd__and4_2
-XFILLER_8_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_17_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-X_209_ _209_/A VGND VGND VPWR VPWR _209_/Y sky130_fd_sc_hd__inv_2
-XFILLER_0_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
-XFILLER_10_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_14_13 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_209_ _209_/A _209_/B VGND VGND VPWR VPWR _216_/B sky130_fd_sc_hd__xnor2_2
+XFILLER_0_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__302__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_14_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
XPHY_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_45 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_17_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XPHY_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_190_ _362_/Q _377_/Q VGND VGND VPWR VPWR _190_/Y sky130_fd_sc_hd__nor2_2
+X_190_ _190_/A _190_/B VGND VGND VPWR VPWR _206_/A sky130_fd_sc_hd__and2_2
XPHY_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_173_ _367_/Q VGND VGND VPWR VPWR _221_/A sky130_fd_sc_hd__inv_2
-X_311_ _327_/A VGND VGND VPWR VPWR _311_/X sky130_fd_sc_hd__buf_1
-X_242_ _368_/Q _220_/Y _280_/B _220_/A VGND VGND VPWR VPWR _256_/B sky130_fd_sc_hd__a22o_2
-X_225_ _177_/Y _209_/A _210_/X _205_/A _216_/Y VGND VGND VPWR VPWR _225_/Y sky130_fd_sc_hd__o2111ai_2
-Xringosc.dstage\[11\].id.delayenb1 ringosc.dstage\[11\].id.delayenb1/A _331_/X VGND
+X_388_ _401_/CLK _388_/D _365_/Y VGND VGND VPWR VPWR _388_/Q sky130_fd_sc_hd__dfrtp_2
+X_311_ _327_/B _315_/B _303_/B _248_/B VGND VGND VPWR VPWR _311_/X sky130_fd_sc_hd__o22a_2
+X_242_ _324_/A _307_/C _268_/B _248_/B VGND VGND VPWR VPWR _242_/X sky130_fd_sc_hd__or4_2
+XFILLER_22_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xringosc.dstage\[11\].id.delayenb1 ringosc.dstage\[11\].id.delayenb1/A _353_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[11\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
-XFILLER_3_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_208_ _267_/B _193_/B _193_/Y VGND VGND VPWR VPWR _209_/A sky130_fd_sc_hd__a21oi_2
-XFILLER_0_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
-XFILLER_0_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XFILLER_0_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_225_ _204_/Y _205_/X div[4] VGND VGND VPWR VPWR _237_/B sky130_fd_sc_hd__o21a_2
+X_208_ _208_/A _208_/B VGND VGND VPWR VPWR _209_/B sky130_fd_sc_hd__nor2_2
+XANTENNA__302__A2 ext_trim[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_14_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
XPHY_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__211__A1 div[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_13 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-X_310_ _327_/A VGND VGND VPWR VPWR _310_/X sky130_fd_sc_hd__buf_1
-Xringosc.dstage\[2\].id.delayen0 ringosc.dstage\[2\].id.delayen0/A _348_/X VGND VGND
+X_387_ _401_/CLK _387_/D _364_/Y VGND VGND VPWR VPWR _387_/Q sky130_fd_sc_hd__dfrtp_2
+X_310_ dco ext_trim[8] _294_/C _303_/X VGND VGND VPWR VPWR _310_/X sky130_fd_sc_hd__a22o_2
+XANTENNA__360__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[2\].id.delayen0 ringosc.dstage\[2\].id.delayen0/A _299_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[2\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
-X_241_ _239_/Y _262_/B _262_/A _220_/A _239_/B VGND VGND VPWR VPWR _256_/A sky130_fd_sc_hd__o32a_2
Xringosc.iss.const1 VGND VGND VPWR VPWR ringosc.iss.const1/HI ringosc.iss.const1/LO
+ sky130_fd_sc_hd__conb_1
-X_172_ _368_/Q VGND VGND VPWR VPWR _280_/B sky130_fd_sc_hd__inv_2
-XFILLER_3_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_224_ _224_/A _224_/B _292_/B VGND VGND VPWR VPWR _224_/X sky130_fd_sc_hd__or3_2
-XFILLER_6_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_6_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
-XFILLER_8_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_12_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-Xringosc.dstage\[6\].id.delayenb0 ringosc.dstage\[6\].id.delayenb1/A _340_/X VGND
+X_241_ _390_/Q _389_/Q VGND VGND VPWR VPWR _248_/B sky130_fd_sc_hd__nand2_2
+XANTENNA__355__A enable VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__341__B1 ext_trim[17] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__350__B1 ext_trim[22] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_224_ _223_/A _236_/B _226_/A _217_/B _217_/C VGND VGND VPWR VPWR _224_/Y sky130_fd_sc_hd__a2111oi_2
+XFILLER_17_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xringosc.dstage\[6\].id.delayenb0 ringosc.dstage\[6\].id.delayenb1/A _306_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[6\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
Xringosc.dstage\[11\].id.delaybuf0 ringosc.dstage\[10\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[11\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
-X_207_ div[1] _207_/B VGND VGND VPWR VPWR _207_/Y sky130_fd_sc_hd__nand2_2
-XFILLER_6_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_207_ div[4] _204_/Y _206_/Y _190_/A VGND VGND VPWR VPWR _207_/X sky130_fd_sc_hd__o211a_2
+XANTENNA__363__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA__358__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_2_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_11_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
-Xringosc.dstage\[2\].id.delayen1 ringosc.dstage\[2\].id.delayen1/A _349_/X VGND VGND
+XFILLER_17_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_386_ _401_/CLK _386_/D _363_/Y VGND VGND VPWR VPWR _386_/Q sky130_fd_sc_hd__dfrtp_2
+Xringosc.dstage\[2\].id.delayen1 ringosc.dstage\[2\].id.delayen1/A _334_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[2\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-X_240_ _366_/Q _220_/Y _221_/B _220_/A VGND VGND VPWR VPWR _262_/B sky130_fd_sc_hd__a22o_2
-X_171_ _369_/Q VGND VGND VPWR VPWR _297_/A sky130_fd_sc_hd__inv_2
-XFILLER_3_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_369_ _328_/A _369_/D _312_/X VGND VGND VPWR VPWR _369_/Q sky130_fd_sc_hd__dfrtp_2
-XANTENNA__350__A1 ext_trim[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_223_ _297_/A _280_/B _223_/C VGND VGND VPWR VPWR _292_/B sky130_fd_sc_hd__or3_2
-XANTENNA__341__A1 ext_trim[19] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_6_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XANTENNA__332__A1 ext_trim[10] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-Xringosc.dstage\[6\].id.delayenb1 ringosc.dstage\[6\].id.delayenb1/A _341_/X VGND
+X_240_ _265_/A _347_/B VGND VGND VPWR VPWR _328_/A sky130_fd_sc_hd__nor2_2
+XANTENNA__355__B resetb VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_369_ dco _378_/B VGND VGND VPWR VPWR _369_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA__350__B2 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_223_ _223_/A _223_/B VGND VGND VPWR VPWR _236_/B sky130_fd_sc_hd__nand2_2
+XANTENNA__371__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__341__B2 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_17_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__366__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__314__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xringosc.dstage\[6\].id.delayenb1 ringosc.dstage\[6\].id.delayenb1/A _343_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[6\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
-X_206_ _193_/Y _194_/X _193_/Y _194_/X VGND VGND VPWR VPWR _207_/B sky130_fd_sc_hd__o2bb2ai_2
+X_206_ _206_/A _206_/B VGND VGND VPWR VPWR _206_/Y sky130_fd_sc_hd__nand2_2
Xringosc.dstage\[11\].id.delaybuf1 ringosc.dstage\[11\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[11\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-XFILLER_0_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA__305__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__214__B1 div[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_14_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
XPHY_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_6_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__374__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
XPHY_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_9_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-Xringosc.iss.delayen0 ringosc.iss.delayen0/A _354_/X VGND VGND VPWR VPWR ringosc.ibufp00/A
+X_385_ _401_/CLK _385_/D _362_/Y VGND VGND VPWR VPWR _385_/Q sky130_fd_sc_hd__dfrtp_2
+Xringosc.iss.delayen0 ringosc.iss.delayen0/A _317_/X VGND VGND VPWR VPWR ringosc.ibufp00/A
+ sky130_fd_sc_hd__einvp_2
-X_170_ _370_/Q VGND VGND VPWR VPWR _301_/A sky130_fd_sc_hd__inv_2
-X_299_ _329_/X _299_/B VGND VGND VPWR VPWR _299_/X sky130_fd_sc_hd__and2_2
-X_368_ _328_/A _368_/D _313_/X VGND VGND VPWR VPWR _368_/Q sky130_fd_sc_hd__dfrtp_2
-XFILLER_8_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-X_222_ _223_/C VGND VGND VPWR VPWR _288_/A sky130_fd_sc_hd__inv_2
-X_205_ _205_/A VGND VGND VPWR VPWR _205_/Y sky130_fd_sc_hd__inv_2
-XFILLER_0_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_5_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA__369__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_299_ dco ext_trim[2] _303_/B _294_/C VGND VGND VPWR VPWR _299_/X sky130_fd_sc_hd__a22o_2
+X_368_ dco _378_/B VGND VGND VPWR VPWR _368_/Y sky130_fd_sc_hd__nor2_2
+X_222_ div[1] _219_/B _235_/B div[0] VGND VGND VPWR VPWR _223_/B sky130_fd_sc_hd__o22a_2
+XFILLER_17_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_205_ _206_/A _206_/B VGND VGND VPWR VPWR _205_/X sky130_fd_sc_hd__and2_2
+XANTENNA__305__A2 ext_trim[5] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__377__A dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XANTENNA__299__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[6\].id.delaybuf0 ringosc.ibufp10/A VGND VGND VPWR VPWR ringosc.dstage\[6\].id.delayenb1/A
+ sky130_fd_sc_hd__clkbuf_2
-Xringosc.dstage\[2\].id.delayenb0 ringosc.dstage\[2\].id.delayenb1/A _348_/X VGND
+Xringosc.dstage\[2\].id.delayenb0 ringosc.dstage\[2\].id.delayenb1/A _299_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[2\].id.delayen0/Z sky130_fd_sc_hd__einvn_8
Xringosc.dstage\[9\].id.delayint0 ringosc.dstage\[9\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[9\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
Xringosc.dstage\[10\].id.delayint0 ringosc.dstage\[10\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[10\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
XPHY_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XPHY_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_6_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_95 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
XPHY_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XFILLER_15_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
-Xringosc.iss.delayen1 ringosc.iss.delayen1/A _355_/X VGND VGND VPWR VPWR ringosc.iss.delayen1/Z
+XPHY_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_384_ _401_/CLK _384_/D _361_/Y VGND VGND VPWR VPWR _384_/Q sky130_fd_sc_hd__dfrtp_2
+Xringosc.iss.delayen1 ringosc.iss.delayen1/A _354_/X VGND VGND VPWR VPWR ringosc.iss.delayen1/Z
+ sky130_fd_sc_hd__einvp_2
-X_298_ _301_/A _302_/C _221_/A _297_/X _296_/X VGND VGND VPWR VPWR _298_/X sky130_fd_sc_hd__o311a_2
-X_367_ _328_/A _367_/D _314_/X VGND VGND VPWR VPWR _367_/Q sky130_fd_sc_hd__dfrtp_2
-X_221_ _221_/A _221_/B VGND VGND VPWR VPWR _223_/C sky130_fd_sc_hd__or2_2
-X_204_ div[2] _200_/X _203_/A _201_/Y VGND VGND VPWR VPWR _205_/A sky130_fd_sc_hd__o211a_2
-XANTENNA__332__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_22_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_298_ _390_/Q _347_/B _298_/C VGND VGND VPWR VPWR _298_/X sky130_fd_sc_hd__or3_2
+XFILLER_9_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_367_ dco _378_/B VGND VGND VPWR VPWR _367_/Y sky130_fd_sc_hd__nor2_2
+X_221_ _221_/A _221_/B VGND VGND VPWR VPWR _235_/B sky130_fd_sc_hd__nand2_2
+X_204_ _206_/A _206_/B VGND VGND VPWR VPWR _204_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA__299__A2 ext_trim[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
Xringosc.dstage\[6\].id.delaybuf1 ringosc.dstage\[6\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[6\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-Xringosc.dstage\[2\].id.delayenb1 ringosc.dstage\[2\].id.delayenb1/A _349_/X VGND
+Xringosc.dstage\[2\].id.delayenb1 ringosc.dstage\[2\].id.delayenb1/A _334_/X VGND
+ VGND VPWR VPWR ringosc.dstage\[2\].id.delayen1/Z sky130_fd_sc_hd__einvn_4
XPHY_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__353__A1 ext_trim[13] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__340__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__344__A1 ext_trim[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_297_ _297_/A _368_/Q _297_/C _301_/A VGND VGND VPWR VPWR _297_/X sky130_fd_sc_hd__or4_2
-X_366_ _328_/A _366_/D _315_/X VGND VGND VPWR VPWR _366_/Q sky130_fd_sc_hd__dfrtp_2
-XANTENNA__335__A1 ext_trim[22] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__335__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_220_ _220_/A VGND VGND VPWR VPWR _220_/Y sky130_fd_sc_hd__inv_2
-X_349_ _295_/X ext_trim[15] dco VGND VGND VPWR VPWR _349_/X sky130_fd_sc_hd__mux2_1
-XFILLER_0_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
-X_203_ _203_/A VGND VGND VPWR VPWR _203_/Y sky130_fd_sc_hd__inv_2
-XFILLER_9_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-Xringosc.iss.ctrlen0 _182_/B _354_/X VGND VGND VPWR VPWR ringosc.iss.ctrlen0/X sky130_fd_sc_hd__or2_2
-XANTENNA__343__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XFILLER_6_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_383_ _401_/CLK _383_/D _360_/Y VGND VGND VPWR VPWR _383_/Q sky130_fd_sc_hd__dfrtp_2
+XANTENNA__353__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_297_ _390_/Q _303_/B VGND VGND VPWR VPWR _297_/X sky130_fd_sc_hd__or2_2
+X_366_ dco _378_/B VGND VGND VPWR VPWR _366_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA__326__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_220_ _382_/Q _397_/Q VGND VGND VPWR VPWR _221_/B sky130_fd_sc_hd__or2_2
+X_349_ _390_/Q _349_/B VGND VGND VPWR VPWR _349_/X sky130_fd_sc_hd__or2_2
+XANTENNA__317__A1 dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_3_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_203_ _192_/Y _211_/A _211_/B _210_/B VGND VGND VPWR VPWR _206_/B sky130_fd_sc_hd__a31o_2
+Xringosc.iss.ctrlen0 _378_/B _317_/X VGND VGND VPWR VPWR ringosc.iss.ctrlen0/X sky130_fd_sc_hd__or2_2
+XFILLER_18_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
Xringosc.dstage\[2\].id.delaybuf0 ringosc.dstage\[1\].id.delayen0/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[2\].id.delayenb1/A sky130_fd_sc_hd__clkbuf_2
-XPHY_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
XPHY_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__338__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
Xringosc.dstage\[5\].id.delayint0 ringosc.dstage\[5\].id.delayen1/Z VGND VGND VPWR
+ VPWR ringosc.dstage\[5\].id.delayen0/A sky130_fd_sc_hd__clkinv_1
-X_296_ _367_/Q _221_/B _302_/C _301_/A _295_/X VGND VGND VPWR VPWR _296_/X sky130_fd_sc_hd__o41a_2
-X_365_ _328_/A _365_/D _316_/X VGND VGND VPWR VPWR _365_/Q sky130_fd_sc_hd__dfrtp_2
-XFILLER_9_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-XANTENNA__351__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_279_ _367_/Q _288_/B VGND VGND VPWR VPWR _279_/X sky130_fd_sc_hd__or2_2
-X_348_ _284_/X ext_trim[2] dco VGND VGND VPWR VPWR _348_/X sky130_fd_sc_hd__mux2_1
-XANTENNA__346__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_202_ div[3] _202_/B VGND VGND VPWR VPWR _203_/A sky130_fd_sc_hd__or2_2
-XFILLER_0_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-Xringosc.dstage\[7\].id.delayen0 ringosc.dstage\[7\].id.delayen0/A _338_/X VGND VGND
+X_382_ _401_/CLK _382_/D _359_/Y VGND VGND VPWR VPWR _382_/Q sky130_fd_sc_hd__dfrtp_2
+X_296_ _324_/A dco VGND VGND VPWR VPWR _296_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA__353__A2 ext_trim[24] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_365_ dco _378_/B VGND VGND VPWR VPWR _365_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_9_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA__326__A2 ext_trim[14] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_12_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_348_ dco ext_trim[21] _336_/X _347_/X VGND VGND VPWR VPWR _348_/X sky130_fd_sc_hd__a22o_2
+XANTENNA__317__A2 ext_trim[12] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_279_ _383_/Q _382_/Q _384_/Q VGND VGND VPWR VPWR _280_/B sky130_fd_sc_hd__a21oi_2
+X_202_ _218_/A _218_/B _208_/B _197_/X VGND VGND VPWR VPWR _211_/B sky130_fd_sc_hd__a211o_2
+Xringosc.dstage\[7\].id.delayen0 ringosc.dstage\[7\].id.delayen0/A _309_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[7\].id.delayen0/Z sky130_fd_sc_hd__einvp_2
+XPHY_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
Xringosc.dstage\[2\].id.delaybuf1 ringosc.dstage\[2\].id.delayenb1/A VGND VGND VPWR
+ VPWR ringosc.dstage\[2\].id.delayen1/A sky130_fd_sc_hd__clkbuf_1
-XPHY_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__354__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-XANTENNA__349__S dco VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
-X_295_ _301_/A _302_/B _221_/A _294_/X _293_/X VGND VGND VPWR VPWR _295_/X sky130_fd_sc_hd__o311a_2
-XFILLER_3_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
-X_364_ _328_/A _364_/D _317_/X VGND VGND VPWR VPWR _364_/Q sky130_fd_sc_hd__dfrtp_2
-X_347_ _299_/X ext_trim[16] dco VGND VGND VPWR VPWR _347_/X sky130_fd_sc_hd__mux2_1
-X_278_ _370_/Q _302_/B VGND VGND VPWR VPWR _288_/B sky130_fd_sc_hd__or2_2
-XFILLER_2_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
-X_201_ div[3] _202_/B div[2] _200_/X VGND VGND VPWR VPWR _201_/Y sky130_fd_sc_hd__a22oi_2
-Xringosc.dstage\[11\].id.delayen0 ringosc.dstage\[11\].id.delayen0/A _330_/X VGND
+X_381_ _401_/CLK _381_/D _358_/Y VGND VGND VPWR VPWR _381_/Q sky130_fd_sc_hd__dfrtp_2
+X_295_ dco ext_trim[1] _336_/A VGND VGND VPWR VPWR _295_/X sky130_fd_sc_hd__a21o_2
+XFILLER_3_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_364_ dco _378_/B VGND VGND VPWR VPWR _364_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_9_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_347_ _390_/Q _347_/B _347_/C VGND VGND VPWR VPWR _347_/X sky130_fd_sc_hd__or3_2
+X_278_ _386_/Q _278_/B VGND VGND VPWR VPWR _284_/C sky130_fd_sc_hd__nand2_2
+XFILLER_12_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_201_ _218_/A _218_/B _197_/X VGND VGND VPWR VPWR _209_/A sky130_fd_sc_hd__a21o_2
+Xringosc.dstage\[11\].id.delayen0 ringosc.dstage\[11\].id.delayen0/A _316_/X VGND
+ VGND VPWR VPWR ringosc.iss.delayenb1/A sky130_fd_sc_hd__einvp_2
-XFILLER_9_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-Xringosc.dstage\[7\].id.delayen1 ringosc.dstage\[7\].id.delayen1/A _339_/X VGND VGND
+Xringosc.dstage\[7\].id.delayen1 ringosc.dstage\[7\].id.delayen1/A _346_/X VGND VGND
+ VPWR VPWR ringosc.dstage\[7\].id.delayen1/Z sky130_fd_sc_hd__einvp_2
-XFILLER_18_96 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_15_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_6_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
-XFILLER_15_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
-XANTENNA__347__A1 ext_trim[16] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_20_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_380_ _401_/CLK _380_/D _357_/Y VGND VGND VPWR VPWR _380_/Q sky130_fd_sc_hd__dfrtp_2
.ends
diff --git a/verilog/gl/digital_pll.nl.v b/verilog/gl/digital_pll.nl.v
new file mode 100644
index 00000000..7e3a62b3
--- /dev/null
+++ b/verilog/gl/digital_pll.nl.v
@@ -0,0 +1,1703 @@
+// This is the unpowered netlist.
+module digital_pll (dco,
+ enable,
+ osc,
+ resetb,
+ clockp,
+ div,
+ ext_trim);
+ input dco;
+ input enable;
+ input osc;
+ input resetb;
+ output [1:0] clockp;
+ input [4:0] div;
+ input [25:0] ext_trim;
+
+ wire _000_;
+ wire _001_;
+ wire _002_;
+ wire _003_;
+ wire _004_;
+ wire _005_;
+ wire _006_;
+ wire _007_;
+ wire _008_;
+ wire _009_;
+ wire _010_;
+ wire _011_;
+ wire _012_;
+ wire _013_;
+ wire _014_;
+ wire _015_;
+ wire _016_;
+ wire _017_;
+ wire _018_;
+ wire _019_;
+ wire _020_;
+ wire _021_;
+ wire _022_;
+ wire _023_;
+ wire _024_;
+ wire _025_;
+ wire _026_;
+ wire _027_;
+ wire _028_;
+ wire _029_;
+ wire _030_;
+ wire _031_;
+ wire _032_;
+ wire _033_;
+ wire _034_;
+ wire _035_;
+ wire _036_;
+ wire _037_;
+ wire _038_;
+ wire _039_;
+ wire _040_;
+ wire _041_;
+ wire _042_;
+ wire _043_;
+ wire _044_;
+ wire _045_;
+ wire _046_;
+ wire _047_;
+ wire _048_;
+ wire _049_;
+ wire _050_;
+ wire _051_;
+ wire _052_;
+ wire _053_;
+ wire _054_;
+ wire _055_;
+ wire _056_;
+ wire _057_;
+ wire _058_;
+ wire _059_;
+ wire _060_;
+ wire _061_;
+ wire _062_;
+ wire _063_;
+ wire _064_;
+ wire _065_;
+ wire _066_;
+ wire _067_;
+ wire _068_;
+ wire _069_;
+ wire _070_;
+ wire _071_;
+ wire _072_;
+ wire _073_;
+ wire _074_;
+ wire _075_;
+ wire _076_;
+ wire _077_;
+ wire _078_;
+ wire _079_;
+ wire _080_;
+ wire _081_;
+ wire _082_;
+ wire _083_;
+ wire _084_;
+ wire _085_;
+ wire _086_;
+ wire _087_;
+ wire _088_;
+ wire _089_;
+ wire _090_;
+ wire _091_;
+ wire _092_;
+ wire _093_;
+ wire _094_;
+ wire _095_;
+ wire _096_;
+ wire _097_;
+ wire _098_;
+ wire _099_;
+ wire _100_;
+ wire _101_;
+ wire _102_;
+ wire _103_;
+ wire _104_;
+ wire _105_;
+ wire _106_;
+ wire _107_;
+ wire _108_;
+ wire _109_;
+ wire _110_;
+ wire _111_;
+ wire _112_;
+ wire _113_;
+ wire _114_;
+ wire _115_;
+ wire _116_;
+ wire _117_;
+ wire _118_;
+ wire _119_;
+ wire _120_;
+ wire _121_;
+ wire _122_;
+ wire _123_;
+ wire _124_;
+ wire _125_;
+ wire _126_;
+ wire _127_;
+ wire _128_;
+ wire _129_;
+ wire _130_;
+ wire _131_;
+ wire _132_;
+ wire _133_;
+ wire _134_;
+ wire _135_;
+ wire _136_;
+ wire _137_;
+ wire _138_;
+ wire _139_;
+ wire _140_;
+ wire _141_;
+ wire _142_;
+ wire _143_;
+ wire _144_;
+ wire _145_;
+ wire _146_;
+ wire _147_;
+ wire _148_;
+ wire _149_;
+ wire _150_;
+ wire _151_;
+ wire _152_;
+ wire _153_;
+ wire _154_;
+ wire _155_;
+ wire _156_;
+ wire _157_;
+ wire _158_;
+ wire _159_;
+ wire _160_;
+ wire _161_;
+ wire _162_;
+ wire _163_;
+ wire _164_;
+ wire _165_;
+ wire _166_;
+ wire _167_;
+ wire _168_;
+ wire _169_;
+ wire _170_;
+ wire _171_;
+ wire _172_;
+ wire _173_;
+ wire _174_;
+ wire _175_;
+ wire \pll_control.clock ;
+ wire \pll_control.count0[0] ;
+ wire \pll_control.count0[1] ;
+ wire \pll_control.count0[2] ;
+ wire \pll_control.count0[3] ;
+ wire \pll_control.count0[4] ;
+ wire \pll_control.count1[0] ;
+ wire \pll_control.count1[1] ;
+ wire \pll_control.count1[2] ;
+ wire \pll_control.count1[3] ;
+ wire \pll_control.count1[4] ;
+ wire \pll_control.oscbuf[0] ;
+ wire \pll_control.oscbuf[1] ;
+ wire \pll_control.oscbuf[2] ;
+ wire \pll_control.prep[0] ;
+ wire \pll_control.prep[1] ;
+ wire \pll_control.prep[2] ;
+ wire \pll_control.tint[0] ;
+ wire \pll_control.tint[1] ;
+ wire \pll_control.tint[2] ;
+ wire \pll_control.tint[3] ;
+ wire \pll_control.tint[4] ;
+ wire \pll_control.tval[0] ;
+ wire \pll_control.tval[1] ;
+ wire \ringosc.c[0] ;
+ wire \ringosc.c[1] ;
+ wire \ringosc.clockp[1] ;
+ wire \ringosc.dstage[0].id.d0 ;
+ wire \ringosc.dstage[0].id.d1 ;
+ wire \ringosc.dstage[0].id.d2 ;
+ wire \ringosc.dstage[0].id.in ;
+ wire \ringosc.dstage[0].id.out ;
+ wire \ringosc.dstage[0].id.trim[0] ;
+ wire \ringosc.dstage[0].id.trim[1] ;
+ wire \ringosc.dstage[0].id.ts ;
+ wire \ringosc.dstage[10].id.d0 ;
+ wire \ringosc.dstage[10].id.d1 ;
+ wire \ringosc.dstage[10].id.d2 ;
+ wire \ringosc.dstage[10].id.in ;
+ wire \ringosc.dstage[10].id.out ;
+ wire \ringosc.dstage[10].id.trim[0] ;
+ wire \ringosc.dstage[10].id.trim[1] ;
+ wire \ringosc.dstage[10].id.ts ;
+ wire \ringosc.dstage[11].id.d0 ;
+ wire \ringosc.dstage[11].id.d1 ;
+ wire \ringosc.dstage[11].id.d2 ;
+ wire \ringosc.dstage[11].id.out ;
+ wire \ringosc.dstage[11].id.trim[0] ;
+ wire \ringosc.dstage[11].id.trim[1] ;
+ wire \ringosc.dstage[11].id.ts ;
+ wire \ringosc.dstage[1].id.d0 ;
+ wire \ringosc.dstage[1].id.d1 ;
+ wire \ringosc.dstage[1].id.d2 ;
+ wire \ringosc.dstage[1].id.out ;
+ wire \ringosc.dstage[1].id.trim[0] ;
+ wire \ringosc.dstage[1].id.trim[1] ;
+ wire \ringosc.dstage[1].id.ts ;
+ wire \ringosc.dstage[2].id.d0 ;
+ wire \ringosc.dstage[2].id.d1 ;
+ wire \ringosc.dstage[2].id.d2 ;
+ wire \ringosc.dstage[2].id.out ;
+ wire \ringosc.dstage[2].id.trim[0] ;
+ wire \ringosc.dstage[2].id.trim[1] ;
+ wire \ringosc.dstage[2].id.ts ;
+ wire \ringosc.dstage[3].id.d0 ;
+ wire \ringosc.dstage[3].id.d1 ;
+ wire \ringosc.dstage[3].id.d2 ;
+ wire \ringosc.dstage[3].id.out ;
+ wire \ringosc.dstage[3].id.trim[0] ;
+ wire \ringosc.dstage[3].id.trim[1] ;
+ wire \ringosc.dstage[3].id.ts ;
+ wire \ringosc.dstage[4].id.d0 ;
+ wire \ringosc.dstage[4].id.d1 ;
+ wire \ringosc.dstage[4].id.d2 ;
+ wire \ringosc.dstage[4].id.out ;
+ wire \ringosc.dstage[4].id.trim[0] ;
+ wire \ringosc.dstage[4].id.trim[1] ;
+ wire \ringosc.dstage[4].id.ts ;
+ wire \ringosc.dstage[5].id.d0 ;
+ wire \ringosc.dstage[5].id.d1 ;
+ wire \ringosc.dstage[5].id.d2 ;
+ wire \ringosc.dstage[5].id.out ;
+ wire \ringosc.dstage[5].id.trim[0] ;
+ wire \ringosc.dstage[5].id.trim[1] ;
+ wire \ringosc.dstage[5].id.ts ;
+ wire \ringosc.dstage[6].id.d0 ;
+ wire \ringosc.dstage[6].id.d1 ;
+ wire \ringosc.dstage[6].id.d2 ;
+ wire \ringosc.dstage[6].id.out ;
+ wire \ringosc.dstage[6].id.trim[0] ;
+ wire \ringosc.dstage[6].id.trim[1] ;
+ wire \ringosc.dstage[6].id.ts ;
+ wire \ringosc.dstage[7].id.d0 ;
+ wire \ringosc.dstage[7].id.d1 ;
+ wire \ringosc.dstage[7].id.d2 ;
+ wire \ringosc.dstage[7].id.out ;
+ wire \ringosc.dstage[7].id.trim[0] ;
+ wire \ringosc.dstage[7].id.trim[1] ;
+ wire \ringosc.dstage[7].id.ts ;
+ wire \ringosc.dstage[8].id.d0 ;
+ wire \ringosc.dstage[8].id.d1 ;
+ wire \ringosc.dstage[8].id.d2 ;
+ wire \ringosc.dstage[8].id.out ;
+ wire \ringosc.dstage[8].id.trim[0] ;
+ wire \ringosc.dstage[8].id.trim[1] ;
+ wire \ringosc.dstage[8].id.ts ;
+ wire \ringosc.dstage[9].id.d0 ;
+ wire \ringosc.dstage[9].id.d1 ;
+ wire \ringosc.dstage[9].id.d2 ;
+ wire \ringosc.dstage[9].id.trim[0] ;
+ wire \ringosc.dstage[9].id.trim[1] ;
+ wire \ringosc.dstage[9].id.ts ;
+ wire \ringosc.iss.ctrl0 ;
+ wire \ringosc.iss.d0 ;
+ wire \ringosc.iss.d1 ;
+ wire \ringosc.iss.d2 ;
+ wire \ringosc.iss.one ;
+ wire \ringosc.iss.reset ;
+ wire \ringosc.iss.trim[0] ;
+ wire \ringosc.iss.trim[1] ;
+
+ sky130_fd_sc_hd__inv_2 _176_ (.A(\pll_control.tint[4] ),
+ .Y(_043_));
+ sky130_fd_sc_hd__inv_2 _177_ (.A(\pll_control.tint[3] ),
+ .Y(_044_));
+ sky130_fd_sc_hd__inv_2 _178_ (.A(\pll_control.tint[2] ),
+ .Y(_045_));
+ sky130_fd_sc_hd__inv_2 _179_ (.A(\pll_control.tint[1] ),
+ .Y(_046_));
+ sky130_fd_sc_hd__inv_2 _180_ (.A(\pll_control.tint[0] ),
+ .Y(_047_));
+ sky130_fd_sc_hd__xnor2_2 _181_ (.A(\pll_control.oscbuf[1] ),
+ .B(\pll_control.oscbuf[2] ),
+ .Y(_048_));
+ sky130_fd_sc_hd__xor2_2 _182_ (.A(\pll_control.oscbuf[1] ),
+ .B(\pll_control.oscbuf[2] ),
+ .X(_049_));
+ sky130_fd_sc_hd__mux2_1 _183_ (.A0(\pll_control.count0[4] ),
+ .A1(\pll_control.count1[4] ),
+ .S(_048_),
+ .X(_042_));
+ sky130_fd_sc_hd__mux2_1 _184_ (.A0(\pll_control.count0[3] ),
+ .A1(\pll_control.count1[3] ),
+ .S(_048_),
+ .X(_041_));
+ sky130_fd_sc_hd__mux2_1 _185_ (.A0(\pll_control.count0[2] ),
+ .A1(\pll_control.count1[2] ),
+ .S(_048_),
+ .X(_040_));
+ sky130_fd_sc_hd__mux2_1 _186_ (.A0(\pll_control.count0[1] ),
+ .A1(\pll_control.count1[1] ),
+ .S(_048_),
+ .X(_039_));
+ sky130_fd_sc_hd__mux2_1 _187_ (.A0(\pll_control.count0[0] ),
+ .A1(\pll_control.count1[0] ),
+ .S(_048_),
+ .X(_038_));
+ sky130_fd_sc_hd__nand2_2 _188_ (.A(\pll_control.count0[4] ),
+ .B(\pll_control.count1[4] ),
+ .Y(_050_));
+ sky130_fd_sc_hd__or2_2 _189_ (.A(\pll_control.count0[4] ),
+ .B(\pll_control.count1[4] ),
+ .X(_051_));
+ sky130_fd_sc_hd__and2_2 _190_ (.A(_050_),
+ .B(_051_),
+ .X(_052_));
+ sky130_fd_sc_hd__nor2_2 _191_ (.A(\pll_control.count0[3] ),
+ .B(\pll_control.count1[3] ),
+ .Y(_053_));
+ sky130_fd_sc_hd__inv_2 _192_ (.A(_053_),
+ .Y(_054_));
+ sky130_fd_sc_hd__and2_2 _193_ (.A(\pll_control.count0[3] ),
+ .B(\pll_control.count1[3] ),
+ .X(_055_));
+ sky130_fd_sc_hd__nor2_2 _194_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count1[2] ),
+ .Y(_056_));
+ sky130_fd_sc_hd__or2_2 _195_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count1[2] ),
+ .X(_057_));
+ sky130_fd_sc_hd__and2_2 _196_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count1[2] ),
+ .X(_058_));
+ sky130_fd_sc_hd__and2_2 _197_ (.A(\pll_control.count0[1] ),
+ .B(\pll_control.count1[1] ),
+ .X(_059_));
+ sky130_fd_sc_hd__and2_2 _198_ (.A(\pll_control.count0[0] ),
+ .B(\pll_control.count1[0] ),
+ .X(_060_));
+ sky130_fd_sc_hd__nand2_2 _199_ (.A(\pll_control.count0[0] ),
+ .B(\pll_control.count1[0] ),
+ .Y(_061_));
+ sky130_fd_sc_hd__xor2_2 _200_ (.A(\pll_control.count0[1] ),
+ .B(\pll_control.count1[1] ),
+ .X(_062_));
+ sky130_fd_sc_hd__a21o_2 _201_ (.A1(_060_),
+ .A2(_062_),
+ .B1(_059_),
+ .X(_063_));
+ sky130_fd_sc_hd__a211o_2 _202_ (.A1(_060_),
+ .A2(_062_),
+ .B1(_058_),
+ .C1(_059_),
+ .X(_064_));
+ sky130_fd_sc_hd__a31o_2 _203_ (.A1(_054_),
+ .A2(_057_),
+ .A3(_064_),
+ .B1(_055_),
+ .X(_065_));
+ sky130_fd_sc_hd__nor2_2 _204_ (.A(_052_),
+ .B(_065_),
+ .Y(_066_));
+ sky130_fd_sc_hd__and2_2 _205_ (.A(_052_),
+ .B(_065_),
+ .X(_067_));
+ sky130_fd_sc_hd__nand2_2 _206_ (.A(_052_),
+ .B(_065_),
+ .Y(_068_));
+ sky130_fd_sc_hd__o211a_2 _207_ (.A1(div[4]),
+ .A2(_066_),
+ .B1(_068_),
+ .C1(_050_),
+ .X(_069_));
+ sky130_fd_sc_hd__nor2_2 _208_ (.A(_056_),
+ .B(_058_),
+ .Y(_070_));
+ sky130_fd_sc_hd__xnor2_2 _209_ (.A(_063_),
+ .B(_070_),
+ .Y(_071_));
+ sky130_fd_sc_hd__or2_2 _210_ (.A(_053_),
+ .B(_055_),
+ .X(_072_));
+ sky130_fd_sc_hd__nand3_2 _211_ (.A(_057_),
+ .B(_064_),
+ .C(_072_),
+ .Y(_073_));
+ sky130_fd_sc_hd__a21o_2 _212_ (.A1(_057_),
+ .A2(_064_),
+ .B1(_072_),
+ .X(_074_));
+ sky130_fd_sc_hd__a32o_2 _213_ (.A1(div[3]),
+ .A2(_073_),
+ .A3(_074_),
+ .B1(div[2]),
+ .B2(_071_),
+ .X(_075_));
+ sky130_fd_sc_hd__a21oi_2 _214_ (.A1(_073_),
+ .A2(_074_),
+ .B1(div[3]),
+ .Y(_076_));
+ sky130_fd_sc_hd__a21o_2 _215_ (.A1(_073_),
+ .A2(_074_),
+ .B1(div[3]),
+ .X(_077_));
+ sky130_fd_sc_hd__nor2_2 _216_ (.A(div[2]),
+ .B(_071_),
+ .Y(_078_));
+ sky130_fd_sc_hd__or3_2 _217_ (.A(_075_),
+ .B(_076_),
+ .C(_078_),
+ .X(_079_));
+ sky130_fd_sc_hd__xnor2_2 _218_ (.A(_060_),
+ .B(_062_),
+ .Y(_080_));
+ sky130_fd_sc_hd__nand2_2 _219_ (.A(div[1]),
+ .B(_080_),
+ .Y(_081_));
+ sky130_fd_sc_hd__or2_2 _220_ (.A(\pll_control.count0[0] ),
+ .B(\pll_control.count1[0] ),
+ .X(_082_));
+ sky130_fd_sc_hd__nand2_2 _221_ (.A(_061_),
+ .B(_082_),
+ .Y(_083_));
+ sky130_fd_sc_hd__o22a_2 _222_ (.A1(div[1]),
+ .A2(_080_),
+ .B1(_083_),
+ .B2(div[0]),
+ .X(_084_));
+ sky130_fd_sc_hd__nand2_2 _223_ (.A(_081_),
+ .B(_084_),
+ .Y(_085_));
+ sky130_fd_sc_hd__a2111oi_2 _224_ (.A1(_081_),
+ .A2(_085_),
+ .B1(_075_),
+ .C1(_076_),
+ .D1(_078_),
+ .Y(_086_));
+ sky130_fd_sc_hd__o21a_2 _225_ (.A1(_066_),
+ .A2(_067_),
+ .B1(div[4]),
+ .X(_087_));
+ sky130_fd_sc_hd__and2_2 _226_ (.A(_075_),
+ .B(_077_),
+ .X(_088_));
+ sky130_fd_sc_hd__o31a_2 _227_ (.A1(_086_),
+ .A2(_087_),
+ .A3(_088_),
+ .B1(_069_),
+ .X(_089_));
+ sky130_fd_sc_hd__or2_2 _228_ (.A(\pll_control.tint[1] ),
+ .B(\pll_control.tint[0] ),
+ .X(_090_));
+ sky130_fd_sc_hd__or2_2 _229_ (.A(\pll_control.tint[3] ),
+ .B(\pll_control.tint[2] ),
+ .X(_091_));
+ sky130_fd_sc_hd__or2_2 _230_ (.A(\pll_control.tint[4] ),
+ .B(_091_),
+ .X(_092_));
+ sky130_fd_sc_hd__nor2_2 _231_ (.A(_090_),
+ .B(_092_),
+ .Y(_093_));
+ sky130_fd_sc_hd__or2_2 _232_ (.A(\pll_control.tval[1] ),
+ .B(\pll_control.tval[0] ),
+ .X(_094_));
+ sky130_fd_sc_hd__or4bb_2 _233_ (.A(\pll_control.tval[1] ),
+ .B(\pll_control.tval[0] ),
+ .C_N(_089_),
+ .D_N(_093_),
+ .X(_095_));
+ sky130_fd_sc_hd__and3_2 _234_ (.A(\pll_control.prep[2] ),
+ .B(\pll_control.prep[0] ),
+ .C(_049_),
+ .X(_096_));
+ sky130_fd_sc_hd__and2_2 _235_ (.A(div[0]),
+ .B(_083_),
+ .X(_097_));
+ sky130_fd_sc_hd__or3b_2 _236_ (.A(_097_),
+ .B(_085_),
+ .C_N(_069_),
+ .X(_098_));
+ sky130_fd_sc_hd__or3_2 _237_ (.A(_079_),
+ .B(_087_),
+ .C(_098_),
+ .X(_099_));
+ sky130_fd_sc_hd__and4_2 _238_ (.A(\pll_control.prep[1] ),
+ .B(_095_),
+ .C(_096_),
+ .D(_099_),
+ .X(_100_));
+ sky130_fd_sc_hd__nand2_2 _239_ (.A(\pll_control.tval[1] ),
+ .B(\pll_control.tval[0] ),
+ .Y(_101_));
+ sky130_fd_sc_hd__nor2_2 _240_ (.A(_046_),
+ .B(_047_),
+ .Y(_102_));
+ sky130_fd_sc_hd__nand2_2 _241_ (.A(\pll_control.tint[1] ),
+ .B(\pll_control.tint[0] ),
+ .Y(_103_));
+ sky130_fd_sc_hd__or4_2 _242_ (.A(_043_),
+ .B(_045_),
+ .C(_101_),
+ .D(_103_),
+ .X(_104_));
+ sky130_fd_sc_hd__o31a_2 _243_ (.A1(_044_),
+ .A2(_089_),
+ .A3(_104_),
+ .B1(_100_),
+ .X(_105_));
+ sky130_fd_sc_hd__xnor2_2 _244_ (.A(_044_),
+ .B(_089_),
+ .Y(_106_));
+ sky130_fd_sc_hd__nor2_2 _245_ (.A(\pll_control.tint[2] ),
+ .B(_089_),
+ .Y(_107_));
+ sky130_fd_sc_hd__and2_2 _246_ (.A(\pll_control.tint[2] ),
+ .B(_089_),
+ .X(_108_));
+ sky130_fd_sc_hd__nor2_2 _247_ (.A(_107_),
+ .B(_108_),
+ .Y(_109_));
+ sky130_fd_sc_hd__nand2_2 _248_ (.A(_090_),
+ .B(_103_),
+ .Y(_110_));
+ sky130_fd_sc_hd__nor2_2 _249_ (.A(\pll_control.tint[0] ),
+ .B(_089_),
+ .Y(_111_));
+ sky130_fd_sc_hd__xnor2_2 _250_ (.A(_047_),
+ .B(_089_),
+ .Y(_112_));
+ sky130_fd_sc_hd__a21bo_2 _251_ (.A1(_089_),
+ .A2(_094_),
+ .B1_N(_101_),
+ .X(_113_));
+ sky130_fd_sc_hd__a32o_2 _252_ (.A1(_110_),
+ .A2(_112_),
+ .A3(_113_),
+ .B1(_090_),
+ .B2(_089_),
+ .X(_114_));
+ sky130_fd_sc_hd__a32o_2 _253_ (.A1(_106_),
+ .A2(_109_),
+ .A3(_114_),
+ .B1(_091_),
+ .B2(_089_),
+ .X(_115_));
+ sky130_fd_sc_hd__xnor2_2 _254_ (.A(\pll_control.tint[4] ),
+ .B(_089_),
+ .Y(_116_));
+ sky130_fd_sc_hd__and2_2 _255_ (.A(_115_),
+ .B(_116_),
+ .X(_117_));
+ sky130_fd_sc_hd__o21ai_2 _256_ (.A1(_115_),
+ .A2(_116_),
+ .B1(_105_),
+ .Y(_118_));
+ sky130_fd_sc_hd__o22a_2 _257_ (.A1(\pll_control.tint[4] ),
+ .A2(_105_),
+ .B1(_117_),
+ .B2(_118_),
+ .X(_037_));
+ sky130_fd_sc_hd__a21oi_2 _258_ (.A1(_109_),
+ .A2(_114_),
+ .B1(_108_),
+ .Y(_119_));
+ sky130_fd_sc_hd__xnor2_2 _259_ (.A(_106_),
+ .B(_119_),
+ .Y(_120_));
+ sky130_fd_sc_hd__mux2_1 _260_ (.A0(\pll_control.tint[3] ),
+ .A1(_120_),
+ .S(_105_),
+ .X(_036_));
+ sky130_fd_sc_hd__xor2_2 _261_ (.A(_109_),
+ .B(_114_),
+ .X(_121_));
+ sky130_fd_sc_hd__mux2_1 _262_ (.A0(\pll_control.tint[2] ),
+ .A1(_121_),
+ .S(_105_),
+ .X(_035_));
+ sky130_fd_sc_hd__a21oi_2 _263_ (.A1(_089_),
+ .A2(_094_),
+ .B1(_111_),
+ .Y(_122_));
+ sky130_fd_sc_hd__o211a_2 _264_ (.A1(_047_),
+ .A2(_113_),
+ .B1(_122_),
+ .C1(_105_),
+ .X(_123_));
+ sky130_fd_sc_hd__xnor2_2 _265_ (.A(_046_),
+ .B(_123_),
+ .Y(_034_));
+ sky130_fd_sc_hd__xnor2_2 _266_ (.A(_112_),
+ .B(_113_),
+ .Y(_124_));
+ sky130_fd_sc_hd__o2bb2a_2 _267_ (.A1_N(_105_),
+ .A2_N(_124_),
+ .B1(\pll_control.tint[0] ),
+ .B2(_100_),
+ .X(_033_));
+ sky130_fd_sc_hd__nand2_2 _268_ (.A(_094_),
+ .B(_101_),
+ .Y(_125_));
+ sky130_fd_sc_hd__xnor2_2 _269_ (.A(_089_),
+ .B(_125_),
+ .Y(_126_));
+ sky130_fd_sc_hd__mux2_1 _270_ (.A0(\pll_control.tval[1] ),
+ .A1(_126_),
+ .S(_105_),
+ .X(_032_));
+ sky130_fd_sc_hd__nand2_2 _271_ (.A(\pll_control.tval[0] ),
+ .B(_105_),
+ .Y(_127_));
+ sky130_fd_sc_hd__o21a_2 _272_ (.A1(\pll_control.tval[0] ),
+ .A2(_100_),
+ .B1(_127_),
+ .X(_031_));
+ sky130_fd_sc_hd__and3_2 _273_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count0[1] ),
+ .C(\pll_control.count0[0] ),
+ .X(_128_));
+ sky130_fd_sc_hd__and2_2 _274_ (.A(\pll_control.count0[3] ),
+ .B(_128_),
+ .X(_129_));
+ sky130_fd_sc_hd__o21a_2 _275_ (.A1(\pll_control.count0[4] ),
+ .A2(_129_),
+ .B1(_048_),
+ .X(_030_));
+ sky130_fd_sc_hd__nand2b_2 _276_ (.A_N(\pll_control.count0[4] ),
+ .B(_129_),
+ .Y(_130_));
+ sky130_fd_sc_hd__o211a_2 _277_ (.A1(\pll_control.count0[3] ),
+ .A2(_128_),
+ .B1(_130_),
+ .C1(_048_),
+ .X(_029_));
+ sky130_fd_sc_hd__nand2_2 _278_ (.A(\pll_control.count0[4] ),
+ .B(_129_),
+ .Y(_131_));
+ sky130_fd_sc_hd__a21oi_2 _279_ (.A1(\pll_control.count0[1] ),
+ .A2(\pll_control.count0[0] ),
+ .B1(\pll_control.count0[2] ),
+ .Y(_132_));
+ sky130_fd_sc_hd__or2_2 _280_ (.A(_128_),
+ .B(_132_),
+ .X(_133_));
+ sky130_fd_sc_hd__a21oi_2 _281_ (.A1(_131_),
+ .A2(_133_),
+ .B1(_049_),
+ .Y(_028_));
+ sky130_fd_sc_hd__xnor2_2 _282_ (.A(\pll_control.count0[1] ),
+ .B(\pll_control.count0[0] ),
+ .Y(_134_));
+ sky130_fd_sc_hd__a21oi_2 _283_ (.A1(_131_),
+ .A2(_134_),
+ .B1(_049_),
+ .Y(_027_));
+ sky130_fd_sc_hd__nand3_2 _284_ (.A(\pll_control.count0[0] ),
+ .B(_048_),
+ .C(_131_),
+ .Y(_026_));
+ sky130_fd_sc_hd__mux2_1 _285_ (.A0(\pll_control.prep[1] ),
+ .A1(\pll_control.prep[2] ),
+ .S(_048_),
+ .X(_025_));
+ sky130_fd_sc_hd__mux2_1 _286_ (.A0(\pll_control.prep[1] ),
+ .A1(\pll_control.prep[0] ),
+ .S(_049_),
+ .X(_024_));
+ sky130_fd_sc_hd__or2_2 _287_ (.A(\pll_control.prep[0] ),
+ .B(_049_),
+ .X(_023_));
+ sky130_fd_sc_hd__nor2_2 _288_ (.A(dco),
+ .B(_093_),
+ .Y(_135_));
+ sky130_fd_sc_hd__a21o_2 _289_ (.A1(ext_trim[0]),
+ .A2(dco),
+ .B1(_135_),
+ .X(\ringosc.dstage[0].id.trim[0] ));
+ sky130_fd_sc_hd__or3_2 _290_ (.A(\pll_control.tint[4] ),
+ .B(_044_),
+ .C(\pll_control.tint[2] ),
+ .X(_136_));
+ sky130_fd_sc_hd__nor2_2 _291_ (.A(\pll_control.tint[3] ),
+ .B(_045_),
+ .Y(_137_));
+ sky130_fd_sc_hd__nand2_2 _292_ (.A(_043_),
+ .B(_137_),
+ .Y(_138_));
+ sky130_fd_sc_hd__and2b_2 _293_ (.A_N(dco),
+ .B(_092_),
+ .X(_139_));
+ sky130_fd_sc_hd__and3_2 _294_ (.A(_136_),
+ .B(_138_),
+ .C(_139_),
+ .X(_140_));
+ sky130_fd_sc_hd__a21o_2 _295_ (.A1(dco),
+ .A2(ext_trim[1]),
+ .B1(_140_),
+ .X(\ringosc.dstage[1].id.trim[0] ));
+ sky130_fd_sc_hd__nor2_2 _296_ (.A(_043_),
+ .B(dco),
+ .Y(_141_));
+ sky130_fd_sc_hd__or2_2 _297_ (.A(\pll_control.tint[1] ),
+ .B(_138_),
+ .X(_142_));
+ sky130_fd_sc_hd__or3_2 _298_ (.A(\pll_control.tint[1] ),
+ .B(_047_),
+ .C(_092_),
+ .X(_143_));
+ sky130_fd_sc_hd__a22o_2 _299_ (.A1(dco),
+ .A2(ext_trim[2]),
+ .B1(_138_),
+ .B2(_139_),
+ .X(\ringosc.dstage[2].id.trim[0] ));
+ sky130_fd_sc_hd__a21o_2 _300_ (.A1(dco),
+ .A2(ext_trim[3]),
+ .B1(_139_),
+ .X(\ringosc.dstage[3].id.trim[0] ));
+ sky130_fd_sc_hd__o211a_2 _301_ (.A1(\pll_control.tint[1] ),
+ .A2(_136_),
+ .B1(_138_),
+ .C1(_139_),
+ .X(_144_));
+ sky130_fd_sc_hd__a21o_2 _302_ (.A1(dco),
+ .A2(ext_trim[4]),
+ .B1(_144_),
+ .X(\ringosc.dstage[4].id.trim[0] ));
+ sky130_fd_sc_hd__or2_2 _303_ (.A(_090_),
+ .B(_138_),
+ .X(_145_));
+ sky130_fd_sc_hd__o211a_2 _304_ (.A1(_110_),
+ .A2(_138_),
+ .B1(_139_),
+ .C1(_145_),
+ .X(_146_));
+ sky130_fd_sc_hd__a21o_2 _305_ (.A1(dco),
+ .A2(ext_trim[5]),
+ .B1(_146_),
+ .X(\ringosc.dstage[5].id.trim[0] ));
+ sky130_fd_sc_hd__a22o_2 _306_ (.A1(dco),
+ .A2(ext_trim[6]),
+ .B1(_135_),
+ .B2(_143_),
+ .X(\ringosc.dstage[6].id.trim[0] ));
+ sky130_fd_sc_hd__or3_2 _307_ (.A(\pll_control.tint[4] ),
+ .B(_044_),
+ .C(_045_),
+ .X(_147_));
+ sky130_fd_sc_hd__or2_2 _308_ (.A(_090_),
+ .B(_147_),
+ .X(_148_));
+ sky130_fd_sc_hd__a22o_2 _309_ (.A1(dco),
+ .A2(ext_trim[7]),
+ .B1(_140_),
+ .B2(_148_),
+ .X(\ringosc.dstage[7].id.trim[0] ));
+ sky130_fd_sc_hd__a22o_2 _310_ (.A1(dco),
+ .A2(ext_trim[8]),
+ .B1(_139_),
+ .B2(_145_),
+ .X(\ringosc.dstage[8].id.trim[0] ));
+ sky130_fd_sc_hd__o22a_2 _311_ (.A1(_090_),
+ .A2(_136_),
+ .B1(_138_),
+ .B2(_103_),
+ .X(_149_));
+ sky130_fd_sc_hd__a22o_2 _312_ (.A1(dco),
+ .A2(ext_trim[9]),
+ .B1(_146_),
+ .B2(_149_),
+ .X(\ringosc.dstage[9].id.trim[0] ));
+ sky130_fd_sc_hd__nand2b_2 _313_ (.A_N(ext_trim[10]),
+ .B(dco),
+ .Y(_150_));
+ sky130_fd_sc_hd__o31a_2 _314_ (.A1(dco),
+ .A2(_092_),
+ .A3(_102_),
+ .B1(_150_),
+ .X(\ringosc.dstage[10].id.trim[0] ));
+ sky130_fd_sc_hd__or2_2 _315_ (.A(_102_),
+ .B(_136_),
+ .X(_151_));
+ sky130_fd_sc_hd__a32o_2 _316_ (.A1(_138_),
+ .A2(_139_),
+ .A3(_151_),
+ .B1(ext_trim[11]),
+ .B2(dco),
+ .X(\ringosc.dstage[11].id.trim[0] ));
+ sky130_fd_sc_hd__a22o_2 _317_ (.A1(dco),
+ .A2(ext_trim[12]),
+ .B1(_139_),
+ .B2(_142_),
+ .X(\ringosc.iss.trim[0] ));
+ sky130_fd_sc_hd__or2_2 _318_ (.A(\pll_control.tint[1] ),
+ .B(_147_),
+ .X(_152_));
+ sky130_fd_sc_hd__a22o_2 _319_ (.A1(dco),
+ .A2(ext_trim[13]),
+ .B1(_140_),
+ .B2(_152_),
+ .X(\ringosc.dstage[0].id.trim[1] ));
+ sky130_fd_sc_hd__a211o_2 _320_ (.A1(\pll_control.tint[3] ),
+ .A2(_090_),
+ .B1(\pll_control.tint[2] ),
+ .C1(_043_),
+ .X(_153_));
+ sky130_fd_sc_hd__o21ai_2 _321_ (.A1(\pll_control.tint[4] ),
+ .A2(_102_),
+ .B1(_137_),
+ .Y(_154_));
+ sky130_fd_sc_hd__o211a_2 _322_ (.A1(\pll_control.tint[4] ),
+ .A2(_044_),
+ .B1(_153_),
+ .C1(_154_),
+ .X(_155_));
+ sky130_fd_sc_hd__nand2_2 _323_ (.A(\pll_control.tint[4] ),
+ .B(_137_),
+ .Y(_156_));
+ sky130_fd_sc_hd__or2_2 _324_ (.A(_043_),
+ .B(_091_),
+ .X(_157_));
+ sky130_fd_sc_hd__or2_2 _325_ (.A(_102_),
+ .B(_156_),
+ .X(_158_));
+ sky130_fd_sc_hd__a22o_2 _326_ (.A1(dco),
+ .A2(ext_trim[14]),
+ .B1(_146_),
+ .B2(_155_),
+ .X(\ringosc.dstage[1].id.trim[1] ));
+ sky130_fd_sc_hd__or2_2 _327_ (.A(\pll_control.tint[3] ),
+ .B(_090_),
+ .X(_159_));
+ sky130_fd_sc_hd__or2_2 _328_ (.A(_102_),
+ .B(_147_),
+ .X(_160_));
+ sky130_fd_sc_hd__a21o_2 _329_ (.A1(_136_),
+ .A2(_138_),
+ .B1(_103_),
+ .X(_161_));
+ sky130_fd_sc_hd__o211a_2 _330_ (.A1(_046_),
+ .A2(_092_),
+ .B1(_145_),
+ .C1(_151_),
+ .X(_162_));
+ sky130_fd_sc_hd__o22a_2 _331_ (.A1(_110_),
+ .A2(_138_),
+ .B1(_147_),
+ .B2(_103_),
+ .X(_163_));
+ sky130_fd_sc_hd__and3_2 _332_ (.A(_135_),
+ .B(_160_),
+ .C(_161_),
+ .X(_164_));
+ sky130_fd_sc_hd__and3_2 _333_ (.A(_162_),
+ .B(_163_),
+ .C(_164_),
+ .X(_165_));
+ sky130_fd_sc_hd__a32o_2 _334_ (.A1(_091_),
+ .A2(_141_),
+ .A3(_159_),
+ .B1(ext_trim[15]),
+ .B2(dco),
+ .X(\ringosc.dstage[2].id.trim[1] ));
+ sky130_fd_sc_hd__o22a_2 _335_ (.A1(_103_),
+ .A2(_147_),
+ .B1(_157_),
+ .B2(_090_),
+ .X(_166_));
+ sky130_fd_sc_hd__and3_2 _336_ (.A(_140_),
+ .B(_160_),
+ .C(_166_),
+ .X(_167_));
+ sky130_fd_sc_hd__a21o_2 _337_ (.A1(dco),
+ .A2(ext_trim[16]),
+ .B1(_167_),
+ .X(\ringosc.dstage[3].id.trim[1] ));
+ sky130_fd_sc_hd__o221a_2 _338_ (.A1(_046_),
+ .A2(_136_),
+ .B1(_157_),
+ .B2(_103_),
+ .C1(_160_),
+ .X(_168_));
+ sky130_fd_sc_hd__or2_2 _339_ (.A(_110_),
+ .B(_157_),
+ .X(_169_));
+ sky130_fd_sc_hd__and3_2 _340_ (.A(_144_),
+ .B(_166_),
+ .C(_169_),
+ .X(_170_));
+ sky130_fd_sc_hd__a32o_2 _341_ (.A1(_158_),
+ .A2(_168_),
+ .A3(_170_),
+ .B1(ext_trim[17]),
+ .B2(dco),
+ .X(\ringosc.dstage[4].id.trim[1] ));
+ sky130_fd_sc_hd__a22o_2 _342_ (.A1(dco),
+ .A2(ext_trim[18]),
+ .B1(_091_),
+ .B2(_141_),
+ .X(\ringosc.dstage[5].id.trim[1] ));
+ sky130_fd_sc_hd__a22o_2 _343_ (.A1(dco),
+ .A2(ext_trim[19]),
+ .B1(_140_),
+ .B2(_160_),
+ .X(\ringosc.dstage[6].id.trim[1] ));
+ sky130_fd_sc_hd__o21ai_2 _344_ (.A1(\pll_control.tint[2] ),
+ .A2(\pll_control.tint[1] ),
+ .B1(\pll_control.tint[3] ),
+ .Y(_171_));
+ sky130_fd_sc_hd__nand2_2 _345_ (.A(\pll_control.tint[4] ),
+ .B(_171_),
+ .Y(_172_));
+ sky130_fd_sc_hd__a32o_2 _346_ (.A1(_143_),
+ .A2(_165_),
+ .A3(_172_),
+ .B1(ext_trim[20]),
+ .B2(dco),
+ .X(\ringosc.dstage[7].id.trim[1] ));
+ sky130_fd_sc_hd__or3_2 _347_ (.A(\pll_control.tint[1] ),
+ .B(_047_),
+ .C(_157_),
+ .X(_173_));
+ sky130_fd_sc_hd__a22o_2 _348_ (.A1(dco),
+ .A2(ext_trim[21]),
+ .B1(_167_),
+ .B2(_173_),
+ .X(\ringosc.dstage[8].id.trim[1] ));
+ sky130_fd_sc_hd__or2_2 _349_ (.A(\pll_control.tint[1] ),
+ .B(_156_),
+ .X(_174_));
+ sky130_fd_sc_hd__a32o_2 _350_ (.A1(_168_),
+ .A2(_170_),
+ .A3(_174_),
+ .B1(ext_trim[22]),
+ .B2(dco),
+ .X(\ringosc.dstage[9].id.trim[1] ));
+ sky130_fd_sc_hd__a21o_2 _351_ (.A1(dco),
+ .A2(ext_trim[23]),
+ .B1(_141_),
+ .X(\ringosc.dstage[10].id.trim[1] ));
+ sky130_fd_sc_hd__and3b_2 _352_ (.A_N(dco),
+ .B(\pll_control.tint[3] ),
+ .C(\pll_control.tint[4] ),
+ .X(_175_));
+ sky130_fd_sc_hd__a21o_2 _353_ (.A1(dco),
+ .A2(ext_trim[24]),
+ .B1(_175_),
+ .X(\ringosc.dstage[11].id.trim[1] ));
+ sky130_fd_sc_hd__a22o_2 _354_ (.A1(dco),
+ .A2(ext_trim[25]),
+ .B1(_167_),
+ .B2(_169_),
+ .X(\ringosc.iss.trim[1] ));
+ sky130_fd_sc_hd__nand2_2 _355_ (.A(enable),
+ .B(resetb),
+ .Y(\ringosc.iss.reset ));
+ sky130_fd_sc_hd__nor2_2 _356_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_000_));
+ sky130_fd_sc_hd__nor2_2 _357_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_001_));
+ sky130_fd_sc_hd__nor2_2 _358_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_002_));
+ sky130_fd_sc_hd__nor2_2 _359_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_003_));
+ sky130_fd_sc_hd__nor2_2 _360_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_004_));
+ sky130_fd_sc_hd__nor2_2 _361_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_005_));
+ sky130_fd_sc_hd__nor2_2 _362_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_006_));
+ sky130_fd_sc_hd__nor2_2 _363_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_007_));
+ sky130_fd_sc_hd__nor2_2 _364_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_008_));
+ sky130_fd_sc_hd__nor2_2 _365_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_009_));
+ sky130_fd_sc_hd__nor2_2 _366_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_010_));
+ sky130_fd_sc_hd__nor2_2 _367_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_011_));
+ sky130_fd_sc_hd__nor2_2 _368_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_012_));
+ sky130_fd_sc_hd__nor2_2 _369_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_013_));
+ sky130_fd_sc_hd__nor2_2 _370_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_014_));
+ sky130_fd_sc_hd__nor2_2 _371_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_015_));
+ sky130_fd_sc_hd__nor2_2 _372_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_016_));
+ sky130_fd_sc_hd__nor2_2 _373_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_017_));
+ sky130_fd_sc_hd__nor2_2 _374_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_018_));
+ sky130_fd_sc_hd__nor2_2 _375_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_019_));
+ sky130_fd_sc_hd__nor2_2 _376_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_020_));
+ sky130_fd_sc_hd__nor2_2 _377_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_021_));
+ sky130_fd_sc_hd__nor2_2 _378_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .Y(_022_));
+ sky130_fd_sc_hd__dfrtp_2 _379_ (.CLK(\pll_control.clock ),
+ .D(_023_),
+ .RESET_B(_000_),
+ .Q(\pll_control.prep[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _380_ (.CLK(\pll_control.clock ),
+ .D(_024_),
+ .RESET_B(_001_),
+ .Q(\pll_control.prep[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _381_ (.CLK(\pll_control.clock ),
+ .D(_025_),
+ .RESET_B(_002_),
+ .Q(\pll_control.prep[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _382_ (.CLK(\pll_control.clock ),
+ .D(_026_),
+ .RESET_B(_003_),
+ .Q(\pll_control.count0[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _383_ (.CLK(\pll_control.clock ),
+ .D(_027_),
+ .RESET_B(_004_),
+ .Q(\pll_control.count0[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _384_ (.CLK(\pll_control.clock ),
+ .D(_028_),
+ .RESET_B(_005_),
+ .Q(\pll_control.count0[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _385_ (.CLK(\pll_control.clock ),
+ .D(_029_),
+ .RESET_B(_006_),
+ .Q(\pll_control.count0[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _386_ (.CLK(\pll_control.clock ),
+ .D(_030_),
+ .RESET_B(_007_),
+ .Q(\pll_control.count0[4] ));
+ sky130_fd_sc_hd__dfrtp_2 _387_ (.CLK(\pll_control.clock ),
+ .D(_031_),
+ .RESET_B(_008_),
+ .Q(\pll_control.tval[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _388_ (.CLK(\pll_control.clock ),
+ .D(_032_),
+ .RESET_B(_009_),
+ .Q(\pll_control.tval[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _389_ (.CLK(\pll_control.clock ),
+ .D(_033_),
+ .RESET_B(_010_),
+ .Q(\pll_control.tint[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _390_ (.CLK(\pll_control.clock ),
+ .D(_034_),
+ .RESET_B(_011_),
+ .Q(\pll_control.tint[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _391_ (.CLK(\pll_control.clock ),
+ .D(_035_),
+ .RESET_B(_012_),
+ .Q(\pll_control.tint[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _392_ (.CLK(\pll_control.clock ),
+ .D(_036_),
+ .RESET_B(_013_),
+ .Q(\pll_control.tint[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _393_ (.CLK(\pll_control.clock ),
+ .D(_037_),
+ .RESET_B(_014_),
+ .Q(\pll_control.tint[4] ));
+ sky130_fd_sc_hd__dfrtp_2 _394_ (.CLK(\pll_control.clock ),
+ .D(osc),
+ .RESET_B(_015_),
+ .Q(\pll_control.oscbuf[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _395_ (.CLK(\pll_control.clock ),
+ .D(\pll_control.oscbuf[0] ),
+ .RESET_B(_016_),
+ .Q(\pll_control.oscbuf[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _396_ (.CLK(\pll_control.clock ),
+ .D(\pll_control.oscbuf[1] ),
+ .RESET_B(_017_),
+ .Q(\pll_control.oscbuf[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _397_ (.CLK(\pll_control.clock ),
+ .D(_038_),
+ .RESET_B(_018_),
+ .Q(\pll_control.count1[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _398_ (.CLK(\pll_control.clock ),
+ .D(_039_),
+ .RESET_B(_019_),
+ .Q(\pll_control.count1[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _399_ (.CLK(\pll_control.clock ),
+ .D(_040_),
+ .RESET_B(_020_),
+ .Q(\pll_control.count1[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _400_ (.CLK(\pll_control.clock ),
+ .D(_041_),
+ .RESET_B(_021_),
+ .Q(\pll_control.count1[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _401_ (.CLK(\pll_control.clock ),
+ .D(_042_),
+ .RESET_B(_022_),
+ .Q(\pll_control.count1[4] ));
+ sky130_fd_sc_hd__clkbuf_16 clockp_buffer_0 (.A(\pll_control.clock ),
+ .X(clockp[0]));
+ sky130_fd_sc_hd__clkbuf_16 clockp_buffer_1 (.A(\ringosc.clockp[1] ),
+ .X(clockp[1]));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[0].id.delaybuf0 (.A(\ringosc.dstage[0].id.in ),
+ .X(\ringosc.dstage[0].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[0].id.delaybuf1 (.A(\ringosc.dstage[0].id.ts ),
+ .X(\ringosc.dstage[0].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen0 (.A(\ringosc.dstage[0].id.d2 ),
+ .TE(\ringosc.dstage[0].id.trim[0] ),
+ .Z(\ringosc.dstage[0].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen1 (.A(\ringosc.dstage[0].id.d0 ),
+ .TE(\ringosc.dstage[0].id.trim[1] ),
+ .Z(\ringosc.dstage[0].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[0].id.delayenb0 (.A(\ringosc.dstage[0].id.ts ),
+ .TE_B(\ringosc.dstage[0].id.trim[0] ),
+ .Z(\ringosc.dstage[0].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[0].id.delayenb1 (.A(\ringosc.dstage[0].id.ts ),
+ .TE_B(\ringosc.dstage[0].id.trim[1] ),
+ .Z(\ringosc.dstage[0].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[0].id.delayint0 (.A(\ringosc.dstage[0].id.d1 ),
+ .Y(\ringosc.dstage[0].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[10].id.delaybuf0 (.A(\ringosc.dstage[10].id.in ),
+ .X(\ringosc.dstage[10].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[10].id.delaybuf1 (.A(\ringosc.dstage[10].id.ts ),
+ .X(\ringosc.dstage[10].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen0 (.A(\ringosc.dstage[10].id.d2 ),
+ .TE(\ringosc.dstage[10].id.trim[0] ),
+ .Z(\ringosc.dstage[10].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen1 (.A(\ringosc.dstage[10].id.d0 ),
+ .TE(\ringosc.dstage[10].id.trim[1] ),
+ .Z(\ringosc.dstage[10].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[10].id.delayenb0 (.A(\ringosc.dstage[10].id.ts ),
+ .TE_B(\ringosc.dstage[10].id.trim[0] ),
+ .Z(\ringosc.dstage[10].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[10].id.delayenb1 (.A(\ringosc.dstage[10].id.ts ),
+ .TE_B(\ringosc.dstage[10].id.trim[1] ),
+ .Z(\ringosc.dstage[10].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[10].id.delayint0 (.A(\ringosc.dstage[10].id.d1 ),
+ .Y(\ringosc.dstage[10].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[11].id.delaybuf0 (.A(\ringosc.dstage[10].id.out ),
+ .X(\ringosc.dstage[11].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[11].id.delaybuf1 (.A(\ringosc.dstage[11].id.ts ),
+ .X(\ringosc.dstage[11].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen0 (.A(\ringosc.dstage[11].id.d2 ),
+ .TE(\ringosc.dstage[11].id.trim[0] ),
+ .Z(\ringosc.dstage[11].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen1 (.A(\ringosc.dstage[11].id.d0 ),
+ .TE(\ringosc.dstage[11].id.trim[1] ),
+ .Z(\ringosc.dstage[11].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[11].id.delayenb0 (.A(\ringosc.dstage[11].id.ts ),
+ .TE_B(\ringosc.dstage[11].id.trim[0] ),
+ .Z(\ringosc.dstage[11].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[11].id.delayenb1 (.A(\ringosc.dstage[11].id.ts ),
+ .TE_B(\ringosc.dstage[11].id.trim[1] ),
+ .Z(\ringosc.dstage[11].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[11].id.delayint0 (.A(\ringosc.dstage[11].id.d1 ),
+ .Y(\ringosc.dstage[11].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[1].id.delaybuf0 (.A(\ringosc.dstage[0].id.out ),
+ .X(\ringosc.dstage[1].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[1].id.delaybuf1 (.A(\ringosc.dstage[1].id.ts ),
+ .X(\ringosc.dstage[1].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen0 (.A(\ringosc.dstage[1].id.d2 ),
+ .TE(\ringosc.dstage[1].id.trim[0] ),
+ .Z(\ringosc.dstage[1].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen1 (.A(\ringosc.dstage[1].id.d0 ),
+ .TE(\ringosc.dstage[1].id.trim[1] ),
+ .Z(\ringosc.dstage[1].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[1].id.delayenb0 (.A(\ringosc.dstage[1].id.ts ),
+ .TE_B(\ringosc.dstage[1].id.trim[0] ),
+ .Z(\ringosc.dstage[1].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[1].id.delayenb1 (.A(\ringosc.dstage[1].id.ts ),
+ .TE_B(\ringosc.dstage[1].id.trim[1] ),
+ .Z(\ringosc.dstage[1].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[1].id.delayint0 (.A(\ringosc.dstage[1].id.d1 ),
+ .Y(\ringosc.dstage[1].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[2].id.delaybuf0 (.A(\ringosc.dstage[1].id.out ),
+ .X(\ringosc.dstage[2].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[2].id.delaybuf1 (.A(\ringosc.dstage[2].id.ts ),
+ .X(\ringosc.dstage[2].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen0 (.A(\ringosc.dstage[2].id.d2 ),
+ .TE(\ringosc.dstage[2].id.trim[0] ),
+ .Z(\ringosc.dstage[2].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen1 (.A(\ringosc.dstage[2].id.d0 ),
+ .TE(\ringosc.dstage[2].id.trim[1] ),
+ .Z(\ringosc.dstage[2].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[2].id.delayenb0 (.A(\ringosc.dstage[2].id.ts ),
+ .TE_B(\ringosc.dstage[2].id.trim[0] ),
+ .Z(\ringosc.dstage[2].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[2].id.delayenb1 (.A(\ringosc.dstage[2].id.ts ),
+ .TE_B(\ringosc.dstage[2].id.trim[1] ),
+ .Z(\ringosc.dstage[2].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[2].id.delayint0 (.A(\ringosc.dstage[2].id.d1 ),
+ .Y(\ringosc.dstage[2].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[3].id.delaybuf0 (.A(\ringosc.dstage[2].id.out ),
+ .X(\ringosc.dstage[3].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[3].id.delaybuf1 (.A(\ringosc.dstage[3].id.ts ),
+ .X(\ringosc.dstage[3].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen0 (.A(\ringosc.dstage[3].id.d2 ),
+ .TE(\ringosc.dstage[3].id.trim[0] ),
+ .Z(\ringosc.dstage[3].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen1 (.A(\ringosc.dstage[3].id.d0 ),
+ .TE(\ringosc.dstage[3].id.trim[1] ),
+ .Z(\ringosc.dstage[3].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[3].id.delayenb0 (.A(\ringosc.dstage[3].id.ts ),
+ .TE_B(\ringosc.dstage[3].id.trim[0] ),
+ .Z(\ringosc.dstage[3].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[3].id.delayenb1 (.A(\ringosc.dstage[3].id.ts ),
+ .TE_B(\ringosc.dstage[3].id.trim[1] ),
+ .Z(\ringosc.dstage[3].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[3].id.delayint0 (.A(\ringosc.dstage[3].id.d1 ),
+ .Y(\ringosc.dstage[3].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[4].id.delaybuf0 (.A(\ringosc.dstage[3].id.out ),
+ .X(\ringosc.dstage[4].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[4].id.delaybuf1 (.A(\ringosc.dstage[4].id.ts ),
+ .X(\ringosc.dstage[4].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen0 (.A(\ringosc.dstage[4].id.d2 ),
+ .TE(\ringosc.dstage[4].id.trim[0] ),
+ .Z(\ringosc.dstage[4].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen1 (.A(\ringosc.dstage[4].id.d0 ),
+ .TE(\ringosc.dstage[4].id.trim[1] ),
+ .Z(\ringosc.dstage[4].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[4].id.delayenb0 (.A(\ringosc.dstage[4].id.ts ),
+ .TE_B(\ringosc.dstage[4].id.trim[0] ),
+ .Z(\ringosc.dstage[4].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[4].id.delayenb1 (.A(\ringosc.dstage[4].id.ts ),
+ .TE_B(\ringosc.dstage[4].id.trim[1] ),
+ .Z(\ringosc.dstage[4].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[4].id.delayint0 (.A(\ringosc.dstage[4].id.d1 ),
+ .Y(\ringosc.dstage[4].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[5].id.delaybuf0 (.A(\ringosc.dstage[4].id.out ),
+ .X(\ringosc.dstage[5].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[5].id.delaybuf1 (.A(\ringosc.dstage[5].id.ts ),
+ .X(\ringosc.dstage[5].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen0 (.A(\ringosc.dstage[5].id.d2 ),
+ .TE(\ringosc.dstage[5].id.trim[0] ),
+ .Z(\ringosc.dstage[5].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen1 (.A(\ringosc.dstage[5].id.d0 ),
+ .TE(\ringosc.dstage[5].id.trim[1] ),
+ .Z(\ringosc.dstage[5].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[5].id.delayenb0 (.A(\ringosc.dstage[5].id.ts ),
+ .TE_B(\ringosc.dstage[5].id.trim[0] ),
+ .Z(\ringosc.dstage[5].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[5].id.delayenb1 (.A(\ringosc.dstage[5].id.ts ),
+ .TE_B(\ringosc.dstage[5].id.trim[1] ),
+ .Z(\ringosc.dstage[5].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[5].id.delayint0 (.A(\ringosc.dstage[5].id.d1 ),
+ .Y(\ringosc.dstage[5].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[6].id.delaybuf0 (.A(\ringosc.dstage[5].id.out ),
+ .X(\ringosc.dstage[6].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[6].id.delaybuf1 (.A(\ringosc.dstage[6].id.ts ),
+ .X(\ringosc.dstage[6].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen0 (.A(\ringosc.dstage[6].id.d2 ),
+ .TE(\ringosc.dstage[6].id.trim[0] ),
+ .Z(\ringosc.dstage[6].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen1 (.A(\ringosc.dstage[6].id.d0 ),
+ .TE(\ringosc.dstage[6].id.trim[1] ),
+ .Z(\ringosc.dstage[6].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[6].id.delayenb0 (.A(\ringosc.dstage[6].id.ts ),
+ .TE_B(\ringosc.dstage[6].id.trim[0] ),
+ .Z(\ringosc.dstage[6].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[6].id.delayenb1 (.A(\ringosc.dstage[6].id.ts ),
+ .TE_B(\ringosc.dstage[6].id.trim[1] ),
+ .Z(\ringosc.dstage[6].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[6].id.delayint0 (.A(\ringosc.dstage[6].id.d1 ),
+ .Y(\ringosc.dstage[6].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[7].id.delaybuf0 (.A(\ringosc.dstage[6].id.out ),
+ .X(\ringosc.dstage[7].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[7].id.delaybuf1 (.A(\ringosc.dstage[7].id.ts ),
+ .X(\ringosc.dstage[7].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen0 (.A(\ringosc.dstage[7].id.d2 ),
+ .TE(\ringosc.dstage[7].id.trim[0] ),
+ .Z(\ringosc.dstage[7].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen1 (.A(\ringosc.dstage[7].id.d0 ),
+ .TE(\ringosc.dstage[7].id.trim[1] ),
+ .Z(\ringosc.dstage[7].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[7].id.delayenb0 (.A(\ringosc.dstage[7].id.ts ),
+ .TE_B(\ringosc.dstage[7].id.trim[0] ),
+ .Z(\ringosc.dstage[7].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[7].id.delayenb1 (.A(\ringosc.dstage[7].id.ts ),
+ .TE_B(\ringosc.dstage[7].id.trim[1] ),
+ .Z(\ringosc.dstage[7].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[7].id.delayint0 (.A(\ringosc.dstage[7].id.d1 ),
+ .Y(\ringosc.dstage[7].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[8].id.delaybuf0 (.A(\ringosc.dstage[7].id.out ),
+ .X(\ringosc.dstage[8].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[8].id.delaybuf1 (.A(\ringosc.dstage[8].id.ts ),
+ .X(\ringosc.dstage[8].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen0 (.A(\ringosc.dstage[8].id.d2 ),
+ .TE(\ringosc.dstage[8].id.trim[0] ),
+ .Z(\ringosc.dstage[8].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen1 (.A(\ringosc.dstage[8].id.d0 ),
+ .TE(\ringosc.dstage[8].id.trim[1] ),
+ .Z(\ringosc.dstage[8].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[8].id.delayenb0 (.A(\ringosc.dstage[8].id.ts ),
+ .TE_B(\ringosc.dstage[8].id.trim[0] ),
+ .Z(\ringosc.dstage[8].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[8].id.delayenb1 (.A(\ringosc.dstage[8].id.ts ),
+ .TE_B(\ringosc.dstage[8].id.trim[1] ),
+ .Z(\ringosc.dstage[8].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[8].id.delayint0 (.A(\ringosc.dstage[8].id.d1 ),
+ .Y(\ringosc.dstage[8].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[9].id.delaybuf0 (.A(\ringosc.dstage[8].id.out ),
+ .X(\ringosc.dstage[9].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[9].id.delaybuf1 (.A(\ringosc.dstage[9].id.ts ),
+ .X(\ringosc.dstage[9].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen0 (.A(\ringosc.dstage[9].id.d2 ),
+ .TE(\ringosc.dstage[9].id.trim[0] ),
+ .Z(\ringosc.dstage[10].id.in ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen1 (.A(\ringosc.dstage[9].id.d0 ),
+ .TE(\ringosc.dstage[9].id.trim[1] ),
+ .Z(\ringosc.dstage[9].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[9].id.delayenb0 (.A(\ringosc.dstage[9].id.ts ),
+ .TE_B(\ringosc.dstage[9].id.trim[0] ),
+ .Z(\ringosc.dstage[10].id.in ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[9].id.delayenb1 (.A(\ringosc.dstage[9].id.ts ),
+ .TE_B(\ringosc.dstage[9].id.trim[1] ),
+ .Z(\ringosc.dstage[9].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[9].id.delayint0 (.A(\ringosc.dstage[9].id.d1 ),
+ .Y(\ringosc.dstage[9].id.d2 ));
+ sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp00 (.A(\ringosc.dstage[0].id.in ),
+ .Y(\ringosc.c[0] ));
+ sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp01 (.A(\ringosc.c[0] ),
+ .Y(\pll_control.clock ));
+ sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp10 (.A(\ringosc.dstage[5].id.out ),
+ .Y(\ringosc.c[1] ));
+ sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp11 (.A(\ringosc.c[1] ),
+ .Y(\ringosc.clockp[1] ));
+ sky130_fd_sc_hd__conb_1 \ringosc.iss.const1 (.HI(\ringosc.iss.one ));
+ sky130_fd_sc_hd__or2_2 \ringosc.iss.ctrlen0 (.A(\ringosc.iss.reset ),
+ .B(\ringosc.iss.trim[0] ),
+ .X(\ringosc.iss.ctrl0 ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.iss.delaybuf0 (.A(\ringosc.dstage[11].id.out ),
+ .X(\ringosc.iss.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen0 (.A(\ringosc.iss.d2 ),
+ .TE(\ringosc.iss.trim[0] ),
+ .Z(\ringosc.dstage[0].id.in ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen1 (.A(\ringosc.iss.d0 ),
+ .TE(\ringosc.iss.trim[1] ),
+ .Z(\ringosc.iss.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.iss.delayenb0 (.A(\ringosc.dstage[11].id.out ),
+ .TE_B(\ringosc.iss.ctrl0 ),
+ .Z(\ringosc.dstage[0].id.in ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.iss.delayenb1 (.A(\ringosc.dstage[11].id.out ),
+ .TE_B(\ringosc.iss.trim[1] ),
+ .Z(\ringosc.iss.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.iss.delayint0 (.A(\ringosc.iss.d1 ),
+ .Y(\ringosc.iss.d2 ));
+ sky130_fd_sc_hd__einvp_1 \ringosc.iss.reseten0 (.A(\ringosc.iss.one ),
+ .TE(\ringosc.iss.reset ),
+ .Z(\ringosc.dstage[0].id.in ));
+ sky130_fd_sc_hd__decap_3 PHY_0 ();
+ sky130_fd_sc_hd__decap_3 PHY_1 ();
+ sky130_fd_sc_hd__decap_3 PHY_2 ();
+ sky130_fd_sc_hd__decap_3 PHY_3 ();
+ sky130_fd_sc_hd__decap_3 PHY_4 ();
+ sky130_fd_sc_hd__decap_3 PHY_5 ();
+ sky130_fd_sc_hd__decap_3 PHY_6 ();
+ sky130_fd_sc_hd__decap_3 PHY_7 ();
+ sky130_fd_sc_hd__decap_3 PHY_8 ();
+ sky130_fd_sc_hd__decap_3 PHY_9 ();
+ sky130_fd_sc_hd__decap_3 PHY_10 ();
+ sky130_fd_sc_hd__decap_3 PHY_11 ();
+ sky130_fd_sc_hd__decap_3 PHY_12 ();
+ sky130_fd_sc_hd__decap_3 PHY_13 ();
+ sky130_fd_sc_hd__decap_3 PHY_14 ();
+ sky130_fd_sc_hd__decap_3 PHY_15 ();
+ sky130_fd_sc_hd__decap_3 PHY_16 ();
+ sky130_fd_sc_hd__decap_3 PHY_17 ();
+ sky130_fd_sc_hd__decap_3 PHY_18 ();
+ sky130_fd_sc_hd__decap_3 PHY_19 ();
+ sky130_fd_sc_hd__decap_3 PHY_20 ();
+ sky130_fd_sc_hd__decap_3 PHY_21 ();
+ sky130_fd_sc_hd__decap_3 PHY_22 ();
+ sky130_fd_sc_hd__decap_3 PHY_23 ();
+ sky130_fd_sc_hd__decap_3 PHY_24 ();
+ sky130_fd_sc_hd__decap_3 PHY_25 ();
+ sky130_fd_sc_hd__decap_3 PHY_26 ();
+ sky130_fd_sc_hd__decap_3 PHY_27 ();
+ sky130_fd_sc_hd__decap_3 PHY_28 ();
+ sky130_fd_sc_hd__decap_3 PHY_29 ();
+ sky130_fd_sc_hd__decap_3 PHY_30 ();
+ sky130_fd_sc_hd__decap_3 PHY_31 ();
+ sky130_fd_sc_hd__decap_3 PHY_32 ();
+ sky130_fd_sc_hd__decap_3 PHY_33 ();
+ sky130_fd_sc_hd__decap_3 PHY_34 ();
+ sky130_fd_sc_hd__decap_3 PHY_35 ();
+ sky130_fd_sc_hd__decap_3 PHY_36 ();
+ sky130_fd_sc_hd__decap_3 PHY_37 ();
+ sky130_fd_sc_hd__decap_3 PHY_38 ();
+ sky130_fd_sc_hd__decap_3 PHY_39 ();
+ sky130_fd_sc_hd__decap_3 PHY_40 ();
+ sky130_fd_sc_hd__decap_3 PHY_41 ();
+ sky130_fd_sc_hd__decap_3 PHY_42 ();
+ sky130_fd_sc_hd__decap_3 PHY_43 ();
+ sky130_fd_sc_hd__decap_3 PHY_44 ();
+ sky130_fd_sc_hd__decap_3 PHY_45 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_48 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_49 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_50 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_51 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_52 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_53 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_54 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_55 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_66 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_67 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_68 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_69 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_70 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_71 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_72 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_74 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_75 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_76 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_77 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_78 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_79 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_80 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_81 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_82 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_83 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_84 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_85 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_86 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_87 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_88 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_89 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_90 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_91 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_92 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_93 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_94 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95 ();
+ sky130_fd_sc_hd__diode_2 ANTENNA__312__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__319__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__289__A2 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__295__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__314__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__317__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__316__B2 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__293__A_N (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__306__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__310__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__309__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__305__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__300__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__302__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__299__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__313__B (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__353__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__366__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__369__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__368__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__352__A_N (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__367__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__371__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__358__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__373__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__372__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__360__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__361__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__365__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__364__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__357__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__356__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__362__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__370__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__363__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__377__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__378__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__359__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__375__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__374__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__376__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__346__B2 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__343__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__326__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__342__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__341__B2 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__350__B2 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__348__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__337__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__354__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__351__A1 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__296__B (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__334__B2 (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__288__A (.DIODE(dco));
+ sky130_fd_sc_hd__diode_2 ANTENNA__235__A (.DIODE(div[0]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__222__B2 (.DIODE(div[0]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__222__A1 (.DIODE(div[1]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__219__A (.DIODE(div[1]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__216__A (.DIODE(div[2]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__213__B1 (.DIODE(div[2]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__215__B1 (.DIODE(div[3]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__214__B1 (.DIODE(div[3]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__213__A1 (.DIODE(div[3]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__225__B1 (.DIODE(div[4]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__207__A1 (.DIODE(div[4]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__355__A (.DIODE(enable));
+ sky130_fd_sc_hd__diode_2 ANTENNA__289__A1 (.DIODE(ext_trim[0]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__313__A_N (.DIODE(ext_trim[10]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__316__B1 (.DIODE(ext_trim[11]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__317__A2 (.DIODE(ext_trim[12]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__319__A2 (.DIODE(ext_trim[13]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__326__A2 (.DIODE(ext_trim[14]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__334__B1 (.DIODE(ext_trim[15]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__337__A2 (.DIODE(ext_trim[16]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__341__B1 (.DIODE(ext_trim[17]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__342__A2 (.DIODE(ext_trim[18]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__343__A2 (.DIODE(ext_trim[19]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__295__A2 (.DIODE(ext_trim[1]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__346__B1 (.DIODE(ext_trim[20]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__348__A2 (.DIODE(ext_trim[21]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__350__B1 (.DIODE(ext_trim[22]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__351__A2 (.DIODE(ext_trim[23]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__353__A2 (.DIODE(ext_trim[24]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__354__A2 (.DIODE(ext_trim[25]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__299__A2 (.DIODE(ext_trim[2]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__300__A2 (.DIODE(ext_trim[3]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__302__A2 (.DIODE(ext_trim[4]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__305__A2 (.DIODE(ext_trim[5]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__306__A2 (.DIODE(ext_trim[6]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__309__A2 (.DIODE(ext_trim[7]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__310__A2 (.DIODE(ext_trim[8]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__312__A2 (.DIODE(ext_trim[9]));
+ sky130_fd_sc_hd__diode_2 ANTENNA__394__D (.DIODE(osc));
+ sky130_fd_sc_hd__diode_2 ANTENNA__355__B (.DIODE(resetb));
+ sky130_fd_sc_hd__fill_1 FILLER_0_81 ();
+ sky130_fd_sc_hd__fill_1 FILLER_0_90 ();
+ sky130_fd_sc_hd__fill_1 FILLER_1_3 ();
+ sky130_fd_sc_hd__fill_1 FILLER_1_50 ();
+ sky130_fd_sc_hd__fill_1 FILLER_1_59 ();
+ sky130_fd_sc_hd__fill_1 FILLER_2_3 ();
+ sky130_fd_sc_hd__fill_1 FILLER_2_35 ();
+ sky130_fd_sc_hd__decap_3 FILLER_2_79 ();
+ sky130_fd_sc_hd__fill_1 FILLER_2_99 ();
+ sky130_fd_sc_hd__fill_2 FILLER_2_134 ();
+ sky130_fd_sc_hd__fill_1 FILLER_3_3 ();
+ sky130_fd_sc_hd__fill_1 FILLER_3_49 ();
+ sky130_fd_sc_hd__fill_2 FILLER_3_76 ();
+ sky130_fd_sc_hd__fill_1 FILLER_3_99 ();
+ sky130_fd_sc_hd__fill_1 FILLER_3_109 ();
+ sky130_fd_sc_hd__fill_1 FILLER_3_118 ();
+ sky130_fd_sc_hd__decap_4 FILLER_3_132 ();
+ sky130_fd_sc_hd__fill_1 FILLER_4_31 ();
+ sky130_fd_sc_hd__fill_1 FILLER_4_55 ();
+ sky130_fd_sc_hd__fill_1 FILLER_4_62 ();
+ sky130_fd_sc_hd__fill_1 FILLER_4_90 ();
+ sky130_fd_sc_hd__decap_4 FILLER_4_132 ();
+ sky130_fd_sc_hd__fill_2 FILLER_5_78 ();
+ sky130_fd_sc_hd__fill_1 FILLER_5_87 ();
+ sky130_fd_sc_hd__fill_2 FILLER_5_134 ();
+ sky130_fd_sc_hd__decap_4 FILLER_6_95 ();
+ sky130_fd_sc_hd__decap_6 FILLER_6_120 ();
+ sky130_fd_sc_hd__fill_1 FILLER_7_41 ();
+ sky130_fd_sc_hd__fill_1 FILLER_7_47 ();
+ sky130_fd_sc_hd__fill_1 FILLER_7_55 ();
+ sky130_fd_sc_hd__fill_2 FILLER_7_89 ();
+ sky130_fd_sc_hd__fill_1 FILLER_7_113 ();
+ sky130_fd_sc_hd__fill_1 FILLER_7_124 ();
+ sky130_fd_sc_hd__fill_1 FILLER_8_24 ();
+ sky130_fd_sc_hd__decap_3 FILLER_8_42 ();
+ sky130_ef_sc_hd__decap_12 FILLER_8_58 ();
+ sky130_fd_sc_hd__decap_4 FILLER_8_70 ();
+ sky130_fd_sc_hd__fill_1 FILLER_8_90 ();
+ sky130_fd_sc_hd__decap_3 FILLER_8_128 ();
+ sky130_fd_sc_hd__fill_2 FILLER_8_134 ();
+ sky130_fd_sc_hd__fill_1 FILLER_9_57 ();
+ sky130_fd_sc_hd__decap_3 FILLER_9_78 ();
+ sky130_fd_sc_hd__fill_1 FILLER_9_111 ();
+ sky130_fd_sc_hd__fill_1 FILLER_9_125 ();
+ sky130_fd_sc_hd__fill_1 FILLER_9_135 ();
+ sky130_fd_sc_hd__fill_1 FILLER_10_3 ();
+ sky130_fd_sc_hd__fill_1 FILLER_10_27 ();
+ sky130_fd_sc_hd__fill_1 FILLER_10_70 ();
+ sky130_fd_sc_hd__decap_3 FILLER_10_85 ();
+ sky130_fd_sc_hd__fill_1 FILLER_11_55 ();
+ sky130_fd_sc_hd__decap_3 FILLER_11_85 ();
+ sky130_ef_sc_hd__decap_12 FILLER_11_100 ();
+ sky130_fd_sc_hd__decap_3 FILLER_11_113 ();
+ sky130_fd_sc_hd__decap_4 FILLER_11_131 ();
+ sky130_fd_sc_hd__fill_1 FILLER_11_135 ();
+ sky130_fd_sc_hd__fill_1 FILLER_12_16 ();
+ sky130_fd_sc_hd__fill_1 FILLER_12_27 ();
+ sky130_fd_sc_hd__fill_1 FILLER_12_75 ();
+ sky130_fd_sc_hd__fill_1 FILLER_12_85 ();
+ sky130_fd_sc_hd__decap_4 FILLER_12_107 ();
+ sky130_fd_sc_hd__fill_1 FILLER_13_3 ();
+ sky130_fd_sc_hd__fill_1 FILLER_13_24 ();
+ sky130_fd_sc_hd__decap_3 FILLER_13_69 ();
+ sky130_fd_sc_hd__fill_1 FILLER_13_94 ();
+ sky130_fd_sc_hd__fill_1 FILLER_13_118 ();
+ sky130_fd_sc_hd__fill_1 FILLER_14_5 ();
+ sky130_fd_sc_hd__fill_1 FILLER_14_10 ();
+ sky130_fd_sc_hd__fill_1 FILLER_14_27 ();
+ sky130_fd_sc_hd__fill_2 FILLER_14_69 ();
+ sky130_fd_sc_hd__decap_4 FILLER_14_79 ();
+ sky130_fd_sc_hd__fill_1 FILLER_14_83 ();
+ sky130_fd_sc_hd__fill_1 FILLER_14_135 ();
+ sky130_fd_sc_hd__fill_1 FILLER_15_23 ();
+ sky130_fd_sc_hd__fill_1 FILLER_15_67 ();
+ sky130_fd_sc_hd__fill_1 FILLER_15_75 ();
+ sky130_fd_sc_hd__fill_1 FILLER_15_99 ();
+ sky130_fd_sc_hd__fill_1 FILLER_15_135 ();
+ sky130_fd_sc_hd__fill_1 FILLER_16_45 ();
+ sky130_fd_sc_hd__fill_1 FILLER_16_67 ();
+ sky130_fd_sc_hd__fill_1 FILLER_16_78 ();
+ sky130_fd_sc_hd__fill_1 FILLER_16_116 ();
+ sky130_fd_sc_hd__fill_1 FILLER_16_135 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_26 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_49 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_69 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_84 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_108 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_135 ();
+ sky130_fd_sc_hd__fill_1 FILLER_18_72 ();
+ sky130_fd_sc_hd__fill_1 FILLER_18_75 ();
+ sky130_fd_sc_hd__fill_1 FILLER_18_97 ();
+ sky130_fd_sc_hd__fill_1 FILLER_19_47 ();
+ sky130_fd_sc_hd__fill_1 FILLER_19_111 ();
+ sky130_fd_sc_hd__fill_1 FILLER_20_33 ();
+ sky130_fd_sc_hd__fill_1 FILLER_20_97 ();
+ sky130_fd_sc_hd__fill_1 FILLER_21_72 ();
+ sky130_fd_sc_hd__fill_1 FILLER_21_111 ();
+ sky130_fd_sc_hd__fill_1 FILLER_21_135 ();
+ sky130_fd_sc_hd__fill_1 FILLER_22_35 ();
+ sky130_fd_sc_hd__fill_1 FILLER_22_83 ();
+ sky130_fd_sc_hd__fill_1 FILLER_22_88 ();
+ sky130_fd_sc_hd__fill_1 FILLER_22_127 ();
+endmodule
+
diff --git a/verilog/gl/digital_pll.v b/verilog/gl/digital_pll.v
index a56dae6f..4f9336b7 100644
--- a/verilog/gl/digital_pll.v
+++ b/verilog/gl/digital_pll.v
@@ -1,18 +1,18 @@
-module digital_pll (VGND,
- VPWR,
- dco,
+module digital_pll (dco,
enable,
osc,
resetb,
+ VPWR,
+ VGND,
clockp,
div,
ext_trim);
- input VGND;
- input VPWR;
input dco;
input enable;
input osc;
input resetb;
+ input VPWR;
+ input VGND;
output [1:0] clockp;
input [4:0] div;
input [25:0] ext_trim;
@@ -181,6 +181,18 @@ module digital_pll (VGND,
wire _161_;
wire _162_;
wire _163_;
+ wire _164_;
+ wire _165_;
+ wire _166_;
+ wire _167_;
+ wire _168_;
+ wire _169_;
+ wire _170_;
+ wire _171_;
+ wire _172_;
+ wire _173_;
+ wire _174_;
+ wire _175_;
wire \pll_control.clock ;
wire \pll_control.count0[0] ;
wire \pll_control.count0[1] ;
@@ -207,6 +219,7 @@ module digital_pll (VGND,
wire \pll_control.tval[1] ;
wire \ringosc.c[0] ;
wire \ringosc.c[1] ;
+ wire \ringosc.clockp[1] ;
wire \ringosc.dstage[0].id.d0 ;
wire \ringosc.dstage[0].id.d1 ;
wire \ringosc.dstage[0].id.d2 ;
@@ -301,965 +314,2402 @@ module digital_pll (VGND,
wire \ringosc.iss.trim[0] ;
wire \ringosc.iss.trim[1] ;
- sky130_fd_sc_hd__diode_2 ANTENNA__177__A (.DIODE(div[0]),
+ sky130_fd_sc_hd__inv_2 _176_ (.A(\pll_control.tint[4] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__181__A (.DIODE(enable),
+ .VPWR(VPWR),
+ .Y(_043_));
+ sky130_fd_sc_hd__inv_2 _177_ (.A(\pll_control.tint[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__181__B (.DIODE(resetb),
+ .VPWR(VPWR),
+ .Y(_044_));
+ sky130_fd_sc_hd__inv_2 _178_ (.A(\pll_control.tint[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__182__A (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_045_));
+ sky130_fd_sc_hd__inv_2 _179_ (.A(\pll_control.tint[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__201__A1 (.DIODE(div[3]),
+ .VPWR(VPWR),
+ .Y(_046_));
+ sky130_fd_sc_hd__inv_2 _180_ (.A(\pll_control.tint[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__201__B1 (.DIODE(div[2]),
+ .VPWR(VPWR),
+ .Y(_047_));
+ sky130_fd_sc_hd__xnor2_2 _181_ (.A(\pll_control.oscbuf[1] ),
+ .B(\pll_control.oscbuf[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__202__A (.DIODE(div[3]),
+ .VPWR(VPWR),
+ .Y(_048_));
+ sky130_fd_sc_hd__xor2_2 _182_ (.A(\pll_control.oscbuf[1] ),
+ .B(\pll_control.oscbuf[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__204__A1 (.DIODE(div[2]),
+ .VPWR(VPWR),
+ .X(_049_));
+ sky130_fd_sc_hd__mux2_1 _183_ (.A0(\pll_control.count0[4] ),
+ .A1(\pll_control.count1[4] ),
+ .S(_048_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__207__A (.DIODE(div[1]),
+ .VPWR(VPWR),
+ .X(_042_));
+ sky130_fd_sc_hd__mux2_1 _184_ (.A0(\pll_control.count0[3] ),
+ .A1(\pll_control.count1[3] ),
+ .S(_048_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__210__A1 (.DIODE(div[1]),
+ .VPWR(VPWR),
+ .X(_041_));
+ sky130_fd_sc_hd__mux2_1 _185_ (.A0(\pll_control.count0[2] ),
+ .A1(\pll_control.count1[2] ),
+ .S(_048_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__210__B1 (.DIODE(div[0]),
+ .VPWR(VPWR),
+ .X(_040_));
+ sky130_fd_sc_hd__mux2_1 _186_ (.A0(\pll_control.count0[1] ),
+ .A1(\pll_control.count1[1] ),
+ .S(_048_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__211__A1 (.DIODE(div[1]),
+ .VPWR(VPWR),
+ .X(_039_));
+ sky130_fd_sc_hd__mux2_1 _187_ (.A0(\pll_control.count0[0] ),
+ .A1(\pll_control.count1[0] ),
+ .S(_048_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__216__A (.DIODE(div[4]),
+ .VPWR(VPWR),
+ .X(_038_));
+ sky130_fd_sc_hd__nand2_2 _188_ (.A(\pll_control.count0[4] ),
+ .B(\pll_control.count1[4] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__218__B1 (.DIODE(div[4]),
+ .VPWR(VPWR),
+ .Y(_050_));
+ sky130_fd_sc_hd__or2_2 _189_ (.A(\pll_control.count0[4] ),
+ .B(\pll_control.count1[4] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__330__A1 (.DIODE(ext_trim[11]),
+ .VPWR(VPWR),
+ .X(_051_));
+ sky130_fd_sc_hd__and2_2 _190_ (.A(_050_),
+ .B(_051_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__330__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_052_));
+ sky130_fd_sc_hd__nor2_2 _191_ (.A(\pll_control.count0[3] ),
+ .B(\pll_control.count1[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__331__A1 (.DIODE(ext_trim[24]),
+ .VPWR(VPWR),
+ .Y(_053_));
+ sky130_fd_sc_hd__inv_2 _192_ (.A(_053_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__331__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_054_));
+ sky130_fd_sc_hd__and2_2 _193_ (.A(\pll_control.count0[3] ),
+ .B(\pll_control.count1[3] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__332__A1 (.DIODE(ext_trim[10]),
+ .VPWR(VPWR),
+ .X(_055_));
+ sky130_fd_sc_hd__nor2_2 _194_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count1[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__332__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_056_));
+ sky130_fd_sc_hd__or2_2 _195_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count1[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__333__A1 (.DIODE(ext_trim[23]),
+ .VPWR(VPWR),
+ .X(_057_));
+ sky130_fd_sc_hd__and2_2 _196_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count1[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__333__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_058_));
+ sky130_fd_sc_hd__and2_2 _197_ (.A(\pll_control.count0[1] ),
+ .B(\pll_control.count1[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__334__A1 (.DIODE(ext_trim[9]),
+ .VPWR(VPWR),
+ .X(_059_));
+ sky130_fd_sc_hd__and2_2 _198_ (.A(\pll_control.count0[0] ),
+ .B(\pll_control.count1[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__334__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_060_));
+ sky130_fd_sc_hd__nand2_2 _199_ (.A(\pll_control.count0[0] ),
+ .B(\pll_control.count1[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__335__A1 (.DIODE(ext_trim[22]),
+ .VPWR(VPWR),
+ .Y(_061_));
+ sky130_fd_sc_hd__xor2_2 _200_ (.A(\pll_control.count0[1] ),
+ .B(\pll_control.count1[1] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__335__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_062_));
+ sky130_fd_sc_hd__a21o_2 _201_ (.A1(_060_),
+ .A2(_062_),
+ .B1(_059_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__336__A1 (.DIODE(ext_trim[8]),
+ .VPWR(VPWR),
+ .X(_063_));
+ sky130_fd_sc_hd__a211o_2 _202_ (.A1(_060_),
+ .A2(_062_),
+ .B1(_058_),
+ .C1(_059_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__336__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_064_));
+ sky130_fd_sc_hd__a31o_2 _203_ (.A1(_054_),
+ .A2(_057_),
+ .A3(_064_),
+ .B1(_055_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__337__A1 (.DIODE(ext_trim[21]),
+ .VPWR(VPWR),
+ .X(_065_));
+ sky130_fd_sc_hd__nor2_2 _204_ (.A(_052_),
+ .B(_065_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__337__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_066_));
+ sky130_fd_sc_hd__and2_2 _205_ (.A(_052_),
+ .B(_065_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__338__A1 (.DIODE(ext_trim[7]),
+ .VPWR(VPWR),
+ .X(_067_));
+ sky130_fd_sc_hd__nand2_2 _206_ (.A(_052_),
+ .B(_065_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__338__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_068_));
+ sky130_fd_sc_hd__o211a_2 _207_ (.A1(div[4]),
+ .A2(_066_),
+ .B1(_068_),
+ .C1(_050_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__339__A1 (.DIODE(ext_trim[20]),
+ .VPWR(VPWR),
+ .X(_069_));
+ sky130_fd_sc_hd__nor2_2 _208_ (.A(_056_),
+ .B(_058_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__339__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_070_));
+ sky130_fd_sc_hd__xnor2_2 _209_ (.A(_063_),
+ .B(_070_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__340__A1 (.DIODE(ext_trim[6]),
+ .VPWR(VPWR),
+ .Y(_071_));
+ sky130_fd_sc_hd__or2_2 _210_ (.A(_053_),
+ .B(_055_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__340__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_072_));
+ sky130_fd_sc_hd__nand3_2 _211_ (.A(_057_),
+ .B(_064_),
+ .C(_072_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__341__A1 (.DIODE(ext_trim[19]),
+ .VPWR(VPWR),
+ .Y(_073_));
+ sky130_fd_sc_hd__a21o_2 _212_ (.A1(_057_),
+ .A2(_064_),
+ .B1(_072_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__341__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_074_));
+ sky130_fd_sc_hd__a32o_2 _213_ (.A1(div[3]),
+ .A2(_073_),
+ .A3(_074_),
+ .B1(div[2]),
+ .B2(_071_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__342__A1 (.DIODE(ext_trim[5]),
+ .VPWR(VPWR),
+ .X(_075_));
+ sky130_fd_sc_hd__a21oi_2 _214_ (.A1(_073_),
+ .A2(_074_),
+ .B1(div[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__342__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_076_));
+ sky130_fd_sc_hd__a21o_2 _215_ (.A1(_073_),
+ .A2(_074_),
+ .B1(div[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__343__A1 (.DIODE(ext_trim[18]),
+ .VPWR(VPWR),
+ .X(_077_));
+ sky130_fd_sc_hd__nor2_2 _216_ (.A(div[2]),
+ .B(_071_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__343__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_078_));
+ sky130_fd_sc_hd__or3_2 _217_ (.A(_075_),
+ .B(_076_),
+ .C(_078_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__344__A1 (.DIODE(ext_trim[4]),
+ .VPWR(VPWR),
+ .X(_079_));
+ sky130_fd_sc_hd__xnor2_2 _218_ (.A(_060_),
+ .B(_062_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__344__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_080_));
+ sky130_fd_sc_hd__nand2_2 _219_ (.A(div[1]),
+ .B(_080_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__345__A1 (.DIODE(ext_trim[17]),
+ .VPWR(VPWR),
+ .Y(_081_));
+ sky130_fd_sc_hd__or2_2 _220_ (.A(\pll_control.count0[0] ),
+ .B(\pll_control.count1[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__345__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_082_));
+ sky130_fd_sc_hd__nand2_2 _221_ (.A(_061_),
+ .B(_082_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__346__A1 (.DIODE(ext_trim[3]),
+ .VPWR(VPWR),
+ .Y(_083_));
+ sky130_fd_sc_hd__o22a_2 _222_ (.A1(div[1]),
+ .A2(_080_),
+ .B1(_083_),
+ .B2(div[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__346__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_084_));
+ sky130_fd_sc_hd__nand2_2 _223_ (.A(_081_),
+ .B(_084_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__347__A1 (.DIODE(ext_trim[16]),
+ .VPWR(VPWR),
+ .Y(_085_));
+ sky130_fd_sc_hd__a2111oi_2 _224_ (.A1(_081_),
+ .A2(_085_),
+ .B1(_075_),
+ .C1(_076_),
+ .D1(_078_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__347__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_086_));
+ sky130_fd_sc_hd__o21a_2 _225_ (.A1(_066_),
+ .A2(_067_),
+ .B1(div[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__348__A1 (.DIODE(ext_trim[2]),
+ .VPWR(VPWR),
+ .X(_087_));
+ sky130_fd_sc_hd__and2_2 _226_ (.A(_075_),
+ .B(_077_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__348__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_088_));
+ sky130_fd_sc_hd__o31a_2 _227_ (.A1(_086_),
+ .A2(_087_),
+ .A3(_088_),
+ .B1(_069_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__349__A1 (.DIODE(ext_trim[15]),
+ .VPWR(VPWR),
+ .X(_089_));
+ sky130_fd_sc_hd__or2_2 _228_ (.A(\pll_control.tint[1] ),
+ .B(\pll_control.tint[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__349__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_090_));
+ sky130_fd_sc_hd__or2_2 _229_ (.A(\pll_control.tint[3] ),
+ .B(\pll_control.tint[2] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__350__A1 (.DIODE(ext_trim[1]),
+ .VPWR(VPWR),
+ .X(_091_));
+ sky130_fd_sc_hd__or2_2 _230_ (.A(\pll_control.tint[4] ),
+ .B(_091_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__350__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_092_));
+ sky130_fd_sc_hd__nor2_2 _231_ (.A(_090_),
+ .B(_092_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__351__A1 (.DIODE(ext_trim[14]),
+ .VPWR(VPWR),
+ .Y(_093_));
+ sky130_fd_sc_hd__or2_2 _232_ (.A(\pll_control.tval[1] ),
+ .B(\pll_control.tval[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__351__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_094_));
+ sky130_fd_sc_hd__or4bb_2 _233_ (.A(\pll_control.tval[1] ),
+ .B(\pll_control.tval[0] ),
+ .C_N(_089_),
+ .D_N(_093_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__352__A1 (.DIODE(ext_trim[0]),
+ .VPWR(VPWR),
+ .X(_095_));
+ sky130_fd_sc_hd__and3_2 _234_ (.A(\pll_control.prep[2] ),
+ .B(\pll_control.prep[0] ),
+ .C(_049_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__352__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_096_));
+ sky130_fd_sc_hd__and2_2 _235_ (.A(div[0]),
+ .B(_083_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__353__A1 (.DIODE(ext_trim[13]),
+ .VPWR(VPWR),
+ .X(_097_));
+ sky130_fd_sc_hd__or3b_2 _236_ (.A(_097_),
+ .B(_085_),
+ .C_N(_069_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__353__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_098_));
+ sky130_fd_sc_hd__or3_2 _237_ (.A(_079_),
+ .B(_087_),
+ .C(_098_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__354__A1 (.DIODE(ext_trim[12]),
+ .VPWR(VPWR),
+ .X(_099_));
+ sky130_fd_sc_hd__and4_2 _238_ (.A(\pll_control.prep[1] ),
+ .B(_095_),
+ .C(_096_),
+ .D(_099_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__354__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .X(_100_));
+ sky130_fd_sc_hd__nand2_2 _239_ (.A(\pll_control.tval[1] ),
+ .B(\pll_control.tval[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__355__A1 (.DIODE(ext_trim[25]),
+ .VPWR(VPWR),
+ .Y(_101_));
+ sky130_fd_sc_hd__nor2_2 _240_ (.A(_046_),
+ .B(_047_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__355__S (.DIODE(dco),
+ .VPWR(VPWR),
+ .Y(_102_));
+ sky130_fd_sc_hd__nand2_2 _241_ (.A(\pll_control.tint[1] ),
+ .B(\pll_control.tint[0] ),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__diode_2 ANTENNA__356__D (.DIODE(osc),
+ .VPWR(VPWR),
+ .Y(_103_));
+ sky130_fd_sc_hd__or4_2 _242_ (.A(_043_),
+ .B(_045_),
+ .C(_101_),
+ .D(_103_),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_0_10 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_104_));
+ sky130_fd_sc_hd__o31a_2 _243_ (.A1(_044_),
+ .A2(_089_),
+ .A3(_104_),
+ .B1(_100_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_0_105 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_105_));
+ sky130_fd_sc_hd__xnor2_2 _244_ (.A(_044_),
+ .B(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_0_19 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_106_));
+ sky130_fd_sc_hd__nor2_2 _245_ (.A(\pll_control.tint[2] ),
+ .B(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_0_3 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_107_));
+ sky130_fd_sc_hd__and2_2 _246_ (.A(\pll_control.tint[2] ),
+ .B(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_0_37 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_108_));
+ sky130_fd_sc_hd__nor2_2 _247_ (.A(_107_),
+ .B(_108_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_0_52 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_109_));
+ sky130_fd_sc_hd__nand2_2 _248_ (.A(_090_),
+ .B(_103_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_0_62 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_110_));
+ sky130_fd_sc_hd__nor2_2 _249_ (.A(\pll_control.tint[0] ),
+ .B(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_0_66 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_111_));
+ sky130_fd_sc_hd__xnor2_2 _250_ (.A(_047_),
+ .B(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_0_70 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_112_));
+ sky130_fd_sc_hd__a21bo_2 _251_ (.A1(_089_),
+ .A2(_094_),
+ .B1_N(_101_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_0_82 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_113_));
+ sky130_fd_sc_hd__a32o_2 _252_ (.A1(_110_),
+ .A2(_112_),
+ .A3(_113_),
+ .B1(_090_),
+ .B2(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_0_93 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_114_));
+ sky130_fd_sc_hd__a32o_2 _253_ (.A1(_106_),
+ .A2(_109_),
+ .A3(_114_),
+ .B1(_091_),
+ .B2(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_10_10 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_115_));
+ sky130_fd_sc_hd__xnor2_2 _254_ (.A(\pll_control.tint[4] ),
+ .B(_089_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_10_104 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_116_));
+ sky130_fd_sc_hd__and2_2 _255_ (.A(_115_),
+ .B(_116_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_10_19 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_117_));
+ sky130_fd_sc_hd__o21ai_2 _256_ (.A1(_115_),
+ .A2(_116_),
+ .B1(_105_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_10_27 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_118_));
+ sky130_fd_sc_hd__o22a_2 _257_ (.A1(\pll_control.tint[4] ),
+ .A2(_105_),
+ .B1(_117_),
+ .B2(_118_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_10_36 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_037_));
+ sky130_fd_sc_hd__a21oi_2 _258_ (.A1(_109_),
+ .A2(_114_),
+ .B1(_108_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_10_7 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_119_));
+ sky130_fd_sc_hd__xnor2_2 _259_ (.A(_106_),
+ .B(_119_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_10_94 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_120_));
+ sky130_fd_sc_hd__mux2_1 _260_ (.A0(\pll_control.tint[3] ),
+ .A1(_120_),
+ .S(_105_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_11_101 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_036_));
+ sky130_fd_sc_hd__xor2_2 _261_ (.A(_109_),
+ .B(_114_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_11_111 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_121_));
+ sky130_fd_sc_hd__mux2_1 _262_ (.A0(\pll_control.tint[2] ),
+ .A1(_121_),
+ .S(_105_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_11_130 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_035_));
+ sky130_fd_sc_hd__a21oi_2 _263_ (.A1(_089_),
+ .A2(_094_),
+ .B1(_111_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_11_23 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_122_));
+ sky130_fd_sc_hd__o211a_2 _264_ (.A1(_047_),
+ .A2(_113_),
+ .B1(_122_),
+ .C1(_105_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_11_32 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_123_));
+ sky130_fd_sc_hd__xnor2_2 _265_ (.A(_046_),
+ .B(_123_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_11_38 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_034_));
+ sky130_fd_sc_hd__xnor2_2 _266_ (.A(_112_),
+ .B(_113_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_11_50 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_124_));
+ sky130_fd_sc_hd__o2bb2a_2 _267_ (.A1_N(_105_),
+ .A2_N(_124_),
+ .B1(\pll_control.tint[0] ),
+ .B2(_100_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_11_57 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_033_));
+ sky130_fd_sc_hd__nand2_2 _268_ (.A(_094_),
+ .B(_101_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_11_77 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_125_));
+ sky130_fd_sc_hd__xnor2_2 _269_ (.A(_089_),
+ .B(_125_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_12_101 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_126_));
+ sky130_fd_sc_hd__mux2_1 _270_ (.A0(\pll_control.tval[1] ),
+ .A1(_126_),
+ .S(_105_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_12_105 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_032_));
+ sky130_fd_sc_hd__nand2_2 _271_ (.A(\pll_control.tval[0] ),
+ .B(_105_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_12_19 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_127_));
+ sky130_fd_sc_hd__o21a_2 _272_ (.A1(\pll_control.tval[0] ),
+ .A2(_100_),
+ .B1(_127_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_031_));
+ sky130_fd_sc_hd__and3_2 _273_ (.A(\pll_control.count0[2] ),
+ .B(\pll_control.count0[1] ),
+ .C(\pll_control.count0[0] ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_12_39 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_128_));
+ sky130_fd_sc_hd__and2_2 _274_ (.A(\pll_control.count0[3] ),
+ .B(_128_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_12_48 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_129_));
+ sky130_fd_sc_hd__o21a_2 _275_ (.A1(\pll_control.count0[4] ),
+ .A2(_129_),
+ .B1(_048_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_12_68 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_030_));
+ sky130_fd_sc_hd__nand2b_2 _276_ (.A_N(\pll_control.count0[4] ),
+ .B(_129_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_12_80 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_130_));
+ sky130_fd_sc_hd__o211a_2 _277_ (.A1(\pll_control.count0[3] ),
+ .A2(_128_),
+ .B1(_130_),
+ .C1(_048_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_13_134 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_029_));
+ sky130_fd_sc_hd__nand2_2 _278_ (.A(\pll_control.count0[4] ),
+ .B(_129_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_13_34 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_131_));
+ sky130_fd_sc_hd__a21oi_2 _279_ (.A1(\pll_control.count0[1] ),
+ .A2(\pll_control.count0[0] ),
+ .B1(\pll_control.count0[2] ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_13_54 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_132_));
+ sky130_fd_sc_hd__or2_2 _280_ (.A(_128_),
+ .B(_132_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_14_112 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_133_));
+ sky130_fd_sc_hd__a21oi_2 _281_ (.A1(_131_),
+ .A2(_133_),
+ .B1(_049_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_14_13 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_028_));
+ sky130_fd_sc_hd__xnor2_2 _282_ (.A(\pll_control.count0[1] ),
+ .B(\pll_control.count0[0] ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_14_3 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_134_));
+ sky130_fd_sc_hd__a21oi_2 _283_ (.A1(_131_),
+ .A2(_134_),
+ .B1(_049_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_14_43 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_027_));
+ sky130_fd_sc_hd__nand3_2 _284_ (.A(\pll_control.count0[0] ),
+ .B(_048_),
+ .C(_131_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_14_63 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_026_));
+ sky130_fd_sc_hd__mux2_1 _285_ (.A0(\pll_control.prep[1] ),
+ .A1(\pll_control.prep[2] ),
+ .S(_048_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_14_81 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_025_));
+ sky130_fd_sc_hd__mux2_1 _286_ (.A0(\pll_control.prep[1] ),
+ .A1(\pll_control.prep[0] ),
+ .S(_049_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_14_85 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_024_));
+ sky130_fd_sc_hd__or2_2 _287_ (.A(\pll_control.prep[0] ),
+ .B(_049_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_15_109 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_023_));
+ sky130_fd_sc_hd__nor2_2 _288_ (.A(dco),
+ .B(_093_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_15_24 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_135_));
+ sky130_fd_sc_hd__a21o_2 _289_ (.A1(ext_trim[0]),
+ .A2(dco),
+ .B1(_135_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_15_39 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[0].id.trim[0] ));
+ sky130_fd_sc_hd__or3_2 _290_ (.A(\pll_control.tint[4] ),
+ .B(_044_),
+ .C(\pll_control.tint[2] ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_15_5 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_136_));
+ sky130_fd_sc_hd__nor2_2 _291_ (.A(\pll_control.tint[3] ),
+ .B(_045_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_15_53 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_137_));
+ sky130_fd_sc_hd__nand2_2 _292_ (.A(_043_),
+ .B(_137_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_15_67 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_138_));
+ sky130_fd_sc_hd__and2b_2 _293_ (.A_N(dco),
+ .B(_092_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_15_76 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_139_));
+ sky130_fd_sc_hd__and3_2 _294_ (.A(_136_),
+ .B(_138_),
+ .C(_139_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_8 FILLER_15_93 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_140_));
+ sky130_fd_sc_hd__a21o_2 _295_ (.A1(dco),
+ .A2(ext_trim[1]),
+ .B1(_140_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_16_115 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[1].id.trim[0] ));
+ sky130_fd_sc_hd__nor2_2 _296_ (.A(_043_),
+ .B(dco),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_16_135 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_141_));
+ sky130_fd_sc_hd__or2_2 _297_ (.A(\pll_control.tint[1] ),
+ .B(_138_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_16_23 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_142_));
+ sky130_fd_sc_hd__or3_2 _298_ (.A(\pll_control.tint[1] ),
+ .B(_047_),
+ .C(_092_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_16_27 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_143_));
+ sky130_fd_sc_hd__a22o_2 _299_ (.A1(dco),
+ .A2(ext_trim[2]),
+ .B1(_138_),
+ .B2(_139_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_16_32 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[2].id.trim[0] ));
+ sky130_fd_sc_hd__a21o_2 _300_ (.A1(dco),
+ .A2(ext_trim[3]),
+ .B1(_139_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_16_59 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[3].id.trim[0] ));
+ sky130_fd_sc_hd__o211a_2 _301_ (.A1(\pll_control.tint[1] ),
+ .A2(_136_),
+ .B1(_138_),
+ .C1(_139_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_16_7 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_144_));
+ sky130_fd_sc_hd__a21o_2 _302_ (.A1(dco),
+ .A2(ext_trim[4]),
+ .B1(_144_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_16_72 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[4].id.trim[0] ));
+ sky130_fd_sc_hd__or2_2 _303_ (.A(_090_),
+ .B(_138_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_16_94 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_145_));
+ sky130_fd_sc_hd__o211a_2 _304_ (.A1(_110_),
+ .A2(_138_),
+ .B1(_139_),
+ .C1(_145_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_16_98 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_146_));
+ sky130_fd_sc_hd__a21o_2 _305_ (.A1(dco),
+ .A2(ext_trim[5]),
+ .B1(_146_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_17_100 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[5].id.trim[0] ));
+ sky130_fd_sc_hd__a22o_2 _306_ (.A1(dco),
+ .A2(ext_trim[6]),
+ .B1(_135_),
+ .B2(_143_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_17_12 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[6].id.trim[0] ));
+ sky130_fd_sc_hd__or3_2 _307_ (.A(\pll_control.tint[4] ),
+ .B(_044_),
+ .C(_045_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_17_30 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_147_));
+ sky130_fd_sc_hd__or2_2 _308_ (.A(_090_),
+ .B(_147_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_18_112 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_148_));
+ sky130_fd_sc_hd__a22o_2 _309_ (.A1(dco),
+ .A2(ext_trim[7]),
+ .B1(_140_),
+ .B2(_148_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_18_134 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[7].id.trim[0] ));
+ sky130_fd_sc_hd__a22o_2 _310_ (.A1(dco),
+ .A2(ext_trim[8]),
+ .B1(_139_),
+ .B2(_145_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_18_26 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[8].id.trim[0] ));
+ sky130_fd_sc_hd__o22a_2 _311_ (.A1(_090_),
+ .A2(_136_),
+ .B1(_138_),
+ .B2(_103_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_18_49 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_149_));
+ sky130_fd_sc_hd__a22o_2 _312_ (.A1(dco),
+ .A2(ext_trim[9]),
+ .B1(_146_),
+ .B2(_149_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_18_75 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[9].id.trim[0] ));
+ sky130_fd_sc_hd__nand2b_2 _313_ (.A_N(ext_trim[10]),
+ .B(dco),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_18_96 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_150_));
+ sky130_fd_sc_hd__o31a_2 _314_ (.A1(dco),
+ .A2(_092_),
+ .A3(_102_),
+ .B1(_150_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_19_100 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[10].id.trim[0] ));
+ sky130_fd_sc_hd__or2_2 _315_ (.A(_102_),
+ .B(_136_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_19_120 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_151_));
+ sky130_fd_sc_hd__a32o_2 _316_ (.A1(_138_),
+ .A2(_139_),
+ .A3(_151_),
+ .B1(ext_trim[11]),
+ .B2(dco),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_19_135 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[11].id.trim[0] ));
+ sky130_fd_sc_hd__a22o_2 _317_ (.A1(dco),
+ .A2(ext_trim[12]),
+ .B1(_139_),
+ .B2(_142_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_19_28 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.iss.trim[0] ));
+ sky130_fd_sc_hd__or2_2 _318_ (.A(\pll_control.tint[1] ),
+ .B(_147_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_19_55 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_152_));
+ sky130_fd_sc_hd__a22o_2 _319_ (.A1(dco),
+ .A2(ext_trim[13]),
+ .B1(_140_),
+ .B2(_152_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_19_68 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[0].id.trim[1] ));
+ sky130_fd_sc_hd__a211o_2 _320_ (.A1(\pll_control.tint[3] ),
+ .A2(_090_),
+ .B1(\pll_control.tint[2] ),
+ .C1(_043_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_19_87 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_153_));
+ sky130_fd_sc_hd__o21ai_2 _321_ (.A1(\pll_control.tint[4] ),
+ .A2(_102_),
+ .B1(_137_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_1_111 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_154_));
+ sky130_fd_sc_hd__o211a_2 _322_ (.A1(\pll_control.tint[4] ),
+ .A2(_044_),
+ .B1(_153_),
+ .C1(_154_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_1_134 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_155_));
+ sky130_fd_sc_hd__nand2_2 _323_ (.A(\pll_control.tint[4] ),
+ .B(_137_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_1_54 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_156_));
+ sky130_fd_sc_hd__or2_2 _324_ (.A(_043_),
+ .B(_091_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_1_78 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_157_));
+ sky130_fd_sc_hd__or2_2 _325_ (.A(_102_),
+ .B(_156_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_20_112 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_158_));
+ sky130_fd_sc_hd__a22o_2 _326_ (.A1(dco),
+ .A2(ext_trim[14]),
+ .B1(_146_),
+ .B2(_155_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_20_134 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[1].id.trim[1] ));
+ sky130_fd_sc_hd__or2_2 _327_ (.A(\pll_control.tint[3] ),
+ .B(_090_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_20_38 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_159_));
+ sky130_fd_sc_hd__or2_2 _328_ (.A(_102_),
+ .B(_147_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_20_5 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_160_));
+ sky130_fd_sc_hd__a21o_2 _329_ (.A1(_136_),
+ .A2(_138_),
+ .B1(_103_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_21_104 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_161_));
+ sky130_fd_sc_hd__o211a_2 _330_ (.A1(_046_),
+ .A2(_092_),
+ .B1(_145_),
+ .C1(_151_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_21_135 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_162_));
+ sky130_fd_sc_hd__o22a_2 _331_ (.A1(_110_),
+ .A2(_138_),
+ .B1(_147_),
+ .B2(_103_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_21_21 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_163_));
+ sky130_fd_sc_hd__and3_2 _332_ (.A(_135_),
+ .B(_160_),
+ .C(_161_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_21_55 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_164_));
+ sky130_fd_sc_hd__and3_2 _333_ (.A(_162_),
+ .B(_163_),
+ .C(_164_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_21_69 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_165_));
+ sky130_fd_sc_hd__a32o_2 _334_ (.A1(_091_),
+ .A2(_141_),
+ .A3(_159_),
+ .B1(ext_trim[15]),
+ .B2(dco),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_22_133 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[2].id.trim[1] ));
+ sky130_fd_sc_hd__o22a_2 _335_ (.A1(_103_),
+ .A2(_147_),
+ .B1(_157_),
+ .B2(_090_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_22_3 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_166_));
+ sky130_fd_sc_hd__and3_2 _336_ (.A(_140_),
+ .B(_160_),
+ .C(_166_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_22_31 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_167_));
+ sky130_fd_sc_hd__a21o_2 _337_ (.A1(dco),
+ .A2(ext_trim[16]),
+ .B1(_167_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_22_42 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[3].id.trim[1] ));
+ sky130_fd_sc_hd__o221a_2 _338_ (.A1(_046_),
+ .A2(_136_),
+ .B1(_157_),
+ .B2(_103_),
+ .C1(_160_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_22_7 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_168_));
+ sky130_fd_sc_hd__or2_2 _339_ (.A(_110_),
+ .B(_157_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_22_72 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_169_));
+ sky130_fd_sc_hd__and3_2 _340_ (.A(_144_),
+ .B(_166_),
+ .C(_169_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_2_120 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_170_));
+ sky130_fd_sc_hd__a32o_2 _341_ (.A1(_158_),
+ .A2(_168_),
+ .A3(_170_),
+ .B1(ext_trim[17]),
+ .B2(dco),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_2_134 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[4].id.trim[1] ));
+ sky130_fd_sc_hd__a22o_2 _342_ (.A1(dco),
+ .A2(ext_trim[18]),
+ .B1(_091_),
+ .B2(_141_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_2_18 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[5].id.trim[1] ));
+ sky130_fd_sc_hd__a22o_2 _343_ (.A1(dco),
+ .A2(ext_trim[19]),
+ .B1(_140_),
+ .B2(_160_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_2_5 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[6].id.trim[1] ));
+ sky130_fd_sc_hd__o21ai_2 _344_ (.A1(\pll_control.tint[2] ),
+ .A2(\pll_control.tint[1] ),
+ .B1(\pll_control.tint[3] ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_2_78 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_171_));
+ sky130_fd_sc_hd__nand2_2 _345_ (.A(\pll_control.tint[4] ),
+ .B(_171_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_3_111 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_172_));
+ sky130_fd_sc_hd__a32o_2 _346_ (.A1(_143_),
+ .A2(_165_),
+ .A3(_172_),
+ .B1(ext_trim[20]),
+ .B2(dco),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_3_113 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[7].id.trim[1] ));
+ sky130_fd_sc_hd__or3_2 _347_ (.A(\pll_control.tint[1] ),
+ .B(_047_),
+ .C(_157_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_3_28 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_173_));
+ sky130_fd_sc_hd__a22o_2 _348_ (.A1(dco),
+ .A2(ext_trim[21]),
+ .B1(_167_),
+ .B2(_173_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_3_3 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[8].id.trim[1] ));
+ sky130_fd_sc_hd__or2_2 _349_ (.A(\pll_control.tint[1] ),
+ .B(_156_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_3_33 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_174_));
+ sky130_fd_sc_hd__a32o_2 _350_ (.A1(_168_),
+ .A2(_170_),
+ .A3(_174_),
+ .B1(ext_trim[22]),
+ .B2(dco),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_3_37 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[9].id.trim[1] ));
+ sky130_fd_sc_hd__a21o_2 _351_ (.A1(dco),
+ .A2(ext_trim[23]),
+ .B1(_141_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_3_48 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[10].id.trim[1] ));
+ sky130_fd_sc_hd__and3b_2 _352_ (.A_N(dco),
+ .B(\pll_control.tint[3] ),
+ .C(\pll_control.tint[4] ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_3_57 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(_175_));
+ sky130_fd_sc_hd__a21o_2 _353_ (.A1(dco),
+ .A2(ext_trim[24]),
+ .B1(_175_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_3_71 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[11].id.trim[1] ));
+ sky130_fd_sc_hd__a22o_2 _354_ (.A1(dco),
+ .A2(ext_trim[25]),
+ .B1(_167_),
+ .B2(_169_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_3_94 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(\ringosc.iss.trim[1] ));
+ sky130_fd_sc_hd__nand2_2 _355_ (.A(enable),
+ .B(resetb),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_4_120 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(\ringosc.iss.reset ));
+ sky130_fd_sc_hd__nor2_2 _356_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_4_129 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_000_));
+ sky130_fd_sc_hd__nor2_2 _357_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_4_135 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_001_));
+ sky130_fd_sc_hd__nor2_2 _358_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_4_58 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_002_));
+ sky130_fd_sc_hd__nor2_2 _359_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_4_81 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_003_));
+ sky130_fd_sc_hd__nor2_2 _360_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_5_103 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_004_));
+ sky130_fd_sc_hd__nor2_2 _361_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_5_134 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_005_));
+ sky130_fd_sc_hd__nor2_2 _362_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_5_19 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_006_));
+ sky130_fd_sc_hd__nor2_2 _363_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_5_32 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_007_));
+ sky130_fd_sc_hd__nor2_2 _364_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_5_57 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_008_));
+ sky130_fd_sc_hd__nor2_2 _365_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_5_69 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_009_));
+ sky130_fd_sc_hd__nor2_2 _366_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_6_100 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_010_));
+ sky130_fd_sc_hd__nor2_2 _367_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_6_122 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_011_));
+ sky130_fd_sc_hd__nor2_2 _368_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_6_134 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_012_));
+ sky130_fd_sc_hd__nor2_2 _369_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(_013_));
+ sky130_fd_sc_hd__nor2_2 _370_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(_014_));
+ sky130_fd_sc_hd__nor2_2 _371_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(_015_));
+ sky130_fd_sc_hd__nor2_2 _372_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(_016_));
+ sky130_fd_sc_hd__nor2_2 _373_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(_017_));
+ sky130_fd_sc_hd__nor2_2 _374_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(_018_));
+ sky130_fd_sc_hd__nor2_2 _375_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_6_17 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_019_));
+ sky130_fd_sc_hd__nor2_2 _376_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_6_27 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_020_));
+ sky130_fd_sc_hd__nor2_2 _377_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_6_3 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_021_));
+ sky130_fd_sc_hd__nor2_2 _378_ (.A(dco),
+ .B(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_6_38 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Y(_022_));
+ sky130_fd_sc_hd__dfrtp_2 _379_ (.CLK(\pll_control.clock ),
+ .D(_023_),
+ .RESET_B(_000_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_6_53 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.prep[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _380_ (.CLK(\pll_control.clock ),
+ .D(_024_),
+ .RESET_B(_001_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_4 FILLER_6_62 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.prep[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _381_ (.CLK(\pll_control.clock ),
+ .D(_025_),
+ .RESET_B(_002_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_6_71 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.prep[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _382_ (.CLK(\pll_control.clock ),
+ .D(_026_),
+ .RESET_B(_003_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_6_83 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count0[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _383_ (.CLK(\pll_control.clock ),
+ .D(_027_),
+ .RESET_B(_004_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_6_88 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count0[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _384_ (.CLK(\pll_control.clock ),
+ .D(_028_),
+ .RESET_B(_005_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_7_103 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count0[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _385_ (.CLK(\pll_control.clock ),
+ .D(_029_),
+ .RESET_B(_006_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_8 FILLER_7_116 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count0[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _386_ (.CLK(\pll_control.clock ),
+ .D(_030_),
+ .RESET_B(_007_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_8 FILLER_7_127 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count0[4] ));
+ sky130_fd_sc_hd__dfrtp_2 _387_ (.CLK(\pll_control.clock ),
+ .D(_031_),
+ .RESET_B(_008_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_7_135 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.tval[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _388_ (.CLK(\pll_control.clock ),
+ .D(_032_),
+ .RESET_B(_009_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_7_39 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.tval[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _389_ (.CLK(\pll_control.clock ),
+ .D(_033_),
+ .RESET_B(_010_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_7_81 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.tint[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _390_ (.CLK(\pll_control.clock ),
+ .D(_034_),
+ .RESET_B(_011_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_7_90 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.tint[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _391_ (.CLK(\pll_control.clock ),
+ .D(_035_),
+ .RESET_B(_012_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_7_96 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.tint[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _392_ (.CLK(\pll_control.clock ),
+ .D(_036_),
+ .RESET_B(_013_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_8_27 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.tint[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _393_ (.CLK(\pll_control.clock ),
+ .D(_037_),
+ .RESET_B(_014_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_8_29 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.tint[4] ));
+ sky130_fd_sc_hd__dfrtp_2 _394_ (.CLK(\pll_control.clock ),
+ .D(osc),
+ .RESET_B(_015_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_12 FILLER_8_51 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.oscbuf[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _395_ (.CLK(\pll_control.clock ),
+ .D(\pll_control.oscbuf[0] ),
+ .RESET_B(_016_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_6 FILLER_8_63 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.oscbuf[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _396_ (.CLK(\pll_control.clock ),
+ .D(\pll_control.oscbuf[1] ),
+ .RESET_B(_017_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_8_69 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.oscbuf[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _397_ (.CLK(\pll_control.clock ),
+ .D(_038_),
+ .RESET_B(_018_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_9_113 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count1[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _398_ (.CLK(\pll_control.clock ),
+ .D(_039_),
+ .RESET_B(_019_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_9_27 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count1[1] ));
+ sky130_fd_sc_hd__dfrtp_2 _399_ (.CLK(\pll_control.clock ),
+ .D(_040_),
+ .RESET_B(_020_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_2 FILLER_9_47 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count1[2] ));
+ sky130_fd_sc_hd__dfrtp_2 _400_ (.CLK(\pll_control.clock ),
+ .D(_041_),
+ .RESET_B(_021_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_9_5 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count1[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _401_ (.CLK(\pll_control.clock ),
+ .D(_042_),
+ .RESET_B(_022_),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_9_52 (.VGND(VGND),
+ .VPWR(VPWR),
+ .Q(\pll_control.count1[4] ));
+ sky130_fd_sc_hd__clkbuf_16 clockp_buffer_0 (.A(\pll_control.clock ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__fill_1 FILLER_9_78 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(clockp[0]));
+ sky130_fd_sc_hd__clkbuf_16 clockp_buffer_1 (.A(\ringosc.clockp[1] ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 FILLER_9_87 (.VGND(VGND),
+ .VPWR(VPWR),
+ .X(clockp[1]));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[0].id.delaybuf0 (.A(\ringosc.dstage[0].id.in ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[0].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[0].id.delaybuf1 (.A(\ringosc.dstage[0].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[0].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen0 (.A(\ringosc.dstage[0].id.d2 ),
+ .TE(\ringosc.dstage[0].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[0].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen1 (.A(\ringosc.dstage[0].id.d0 ),
+ .TE(\ringosc.dstage[0].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[0].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[0].id.delayenb0 (.A(\ringosc.dstage[0].id.ts ),
+ .TE_B(\ringosc.dstage[0].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[0].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[0].id.delayenb1 (.A(\ringosc.dstage[0].id.ts ),
+ .TE_B(\ringosc.dstage[0].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[0].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[0].id.delayint0 (.A(\ringosc.dstage[0].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[0].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[10].id.delaybuf0 (.A(\ringosc.dstage[10].id.in ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[10].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[10].id.delaybuf1 (.A(\ringosc.dstage[10].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[10].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen0 (.A(\ringosc.dstage[10].id.d2 ),
+ .TE(\ringosc.dstage[10].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[10].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen1 (.A(\ringosc.dstage[10].id.d0 ),
+ .TE(\ringosc.dstage[10].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[10].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[10].id.delayenb0 (.A(\ringosc.dstage[10].id.ts ),
+ .TE_B(\ringosc.dstage[10].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[10].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[10].id.delayenb1 (.A(\ringosc.dstage[10].id.ts ),
+ .TE_B(\ringosc.dstage[10].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[10].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[10].id.delayint0 (.A(\ringosc.dstage[10].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[10].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[11].id.delaybuf0 (.A(\ringosc.dstage[10].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[11].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[11].id.delaybuf1 (.A(\ringosc.dstage[11].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[11].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen0 (.A(\ringosc.dstage[11].id.d2 ),
+ .TE(\ringosc.dstage[11].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[11].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen1 (.A(\ringosc.dstage[11].id.d0 ),
+ .TE(\ringosc.dstage[11].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[11].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[11].id.delayenb0 (.A(\ringosc.dstage[11].id.ts ),
+ .TE_B(\ringosc.dstage[11].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[11].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[11].id.delayenb1 (.A(\ringosc.dstage[11].id.ts ),
+ .TE_B(\ringosc.dstage[11].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[11].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[11].id.delayint0 (.A(\ringosc.dstage[11].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[11].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[1].id.delaybuf0 (.A(\ringosc.dstage[0].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[1].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[1].id.delaybuf1 (.A(\ringosc.dstage[1].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[1].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen0 (.A(\ringosc.dstage[1].id.d2 ),
+ .TE(\ringosc.dstage[1].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[1].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen1 (.A(\ringosc.dstage[1].id.d0 ),
+ .TE(\ringosc.dstage[1].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[1].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[1].id.delayenb0 (.A(\ringosc.dstage[1].id.ts ),
+ .TE_B(\ringosc.dstage[1].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[1].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[1].id.delayenb1 (.A(\ringosc.dstage[1].id.ts ),
+ .TE_B(\ringosc.dstage[1].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[1].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[1].id.delayint0 (.A(\ringosc.dstage[1].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[1].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[2].id.delaybuf0 (.A(\ringosc.dstage[1].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[2].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[2].id.delaybuf1 (.A(\ringosc.dstage[2].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[2].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen0 (.A(\ringosc.dstage[2].id.d2 ),
+ .TE(\ringosc.dstage[2].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[2].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen1 (.A(\ringosc.dstage[2].id.d0 ),
+ .TE(\ringosc.dstage[2].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[2].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[2].id.delayenb0 (.A(\ringosc.dstage[2].id.ts ),
+ .TE_B(\ringosc.dstage[2].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[2].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[2].id.delayenb1 (.A(\ringosc.dstage[2].id.ts ),
+ .TE_B(\ringosc.dstage[2].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[2].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[2].id.delayint0 (.A(\ringosc.dstage[2].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[2].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[3].id.delaybuf0 (.A(\ringosc.dstage[2].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[3].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[3].id.delaybuf1 (.A(\ringosc.dstage[3].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[3].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen0 (.A(\ringosc.dstage[3].id.d2 ),
+ .TE(\ringosc.dstage[3].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[3].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen1 (.A(\ringosc.dstage[3].id.d0 ),
+ .TE(\ringosc.dstage[3].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[3].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[3].id.delayenb0 (.A(\ringosc.dstage[3].id.ts ),
+ .TE_B(\ringosc.dstage[3].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[3].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[3].id.delayenb1 (.A(\ringosc.dstage[3].id.ts ),
+ .TE_B(\ringosc.dstage[3].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[3].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[3].id.delayint0 (.A(\ringosc.dstage[3].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[3].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[4].id.delaybuf0 (.A(\ringosc.dstage[3].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[4].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[4].id.delaybuf1 (.A(\ringosc.dstage[4].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[4].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen0 (.A(\ringosc.dstage[4].id.d2 ),
+ .TE(\ringosc.dstage[4].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[4].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen1 (.A(\ringosc.dstage[4].id.d0 ),
+ .TE(\ringosc.dstage[4].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[4].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[4].id.delayenb0 (.A(\ringosc.dstage[4].id.ts ),
+ .TE_B(\ringosc.dstage[4].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[4].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[4].id.delayenb1 (.A(\ringosc.dstage[4].id.ts ),
+ .TE_B(\ringosc.dstage[4].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[4].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[4].id.delayint0 (.A(\ringosc.dstage[4].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[4].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[5].id.delaybuf0 (.A(\ringosc.dstage[4].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[5].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[5].id.delaybuf1 (.A(\ringosc.dstage[5].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[5].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen0 (.A(\ringosc.dstage[5].id.d2 ),
+ .TE(\ringosc.dstage[5].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[5].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen1 (.A(\ringosc.dstage[5].id.d0 ),
+ .TE(\ringosc.dstage[5].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[5].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[5].id.delayenb0 (.A(\ringosc.dstage[5].id.ts ),
+ .TE_B(\ringosc.dstage[5].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[5].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[5].id.delayenb1 (.A(\ringosc.dstage[5].id.ts ),
+ .TE_B(\ringosc.dstage[5].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[5].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[5].id.delayint0 (.A(\ringosc.dstage[5].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[5].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[6].id.delaybuf0 (.A(\ringosc.dstage[5].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[6].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[6].id.delaybuf1 (.A(\ringosc.dstage[6].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[6].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen0 (.A(\ringosc.dstage[6].id.d2 ),
+ .TE(\ringosc.dstage[6].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[6].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen1 (.A(\ringosc.dstage[6].id.d0 ),
+ .TE(\ringosc.dstage[6].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[6].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[6].id.delayenb0 (.A(\ringosc.dstage[6].id.ts ),
+ .TE_B(\ringosc.dstage[6].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[6].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[6].id.delayenb1 (.A(\ringosc.dstage[6].id.ts ),
+ .TE_B(\ringosc.dstage[6].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[6].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[6].id.delayint0 (.A(\ringosc.dstage[6].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[6].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[7].id.delaybuf0 (.A(\ringosc.dstage[6].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[7].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[7].id.delaybuf1 (.A(\ringosc.dstage[7].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[7].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen0 (.A(\ringosc.dstage[7].id.d2 ),
+ .TE(\ringosc.dstage[7].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[7].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen1 (.A(\ringosc.dstage[7].id.d0 ),
+ .TE(\ringosc.dstage[7].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[7].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[7].id.delayenb0 (.A(\ringosc.dstage[7].id.ts ),
+ .TE_B(\ringosc.dstage[7].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[7].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[7].id.delayenb1 (.A(\ringosc.dstage[7].id.ts ),
+ .TE_B(\ringosc.dstage[7].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[7].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[7].id.delayint0 (.A(\ringosc.dstage[7].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[7].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[8].id.delaybuf0 (.A(\ringosc.dstage[7].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[8].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[8].id.delaybuf1 (.A(\ringosc.dstage[8].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[8].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen0 (.A(\ringosc.dstage[8].id.d2 ),
+ .TE(\ringosc.dstage[8].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[8].id.out ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen1 (.A(\ringosc.dstage[8].id.d0 ),
+ .TE(\ringosc.dstage[8].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[8].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[8].id.delayenb0 (.A(\ringosc.dstage[8].id.ts ),
+ .TE_B(\ringosc.dstage[8].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[8].id.out ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[8].id.delayenb1 (.A(\ringosc.dstage[8].id.ts ),
+ .TE_B(\ringosc.dstage[8].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[8].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[8].id.delayint0 (.A(\ringosc.dstage[8].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[8].id.d2 ));
+ sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[9].id.delaybuf0 (.A(\ringosc.dstage[8].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[9].id.ts ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[9].id.delaybuf1 (.A(\ringosc.dstage[9].id.ts ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.dstage[9].id.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen0 (.A(\ringosc.dstage[9].id.d2 ),
+ .TE(\ringosc.dstage[9].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[10].id.in ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen1 (.A(\ringosc.dstage[9].id.d0 ),
+ .TE(\ringosc.dstage[9].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[9].id.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.dstage[9].id.delayenb0 (.A(\ringosc.dstage[9].id.ts ),
+ .TE_B(\ringosc.dstage[9].id.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[10].id.in ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.dstage[9].id.delayenb1 (.A(\ringosc.dstage[9].id.ts ),
+ .TE_B(\ringosc.dstage[9].id.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[9].id.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[9].id.delayint0 (.A(\ringosc.dstage[9].id.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.dstage[9].id.d2 ));
+ sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp00 (.A(\ringosc.dstage[0].id.in ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.c[0] ));
+ sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp01 (.A(\ringosc.c[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\pll_control.clock ));
+ sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp10 (.A(\ringosc.dstage[5].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.c[1] ));
+ sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp11 (.A(\ringosc.c[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.clockp[1] ));
+ sky130_fd_sc_hd__conb_1 \ringosc.iss.const1 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .HI(\ringosc.iss.one ));
+ sky130_fd_sc_hd__or2_2 \ringosc.iss.ctrlen0 (.A(\ringosc.iss.reset ),
+ .B(\ringosc.iss.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.iss.ctrl0 ));
+ sky130_fd_sc_hd__clkbuf_1 \ringosc.iss.delaybuf0 (.A(\ringosc.dstage[11].id.out ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .X(\ringosc.iss.d0 ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen0 (.A(\ringosc.iss.d2 ),
+ .TE(\ringosc.iss.trim[0] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[0].id.in ));
+ sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen1 (.A(\ringosc.iss.d0 ),
+ .TE(\ringosc.iss.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.iss.d1 ));
+ sky130_fd_sc_hd__einvn_8 \ringosc.iss.delayenb0 (.A(\ringosc.dstage[11].id.out ),
+ .TE_B(\ringosc.iss.ctrl0 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[0].id.in ));
+ sky130_fd_sc_hd__einvn_4 \ringosc.iss.delayenb1 (.A(\ringosc.dstage[11].id.out ),
+ .TE_B(\ringosc.iss.trim[1] ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Z(\ringosc.iss.d1 ));
+ sky130_fd_sc_hd__clkinv_1 \ringosc.iss.delayint0 (.A(\ringosc.iss.d1 ),
+ .VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR),
+ .Y(\ringosc.iss.d2 ));
+ sky130_fd_sc_hd__einvp_1 \ringosc.iss.reseten0 (.A(\ringosc.iss.one ),
+ .TE(\ringosc.iss.reset ),
+ .VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
- .VPWR(VPWR));
+ .VPWR(VPWR),
+ .Z(\ringosc.dstage[0].id.in ));
sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
@@ -1268,6 +2718,38 @@ module digital_pll (VGND,
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
+ sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(VGND),
+ .VNB(VGND),
+ .VPB(VPWR),
+ .VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_10 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
@@ -1308,10 +2790,6 @@ module digital_pll (VGND,
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_20 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
@@ -1352,10 +2830,6 @@ module digital_pll (VGND,
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_30 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
@@ -1396,10 +2870,6 @@ module digital_pll (VGND,
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
sky130_fd_sc_hd__decap_3 PHY_40 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
@@ -1424,26 +2894,6 @@ module digital_pll (VGND,
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 (.VGND(VGND),
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47 (.VGND(VGND),
@@ -1544,2309 +2994,881 @@ module digital_pll (VGND,
.VPWR(VPWR));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95 (.VGND(VGND),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _164_ (.A(\pll_control.count0[4] ),
- .Y(_072_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__312__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _165_ (.A(\pll_control.count1[4] ),
- .Y(_073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__319__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _166_ (.A(\pll_control.count0[2] ),
- .Y(_074_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__289__A2 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _167_ (.A(\pll_control.count0[1] ),
- .Y(_075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__295__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _168_ (.A(\pll_control.count0[0] ),
- .Y(_076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__314__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _169_ (.A(\pll_control.count1[0] ),
- .Y(_077_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__317__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _170_ (.A(\pll_control.tint[4] ),
- .Y(_078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__316__B2 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _171_ (.A(\pll_control.tint[3] ),
- .Y(_079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__293__A_N (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _172_ (.A(\pll_control.tint[2] ),
- .Y(_080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__306__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _173_ (.A(\pll_control.tint[1] ),
- .Y(_081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__310__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _174_ (.A(\pll_control.tint[0] ),
- .Y(_082_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__309__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _175_ (.A(\pll_control.tval[1] ),
- .Y(_083_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__305__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _176_ (.A(\pll_control.tval[0] ),
- .Y(_084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__300__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _177_ (.A(div[0]),
- .Y(_085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__302__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a2bb2o_2 _178_ (.A1_N(\pll_control.oscbuf[1] ),
- .A2_N(\pll_control.oscbuf[2] ),
- .B1(\pll_control.oscbuf[1] ),
- .B2(\pll_control.oscbuf[2] ),
- .X(_086_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__299__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _179_ (.A(_086_),
- .Y(_087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__313__B (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _180_ (.A1(\pll_control.count1[4] ),
- .A2(_086_),
- .B1(\pll_control.count0[4] ),
- .B2(_087_),
- .X(_071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__353__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nand2_2 _181_ (.A(enable),
- .B(resetb),
- .Y(\ringosc.iss.reset ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__366__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nor2_2 _182_ (.A(dco),
- .B(\ringosc.iss.reset ),
- .Y(_050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__369__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _183_ (.A1(\pll_control.count1[3] ),
- .A2(_086_),
- .B1(\pll_control.count0[3] ),
- .B2(_087_),
- .X(_070_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__368__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _184_ (.A1(\pll_control.count1[2] ),
- .A2(_086_),
- .B1(\pll_control.count0[2] ),
- .B2(_087_),
- .X(_069_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__352__A_N (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _185_ (.A1(\pll_control.count1[1] ),
- .A2(_086_),
- .B1(\pll_control.count0[1] ),
- .B2(_087_),
- .X(_068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__367__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _186_ (.A1(\pll_control.count1[0] ),
- .A2(_086_),
- .B1(\pll_control.count0[0] ),
- .B2(_087_),
- .X(_067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__371__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _187_ (.A1(\pll_control.prep[1] ),
- .A2(_087_),
- .B1(\pll_control.prep[2] ),
- .B2(_086_),
- .X(_066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__358__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _188_ (.A1(\pll_control.prep[1] ),
- .A2(_086_),
- .B1(\pll_control.prep[0] ),
- .B2(_087_),
- .X(_065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__373__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _189_ (.A(\pll_control.prep[0] ),
- .B(_087_),
- .X(_064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__372__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nor2_2 _190_ (.A(\pll_control.count0[3] ),
- .B(\pll_control.count1[3] ),
- .Y(_088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__360__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a21o_2 _191_ (.A1(\pll_control.count0[3] ),
- .A2(\pll_control.count1[3] ),
- .B1(_088_),
- .X(_089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__361__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nor2_2 _192_ (.A(\pll_control.count0[2] ),
- .B(\pll_control.count1[2] ),
- .Y(_090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__365__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nor2_2 _193_ (.A(_076_),
- .B(_077_),
- .Y(_091_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__364__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o2bb2a_2 _194_ (.A1_N(\pll_control.count0[1] ),
- .A2_N(\pll_control.count1[1] ),
- .B1(\pll_control.count0[1] ),
- .B2(\pll_control.count1[1] ),
- .X(_092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__357__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _195_ (.A1(\pll_control.count0[1] ),
- .A2(\pll_control.count1[1] ),
- .B1(_091_),
- .B2(_092_),
- .X(_093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__356__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _196_ (.A(_093_),
- .Y(_094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__362__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o2bb2a_2 _197_ (.A1_N(\pll_control.count0[2] ),
- .A2_N(\pll_control.count1[2] ),
- .B1(_090_),
- .B2(_094_),
- .X(_095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__370__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a2bb2o_2 _198_ (.A1_N(_089_),
- .A2_N(_095_),
- .B1(_089_),
- .B2(_095_),
- .X(_096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__363__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a21oi_2 _199_ (.A1(\pll_control.count0[2] ),
- .A2(\pll_control.count1[2] ),
- .B1(_090_),
- .Y(_097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__377__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a2bb2o_2 _200_ (.A1_N(_093_),
- .A2_N(_097_),
- .B1(_093_),
- .B2(_097_),
- .X(_098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__378__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22oi_2 _201_ (.A1(div[3]),
- .A2(_096_),
- .B1(div[2]),
- .B2(_098_),
- .Y(_099_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__359__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _202_ (.A(div[3]),
- .B(_096_),
- .X(_100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__375__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _203_ (.A(_100_),
- .Y(_101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__374__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o211a_2 _204_ (.A1(div[2]),
- .A2(_098_),
- .B1(_100_),
- .C1(_099_),
- .X(_102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__376__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _205_ (.A(_102_),
- .Y(_103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__346__B2 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o2bb2ai_2 _206_ (.A1_N(_091_),
- .A2_N(_092_),
- .B1(_091_),
- .B2(_092_),
- .Y(_104_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__343__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nand2_2 _207_ (.A(div[1]),
- .B(_104_),
- .Y(_105_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__326__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a21oi_2 _208_ (.A1(_076_),
- .A2(_077_),
- .B1(_091_),
- .Y(_106_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__342__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _209_ (.A(_106_),
- .Y(_107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__341__B2 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o221a_2 _210_ (.A1(div[1]),
- .A2(_104_),
- .B1(div[0]),
- .B2(_107_),
- .C1(_105_),
- .X(_108_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__350__B2 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a21oi_2 _211_ (.A1(div[1]),
- .A2(_104_),
- .B1(_108_),
- .Y(_109_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__348__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _212_ (.A1(\pll_control.count0[4] ),
- .A2(\pll_control.count1[4] ),
- .B1(_072_),
- .B2(_073_),
- .X(_110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__337__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o2bb2a_2 _213_ (.A1_N(\pll_control.count0[3] ),
- .A2_N(\pll_control.count1[3] ),
- .B1(_088_),
- .B2(_095_),
- .X(_111_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__354__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _214_ (.A(_110_),
- .B(_111_),
- .X(_112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__351__A1 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a21bo_2 _215_ (.A1(_110_),
- .A2(_111_),
- .B1_N(_112_),
- .X(_113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__296__B (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nand2_2 _216_ (.A(div[4]),
- .B(_113_),
- .Y(_114_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__334__B2 (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o221a_2 _217_ (.A1(_099_),
- .A2(_101_),
- .B1(_103_),
- .B2(_109_),
- .C1(_114_),
- .X(_115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__288__A (.DIODE(dco),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o221ai_2 _218_ (.A1(_072_),
- .A2(_073_),
- .B1(div[4]),
- .B2(_113_),
- .C1(_112_),
- .Y(_116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__235__A (.DIODE(div[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _219_ (.A(_115_),
- .B(_116_),
- .X(_117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__222__B2 (.DIODE(div[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _220_ (.A(_117_),
- .Y(_118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__222__A1 (.DIODE(div[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _221_ (.A(_081_),
- .B(_082_),
- .X(_119_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__219__A (.DIODE(div[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _222_ (.A(_119_),
- .Y(_120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__216__A (.DIODE(div[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or3_2 _223_ (.A(_079_),
- .B(_080_),
- .C(_119_),
- .X(_015_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__213__B1 (.DIODE(div[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or3_2 _224_ (.A(_083_),
- .B(_084_),
- .C(_015_),
- .X(_121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__215__B1 (.DIODE(div[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o2111ai_2 _225_ (.A1(_085_),
- .A2(_106_),
- .B1(_108_),
- .C1(_102_),
- .D1(_114_),
- .Y(_122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__214__B1 (.DIODE(div[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__and4_2 _226_ (.A(\pll_control.prep[1] ),
- .B(_087_),
- .C(\pll_control.prep[2] ),
- .D(\pll_control.prep[0] ),
- .X(_123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__213__A1 (.DIODE(div[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _227_ (.A(\pll_control.tint[1] ),
- .B(\pll_control.tint[0] ),
- .X(_124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__225__B1 (.DIODE(div[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _228_ (.A(_124_),
- .Y(_125_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__207__A1 (.DIODE(div[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _229_ (.A(\pll_control.tint[3] ),
- .B(\pll_control.tint[2] ),
- .X(_126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__355__A (.DIODE(enable),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _230_ (.A(_126_),
- .Y(_127_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__289__A1 (.DIODE(ext_trim[0]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _231_ (.A(_124_),
- .B(_126_),
- .X(_000_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__313__A_N (.DIODE(ext_trim[10]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _232_ (.A(\pll_control.tint[4] ),
- .B(_000_),
- .X(_001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__316__B1 (.DIODE(ext_trim[11]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or3_2 _233_ (.A(\pll_control.tval[1] ),
- .B(\pll_control.tval[0] ),
- .C(_001_),
- .X(_128_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__317__A2 (.DIODE(ext_trim[12]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o221a_2 _234_ (.A1(_116_),
- .A2(_122_),
- .B1(_117_),
- .B2(_128_),
- .C1(_123_),
- .X(_129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__319__A2 (.DIODE(ext_trim[13]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o31a_2 _235_ (.A1(_078_),
- .A2(_118_),
- .A3(_121_),
- .B1(_129_),
- .X(_130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__326__A2 (.DIODE(ext_trim[14]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _236_ (.A(_130_),
- .Y(_131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__334__B1 (.DIODE(ext_trim[15]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _237_ (.A1(\pll_control.tval[1] ),
- .A2(_118_),
- .B1(_083_),
- .B2(_117_),
- .X(_132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__337__A2 (.DIODE(ext_trim[16]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o22a_2 _238_ (.A1(_083_),
- .A2(_117_),
- .B1(_084_),
- .B2(_132_),
- .X(_133_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__341__B1 (.DIODE(ext_trim[17]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nor2_2 _239_ (.A(_120_),
- .B(_125_),
- .Y(_134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__342__A2 (.DIODE(ext_trim[18]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _240_ (.A1(\pll_control.tint[0] ),
- .A2(_118_),
- .B1(_082_),
- .B2(_117_),
- .X(_135_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__343__A2 (.DIODE(ext_trim[19]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o32a_2 _241_ (.A1(_134_),
- .A2(_135_),
- .A3(_133_),
- .B1(_117_),
- .B2(_125_),
- .X(_136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__295__A2 (.DIODE(ext_trim[1]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a22o_2 _242_ (.A1(\pll_control.tint[2] ),
- .A2(_118_),
- .B1(_080_),
- .B2(_117_),
- .X(_137_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__346__B1 (.DIODE(ext_trim[20]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o22a_2 _243_ (.A1(_079_),
- .A2(_118_),
- .B1(\pll_control.tint[3] ),
- .B2(_117_),
- .X(_138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__348__A2 (.DIODE(ext_trim[21]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _244_ (.A(_138_),
- .Y(_139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__350__B1 (.DIODE(ext_trim[22]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o32a_2 _245_ (.A1(_137_),
- .A2(_138_),
- .A3(_136_),
- .B1(_117_),
- .B2(_127_),
- .X(_140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__351__A2 (.DIODE(ext_trim[23]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _246_ (.A(_140_),
- .Y(_141_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__353__A2 (.DIODE(ext_trim[24]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o22a_2 _247_ (.A1(\pll_control.tint[4] ),
- .A2(_118_),
- .B1(_078_),
- .B2(_117_),
- .X(_142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__354__A2 (.DIODE(ext_trim[25]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _248_ (.A(_142_),
- .Y(_143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__299__A2 (.DIODE(ext_trim[2]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a221o_2 _249_ (.A1(_141_),
- .A2(_142_),
- .B1(_140_),
- .B2(_143_),
- .C1(_131_),
- .X(_144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__300__A2 (.DIODE(ext_trim[3]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o21ai_2 _250_ (.A1(_078_),
- .A2(_130_),
- .B1(_144_),
- .Y(_063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__302__A2 (.DIODE(ext_trim[4]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _251_ (.A(_136_),
- .B(_137_),
- .X(_145_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__305__A2 (.DIODE(ext_trim[5]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o21ai_2 _252_ (.A1(_080_),
- .A2(_117_),
- .B1(_145_),
- .Y(_146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__306__A2 (.DIODE(ext_trim[6]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _253_ (.A(_146_),
- .Y(_147_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__309__A2 (.DIODE(ext_trim[7]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a221o_2 _254_ (.A1(_139_),
- .A2(_146_),
- .B1(_138_),
- .B2(_147_),
- .C1(_131_),
- .X(_148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__310__A2 (.DIODE(ext_trim[8]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__o21ai_2 _255_ (.A1(_079_),
- .A2(_130_),
- .B1(_148_),
- .Y(_062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__312__A2 (.DIODE(ext_trim[9]),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__nand2_2 _256_ (.A(_136_),
- .B(_137_),
- .Y(_149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__394__D (.DIODE(osc),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__a32o_2 _257_ (.A1(_130_),
- .A2(_145_),
- .A3(_149_),
- .B1(\pll_control.tint[2] ),
- .B2(_131_),
- .X(_061_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__355__B (.DIODE(resetb),
.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _258_ (.A(_133_),
- .B(_135_),
- .X(_150_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _259_ (.A0(\pll_control.tint[0] ),
- .A1(_118_),
- .S(_133_),
- .X(_151_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o211a_2 _260_ (.A1(_082_),
- .A2(_117_),
- .B1(_130_),
- .C1(_151_),
- .X(_152_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o2bb2a_2 _261_ (.A1_N(\pll_control.tint[1] ),
- .A2_N(_152_),
- .B1(\pll_control.tint[1] ),
- .B2(_152_),
- .X(_060_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__nand2_2 _262_ (.A(_133_),
- .B(_135_),
- .Y(_153_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__a32o_2 _263_ (.A1(_130_),
- .A2(_150_),
- .A3(_153_),
- .B1(\pll_control.tint[0] ),
- .B2(_131_),
- .X(_059_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__a2bb2o_2 _264_ (.A1_N(_084_),
- .A2_N(_132_),
- .B1(_084_),
- .B2(_132_),
- .X(_154_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o22ai_2 _265_ (.A1(_083_),
- .A2(_130_),
- .B1(_131_),
- .B2(_154_),
- .Y(_058_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o22a_2 _266_ (.A1(\pll_control.tval[0] ),
- .A2(_130_),
- .B1(_084_),
- .B2(_131_),
- .X(_057_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or3_2 _267_ (.A(_075_),
- .B(_076_),
- .C(_074_),
- .X(_155_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__inv_2 _268_ (.A(_155_),
- .Y(_156_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__nand2_2 _269_ (.A(\pll_control.count0[3] ),
- .B(_156_),
- .Y(_157_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__a21oi_2 _270_ (.A1(_072_),
- .A2(_157_),
- .B1(_087_),
- .Y(_056_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o221a_2 _271_ (.A1(\pll_control.count0[3] ),
- .A2(_156_),
- .B1(\pll_control.count0[4] ),
- .B2(_157_),
- .C1(_086_),
- .X(_055_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__and4_2 _272_ (.A(\pll_control.count0[3] ),
- .B(_156_),
- .C(\pll_control.count0[4] ),
- .D(_086_),
- .X(_158_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o21ai_2 _273_ (.A1(_075_),
- .A2(_076_),
- .B1(_074_),
- .Y(_159_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__a31o_2 _274_ (.A1(_086_),
- .A2(_155_),
- .A3(_159_),
- .B1(_158_),
- .X(_054_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o221a_2 _275_ (.A1(_075_),
- .A2(_076_),
- .B1(\pll_control.count0[1] ),
- .B2(\pll_control.count0[0] ),
- .C1(_086_),
- .X(_160_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _276_ (.A(_158_),
- .B(_160_),
- .X(_053_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__a311o_2 _277_ (.A1(\pll_control.count0[3] ),
- .A2(_156_),
- .A3(\pll_control.count0[4] ),
- .B1(_076_),
- .C1(_087_),
- .X(_052_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _278_ (.A(\pll_control.tint[4] ),
- .B(_126_),
- .X(_004_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _279_ (.A(\pll_control.tint[1] ),
- .B(_004_),
- .X(_007_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _280_ (.A(\pll_control.tint[3] ),
- .B(_080_),
- .X(_161_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o31a_2 _281_ (.A1(_124_),
- .A2(_161_),
- .A3(\pll_control.tint[4] ),
- .B1(_004_),
- .X(_009_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o31a_2 _282_ (.A1(\pll_control.tint[4] ),
- .A2(_161_),
- .A3(\pll_control.tint[1] ),
- .B1(_004_),
- .X(_013_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o31a_2 _283_ (.A1(\pll_control.tint[4] ),
- .A2(_161_),
- .A3(_120_),
- .B1(_004_),
- .X(_006_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o21a_2 _284_ (.A1(\pll_control.tint[4] ),
- .A2(_161_),
- .B1(_004_),
- .X(_003_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o41a_2 _285_ (.A1(_079_),
- .A2(\pll_control.tint[2] ),
- .A3(\pll_control.tint[4] ),
- .A4(_124_),
- .B1(_003_),
- .X(_010_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o41a_2 _286_ (.A1(_079_),
- .A2(\pll_control.tint[2] ),
- .A3(\pll_control.tint[4] ),
- .A4(\pll_control.tint[1] ),
- .B1(_003_),
- .X(_005_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o41a_2 _287_ (.A1(_079_),
- .A2(\pll_control.tint[2] ),
- .A3(\pll_control.tint[4] ),
- .A4(_120_),
- .B1(_003_),
- .X(_012_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 _288_ (.A(_120_),
- .B(_004_),
- .X(_011_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o31a_2 _289_ (.A1(_079_),
- .A2(\pll_control.tint[2] ),
- .A3(\pll_control.tint[4] ),
- .B1(_003_),
- .X(_002_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__a31o_2 _290_ (.A1(\pll_control.tint[3] ),
- .A2(\pll_control.tint[2] ),
- .A3(_124_),
- .B1(\pll_control.tint[4] ),
- .X(_008_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__a31o_2 _291_ (.A1(\pll_control.tint[3] ),
- .A2(\pll_control.tint[2] ),
- .A3(\pll_control.tint[1] ),
- .B1(\pll_control.tint[4] ),
- .X(_014_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__nand2_2 _292_ (.A(_078_),
- .B(_015_),
- .Y(_022_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o311a_2 _293_ (.A1(\pll_control.tint[1] ),
- .A2(_082_),
- .A3(_126_),
- .B1(\pll_control.tint[4] ),
- .C1(_000_),
- .X(_024_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or3_2 _294_ (.A(_124_),
- .B(_161_),
- .C(_078_),
- .X(_162_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o311a_2 _295_ (.A1(_078_),
- .A2(_126_),
- .A3(_081_),
- .B1(_162_),
- .C1(_024_),
- .X(_017_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o41a_2 _296_ (.A1(\pll_control.tint[1] ),
- .A2(_082_),
- .A3(_161_),
- .A4(_078_),
- .B1(_017_),
- .X(_025_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__or4_2 _297_ (.A(_079_),
- .B(\pll_control.tint[2] ),
- .C(_124_),
- .D(_078_),
- .X(_163_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o311a_2 _298_ (.A1(_078_),
- .A2(_161_),
- .A3(_081_),
- .B1(_163_),
- .C1(_025_),
- .X(_016_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__and2_2 _299_ (.A(_018_),
- .B(_022_),
- .X(_019_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o41a_2 _300_ (.A1(_081_),
- .A2(\pll_control.tint[0] ),
- .A3(_078_),
- .A4(_161_),
- .B1(_025_),
- .X(_020_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__nor2_2 _301_ (.A(_078_),
- .B(_127_),
- .Y(_021_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__and3_2 _302_ (.A(\pll_control.tint[4] ),
- .B(_126_),
- .C(_161_),
- .X(_027_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o31a_2 _303_ (.A1(_079_),
- .A2(\pll_control.tint[2] ),
- .A3(\pll_control.tint[1] ),
- .B1(_027_),
- .X(_023_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__o311a_2 _304_ (.A1(_120_),
- .A2(_125_),
- .A3(_126_),
- .B1(\pll_control.tint[4] ),
- .C1(_000_),
- .X(_028_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _305_ (.A(_050_),
- .X(_049_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _306_ (.A(_050_),
- .X(_048_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _307_ (.A(_050_),
- .X(_047_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _308_ (.A(_050_),
- .X(_046_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _309_ (.A(_050_),
- .X(_045_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _310_ (.A(_050_),
- .X(_044_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _311_ (.A(_050_),
- .X(_043_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _312_ (.A(_050_),
- .X(_042_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _313_ (.A(_050_),
- .X(_041_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _314_ (.A(_050_),
- .X(_040_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _315_ (.A(_050_),
- .X(_039_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _316_ (.A(_050_),
- .X(_038_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _317_ (.A(_050_),
- .X(_037_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _318_ (.A(_050_),
- .X(_036_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _319_ (.A(_050_),
- .X(_035_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _320_ (.A(_050_),
- .X(_034_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _321_ (.A(_050_),
- .X(_033_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _322_ (.A(_050_),
- .X(_032_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _323_ (.A(_050_),
- .X(_031_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _324_ (.A(_050_),
- .X(_030_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _325_ (.A(_050_),
- .X(_029_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _326_ (.A(\pll_control.tint[4] ),
- .X(_026_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_1 _327_ (.A(_050_),
- .X(_051_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__buf_2 _328_ (.A(\pll_control.clock ),
- .X(clockp[0]),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _329_ (.A0(_015_),
- .A1(_000_),
- .S(\pll_control.tint[4] ),
- .X(_018_),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _330_ (.A0(_012_),
- .A1(ext_trim[11]),
- .S(dco),
- .X(\ringosc.dstage[11].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _331_ (.A0(_027_),
- .A1(ext_trim[24]),
- .S(dco),
- .X(\ringosc.dstage[11].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _332_ (.A0(_011_),
- .A1(ext_trim[10]),
- .S(dco),
- .X(\ringosc.dstage[10].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _333_ (.A0(_026_),
- .A1(ext_trim[23]),
- .S(dco),
- .X(\ringosc.dstage[10].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _334_ (.A0(_010_),
- .A1(ext_trim[9]),
- .S(dco),
- .X(\ringosc.dstage[9].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _335_ (.A0(_025_),
- .A1(ext_trim[22]),
- .S(dco),
- .X(\ringosc.dstage[9].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _336_ (.A0(_009_),
- .A1(ext_trim[8]),
- .S(dco),
- .X(\ringosc.dstage[8].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _337_ (.A0(_024_),
- .A1(ext_trim[21]),
- .S(dco),
- .X(\ringosc.dstage[8].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _338_ (.A0(_008_),
- .A1(ext_trim[7]),
- .S(dco),
- .X(\ringosc.dstage[7].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _339_ (.A0(_023_),
- .A1(ext_trim[20]),
- .S(dco),
- .X(\ringosc.dstage[7].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _340_ (.A0(_007_),
- .A1(ext_trim[6]),
- .S(dco),
- .X(\ringosc.dstage[6].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _341_ (.A0(_022_),
- .A1(ext_trim[19]),
- .S(dco),
- .X(\ringosc.dstage[6].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _342_ (.A0(_006_),
- .A1(ext_trim[5]),
- .S(dco),
- .X(\ringosc.dstage[5].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _343_ (.A0(_021_),
- .A1(ext_trim[18]),
- .S(dco),
- .X(\ringosc.dstage[5].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _344_ (.A0(_005_),
- .A1(ext_trim[4]),
- .S(dco),
- .X(\ringosc.dstage[4].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _345_ (.A0(_020_),
- .A1(ext_trim[17]),
- .S(dco),
- .X(\ringosc.dstage[4].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _346_ (.A0(_004_),
- .A1(ext_trim[3]),
- .S(dco),
- .X(\ringosc.dstage[3].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _347_ (.A0(_019_),
- .A1(ext_trim[16]),
- .S(dco),
- .X(\ringosc.dstage[3].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _348_ (.A0(_003_),
- .A1(ext_trim[2]),
- .S(dco),
- .X(\ringosc.dstage[2].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _349_ (.A0(_017_),
- .A1(ext_trim[15]),
- .S(dco),
- .X(\ringosc.dstage[2].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _350_ (.A0(_002_),
- .A1(ext_trim[1]),
- .S(dco),
- .X(\ringosc.dstage[1].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _351_ (.A0(_016_),
- .A1(ext_trim[14]),
- .S(dco),
- .X(\ringosc.dstage[1].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _352_ (.A0(_001_),
- .A1(ext_trim[0]),
- .S(dco),
- .X(\ringosc.dstage[0].id.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _353_ (.A0(_014_),
- .A1(ext_trim[13]),
- .S(dco),
- .X(\ringosc.dstage[0].id.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _354_ (.A0(_013_),
- .A1(ext_trim[12]),
- .S(dco),
- .X(\ringosc.iss.trim[0] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__mux2_1 _355_ (.A0(_028_),
- .A1(ext_trim[25]),
- .S(dco),
- .X(\ringosc.iss.trim[1] ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _356_ (.D(osc),
- .Q(\pll_control.oscbuf[0] ),
- .RESET_B(_029_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _357_ (.D(\pll_control.oscbuf[0] ),
- .Q(\pll_control.oscbuf[1] ),
- .RESET_B(_030_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _358_ (.D(\pll_control.oscbuf[1] ),
- .Q(\pll_control.oscbuf[2] ),
- .RESET_B(_031_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _359_ (.D(_052_),
- .Q(\pll_control.count0[0] ),
- .RESET_B(_032_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _360_ (.D(_053_),
- .Q(\pll_control.count0[1] ),
- .RESET_B(_033_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _361_ (.D(_054_),
- .Q(\pll_control.count0[2] ),
- .RESET_B(_034_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _362_ (.D(_055_),
- .Q(\pll_control.count0[3] ),
- .RESET_B(_035_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _363_ (.D(_056_),
- .Q(\pll_control.count0[4] ),
- .RESET_B(_036_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _364_ (.D(_057_),
- .Q(\pll_control.tval[0] ),
- .RESET_B(_037_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _365_ (.D(_058_),
- .Q(\pll_control.tval[1] ),
- .RESET_B(_038_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _366_ (.D(_059_),
- .Q(\pll_control.tint[0] ),
- .RESET_B(_039_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _367_ (.D(_060_),
- .Q(\pll_control.tint[1] ),
- .RESET_B(_040_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _368_ (.D(_061_),
- .Q(\pll_control.tint[2] ),
- .RESET_B(_041_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _369_ (.D(_062_),
- .Q(\pll_control.tint[3] ),
- .RESET_B(_042_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _370_ (.D(_063_),
- .Q(\pll_control.tint[4] ),
- .RESET_B(_043_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _371_ (.D(_064_),
- .Q(\pll_control.prep[0] ),
- .RESET_B(_044_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _372_ (.D(_065_),
- .Q(\pll_control.prep[1] ),
- .RESET_B(_045_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _373_ (.D(_066_),
- .Q(\pll_control.prep[2] ),
- .RESET_B(_046_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
- .VNB(VGND),
- .VPB(VPWR),
- .VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _374_ (.D(_067_),
- .Q(\pll_control.count1[0] ),
- .RESET_B(_047_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_0_81 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _375_ (.D(_068_),
- .Q(\pll_control.count1[1] ),
- .RESET_B(_048_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_0_90 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _376_ (.D(_069_),
- .Q(\pll_control.count1[2] ),
- .RESET_B(_049_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_1_3 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _377_ (.D(_070_),
- .Q(\pll_control.count1[3] ),
- .RESET_B(_050_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_1_50 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__dfrtp_2 _378_ (.D(_071_),
- .Q(\pll_control.count1[4] ),
- .RESET_B(_051_),
- .CLK(\pll_control.clock ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_1_59 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[0].id.delaybuf0 (.A(\ringosc.dstage[0].id.in ),
- .X(\ringosc.dstage[0].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_2_3 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[0].id.delaybuf1 (.A(\ringosc.dstage[0].id.ts ),
- .X(\ringosc.dstage[0].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_2_35 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen0 (.A(\ringosc.dstage[0].id.d2 ),
- .TE(\ringosc.dstage[0].id.trim[0] ),
- .Z(\ringosc.dstage[0].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_2_79 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen1 (.A(\ringosc.dstage[0].id.d0 ),
- .TE(\ringosc.dstage[0].id.trim[1] ),
- .Z(\ringosc.dstage[0].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_2_99 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[0].id.delayenb0 (.A(\ringosc.dstage[0].id.ts ),
- .TE_B(\ringosc.dstage[0].id.trim[0] ),
- .Z(\ringosc.dstage[0].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_2 FILLER_2_134 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[0].id.delayenb1 (.A(\ringosc.dstage[0].id.ts ),
- .TE_B(\ringosc.dstage[0].id.trim[1] ),
- .Z(\ringosc.dstage[0].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_3_3 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[0].id.delayint0 (.A(\ringosc.dstage[0].id.d1 ),
- .Y(\ringosc.dstage[0].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_3_49 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[10].id.delaybuf0 (.A(\ringosc.dstage[10].id.in ),
- .X(\ringosc.dstage[10].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_2 FILLER_3_76 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[10].id.delaybuf1 (.A(\ringosc.dstage[10].id.ts ),
- .X(\ringosc.dstage[10].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_3_99 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen0 (.A(\ringosc.dstage[10].id.d2 ),
- .TE(\ringosc.dstage[10].id.trim[0] ),
- .Z(\ringosc.dstage[10].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_3_109 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen1 (.A(\ringosc.dstage[10].id.d0 ),
- .TE(\ringosc.dstage[10].id.trim[1] ),
- .Z(\ringosc.dstage[10].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_3_118 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[10].id.delayenb0 (.A(\ringosc.dstage[10].id.ts ),
- .TE_B(\ringosc.dstage[10].id.trim[0] ),
- .Z(\ringosc.dstage[10].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_4 FILLER_3_132 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[10].id.delayenb1 (.A(\ringosc.dstage[10].id.ts ),
- .TE_B(\ringosc.dstage[10].id.trim[1] ),
- .Z(\ringosc.dstage[10].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_4_31 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[10].id.delayint0 (.A(\ringosc.dstage[10].id.d1 ),
- .Y(\ringosc.dstage[10].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_4_55 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[11].id.delaybuf0 (.A(\ringosc.dstage[10].id.out ),
- .X(\ringosc.dstage[11].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_4_62 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[11].id.delaybuf1 (.A(\ringosc.dstage[11].id.ts ),
- .X(\ringosc.dstage[11].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_4_90 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen0 (.A(\ringosc.dstage[11].id.d2 ),
- .TE(\ringosc.dstage[11].id.trim[0] ),
- .Z(\ringosc.dstage[11].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_4 FILLER_4_132 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen1 (.A(\ringosc.dstage[11].id.d0 ),
- .TE(\ringosc.dstage[11].id.trim[1] ),
- .Z(\ringosc.dstage[11].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_2 FILLER_5_78 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[11].id.delayenb0 (.A(\ringosc.dstage[11].id.ts ),
- .TE_B(\ringosc.dstage[11].id.trim[0] ),
- .Z(\ringosc.dstage[11].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_5_87 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[11].id.delayenb1 (.A(\ringosc.dstage[11].id.ts ),
- .TE_B(\ringosc.dstage[11].id.trim[1] ),
- .Z(\ringosc.dstage[11].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_2 FILLER_5_134 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[11].id.delayint0 (.A(\ringosc.dstage[11].id.d1 ),
- .Y(\ringosc.dstage[11].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_4 FILLER_6_95 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[1].id.delaybuf0 (.A(\ringosc.dstage[0].id.out ),
- .X(\ringosc.dstage[1].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_6 FILLER_6_120 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[1].id.delaybuf1 (.A(\ringosc.dstage[1].id.ts ),
- .X(\ringosc.dstage[1].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_7_41 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen0 (.A(\ringosc.dstage[1].id.d2 ),
- .TE(\ringosc.dstage[1].id.trim[0] ),
- .Z(\ringosc.dstage[1].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_7_47 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen1 (.A(\ringosc.dstage[1].id.d0 ),
- .TE(\ringosc.dstage[1].id.trim[1] ),
- .Z(\ringosc.dstage[1].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_7_55 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[1].id.delayenb0 (.A(\ringosc.dstage[1].id.ts ),
- .TE_B(\ringosc.dstage[1].id.trim[0] ),
- .Z(\ringosc.dstage[1].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_2 FILLER_7_89 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[1].id.delayenb1 (.A(\ringosc.dstage[1].id.ts ),
- .TE_B(\ringosc.dstage[1].id.trim[1] ),
- .Z(\ringosc.dstage[1].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_7_113 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[1].id.delayint0 (.A(\ringosc.dstage[1].id.d1 ),
- .Y(\ringosc.dstage[1].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_7_124 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[2].id.delaybuf0 (.A(\ringosc.dstage[1].id.out ),
- .X(\ringosc.dstage[2].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_8_24 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[2].id.delaybuf1 (.A(\ringosc.dstage[2].id.ts ),
- .X(\ringosc.dstage[2].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_8_42 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen0 (.A(\ringosc.dstage[2].id.d2 ),
- .TE(\ringosc.dstage[2].id.trim[0] ),
- .Z(\ringosc.dstage[2].id.out ),
- .VGND(VGND),
+ sky130_ef_sc_hd__decap_12 FILLER_8_58 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen1 (.A(\ringosc.dstage[2].id.d0 ),
- .TE(\ringosc.dstage[2].id.trim[1] ),
- .Z(\ringosc.dstage[2].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_4 FILLER_8_70 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[2].id.delayenb0 (.A(\ringosc.dstage[2].id.ts ),
- .TE_B(\ringosc.dstage[2].id.trim[0] ),
- .Z(\ringosc.dstage[2].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_8_90 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[2].id.delayenb1 (.A(\ringosc.dstage[2].id.ts ),
- .TE_B(\ringosc.dstage[2].id.trim[1] ),
- .Z(\ringosc.dstage[2].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_8_128 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[2].id.delayint0 (.A(\ringosc.dstage[2].id.d1 ),
- .Y(\ringosc.dstage[2].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_2 FILLER_8_134 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[3].id.delaybuf0 (.A(\ringosc.dstage[2].id.out ),
- .X(\ringosc.dstage[3].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_9_57 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[3].id.delaybuf1 (.A(\ringosc.dstage[3].id.ts ),
- .X(\ringosc.dstage[3].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_9_78 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen0 (.A(\ringosc.dstage[3].id.d2 ),
- .TE(\ringosc.dstage[3].id.trim[0] ),
- .Z(\ringosc.dstage[3].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_9_111 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen1 (.A(\ringosc.dstage[3].id.d0 ),
- .TE(\ringosc.dstage[3].id.trim[1] ),
- .Z(\ringosc.dstage[3].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_9_125 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[3].id.delayenb0 (.A(\ringosc.dstage[3].id.ts ),
- .TE_B(\ringosc.dstage[3].id.trim[0] ),
- .Z(\ringosc.dstage[3].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_9_135 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[3].id.delayenb1 (.A(\ringosc.dstage[3].id.ts ),
- .TE_B(\ringosc.dstage[3].id.trim[1] ),
- .Z(\ringosc.dstage[3].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_10_3 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[3].id.delayint0 (.A(\ringosc.dstage[3].id.d1 ),
- .Y(\ringosc.dstage[3].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_10_27 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[4].id.delaybuf0 (.A(\ringosc.dstage[3].id.out ),
- .X(\ringosc.dstage[4].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_10_70 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[4].id.delaybuf1 (.A(\ringosc.dstage[4].id.ts ),
- .X(\ringosc.dstage[4].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_10_85 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen0 (.A(\ringosc.dstage[4].id.d2 ),
- .TE(\ringosc.dstage[4].id.trim[0] ),
- .Z(\ringosc.dstage[4].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_11_55 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen1 (.A(\ringosc.dstage[4].id.d0 ),
- .TE(\ringosc.dstage[4].id.trim[1] ),
- .Z(\ringosc.dstage[4].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_11_85 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[4].id.delayenb0 (.A(\ringosc.dstage[4].id.ts ),
- .TE_B(\ringosc.dstage[4].id.trim[0] ),
- .Z(\ringosc.dstage[4].id.out ),
- .VGND(VGND),
+ sky130_ef_sc_hd__decap_12 FILLER_11_100 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[4].id.delayenb1 (.A(\ringosc.dstage[4].id.ts ),
- .TE_B(\ringosc.dstage[4].id.trim[1] ),
- .Z(\ringosc.dstage[4].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_11_113 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[4].id.delayint0 (.A(\ringosc.dstage[4].id.d1 ),
- .Y(\ringosc.dstage[4].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_4 FILLER_11_131 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[5].id.delaybuf0 (.A(\ringosc.dstage[4].id.out ),
- .X(\ringosc.dstage[5].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_11_135 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[5].id.delaybuf1 (.A(\ringosc.dstage[5].id.ts ),
- .X(\ringosc.dstage[5].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_12_16 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen0 (.A(\ringosc.dstage[5].id.d2 ),
- .TE(\ringosc.dstage[5].id.trim[0] ),
- .Z(\ringosc.dstage[5].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen1 (.A(\ringosc.dstage[5].id.d0 ),
- .TE(\ringosc.dstage[5].id.trim[1] ),
- .Z(\ringosc.dstage[5].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_12_75 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[5].id.delayenb0 (.A(\ringosc.dstage[5].id.ts ),
- .TE_B(\ringosc.dstage[5].id.trim[0] ),
- .Z(\ringosc.dstage[5].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_12_85 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[5].id.delayenb1 (.A(\ringosc.dstage[5].id.ts ),
- .TE_B(\ringosc.dstage[5].id.trim[1] ),
- .Z(\ringosc.dstage[5].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_4 FILLER_12_107 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[5].id.delayint0 (.A(\ringosc.dstage[5].id.d1 ),
- .Y(\ringosc.dstage[5].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_13_3 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[6].id.delaybuf0 (.A(\ringosc.dstage[5].id.out ),
- .X(\ringosc.dstage[6].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_13_24 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[6].id.delaybuf1 (.A(\ringosc.dstage[6].id.ts ),
- .X(\ringosc.dstage[6].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_3 FILLER_13_69 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen0 (.A(\ringosc.dstage[6].id.d2 ),
- .TE(\ringosc.dstage[6].id.trim[0] ),
- .Z(\ringosc.dstage[6].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_13_94 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen1 (.A(\ringosc.dstage[6].id.d0 ),
- .TE(\ringosc.dstage[6].id.trim[1] ),
- .Z(\ringosc.dstage[6].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_13_118 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[6].id.delayenb0 (.A(\ringosc.dstage[6].id.ts ),
- .TE_B(\ringosc.dstage[6].id.trim[0] ),
- .Z(\ringosc.dstage[6].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_14_5 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[6].id.delayenb1 (.A(\ringosc.dstage[6].id.ts ),
- .TE_B(\ringosc.dstage[6].id.trim[1] ),
- .Z(\ringosc.dstage[6].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_14_10 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[6].id.delayint0 (.A(\ringosc.dstage[6].id.d1 ),
- .Y(\ringosc.dstage[6].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_14_27 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[7].id.delaybuf0 (.A(\ringosc.dstage[6].id.out ),
- .X(\ringosc.dstage[7].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_2 FILLER_14_69 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[7].id.delaybuf1 (.A(\ringosc.dstage[7].id.ts ),
- .X(\ringosc.dstage[7].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__decap_4 FILLER_14_79 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen0 (.A(\ringosc.dstage[7].id.d2 ),
- .TE(\ringosc.dstage[7].id.trim[0] ),
- .Z(\ringosc.dstage[7].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_14_83 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen1 (.A(\ringosc.dstage[7].id.d0 ),
- .TE(\ringosc.dstage[7].id.trim[1] ),
- .Z(\ringosc.dstage[7].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_14_135 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[7].id.delayenb0 (.A(\ringosc.dstage[7].id.ts ),
- .TE_B(\ringosc.dstage[7].id.trim[0] ),
- .Z(\ringosc.dstage[7].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_15_23 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[7].id.delayenb1 (.A(\ringosc.dstage[7].id.ts ),
- .TE_B(\ringosc.dstage[7].id.trim[1] ),
- .Z(\ringosc.dstage[7].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_15_67 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[7].id.delayint0 (.A(\ringosc.dstage[7].id.d1 ),
- .Y(\ringosc.dstage[7].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_15_75 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[8].id.delaybuf0 (.A(\ringosc.dstage[7].id.out ),
- .X(\ringosc.dstage[8].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_15_99 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[8].id.delaybuf1 (.A(\ringosc.dstage[8].id.ts ),
- .X(\ringosc.dstage[8].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_15_135 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen0 (.A(\ringosc.dstage[8].id.d2 ),
- .TE(\ringosc.dstage[8].id.trim[0] ),
- .Z(\ringosc.dstage[8].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_16_45 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen1 (.A(\ringosc.dstage[8].id.d0 ),
- .TE(\ringosc.dstage[8].id.trim[1] ),
- .Z(\ringosc.dstage[8].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_16_67 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[8].id.delayenb0 (.A(\ringosc.dstage[8].id.ts ),
- .TE_B(\ringosc.dstage[8].id.trim[0] ),
- .Z(\ringosc.dstage[8].id.out ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_16_78 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[8].id.delayenb1 (.A(\ringosc.dstage[8].id.ts ),
- .TE_B(\ringosc.dstage[8].id.trim[1] ),
- .Z(\ringosc.dstage[8].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_16_116 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[8].id.delayint0 (.A(\ringosc.dstage[8].id.d1 ),
- .Y(\ringosc.dstage[8].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_16_135 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[9].id.delaybuf0 (.A(\ringosc.dstage[8].id.out ),
- .X(\ringosc.dstage[9].id.ts ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_17_26 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[9].id.delaybuf1 (.A(\ringosc.dstage[9].id.ts ),
- .X(\ringosc.dstage[9].id.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_17_49 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen0 (.A(\ringosc.dstage[9].id.d2 ),
- .TE(\ringosc.dstage[9].id.trim[0] ),
- .Z(\ringosc.dstage[10].id.in ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_17_69 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen1 (.A(\ringosc.dstage[9].id.d0 ),
- .TE(\ringosc.dstage[9].id.trim[1] ),
- .Z(\ringosc.dstage[9].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_17_84 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.dstage[9].id.delayenb0 (.A(\ringosc.dstage[9].id.ts ),
- .TE_B(\ringosc.dstage[9].id.trim[0] ),
- .Z(\ringosc.dstage[10].id.in ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_17_108 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.dstage[9].id.delayenb1 (.A(\ringosc.dstage[9].id.ts ),
- .TE_B(\ringosc.dstage[9].id.trim[1] ),
- .Z(\ringosc.dstage[9].id.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_17_135 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[9].id.delayint0 (.A(\ringosc.dstage[9].id.d1 ),
- .Y(\ringosc.dstage[9].id.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_18_72 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp00 (.A(\ringosc.dstage[0].id.in ),
- .Y(\ringosc.c[0] ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_18_75 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp01 (.A(\ringosc.c[0] ),
- .Y(\pll_control.clock ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_18_97 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp10 (.A(\ringosc.dstage[5].id.out ),
- .Y(\ringosc.c[1] ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_19_47 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp11 (.A(\ringosc.c[1] ),
- .Y(clockp[1]),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__conb_1 \ringosc.iss.const1 (.HI(\ringosc.iss.one ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_20_33 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__or2_2 \ringosc.iss.ctrlen0 (.A(\ringosc.iss.reset ),
- .B(\ringosc.iss.trim[0] ),
- .X(\ringosc.iss.ctrl0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_20_97 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkbuf_1 \ringosc.iss.delaybuf0 (.A(\ringosc.dstage[11].id.out ),
- .X(\ringosc.iss.d0 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_21_72 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen0 (.A(\ringosc.iss.d2 ),
- .TE(\ringosc.iss.trim[0] ),
- .Z(\ringosc.dstage[0].id.in ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_21_111 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen1 (.A(\ringosc.iss.d0 ),
- .TE(\ringosc.iss.trim[1] ),
- .Z(\ringosc.iss.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_21_135 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_8 \ringosc.iss.delayenb0 (.A(\ringosc.dstage[11].id.out ),
- .TE_B(\ringosc.iss.ctrl0 ),
- .Z(\ringosc.dstage[0].id.in ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_22_35 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvn_4 \ringosc.iss.delayenb1 (.A(\ringosc.dstage[11].id.out ),
- .TE_B(\ringosc.iss.trim[1] ),
- .Z(\ringosc.iss.d1 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_22_83 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__clkinv_1 \ringosc.iss.delayint0 (.A(\ringosc.iss.d1 ),
- .Y(\ringosc.iss.d2 ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_22_88 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
- sky130_fd_sc_hd__einvp_1 \ringosc.iss.reseten0 (.A(\ringosc.iss.one ),
- .TE(\ringosc.iss.reset ),
- .Z(\ringosc.dstage[0].id.in ),
- .VGND(VGND),
+ sky130_fd_sc_hd__fill_1 FILLER_22_127 (.VGND(VGND),
.VNB(VGND),
.VPB(VPWR),
.VPWR(VPWR));
diff --git a/verilog/rtl/digital_pll.v b/verilog/rtl/digital_pll.v
index 322c2541..a0dcb099 100644
--- a/verilog/rtl/digital_pll.v
+++ b/verilog/rtl/digital_pll.v
@@ -43,6 +43,7 @@ module digital_pll(
output [1:0] clockp; // Two 90 degree clock phases
+ wire [1:0] clockp_buffer_in; // Input wires to clockp buffers
wire [25:0] itrim; // Internally generated trim bits
wire [25:0] otrim; // Trim bits applied to the ring oscillator
wire creset; // Controller reset
@@ -58,16 +59,40 @@ module digital_pll(
ring_osc2x13 ringosc (
.reset(ireset),
.trim(itrim),
- .clockp(clockp)
+ .clockp(clockp_buffer_in)
);
digital_pll_controller pll_control (
.reset(creset),
- .clock(clockp[0]),
+ .clock(clockp_buffer_in[0]),
.osc(osc),
.div(div),
.trim(otrim)
);
+ (* keep *)
+ sky130_fd_sc_hd__clkbuf_16 clockp_buffer_0 (
+`ifdef USE_POWER_PINS
+ .VPWR(VPWR),
+ .VGND(VGND),
+ .VPB(VPWR),
+ .VNB(VGND),
+`endif
+ .A(clockp_buffer_in[0]),
+ .X(clockp[0])
+ );
+
+ (* keep *)
+ sky130_fd_sc_hd__clkbuf_16 clockp_buffer_1 (
+`ifdef USE_POWER_PINS
+ .VPWR(VPWR),
+ .VGND(VGND),
+ .VPB(VPWR),
+ .VNB(VGND),
+`endif
+ .A(clockp_buffer_in[1]),
+ .X(clockp[1])
+ );
+
endmodule
`default_nettype wire