reharden: caravel_clocking

~ increase height for more spread decap insertion
+ add or cells to cell exclude
~ adjust pdn to have an offset half to pitch
~ change placement density in accordance to area
~ change padding to allow for space for decap insertion
This commit is contained in:
kareem 2022-10-18 06:18:30 -07:00
parent c0db032dbf
commit 3bd586b50c
60 changed files with 159736 additions and 159690 deletions

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -6,37 +6,53 @@ MACRO caravel_clocking
CLASS BLOCK ;
FOREIGN caravel_clocking ;
ORIGIN 0.000 0.000 ;
SIZE 100.000 BY 60.000 ;
SIZE 100.000 BY 100.000 ;
PIN VGND
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 23.270 2.480 24.870 54.640 ;
RECT 15.500 2.480 17.100 92.720 ;
END
PORT
LAYER met4 ;
RECT 38.770 2.480 40.370 54.640 ;
RECT 31.000 2.480 32.600 92.720 ;
END
PORT
LAYER met4 ;
RECT 54.270 2.480 55.870 54.640 ;
RECT 46.500 2.480 48.100 92.720 ;
END
PORT
LAYER met4 ;
RECT 69.770 2.480 71.370 54.640 ;
RECT 62.000 2.480 63.600 92.720 ;
END
PORT
LAYER met4 ;
RECT 85.270 2.480 86.870 54.640 ;
RECT 77.500 2.480 79.100 92.720 ;
END
PORT
LAYER met4 ;
RECT 93.000 2.480 94.600 92.720 ;
END
PORT
LAYER met5 ;
RECT 0.680 24.060 94.540 25.660 ;
RECT 0.680 16.100 94.600 17.700 ;
END
PORT
LAYER met5 ;
RECT 0.680 40.960 94.540 42.560 ;
RECT 0.680 33.000 94.600 34.600 ;
END
PORT
LAYER met5 ;
RECT 0.680 49.900 94.600 51.500 ;
END
PORT
LAYER met5 ;
RECT 0.680 66.800 94.600 68.400 ;
END
PORT
LAYER met5 ;
RECT 0.680 83.700 94.600 85.300 ;
END
END VGND
PIN VPWR
@ -44,39 +60,47 @@ MACRO caravel_clocking
USE POWER ;
PORT
LAYER met4 ;
RECT 15.520 2.480 17.120 54.640 ;
RECT 7.750 2.480 9.350 92.720 ;
END
PORT
LAYER met4 ;
RECT 31.020 2.480 32.620 54.640 ;
RECT 23.250 2.480 24.850 92.720 ;
END
PORT
LAYER met4 ;
RECT 46.520 2.480 48.120 54.640 ;
RECT 38.750 2.480 40.350 92.720 ;
END
PORT
LAYER met4 ;
RECT 62.020 2.480 63.620 54.640 ;
RECT 54.250 2.480 55.850 92.720 ;
END
PORT
LAYER met4 ;
RECT 77.520 2.480 79.120 54.640 ;
RECT 69.750 2.480 71.350 92.720 ;
END
PORT
LAYER met4 ;
RECT 93.020 2.480 94.620 54.640 ;
RECT 85.250 2.480 86.850 92.720 ;
END
PORT
LAYER met5 ;
RECT 0.680 15.610 94.620 17.210 ;
RECT 0.680 7.650 94.540 9.250 ;
END
PORT
LAYER met5 ;
RECT 0.680 32.510 94.620 34.110 ;
RECT 0.680 24.550 94.540 26.150 ;
END
PORT
LAYER met5 ;
RECT 0.680 49.410 94.620 51.010 ;
RECT 0.680 41.450 94.540 43.050 ;
END
PORT
LAYER met5 ;
RECT 0.680 58.350 94.540 59.950 ;
END
PORT
LAYER met5 ;
RECT 0.680 75.250 94.540 76.850 ;
END
END VPWR
PIN core_clk
@ -84,7 +108,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 35.510 56.000 35.790 60.000 ;
RECT 35.510 96.000 35.790 100.000 ;
END
END core_clk
PIN ext_clk
@ -92,7 +116,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.250 56.000 21.530 60.000 ;
RECT 21.250 96.000 21.530 100.000 ;
END
END ext_clk
PIN ext_clk_sel
@ -100,7 +124,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 3.440 100.000 4.040 ;
RECT 96.000 6.840 100.000 7.440 ;
END
END ext_clk_sel
PIN ext_reset
@ -108,7 +132,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 55.800 100.000 56.400 ;
RECT 96.000 92.520 100.000 93.120 ;
END
END ext_reset
PIN pll_clk
@ -116,7 +140,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 78.290 56.000 78.570 60.000 ;
RECT 78.290 96.000 78.570 100.000 ;
END
END pll_clk
PIN pll_clk90
@ -124,7 +148,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 92.550 56.000 92.830 60.000 ;
RECT 92.550 96.000 92.830 100.000 ;
END
END pll_clk90
PIN resetb
@ -132,7 +156,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.990 56.000 7.270 60.000 ;
RECT 6.990 96.000 7.270 100.000 ;
END
END resetb
PIN resetb_sync
@ -140,7 +164,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 64.030 56.000 64.310 60.000 ;
RECT 64.030 96.000 64.310 100.000 ;
END
END resetb_sync
PIN sel2[0]
@ -148,7 +172,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 33.360 100.000 33.960 ;
RECT 96.000 55.800 100.000 56.400 ;
END
END sel2[0]
PIN sel2[1]
@ -156,7 +180,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 40.840 100.000 41.440 ;
RECT 96.000 68.040 100.000 68.640 ;
END
END sel2[1]
PIN sel2[2]
@ -164,7 +188,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 48.320 100.000 48.920 ;
RECT 96.000 80.280 100.000 80.880 ;
END
END sel2[2]
PIN sel[0]
@ -172,7 +196,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 10.920 100.000 11.520 ;
RECT 96.000 19.080 100.000 19.680 ;
END
END sel[0]
PIN sel[1]
@ -180,7 +204,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 18.400 100.000 19.000 ;
RECT 96.000 31.320 100.000 31.920 ;
END
END sel[1]
PIN sel[2]
@ -188,7 +212,7 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 96.000 25.880 100.000 26.480 ;
RECT 96.000 43.560 100.000 44.160 ;
END
END sel[2]
PIN user_clk
@ -196,45 +220,41 @@ MACRO caravel_clocking
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 49.770 56.000 50.050 60.000 ;
RECT 49.770 96.000 50.050 100.000 ;
END
END user_clk
OBS
LAYER li1 ;
RECT 0.920 2.635 94.300 54.485 ;
RECT 0.920 2.635 94.300 92.565 ;
LAYER met1 ;
RECT 0.920 0.380 96.070 57.080 ;
RECT 0.920 2.480 95.610 92.720 ;
LAYER met2 ;
RECT 2.400 55.720 6.710 57.110 ;
RECT 7.550 55.720 20.970 57.110 ;
RECT 21.810 55.720 35.230 57.110 ;
RECT 36.070 55.720 49.490 57.110 ;
RECT 50.330 55.720 63.750 57.110 ;
RECT 64.590 55.720 78.010 57.110 ;
RECT 78.850 55.720 92.270 57.110 ;
RECT 93.110 55.720 96.040 57.110 ;
RECT 2.400 0.350 96.040 55.720 ;
RECT 2.860 95.720 6.710 96.290 ;
RECT 7.550 95.720 20.970 96.290 ;
RECT 21.810 95.720 35.230 96.290 ;
RECT 36.070 95.720 49.490 96.290 ;
RECT 50.330 95.720 63.750 96.290 ;
RECT 64.590 95.720 78.010 96.290 ;
RECT 78.850 95.720 92.270 96.290 ;
RECT 93.110 95.720 95.590 96.290 ;
RECT 2.860 2.535 95.590 95.720 ;
LAYER met3 ;
RECT 2.825 55.400 95.600 56.250 ;
RECT 2.825 49.320 96.000 55.400 ;
RECT 2.825 47.920 95.600 49.320 ;
RECT 2.825 41.840 96.000 47.920 ;
RECT 2.825 40.440 95.600 41.840 ;
RECT 2.825 34.360 96.000 40.440 ;
RECT 2.825 32.960 95.600 34.360 ;
RECT 2.825 26.880 96.000 32.960 ;
RECT 2.825 25.480 95.600 26.880 ;
RECT 2.825 19.400 96.000 25.480 ;
RECT 2.825 18.000 95.600 19.400 ;
RECT 2.825 11.920 96.000 18.000 ;
RECT 2.825 10.520 95.600 11.920 ;
RECT 2.825 4.440 96.000 10.520 ;
RECT 2.825 3.040 95.600 4.440 ;
RECT 2.825 2.555 96.000 3.040 ;
LAYER met4 ;
RECT 72.055 4.935 77.120 42.665 ;
RECT 79.520 4.935 84.870 42.665 ;
RECT 87.270 4.935 88.025 42.665 ;
RECT 7.760 92.120 95.600 92.985 ;
RECT 7.760 81.280 96.000 92.120 ;
RECT 7.760 79.880 95.600 81.280 ;
RECT 7.760 69.040 96.000 79.880 ;
RECT 7.760 67.640 95.600 69.040 ;
RECT 7.760 56.800 96.000 67.640 ;
RECT 7.760 55.400 95.600 56.800 ;
RECT 7.760 44.560 96.000 55.400 ;
RECT 7.760 43.160 95.600 44.560 ;
RECT 7.760 32.320 96.000 43.160 ;
RECT 7.760 30.920 95.600 32.320 ;
RECT 7.760 20.080 96.000 30.920 ;
RECT 7.760 18.680 95.600 20.080 ;
RECT 7.760 7.840 96.000 18.680 ;
RECT 7.760 6.440 95.600 7.840 ;
RECT 7.760 2.555 96.000 6.440 ;
END
END caravel_clocking
END LIBRARY

View File

@ -136,136 +136,136 @@ library (caravel_clocking) {
related_pin : "ext_clk";
timing_type : rising_edge;
cell_rise(template_3) {
values("1.77351,1.77539,1.78182,1.80145,1.85967,2.06149,2.82202");
values("1.66101,1.66289,1.66932,1.68895,1.74717,1.94899,2.70950");
}
rise_transition(template_3) {
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414");
}
cell_fall(template_4) {
values("0.85614,0.85786,0.86371,0.88026,0.92357,1.05073,1.51219");
values("-0.11201,-0.11030,-0.10445,-0.08790,-0.04459,0.08258,0.54403");
}
fall_transition(template_4) {
values("0.02326,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
}
}
timing() {
related_pin : "ext_clk";
timing_type : falling_edge;
cell_rise(template_5) {
values("-2.16497,-2.16309,-2.15666,-2.13703,-2.07881,-1.87699,-1.11647");
values("-0.15692,-0.15505,-0.14862,-0.12898,-0.07076,0.13106,0.89157");
}
rise_transition(template_5) {
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414");
}
cell_fall(template_6) {
values("3.08532,3.08703,3.09289,3.10943,3.15275,3.27991,3.74136");
values("2.99607,2.99779,3.00364,3.02018,3.06350,3.19066,3.65212");
}
fall_transition(template_6) {
values("0.02326,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
}
}
timing() {
related_pin : "core_clk";
timing_type : rising_edge;
cell_rise(template_7) {
values("1.77351,1.77539,1.78182,1.80145,1.85967,2.06149,2.82202");
values("1.66101,1.66289,1.66932,1.68895,1.74717,1.94899,2.70950");
}
rise_transition(template_7) {
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414");
}
cell_fall(template_8) {
values("-0.11202,-0.11030,-0.10445,-0.08790,-0.04459,0.08258,0.54403");
values("-0.11201,-0.11030,-0.10445,-0.08790,-0.04459,0.08258,0.54403");
}
fall_transition(template_8) {
values("0.02326,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
}
}
timing() {
related_pin : "core_clk";
timing_type : falling_edge;
cell_rise(template_9) {
values("-0.15692,-0.15505,-0.14862,-0.12898,-0.07076,0.13106,0.89158");
values("-0.15692,-0.15505,-0.14862,-0.12898,-0.07076,0.13106,0.89157");
}
rise_transition(template_9) {
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40936,1.50414");
}
cell_fall(template_10) {
values("15.58532,15.58703,15.59289,15.60943,15.65275,15.77991,16.24136");
values("15.49607,15.49779,15.50364,15.52018,15.56350,15.69066,16.15211");
}
fall_transition(template_10) {
values("0.02326,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
values("0.02325,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
}
}
}
pin("ext_clk") {
direction : input;
capacitance : 0.0112;
capacitance : 0.0113;
}
pin("ext_clk_sel") {
direction : input;
capacitance : 0.0105;
capacitance : 0.0038;
timing() {
related_pin : "pll_clk";
timing_type : hold_rising;
rise_constraint(scalar) {
values("0.49683");
values("0.27545");
}
fall_constraint(scalar) {
values("-0.20539");
values("-0.59870");
}
}
timing() {
related_pin : "pll_clk";
timing_type : setup_rising;
rise_constraint(scalar) {
values("-0.31425");
values("-0.06985");
}
fall_constraint(scalar) {
values("0.41911");
values("0.85359");
}
}
}
pin("ext_reset") {
direction : input;
capacitance : 0.0202;
capacitance : 0.0043;
timing() {
related_pin : "ext_clk";
timing_type : hold_rising;
rise_constraint(scalar) {
values("-5.57863");
values("-5.52988");
}
fall_constraint(scalar) {
values("-6.49931");
values("-6.42033");
}
}
timing() {
related_pin : "ext_clk";
timing_type : setup_rising;
rise_constraint(scalar) {
values("5.64686");
values("5.59236");
}
fall_constraint(scalar) {
values("6.65718");
values("6.56985");
}
}
}
pin("pll_clk") {
direction : input;
capacitance : 0.0172;
capacitance : 0.0217;
}
pin("pll_clk90") {
direction : input;
capacitance : 0.0188;
capacitance : 0.0155;
}
pin("resetb") {
direction : input;
capacitance : 0.0094;
capacitance : 0.0044;
timing() {
related_pin : "ext_clk";
timing_sense : negative_unate;
timing_type : hold_falling;
rise_constraint(scalar) {
values("2.90246");
values("2.67088");
}
}
timing() {
@ -273,27 +273,27 @@ library (caravel_clocking) {
timing_sense : negative_unate;
timing_type : setup_falling;
rise_constraint(scalar) {
values("-2.00804");
values("-1.83114");
}
}
timing() {
related_pin : "pll_clk";
timing_type : hold_rising;
rise_constraint(scalar) {
values("0.72450");
values("0.56148");
}
fall_constraint(scalar) {
values("-0.54295");
values("-0.63541");
}
}
timing() {
related_pin : "pll_clk";
timing_type : setup_rising;
rise_constraint(scalar) {
values("0.25956");
values("0.41085");
}
fall_constraint(scalar) {
values("0.96816");
values("1.06792");
}
}
timing() {
@ -301,7 +301,7 @@ library (caravel_clocking) {
timing_sense : negative_unate;
timing_type : hold_falling;
rise_constraint(scalar) {
values("1.75071");
values("1.58562");
}
}
timing() {
@ -309,7 +309,7 @@ library (caravel_clocking) {
timing_sense : negative_unate;
timing_type : setup_falling;
rise_constraint(scalar) {
values("-0.99291");
values("-1.02788");
}
}
timing() {
@ -317,7 +317,7 @@ library (caravel_clocking) {
timing_sense : positive_unate;
timing_type : hold_rising;
rise_constraint(scalar) {
values("0.67873");
values("0.53892");
}
}
timing() {
@ -325,7 +325,7 @@ library (caravel_clocking) {
timing_sense : positive_unate;
timing_type : setup_rising;
rise_constraint(scalar) {
values("0.04584");
values("0.15517");
}
}
timing() {
@ -333,7 +333,7 @@ library (caravel_clocking) {
timing_sense : negative_unate;
timing_type : hold_falling;
rise_constraint(scalar) {
values("1.74203");
values("1.56719");
}
}
timing() {
@ -341,7 +341,7 @@ library (caravel_clocking) {
timing_sense : negative_unate;
timing_type : setup_falling;
rise_constraint(scalar) {
values("-0.82009");
values("-0.70527");
}
}
}
@ -353,32 +353,32 @@ library (caravel_clocking) {
timing_sense : negative_unate;
timing_type : combinational;
cell_rise(template_1) {
values("1.49283,1.49546,1.50571,1.54117,1.68199,2.32272,5.28052");
values("1.40631,1.40883,1.41875,1.45386,1.59465,2.23564,5.19283");
}
rise_transition(template_1) {
values("0.02385,0.02585,0.03448,0.07350,0.26600,1.18188,5.39669");
values("0.02247,0.02443,0.03316,0.07268,0.26583,1.18279,5.39656");
}
cell_fall(template_2) {
values("0.55758,0.55961,0.56716,0.59025,0.65897,0.93072,2.17775");
values("0.50304,0.50506,0.51263,0.53575,0.60447,0.87618,2.12327");
}
fall_transition(template_2) {
values("0.01891,0.02021,0.02508,0.04333,0.11877,0.48588,2.21350");
values("0.01885,0.02014,0.02505,0.04329,0.11876,0.48570,2.21348");
}
}
timing() {
related_pin : "ext_clk";
timing_type : falling_edge;
cell_rise(template_11) {
values("16.39483,16.39745,16.40770,16.44316,16.58398,17.22471,20.18251");
values("16.24807,16.25059,16.26051,16.29562,16.43641,17.07740,20.03459");
}
rise_transition(template_11) {
values("0.02385,0.02585,0.03448,0.07350,0.26600,1.18188,5.39669");
values("0.02247,0.02443,0.03316,0.07268,0.26583,1.18279,5.39656");
}
cell_fall(template_12) {
values("16.37308,16.37510,16.38266,16.40575,16.47446,16.74621,17.99324");
values("16.26107,16.26309,16.27067,16.29379,16.36251,16.63421,17.88131");
}
fall_transition(template_12) {
values("0.01891,0.02021,0.02508,0.04333,0.11877,0.48588,2.21350");
values("0.01885,0.02014,0.02505,0.04329,0.11876,0.48570,2.21348");
}
}
}
@ -389,80 +389,80 @@ library (caravel_clocking) {
related_pin : "ext_clk";
timing_type : rising_edge;
cell_rise(template_13) {
values("1.65058,1.65245,1.65888,1.67835,1.73650,1.93855,2.70612");
values("1.54263,1.54451,1.55093,1.57042,1.62858,1.83060,2.59730");
}
rise_transition(template_13) {
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596");
}
cell_fall(template_14) {
values("-0.10797,-0.10629,-0.10034,-0.08375,-0.04057,0.08665,0.54822");
values("-0.10799,-0.10631,-0.10034,-0.08375,-0.04057,0.08664,0.54821");
}
fall_transition(template_14) {
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304");
}
}
timing() {
related_pin : "ext_clk";
timing_type : falling_edge;
cell_rise(template_15) {
values("-0.15034,-0.14848,-0.14205,-0.12258,-0.06443,0.13762,0.90519");
values("-0.15037,-0.14850,-0.14207,-0.12258,-0.06442,0.13760,0.90429");
}
rise_transition(template_15) {
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596");
}
cell_fall(template_16) {
values("15.44422,15.44590,15.45185,15.46844,15.51162,15.63883,16.10041");
values("15.35966,15.36134,15.36731,15.38390,15.42708,15.55429,16.01586");
}
fall_transition(template_16) {
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304");
}
}
timing() {
related_pin : "pll_clk";
timing_type : rising_edge;
cell_rise(template_17) {
values("2.24350,2.24537,2.25180,2.27127,2.32941,2.53147,3.29904");
values("2.11329,2.11516,2.12159,2.14108,2.19924,2.40126,3.16795");
}
rise_transition(template_17) {
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596");
}
cell_fall(template_18) {
values("2.55720,2.55888,2.56483,2.58142,2.62460,2.75182,3.21339");
values("2.45140,2.45308,2.45905,2.47564,2.51882,2.64603,3.10759");
}
fall_transition(template_18) {
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304");
}
}
timing() {
related_pin : "pll_clk90";
timing_type : rising_edge;
cell_rise(template_19) {
values("2.35743,2.35930,2.36573,2.38520,2.44335,2.64540,3.41297");
values("2.51398,2.51585,2.52228,2.54177,2.59993,2.80195,3.56864");
}
rise_transition(template_19) {
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596");
}
cell_fall(template_20) {
values("2.62003,2.62170,2.62766,2.64425,2.68743,2.81464,3.27621");
values("2.51791,2.51959,2.52555,2.54215,2.58533,2.71254,3.17410");
}
fall_transition(template_20) {
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304");
}
}
timing() {
related_pin : "pll_clk90";
timing_type : falling_edge;
cell_rise(template_21) {
values("6.65320,6.65507,6.66150,6.68097,6.73911,6.94117,7.70874");
values("6.81800,6.81987,6.82630,6.84579,6.90395,7.10597,7.87266");
}
rise_transition(template_21) {
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
values("0.02439,0.02587,0.03120,0.05053,0.12314,0.40892,1.50596");
}
cell_fall(template_22) {
values("6.91022,6.91190,6.91786,6.93445,6.97763,7.10484,7.56641");
values("6.81403,6.81570,6.82167,6.83826,6.88145,7.00866,7.47022");
}
fall_transition(template_22) {
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
values("0.02337,0.02442,0.02828,0.04105,0.08437,0.25148,0.91304");
}
}
}
@ -480,15 +480,15 @@ library (caravel_clocking) {
capacitance : 0.0000;
pin("sel[2]") {
direction : input;
capacitance : 0.0148;
capacitance : 0.0042;
}
pin("sel[1]") {
direction : input;
capacitance : 0.0124;
capacitance : 0.0043;
}
pin("sel[0]") {
direction : input;
capacitance : 0.0091;
capacitance : 0.0040;
}
}
bus("sel2") {
@ -497,15 +497,15 @@ library (caravel_clocking) {
capacitance : 0.0000;
pin("sel2[2]") {
direction : input;
capacitance : 0.0148;
capacitance : 0.0041;
}
pin("sel2[1]") {
direction : input;
capacitance : 0.0125;
capacitance : 0.0041;
}
pin("sel2[0]") {
direction : input;
capacitance : 0.0136;
capacitance : 0.0041;
}
}
}

File diff suppressed because it is too large Load Diff

View File

@ -1,146 +1,160 @@
magic
tech sky130A
magscale 1 2
timestamp 1665749831
timestamp 1666097793
<< obsli1 >>
rect 184 527 18860 10897
rect 184 527 18860 18513
<< obsm1 >>
rect 184 76 19214 11416
rect 184 496 19122 18544
<< metal2 >>
rect 1398 11200 1454 12000
rect 4250 11200 4306 12000
rect 7102 11200 7158 12000
rect 9954 11200 10010 12000
rect 12806 11200 12862 12000
rect 15658 11200 15714 12000
rect 18510 11200 18566 12000
rect 1398 19200 1454 20000
rect 4250 19200 4306 20000
rect 7102 19200 7158 20000
rect 9954 19200 10010 20000
rect 12806 19200 12862 20000
rect 15658 19200 15714 20000
rect 18510 19200 18566 20000
<< obsm2 >>
rect 480 11144 1342 11422
rect 1510 11144 4194 11422
rect 4362 11144 7046 11422
rect 7214 11144 9898 11422
rect 10066 11144 12750 11422
rect 12918 11144 15602 11422
rect 15770 11144 18454 11422
rect 18622 11144 19208 11422
rect 480 70 19208 11144
rect 572 19144 1342 19258
rect 1510 19144 4194 19258
rect 4362 19144 7046 19258
rect 7214 19144 9898 19258
rect 10066 19144 12750 19258
rect 12918 19144 15602 19258
rect 15770 19144 18454 19258
rect 18622 19144 19118 19258
rect 572 507 19118 19144
<< metal3 >>
rect 19200 18504 20000 18624
rect 19200 16056 20000 16176
rect 19200 13608 20000 13728
rect 19200 11160 20000 11280
rect 19200 9664 20000 9784
rect 19200 8168 20000 8288
rect 19200 6672 20000 6792
rect 19200 5176 20000 5296
rect 19200 3680 20000 3800
rect 19200 2184 20000 2304
rect 19200 688 20000 808
rect 19200 8712 20000 8832
rect 19200 6264 20000 6384
rect 19200 3816 20000 3936
rect 19200 1368 20000 1488
<< obsm3 >>
rect 565 11080 19120 11250
rect 565 9864 19200 11080
rect 565 9584 19120 9864
rect 565 8368 19200 9584
rect 565 8088 19120 8368
rect 565 6872 19200 8088
rect 565 6592 19120 6872
rect 565 5376 19200 6592
rect 565 5096 19120 5376
rect 565 3880 19200 5096
rect 565 3600 19120 3880
rect 565 2384 19200 3600
rect 565 2104 19120 2384
rect 565 888 19200 2104
rect 565 608 19120 888
rect 565 511 19200 608
rect 1552 18424 19120 18597
rect 1552 16256 19200 18424
rect 1552 15976 19120 16256
rect 1552 13808 19200 15976
rect 1552 13528 19120 13808
rect 1552 11360 19200 13528
rect 1552 11080 19120 11360
rect 1552 8912 19200 11080
rect 1552 8632 19120 8912
rect 1552 6464 19200 8632
rect 1552 6184 19120 6464
rect 1552 4016 19200 6184
rect 1552 3736 19120 4016
rect 1552 1568 19200 3736
rect 1552 1288 19120 1568
rect 1552 511 19200 1288
<< metal4 >>
rect 3104 496 3424 10928
rect 4654 496 4974 10928
rect 6204 496 6524 10928
rect 7754 496 8074 10928
rect 9304 496 9624 10928
rect 10854 496 11174 10928
rect 12404 496 12724 10928
rect 13954 496 14274 10928
rect 15504 496 15824 10928
rect 17054 496 17374 10928
rect 18604 496 18924 10928
<< obsm4 >>
rect 14411 987 15424 8533
rect 15904 987 16974 8533
rect 17454 987 17605 8533
rect 1550 496 1870 18544
rect 3100 496 3420 18544
rect 4650 496 4970 18544
rect 6200 496 6520 18544
rect 7750 496 8070 18544
rect 9300 496 9620 18544
rect 10850 496 11170 18544
rect 12400 496 12720 18544
rect 13950 496 14270 18544
rect 15500 496 15820 18544
rect 17050 496 17370 18544
rect 18600 496 18920 18544
<< metal5 >>
rect 136 9882 18924 10202
rect 136 8192 18908 8512
rect 136 6502 18924 6822
rect 136 4812 18908 5132
rect 136 3122 18924 3442
rect 136 16740 18920 17060
rect 136 15050 18908 15370
rect 136 13360 18920 13680
rect 136 11670 18908 11990
rect 136 9980 18920 10300
rect 136 8290 18908 8610
rect 136 6600 18920 6920
rect 136 4910 18908 5230
rect 136 3220 18920 3540
rect 136 1530 18908 1850
<< labels >>
rlabel metal4 s 4654 496 4974 10928 6 VGND
rlabel metal4 s 3100 496 3420 18544 6 VGND
port 1 nsew ground bidirectional
rlabel metal4 s 7754 496 8074 10928 6 VGND
rlabel metal4 s 6200 496 6520 18544 6 VGND
port 1 nsew ground bidirectional
rlabel metal4 s 10854 496 11174 10928 6 VGND
rlabel metal4 s 9300 496 9620 18544 6 VGND
port 1 nsew ground bidirectional
rlabel metal4 s 13954 496 14274 10928 6 VGND
rlabel metal4 s 12400 496 12720 18544 6 VGND
port 1 nsew ground bidirectional
rlabel metal4 s 17054 496 17374 10928 6 VGND
rlabel metal4 s 15500 496 15820 18544 6 VGND
port 1 nsew ground bidirectional
rlabel metal5 s 136 4812 18908 5132 6 VGND
rlabel metal4 s 18600 496 18920 18544 6 VGND
port 1 nsew ground bidirectional
rlabel metal5 s 136 8192 18908 8512 6 VGND
rlabel metal5 s 136 3220 18920 3540 6 VGND
port 1 nsew ground bidirectional
rlabel metal4 s 3104 496 3424 10928 6 VPWR
rlabel metal5 s 136 6600 18920 6920 6 VGND
port 1 nsew ground bidirectional
rlabel metal5 s 136 9980 18920 10300 6 VGND
port 1 nsew ground bidirectional
rlabel metal5 s 136 13360 18920 13680 6 VGND
port 1 nsew ground bidirectional
rlabel metal5 s 136 16740 18920 17060 6 VGND
port 1 nsew ground bidirectional
rlabel metal4 s 1550 496 1870 18544 6 VPWR
port 2 nsew power bidirectional
rlabel metal4 s 6204 496 6524 10928 6 VPWR
rlabel metal4 s 4650 496 4970 18544 6 VPWR
port 2 nsew power bidirectional
rlabel metal4 s 9304 496 9624 10928 6 VPWR
rlabel metal4 s 7750 496 8070 18544 6 VPWR
port 2 nsew power bidirectional
rlabel metal4 s 12404 496 12724 10928 6 VPWR
rlabel metal4 s 10850 496 11170 18544 6 VPWR
port 2 nsew power bidirectional
rlabel metal4 s 15504 496 15824 10928 6 VPWR
rlabel metal4 s 13950 496 14270 18544 6 VPWR
port 2 nsew power bidirectional
rlabel metal4 s 18604 496 18924 10928 6 VPWR
rlabel metal4 s 17050 496 17370 18544 6 VPWR
port 2 nsew power bidirectional
rlabel metal5 s 136 3122 18924 3442 6 VPWR
rlabel metal5 s 136 1530 18908 1850 6 VPWR
port 2 nsew power bidirectional
rlabel metal5 s 136 6502 18924 6822 6 VPWR
rlabel metal5 s 136 4910 18908 5230 6 VPWR
port 2 nsew power bidirectional
rlabel metal5 s 136 9882 18924 10202 6 VPWR
rlabel metal5 s 136 8290 18908 8610 6 VPWR
port 2 nsew power bidirectional
rlabel metal2 s 7102 11200 7158 12000 6 core_clk
rlabel metal5 s 136 11670 18908 11990 6 VPWR
port 2 nsew power bidirectional
rlabel metal5 s 136 15050 18908 15370 6 VPWR
port 2 nsew power bidirectional
rlabel metal2 s 7102 19200 7158 20000 6 core_clk
port 3 nsew signal output
rlabel metal2 s 4250 11200 4306 12000 6 ext_clk
rlabel metal2 s 4250 19200 4306 20000 6 ext_clk
port 4 nsew signal input
rlabel metal3 s 19200 688 20000 808 6 ext_clk_sel
rlabel metal3 s 19200 1368 20000 1488 6 ext_clk_sel
port 5 nsew signal input
rlabel metal3 s 19200 11160 20000 11280 6 ext_reset
rlabel metal3 s 19200 18504 20000 18624 6 ext_reset
port 6 nsew signal input
rlabel metal2 s 15658 11200 15714 12000 6 pll_clk
rlabel metal2 s 15658 19200 15714 20000 6 pll_clk
port 7 nsew signal input
rlabel metal2 s 18510 11200 18566 12000 6 pll_clk90
rlabel metal2 s 18510 19200 18566 20000 6 pll_clk90
port 8 nsew signal input
rlabel metal2 s 1398 11200 1454 12000 6 resetb
rlabel metal2 s 1398 19200 1454 20000 6 resetb
port 9 nsew signal input
rlabel metal2 s 12806 11200 12862 12000 6 resetb_sync
rlabel metal2 s 12806 19200 12862 20000 6 resetb_sync
port 10 nsew signal output
rlabel metal3 s 19200 6672 20000 6792 6 sel2[0]
rlabel metal3 s 19200 11160 20000 11280 6 sel2[0]
port 11 nsew signal input
rlabel metal3 s 19200 8168 20000 8288 6 sel2[1]
rlabel metal3 s 19200 13608 20000 13728 6 sel2[1]
port 12 nsew signal input
rlabel metal3 s 19200 9664 20000 9784 6 sel2[2]
rlabel metal3 s 19200 16056 20000 16176 6 sel2[2]
port 13 nsew signal input
rlabel metal3 s 19200 2184 20000 2304 6 sel[0]
rlabel metal3 s 19200 3816 20000 3936 6 sel[0]
port 14 nsew signal input
rlabel metal3 s 19200 3680 20000 3800 6 sel[1]
rlabel metal3 s 19200 6264 20000 6384 6 sel[1]
port 15 nsew signal input
rlabel metal3 s 19200 5176 20000 5296 6 sel[2]
rlabel metal3 s 19200 8712 20000 8832 6 sel[2]
port 16 nsew signal input
rlabel metal2 s 9954 11200 10010 12000 6 user_clk
rlabel metal2 s 9954 19200 10010 20000 6 user_clk
port 17 nsew signal output
<< properties >>
string FIXED_BBOX 0 0 20000 12000
string FIXED_BBOX 0 0 20000 20000
string LEFclass BLOCK
string LEFview TRUE
string GDS_END 1397874
string GDS_END 1393304
string GDS_FILE ../gds/caravel_clocking.gds
string GDS_START 391844
string GDS_START 410574
<< end >>

View File

@ -36,11 +36,13 @@ set ::env(SYNTH_BUFFERING) 0
set ::env(BASE_SDC_FILE) $::env(DESIGN_DIR)/base.sdc
set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
set ::env(NO_SYNTH_CELL_LIST) $::env(DESIGN_DIR)/no_synth.list
## Floorplan
set ::env(FP_SIZING) absolute
set ::env(DIE_AREA) "0 0 100 60"
set ::env(DIE_AREA) "0 0 100 100"
set ::env(FP_DEF_TEMPLATE) $::env(DESIGN_DIR)/template/caravel_clocking.def
@ -51,8 +53,8 @@ set ::env(BOTTOM_MARGIN_MULT) 2
set ::env(TOP_MARGIN_MULT) "2"
set ::env(BOTTOM_MARGIN_MULT) "1"
set ::env(DPL_CELL_PADDING) 0
set ::env(GPL_CELL_PADDING) 0
set ::env(DPL_CELL_PADDING) 2
set ::env(GPL_CELL_PADDING) 4
set ::env(DIODE_PADDING) 0
## PDN
@ -60,12 +62,12 @@ set ::env(FP_PDN_HPITCH) 16.9
set ::env(FP_PDN_VPITCH) 15.5
set ::env(FP_PDN_HSPACING) 6.85
set ::env(FP_PDN_VSPACING) 6.15
set ::env(FP_PDN_HOFFSET) 13.69
set ::env(FP_PDN_VOFFSET) 15.4
set ::env(FP_PDN_HOFFSET) 5.73
set ::env(FP_PDN_VOFFSET) 7.63
# vertical 21.29 15.61
## Placement
set ::env(PL_TARGET_DENSITY) 0.9
set ::env(PL_TARGET_DENSITY) 0.62
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 1
set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1

View File

@ -22,8 +22,7 @@ exec ln -sf $SCRIPT_DIR/runs/$::env(OPENLANE_RUN_TAG) $SCRIPT_DIR/runs/caravel_c
run_synthesis
init_floorplan
place_io
apply_def_template
place_io_ol
tap_decap_or
run_power_grid_generation
global_placement_or

View File

@ -196,3 +196,33 @@ sky130_fd_sc_hd__or4b_1
sky130_fd_sc_hd__or4bb_1
sky130_fd_sc_hd__xnor2_1
sky130_fd_sc_hd__xor2_1
sky130_fd_sc_hd__or2
sky130_fd_sc_hd__or2_0
sky130_fd_sc_hd__or2_1
sky130_fd_sc_hd__or2_2
sky130_fd_sc_hd__or2_4
sky130_fd_sc_hd__or2b
sky130_fd_sc_hd__or2b_1
sky130_fd_sc_hd__or2b_2
sky130_fd_sc_hd__or2b_4
sky130_fd_sc_hd__or3
sky130_fd_sc_hd__or3_1
sky130_fd_sc_hd__or3_2
sky130_fd_sc_hd__or3_4
sky130_fd_sc_hd__or3b
sky130_fd_sc_hd__or3b_1
sky130_fd_sc_hd__or3b_2
sky130_fd_sc_hd__or3b_4
sky130_fd_sc_hd__or4
sky130_fd_sc_hd__or4_1
sky130_fd_sc_hd__or4_2
sky130_fd_sc_hd__or4_4
sky130_fd_sc_hd__or4b
sky130_fd_sc_hd__or4b_1
sky130_fd_sc_hd__or4b_2
sky130_fd_sc_hd__or4b_4
sky130_fd_sc_hd__or4bb
sky130_fd_sc_hd__or4bb_1
sky130_fd_sc_hd__or4bb_2
sky130_fd_sc_hd__or4bb_4

File diff suppressed because it is too large Load Diff

View File

@ -1,2 +1,2 @@
design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY
/home/kareem_farid/caravel/openlane/caravel_clocking,caravel_clocking,22_10_14_05_15,flow completed,0h2m17s0ms,-1,-2.0,0.006,-1,77.61,723.21,-1,0,0,0,0,0,0,0,-1,-1,-1,-1,9779,2723,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3180689.0,0.0,40.08,35.75,0.45,0.0,0.0,215,265,67,117,0,0,0,213,0,3,4,15,18,14,14,41,79,86,4,38,157,0,195,4825.8784,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10.0,DELAY 0,12,50,1,15.5,16.9,0.9,0,sky130_fd_sc_hd,4
/home/kareem_farid/caravel/openlane/caravel_clocking,caravel_clocking,22_10_18_05_55,flow completed,0h1m19s0ms,-1,-2.0,0.01,-1,61.47,570.99,-1,0,0,0,0,0,0,0,-1,-1,-1,-1,7519,2193,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,4376722.0,0.0,15.9,19.66,0.0,0.0,0.0,215,265,67,117,0,0,0,213,0,3,4,15,18,14,14,41,79,86,4,66,262,0,328,8381.7888,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10.0,DELAY 0,12,50,1,15.5,16.9,0.62,0,sky130_fd_sc_hd,4

1 design design_name config flow_status total_runtime routed_runtime (Cell/mm^2)/Core_Util DIEAREA_mm^2 CellPer_mm^2 OpenDP_Util Peak_Memory_Usage_MB cell_count tritonRoute_violations Short_violations MetSpc_violations OffGrid_violations MinHole_violations Other_violations Magic_violations antenna_violations lvs_total_errors cvc_total_errors klayout_violations wire_length vias wns pl_wns optimized_wns fastroute_wns spef_wns tns pl_tns optimized_tns fastroute_tns spef_tns HPWL routing_layer1_pct routing_layer2_pct routing_layer3_pct routing_layer4_pct routing_layer5_pct routing_layer6_pct wires_count wire_bits public_wires_count public_wire_bits memories_count memory_bits processes_count cells_pre_abc AND DFF NAND NOR OR XOR XNOR MUX inputs outputs level EndCaps TapCells Diodes Total_Physical_Cells CoreArea_um^2 power_slowest_internal_uW power_slowest_switching_uW power_slowest_leakage_uW power_typical_internal_uW power_typical_switching_uW power_typical_leakage_uW power_fastest_internal_uW power_fastest_switching_uW power_fastest_leakage_uW critical_path_ns suggested_clock_period suggested_clock_frequency CLOCK_PERIOD SYNTH_STRATEGY SYNTH_MAX_FANOUT FP_CORE_UTIL FP_ASPECT_RATIO FP_PDN_VPITCH FP_PDN_HPITCH PL_TARGET_DENSITY GRT_ADJUSTMENT STD_CELL_LIBRARY DIODE_INSERTION_STRATEGY
2 /home/kareem_farid/caravel/openlane/caravel_clocking caravel_clocking 22_10_14_05_15 22_10_18_05_55 flow completed 0h2m17s0ms 0h1m19s0ms -1 -2.0 0.006 0.01 -1 77.61 61.47 723.21 570.99 -1 0 0 0 0 0 0 0 -1 -1 -1 -1 9779 7519 2723 2193 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 3180689.0 4376722.0 0.0 40.08 15.9 35.75 19.66 0.45 0.0 0.0 0.0 215 265 67 117 0 0 0 213 0 3 4 15 18 14 14 41 79 86 4 38 66 157 262 0 195 328 4825.8784 8381.7888 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10.0 100.0 10.0 DELAY 0 12 50 1 15.5 16.9 0.9 0.62 0 sky130_fd_sc_hd 4

View File

@ -1,40 +1,40 @@
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.min.lef
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.min.lef
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
The LEF parser will ignore this statement.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.min.lef at line 930.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.min.lef at line 930.
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.min.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.min.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
[INFO ODB-0128] Design: caravel_clocking
[INFO ODB-0130] Created 17 pins.
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
[INFO ODB-0132] Created 2 special nets and 2622 connections.
[INFO ODB-0133] Created 330 nets and 1176 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0131] Created 1530 components and 6727 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5596 connections.
[INFO ODB-0133] Created 326 nets and 1130 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre'...
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of caravel_clocking ...
[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre ...
[INFO RCX-0436] RC segment generation caravel_clocking (max_merge_res 50.0) ...
[INFO RCX-0040] Final 1388 rc segments
[INFO RCX-0040] Final 1308 rc segments
[INFO RCX-0439] Coupling Cap extraction caravel_clocking ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 3159 wires to be extracted
[INFO RCX-0442] 54% completion -- 1727 wires have been extracted
[INFO RCX-0442] 100% completion -- 3159 wires have been extracted
[INFO RCX-0045] Extract 330 nets, 1718 rsegs, 1718 caps, 3485 ccs
[INFO RCX-0043] 2583 wires to be extracted
[INFO RCX-0442] 59% completion -- 1542 wires have been extracted
[INFO RCX-0442] 100% completion -- 2583 wires have been extracted
[INFO RCX-0045] Extract 326 nets, 1634 rsegs, 1634 caps, 1890 ccs
[INFO RCX-0015] Finished extracting caravel_clocking.
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.spef...
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_min/caravel_clocking.spef...
Setting global connections for newly added cells...
[WARNING] Did not save OpenROAD database!
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.spef...
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_min/caravel_clocking.spef...
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 330 nets finished
[INFO RCX-0443] 326 nets finished
[INFO RCX-0017] Finished writing SPEF ...

File diff suppressed because it is too large Load Diff

View File

@ -1,40 +1,40 @@
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.max.lef
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.max.lef
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
The LEF parser will ignore this statement.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.max.lef at line 930.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.max.lef at line 930.
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.max.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.max.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
[INFO ODB-0128] Design: caravel_clocking
[INFO ODB-0130] Created 17 pins.
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
[INFO ODB-0132] Created 2 special nets and 2622 connections.
[INFO ODB-0133] Created 330 nets and 1176 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0131] Created 1530 components and 6727 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5596 connections.
[INFO ODB-0133] Created 326 nets and 1130 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre'...
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of caravel_clocking ...
[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre ...
[INFO RCX-0436] RC segment generation caravel_clocking (max_merge_res 50.0) ...
[INFO RCX-0040] Final 1908 rc segments
[INFO RCX-0040] Final 1648 rc segments
[INFO RCX-0439] Coupling Cap extraction caravel_clocking ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 3159 wires to be extracted
[INFO RCX-0442] 54% completion -- 1727 wires have been extracted
[INFO RCX-0442] 100% completion -- 3159 wires have been extracted
[INFO RCX-0045] Extract 330 nets, 2238 rsegs, 2238 caps, 3595 ccs
[INFO RCX-0043] 2583 wires to be extracted
[INFO RCX-0442] 59% completion -- 1542 wires have been extracted
[INFO RCX-0442] 100% completion -- 2583 wires have been extracted
[INFO RCX-0045] Extract 326 nets, 1974 rsegs, 1974 caps, 1915 ccs
[INFO RCX-0015] Finished extracting caravel_clocking.
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.spef...
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_max/caravel_clocking.spef...
Setting global connections for newly added cells...
[WARNING] Did not save OpenROAD database!
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.spef...
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_max/caravel_clocking.spef...
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 330 nets finished
[INFO RCX-0443] 326 nets finished
[INFO RCX-0017] Finished writing SPEF ...

File diff suppressed because it is too large Load Diff

View File

@ -1,40 +1,40 @@
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
The LEF parser will ignore this statement.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef at line 930.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef at line 930.
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
[INFO ODB-0128] Design: caravel_clocking
[INFO ODB-0130] Created 17 pins.
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
[INFO ODB-0132] Created 2 special nets and 2622 connections.
[INFO ODB-0133] Created 330 nets and 1176 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0131] Created 1530 components and 6727 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5596 connections.
[INFO ODB-0133] Created 326 nets and 1130 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre'...
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of caravel_clocking ...
[INFO RCX-0435] Reading extraction model file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre ...
[INFO RCX-0436] RC segment generation caravel_clocking (max_merge_res 50.0) ...
[INFO RCX-0040] Final 1429 rc segments
[INFO RCX-0040] Final 1318 rc segments
[INFO RCX-0439] Coupling Cap extraction caravel_clocking ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 3159 wires to be extracted
[INFO RCX-0442] 54% completion -- 1727 wires have been extracted
[INFO RCX-0442] 100% completion -- 3159 wires have been extracted
[INFO RCX-0045] Extract 330 nets, 1759 rsegs, 1759 caps, 3501 ccs
[INFO RCX-0043] 2583 wires to be extracted
[INFO RCX-0442] 59% completion -- 1542 wires have been extracted
[INFO RCX-0442] 100% completion -- 2583 wires have been extracted
[INFO RCX-0045] Extract 326 nets, 1644 rsegs, 1644 caps, 1894 ccs
[INFO RCX-0015] Finished extracting caravel_clocking.
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.spef...
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_nom/caravel_clocking.spef...
Setting global connections for newly added cells...
[WARNING] Did not save OpenROAD database!
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.spef...
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_nom/caravel_clocking.spef...
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 330 nets finished
[INFO RCX-0443] 326 nets finished
[INFO RCX-0017] Finished writing SPEF ...

File diff suppressed because it is too large Load Diff

View File

@ -2,4 +2,4 @@
===========================================================================
report_design_area
============================================================================
Design area 4243 u^2 88% utilization.
Design area 4265 u^2 51% utilization.

View File

@ -7,22 +7,22 @@ No launch/capture paths found.
Clock ext_clk
Latency CRPR Skew
_419_/CLK ^
3.27
_418_/CLK ^
2.83 -0.28 0.15
_411_/CLK ^
3.18
_410_/CLK ^
2.75 -0.27 0.15
Clock pll_clk
Latency CRPR Skew
_445_/CLK ^
3.06
_441_/CLK ^
0.78 -0.06 2.22
_437_/CLK ^
3.18
_416_/CLK ^
0.77 -0.06 2.35
Clock pll_clk90
Latency CRPR Skew
_473_/CLK ^
3.01
_462_/CLK ^
0.77 -0.06 2.17
_463_/CLK ^
3.18
_453_/CLK ^
0.77 -0.06 2.35

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -5,10 +5,10 @@
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 3.74e-04 4.56e-05 4.70e-10 4.20e-04 26.8%
Combinational 6.35e-04 5.15e-04 1.17e-09 1.15e-03 73.2%
Sequential 3.76e-04 3.65e-05 4.68e-10 4.12e-04 27.0%
Combinational 6.30e-04 4.83e-04 2.19e-09 1.11e-03 73.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 1.01e-03 5.61e-04 1.64e-09 1.57e-03 100.0%
64.3% 35.7% 0.0%
Total 1.01e-03 5.20e-04 2.66e-09 1.53e-03 100.0%
65.9% 34.1% 0.0%

View File

@ -2,7 +2,7 @@
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _417_ (rising edge-triggered flip-flop clocked by ext_clk')
Startpoint: _409_ (rising edge-triggered flip-flop clocked by ext_clk')
Endpoint: resetb_sync (output port clocked by ext_clk)
Path Group: ext_clk
Path Type: max
@ -14,43 +14,43 @@ Fanout Cap Slew Delay Time Description
5.00 0.00 12.50 v ext_clk (in)
2 0.01 ext_clk (net)
5.00 0.00 12.50 v clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.16 1.54 14.04 v clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
0.16 1.53 14.03 v clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_ext_clk (net)
0.16 0.00 14.04 v clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.20 14.24 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.01 clknet_1_1__leaf_ext_clk (net)
0.03 0.00 14.24 v _209_/A0 (sky130_fd_sc_hd__mux2_1)
0.12 0.37 14.61 v _209_/X (sky130_fd_sc_hd__mux2_1)
1 0.02 _037_ (net)
0.12 0.00 14.61 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 14.81 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
0.16 0.00 14.03 v clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.19 14.23 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.00 clknet_1_1__leaf_ext_clk (net)
0.03 0.00 14.23 v _205_/A0 (sky130_fd_sc_hd__mux2_1)
0.08 0.33 14.56 v _205_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _037_ (net)
0.08 0.00 14.56 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 14.73 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0__037_ (net)
0.04 0.00 14.81 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.14 14.95 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.01 clknet_1_0__leaf__037_ (net)
0.03 0.00 14.95 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
0.08 0.33 15.27 v _210_/X (sky130_fd_sc_hd__mux2_1)
0.04 0.00 14.73 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.14 14.87 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.00 clknet_1_0__leaf__037_ (net)
0.03 0.00 14.87 v _206_/A0 (sky130_fd_sc_hd__mux2_1)
0.08 0.32 15.19 v _206_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 net10 (net)
0.08 0.00 15.27 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.17 15.44 v clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
0.08 0.00 15.19 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.03 0.17 15.36 v clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_net10 (net)
0.03 0.00 15.44 v clkbuf_1_1__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.15 15.60 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
0.03 0.00 15.36 v clkbuf_1_1__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.15 15.51 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_1_1__leaf_net10 (net)
0.04 0.00 15.60 v _266__7/A (sky130_fd_sc_hd__inv_4)
0.02 0.04 15.64 ^ _266__7/Y (sky130_fd_sc_hd__inv_4)
0.04 0.00 15.51 v _254__7/A (sky130_fd_sc_hd__inv_4)
0.02 0.04 15.55 ^ _254__7/Y (sky130_fd_sc_hd__inv_4)
1 0.00 net37 (net)
0.02 0.00 15.64 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.35 15.99 v _417_/Q (sky130_fd_sc_hd__dfstp_1)
0.02 0.00 15.55 ^ _409_/CLK (sky130_fd_sc_hd__dfstp_1)
0.03 0.36 15.90 v _409_/Q (sky130_fd_sc_hd__dfstp_1)
1 0.00 reset_delay[0] (net)
0.03 0.00 15.99 v _349_/B (sky130_fd_sc_hd__nor2_1)
0.29 0.25 16.24 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
1 0.02 net11 (net)
0.29 0.00 16.24 ^ output11/A (sky130_fd_sc_hd__buf_12)
0.24 0.31 16.55 ^ output11/X (sky130_fd_sc_hd__buf_12)
0.03 0.00 15.90 v _341_/B (sky130_fd_sc_hd__nor2_1)
0.23 0.20 16.10 ^ _341_/Y (sky130_fd_sc_hd__nor2_1)
1 0.01 net11 (net)
0.23 0.00 16.10 ^ output11/A (sky130_fd_sc_hd__buf_12)
0.23 0.30 16.40 ^ output11/X (sky130_fd_sc_hd__buf_12)
1 0.20 resetb_sync (net)
0.24 0.01 16.56 ^ resetb_sync (out)
16.56 data arrival time
0.24 0.01 16.41 ^ resetb_sync (out)
16.41 data arrival time
25.00 25.00 clock ext_clk (rise edge)
0.00 25.00 clock network delay (propagated)
@ -60,13 +60,13 @@ Fanout Cap Slew Delay Time Description
19.80 data required time
-----------------------------------------------------------------------------
19.80 data required time
-16.56 data arrival time
-16.41 data arrival time
-----------------------------------------------------------------------------
3.24 slack (MET)
3.39 slack (MET)
Startpoint: _428_ (rising edge-triggered flip-flop clocked by pll_clk)
Endpoint: _435_ (falling edge-triggered flip-flop clocked by pll_clk)
Startpoint: _420_ (rising edge-triggered flip-flop clocked by pll_clk)
Endpoint: _427_ (falling edge-triggered flip-flop clocked by pll_clk)
Path Group: pll_clk
Path Type: max
@ -77,56 +77,53 @@ Fanout Cap Slew Delay Time Description
5.00 0.00 0.00 ^ pll_clk (in)
2 0.02 pll_clk (net)
5.00 0.00 0.00 ^ clkbuf_0_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.17 0.65 0.65 ^ clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
0.16 0.65 0.65 ^ clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_pll_clk (net)
0.17 0.00 0.65 ^ clkbuf_1_1__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.16 0.00 0.65 ^ clkbuf_1_1__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 clknet_1_1__leaf_pll_clk (net)
0.07 0.00 0.85 ^ _428_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.06 0.41 1.26 v _428_/Q (sky130_fd_sc_hd__dfrtp_1)
2 0.01 divider.odd_0.rst_pulse (net)
0.06 0.00 1.26 v fanout24/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.22 1.48 v fanout24/X (sky130_fd_sc_hd__clkbuf_4)
12 0.05 net24 (net)
0.11 0.00 1.48 v fanout23/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.23 1.72 v fanout23/X (sky130_fd_sc_hd__clkbuf_4)
0.07 0.00 0.85 ^ _420_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.05 0.39 1.24 v _420_/Q (sky130_fd_sc_hd__dfrtp_1)
1 0.00 divider.odd_0.rst_pulse (net)
0.05 0.00 1.24 v fanout24/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 1.45 v fanout24/X (sky130_fd_sc_hd__clkbuf_4)
11 0.05 net24 (net)
0.10 0.00 1.45 v fanout23/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.23 1.68 v fanout23/X (sky130_fd_sc_hd__clkbuf_4)
12 0.04 net23 (net)
0.10 0.00 1.72 v _301_/A (sky130_fd_sc_hd__or2_1)
0.05 0.26 1.98 v _301_/X (sky130_fd_sc_hd__or2_1)
1 0.00 _001_ (net)
0.05 0.00 1.98 v _206_/A0 (sky130_fd_sc_hd__mux2_1)
0.11 0.37 2.35 v _206_/X (sky130_fd_sc_hd__mux2_1)
3 0.02 _003_ (net)
0.11 0.00 2.35 v _368_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 2.69 v _368_/X (sky130_fd_sc_hd__mux2_1)
0.09 0.00 1.68 v _202_/A1 (sky130_fd_sc_hd__mux2_1)
0.11 0.39 2.07 v _202_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _003_ (net)
0.11 0.00 2.07 v _360_/S (sky130_fd_sc_hd__mux2_1)
0.05 0.34 2.41 v _360_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _097_ (net)
0.06 0.00 2.69 v _435_/D (sky130_fd_sc_hd__dfrtn_1)
2.69 data arrival time
0.05 0.00 2.41 v _427_/D (sky130_fd_sc_hd__dfrtn_1)
2.41 data arrival time
3.33 3.33 clock pll_clk (fall edge)
0.00 3.33 clock source latency
5.00 0.00 3.33 v pll_clk (in)
2 0.02 pll_clk (net)
5.00 0.00 3.33 v clkbuf_0_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.16 1.39 4.73 v clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
0.16 1.39 4.72 v clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_pll_clk (net)
0.16 0.00 4.73 v clkbuf_1_0__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 4.94 v clkbuf_1_0__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
0.16 0.00 4.72 v clkbuf_1_0__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 4.93 v clkbuf_1_0__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
11 0.05 clknet_1_0__leaf_pll_clk (net)
0.05 0.00 4.94 v _435_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
-0.20 4.74 clock uncertainty
0.06 4.80 clock reconvergence pessimism
-0.15 4.65 library setup time
4.65 data required time
0.05 0.00 4.93 v _427_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
-0.20 4.73 clock uncertainty
0.06 4.79 clock reconvergence pessimism
-0.15 4.64 library setup time
4.64 data required time
-----------------------------------------------------------------------------
4.65 data required time
-2.69 data arrival time
4.64 data required time
-2.41 data arrival time
-----------------------------------------------------------------------------
1.96 slack (MET)
2.23 slack (MET)
Startpoint: _453_ (rising edge-triggered flip-flop clocked by pll_clk90)
Endpoint: _458_ (falling edge-triggered flip-flop clocked by pll_clk90)
Startpoint: _445_ (rising edge-triggered flip-flop clocked by pll_clk90)
Endpoint: _450_ (falling edge-triggered flip-flop clocked by pll_clk90)
Path Group: pll_clk90
Path Type: max
@ -137,52 +134,52 @@ Fanout Cap Slew Delay Time Description
5.00 0.00 0.00 ^ pll_clk90 (in)
2 0.02 pll_clk90 (net)
5.00 0.00 0.00 ^ clkbuf_0_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
0.17 0.65 0.65 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
0.16 0.65 0.65 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_pll_clk90 (net)
0.17 0.00 0.65 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
0.07 0.00 0.86 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.08 0.43 1.29 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
0.16 0.00 0.65 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.19 0.84 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
9 0.04 clknet_1_1__leaf_pll_clk90 (net)
0.06 0.00 0.84 ^ _445_/CLK (sky130_fd_sc_hd__dfrtp_1)
0.05 0.39 1.23 v _445_/Q (sky130_fd_sc_hd__dfrtp_1)
2 0.01 divider2.odd_0.rst_pulse (net)
0.08 0.00 1.29 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
0.17 0.28 1.56 v fanout22/X (sky130_fd_sc_hd__clkbuf_4)
24 0.08 net22 (net)
0.17 0.01 1.57 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
0.11 0.28 1.85 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
12 0.05 net21 (net)
0.11 0.00 1.86 v _306_/A (sky130_fd_sc_hd__or2_1)
0.05 0.26 2.11 v _306_/X (sky130_fd_sc_hd__or2_1)
1 0.00 _000_ (net)
0.05 0.00 2.11 v _207_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 2.47 v _207_/X (sky130_fd_sc_hd__mux2_1)
0.05 0.00 1.23 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.21 1.44 v fanout22/X (sky130_fd_sc_hd__clkbuf_4)
12 0.04 net22 (net)
0.10 0.00 1.44 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.23 1.67 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
12 0.04 net21 (net)
0.10 0.00 1.68 v _298_/A_N (sky130_fd_sc_hd__nand2b_1)
0.07 0.19 1.86 v _298_/Y (sky130_fd_sc_hd__nand2b_1)
2 0.01 _000_ (net)
0.07 0.00 1.86 v _203_/A0 (sky130_fd_sc_hd__mux2_1)
0.10 0.36 2.22 v _203_/X (sky130_fd_sc_hd__mux2_1)
3 0.01 _002_ (net)
0.10 0.00 2.47 v _395_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.35 2.81 v _395_/X (sky130_fd_sc_hd__mux2_1)
0.10 0.00 2.22 v _387_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 2.56 v _387_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _111_ (net)
0.06 0.00 2.81 v _458_/D (sky130_fd_sc_hd__dfrtn_1)
2.81 data arrival time
0.06 0.00 2.56 v _450_/D (sky130_fd_sc_hd__dfrtn_1)
2.56 data arrival time
3.33 3.33 clock pll_clk90 (fall edge)
0.00 3.33 clock source latency
5.00 0.00 3.33 v pll_clk90 (in)
2 0.02 pll_clk90 (net)
2 0.01 pll_clk90 (net)
5.00 0.00 3.33 v clkbuf_0_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
0.16 1.39 4.73 v clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
0.16 1.39 4.72 v clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_pll_clk90 (net)
0.16 0.00 4.73 v clkbuf_1_0__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 4.93 v clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
0.05 0.00 4.94 v _458_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
-0.20 4.74 clock uncertainty
0.06 4.80 clock reconvergence pessimism
-0.16 4.64 library setup time
0.16 0.00 4.72 v clkbuf_1_0__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 4.93 v clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
11 0.05 clknet_1_0__leaf_pll_clk90 (net)
0.05 0.00 4.93 v _450_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
-0.20 4.73 clock uncertainty
0.06 4.79 clock reconvergence pessimism
-0.15 4.64 library setup time
4.64 data required time
-----------------------------------------------------------------------------
4.64 data required time
-2.81 data arrival time
-2.56 data arrival time
-----------------------------------------------------------------------------
1.83 slack (MET)
2.08 slack (MET)

View File

@ -6,30 +6,30 @@ max slew
Pin Limit Slew Slack
------------------------------------------------------------
clkbuf_0_pll_clk90/A 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input2_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_clkbuf_0_pll_clk90_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
clkbuf_0_pll_clk/A 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_clkbuf_0_pll_clk_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input5_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input9_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input8_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input4_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input6_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input1_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input7_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input3_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
clkbuf_0_pll_clk90/A 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_clkbuf_0_pll_clk90_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
clkbuf_0_ext_clk/A 0.75 5.00 -4.25 (VIOLATED)
input5/A 0.75 5.00 -4.25 (VIOLATED)
input8/A 0.75 5.00 -4.25 (VIOLATED)
input9/A 0.75 5.00 -4.25 (VIOLATED)
input7/A 0.75 5.00 -4.25 (VIOLATED)
input2/A 0.75 5.00 -4.25 (VIOLATED)
input6/A 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_clkbuf_0_ext_clk_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
input3/A 0.75 5.00 -4.25 (VIOLATED)
input5/A 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input7_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
input7/A 0.75 5.00 -4.25 (VIOLATED)
input2/A 0.75 5.00 -4.25 (VIOLATED)
input8/A 0.75 5.00 -4.25 (VIOLATED)
input1/A 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input5_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input8_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
input4/A 0.75 5.00 -4.25 (VIOLATED)
input6/A 0.75 5.00 -4.25 (VIOLATED)
input9/A 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input1_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input3_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input4_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input2_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input9_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ANTENNA_input6_A/DIODE 0.75 5.00 -4.25 (VIOLATED)
ext_clk 0.75 5.00 -4.25 (VIOLATED)
ext_clk_sel 0.75 5.00 -4.25 (VIOLATED)
ext_reset 0.75 5.00 -4.25 (VIOLATED)
@ -47,18 +47,15 @@ max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
_276_/X 12 24 -12 (VIOLATED)
fanout13/X 12 24 -12 (VIOLATED)
fanout14/X 12 24 -12 (VIOLATED)
fanout15/X 12 24 -12 (VIOLATED)
fanout22/X 12 24 -12 (VIOLATED)
fanout18/X 12 24 -12 (VIOLATED)
fanout19/X 12 24 -12 (VIOLATED)
fanout28/X 12 24 -12 (VIOLATED)
fanout29/X 12 18 -6 (VIOLATED)
clkbuf_1_1__f_pll_clk/X 12 13 (VIOLATED)
===========================================================================
max slew violation count 36
max fanout violation count 8
max fanout violation count 5
max cap violation count 0
============================================================================

View File

@ -2,7 +2,7 @@
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 1.83
worst slack 2.08
===========================================================================
report_worst_slack -min (Hold)

View File

@ -1,37 +1,38 @@
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
The LEF parser will ignore this statement.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef at line 930.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef at line 930.
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
[INFO ODB-0128] Design: caravel_clocking
[INFO ODB-0130] Created 17 pins.
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
[INFO ODB-0132] Created 2 special nets and 2622 connections.
[INFO ODB-0133] Created 330 nets and 1176 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0131] Created 1530 components and 6727 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5596 connections.
[INFO ODB-0133] Created 326 nets and 1130 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
[INFO]: Setting RC values...
[INFO PSM-0002] Output voltage file is specified as: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/27-irdrop.rpt.
[INFO PSM-0002] Output voltage file is specified as: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/27-irdrop.rpt.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net VPWR is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 93.380 or core height of 51.680. Changing bump location to the center of the die at (47.610, 28.560).
[WARNING PSM-0063] Specified bump pitches of 140.000 and 140.000 are less than core width of 93.380 or core height of 89.760. Changing bump location to the center of the die at (47.610, 47.600).
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[INFO PSM-0076] Setting metal node density to be standard cell height times 5.
[INFO PSM-0031] Number of PDN nodes on net VPWR = 614.
[WARNING PSM-0030] VSRC location at (47.610um, 47.600um) and size 10.000um, is not located on an existing power stripe node. Moving to closest node at (55.050um, 42.250um).
[INFO PSM-0031] Number of PDN nodes on net VPWR = 1017.
[INFO PSM-0064] Number of voltage sources = 1.
[INFO PSM-0040] All PDN stripes on net VPWR are connected.
########## IR report #################
Worstcase voltage: 1.80e+00 V
Average IR drop : 3.58e-10 V
Worstcase IR drop: 5.83e-10 V
Average IR drop : 6.01e-10 V
Worstcase IR drop: 8.10e-10 V
######################################

File diff suppressed because it is too large Load Diff

View File

@ -18,61 +18,64 @@ Library name: caravel_clocking
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_ef_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__dfstp_1".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__a31oi_2".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__dfrtn_1".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__dfstp_2".
Reading "sky130_fd_sc_hd__a41oi_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__dfrtp_1".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__o21a_4".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__nand4b_1".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__nand2b_1".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o2bb2ai_1".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__dfrtn_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__dfstp_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__dfrtp_4".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__o2111ai_2".
Reading "sky130_fd_sc_hd__o211ai_4".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__dfstp_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__a41oi_1".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__dfrtp_1".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__o31ai_2".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__nand2b_2".
Reading "sky130_fd_sc_hd__nand4bb_1".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__conb_1".
Reading "caravel_clocking".
[INFO]: Wrote /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/gds_ptrs.mag including GDS pointers.
[INFO]: Wrote /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/gds_ptrs.mag including GDS pointers.

View File

@ -41,76 +41,79 @@ LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ig
LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read: Processed 797 lines.
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def.
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def.
This action cannot be undone.
Processed 4 vias total.
Processed 734 subcell instances total.
Processed 1530 subcell instances total.
Processed 17 pins total.
Processed 2 special nets total.
Processed 330 nets total.
DEF read: Processed 7840 lines.
Processed 326 nets total.
DEF read: Processed 7796 lines.
Root cell box:
width x height ( llx, lly ), ( urx, ury ) area (units^2)
microns: 100.000 x 60.000 ( 0.000, 0.000), ( 100.000, 60.000) 6000.000
lambda: 10000.00 x 6000.00 ( 0.00, 0.00 ), ( 10000.00, 6000.00) 60000000.00
internal: 20000 x 12000 ( 0, 0 ), ( 20000, 12000) 240000000
microns: 100.000 x 100.000 ( 0.000, 0.000), ( 100.000, 100.000) 10000.000
lambda: 10000.00 x 10000.00 ( 0.00, 0.00 ), ( 10000.00, 10000.00) 100000000.00
internal: 20000 x 20000 ( 0, 0 ), ( 20000, 20000) 400000000
Generating output for cell sky130_fd_sc_hd__fill_2
Generating output for cell sky130_ef_sc_hd__decap_12
Generating output for cell sky130_fd_sc_hd__decap_8
Generating output for cell sky130_fd_sc_hd__decap_6
Generating output for cell sky130_fd_sc_hd__decap_3
Generating output for cell sky130_fd_sc_hd__tapvpwrvgnd_1
Generating output for cell sky130_fd_sc_hd__dfstp_1
Generating output for cell sky130_fd_sc_hd__inv_2
Generating output for cell sky130_fd_sc_hd__decap_4
Generating output for cell sky130_fd_sc_hd__clkinv_2
Generating output for cell sky130_fd_sc_hd__xnor2_1
Generating output for cell sky130_fd_sc_hd__mux2_1
Generating output for cell sky130_fd_sc_hd__fill_1
Generating output for cell sky130_fd_sc_hd__decap_4
Generating output for cell sky130_fd_sc_hd__o21ai_1
Generating output for cell sky130_fd_sc_hd__clkinv_2
Generating output for cell sky130_fd_sc_hd__diode_2
Generating output for cell sky130_fd_sc_hd__or3_1
Generating output for cell sky130_fd_sc_hd__nor3b_2
Generating output for cell sky130_fd_sc_hd__clkbuf_4
Generating output for cell sky130_fd_sc_hd__decap_6
Generating output for cell sky130_fd_sc_hd__nand2_1
Generating output for cell sky130_fd_sc_hd__o21bai_1
Generating output for cell sky130_fd_sc_hd__o31a_1
Generating output for cell sky130_fd_sc_hd__nor3_2
Generating output for cell sky130_fd_sc_hd__dfxtp_1
Generating output for cell sky130_fd_sc_hd__clkbuf_1
Generating output for cell sky130_fd_sc_hd__inv_4
Generating output for cell sky130_fd_sc_hd__nand3b_1
Generating output for cell sky130_fd_sc_hd__clkinv_4
Generating output for cell sky130_fd_sc_hd__a31oi_2
Generating output for cell sky130_fd_sc_hd__a21o_1
Generating output for cell sky130_fd_sc_hd__o31ai_1
Generating output for cell sky130_fd_sc_hd__nor2_1
Generating output for cell sky130_fd_sc_hd__o21a_1
Generating output for cell sky130_fd_sc_hd__o2111ai_4
Generating output for cell sky130_fd_sc_hd__dfrtn_1
Generating output for cell sky130_fd_sc_hd__dfrtp_2
Generating output for cell sky130_fd_sc_hd__dfstp_2
Generating output for cell sky130_fd_sc_hd__a41oi_1
Generating output for cell sky130_fd_sc_hd__nor3_1
Generating output for cell sky130_fd_sc_hd__a31o_2
Generating output for cell sky130_fd_sc_hd__and2_1
Generating output for cell sky130_fd_sc_hd__dfrtp_1
Generating output for cell sky130_fd_sc_hd__or2_1
Generating output for cell sky130_fd_sc_hd__o2111a_1
Generating output for cell sky130_fd_sc_hd__clkbuf_16
Generating output for cell sky130_fd_sc_hd__buf_4
Generating output for cell sky130_fd_sc_hd__o21a_4
Generating output for cell sky130_fd_sc_hd__o22a_1
Generating output for cell sky130_fd_sc_hd__a21oi_1
Generating output for cell sky130_fd_sc_hd__o2111ai_1
Generating output for cell sky130_fd_sc_hd__nand4b_1
Generating output for cell sky130_fd_sc_hd__and2b_2
Generating output for cell sky130_fd_sc_hd__nor4_1
Generating output for cell sky130_fd_sc_hd__clkbuf_2
Generating output for cell sky130_fd_sc_hd__nand2b_1
Generating output for cell sky130_fd_sc_hd__nand3_1
Generating output for cell sky130_fd_sc_hd__nor3_1
Generating output for cell sky130_fd_sc_hd__clkinv_4
Generating output for cell sky130_fd_sc_hd__inv_2
Generating output for cell sky130_fd_sc_hd__o21a_1
Generating output for cell sky130_fd_sc_hd__nor3b_2
Generating output for cell sky130_fd_sc_hd__nor3_2
Generating output for cell sky130_fd_sc_hd__dlymetal6s2s_1
Generating output for cell sky130_fd_sc_hd__nor2_1
Generating output for cell sky130_fd_sc_hd__a21o_1
Generating output for cell sky130_fd_sc_hd__o2bb2ai_1
Generating output for cell sky130_fd_sc_hd__nand2_1
Generating output for cell sky130_fd_sc_hd__nor2_2
Generating output for cell sky130_fd_sc_hd__o21a_2
Generating output for cell sky130_fd_sc_hd__o21bai_1
Generating output for cell sky130_fd_sc_hd__clkbuf_1
Generating output for cell sky130_fd_sc_hd__dfrtn_1
Generating output for cell sky130_fd_sc_hd__nand3b_1
Generating output for cell sky130_fd_sc_hd__dfstp_1
Generating output for cell sky130_fd_sc_hd__o31a_1
Generating output for cell sky130_fd_sc_hd__dfrtp_4
Generating output for cell sky130_fd_sc_hd__inv_4
Generating output for cell sky130_fd_sc_hd__dfrtp_2
Generating output for cell sky130_fd_sc_hd__a21oi_1
Generating output for cell sky130_fd_sc_hd__o2111ai_2
Generating output for cell sky130_fd_sc_hd__o211ai_4
Generating output for cell sky130_fd_sc_hd__a2bb2o_1
Generating output for cell sky130_fd_sc_hd__dfstp_2
Generating output for cell sky130_fd_sc_hd__clkbuf_4
Generating output for cell sky130_fd_sc_hd__a41oi_1
Generating output for cell sky130_fd_sc_hd__o2111ai_1
Generating output for cell sky130_fd_sc_hd__dfxtp_1
Generating output for cell sky130_fd_sc_hd__clkbuf_16
Generating output for cell sky130_fd_sc_hd__a31o_1
Generating output for cell sky130_fd_sc_hd__nand3_1
Generating output for cell sky130_fd_sc_hd__buf_4
Generating output for cell sky130_fd_sc_hd__and2_1
Generating output for cell sky130_fd_sc_hd__o22a_1
Generating output for cell sky130_fd_sc_hd__dfrtp_1
Generating output for cell sky130_fd_sc_hd__o21ai_2
Generating output for cell sky130_fd_sc_hd__o31ai_2
Generating output for cell sky130_fd_sc_hd__clkbuf_2
Generating output for cell sky130_fd_sc_hd__nand2b_2
Generating output for cell sky130_fd_sc_hd__nand4bb_1
Generating output for cell sky130_fd_sc_hd__o31ai_1
Generating output for cell sky130_fd_sc_hd__nor4_1
Generating output for cell sky130_fd_sc_hd__buf_12
Generating output for cell sky130_fd_sc_hd__buf_2
Generating output for cell sky130_fd_sc_hd__conb_1

View File

@ -43,186 +43,196 @@ LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF
LEF read: Processed 797 lines.
caravel_clocking: 10000 rects
caravel_clocking: 20000 rects
caravel_clocking: 30000 rects
[INFO]: Writing abstract LEF
Generating LEF output /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.lef for cell caravel_clocking:
Generating LEF output /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/caravel_clocking.lef for cell caravel_clocking:
Diagnostic: Write LEF header for cell caravel_clocking
Diagnostic: Writing LEF output for cell caravel_clocking
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_16.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__buf_12.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__buf_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__buf_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtp_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfstp_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfstp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfstp_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfstp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtp_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtn_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtn_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtn_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfxtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfxtp_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfxtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtp_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__conb_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__conb_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__conb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__inv_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__or2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o31a_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor4_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__mux2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__mux2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21ai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a21oi_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o2111ai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21bai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21bai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21bai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o31ai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand3b_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o22a_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o22a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand3_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand2b_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand4b_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand4b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__and2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__xnor2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__xnor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__or3_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a21o_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21a_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor3_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a31o_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__and2b_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor3_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31oi_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a31oi_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31oi_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a41oi_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a41oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o2111a_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor3b_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__inv_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o2111ai_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21a_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkinv_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkinv_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__tapvpwrvgnd_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_3" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_3.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_3.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__fill_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__fill_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_8" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_8.mag.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_8" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__decap_8.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_sc_hd__decap_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_ef_sc_hd__decap_12.mag.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__fill_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_sc_hd__decap_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_ef_sc_hd__decap_12.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_ef_sc_hd__decap_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_6" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_6.mag.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_6" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__decap_6.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_6.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__diode_2.mag.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_3" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__decap_3.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_3.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__tapvpwrvgnd_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__clkinv_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__xnor2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__xnor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__mux2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__mux2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__fill_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__decap_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o21ai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__diode_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__diode_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nand2b_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nor3_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__clkinv_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__inv_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o21a_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nor3b_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nor3_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dlymetal6s2s_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nor2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__a21o_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o2bb2ai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2bb2ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nand2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nor2_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o21a_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21bai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o21bai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21bai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__clkbuf_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtn_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dfrtn_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtn_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nand3b_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dfstp_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfstp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o31a_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dfrtp_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__inv_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dfrtp_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__a21oi_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o2111ai_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211ai_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o211ai_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o211ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__a2bb2o_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a2bb2o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dfstp_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfstp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__clkbuf_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__a41oi_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a41oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o2111ai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfxtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dfxtp_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfxtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__clkbuf_16.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__a31o_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nand3_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__buf_4.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__and2_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o22a_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o22a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__dfrtp_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o21ai_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31ai_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o31ai_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__clkbuf_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nand2b_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4bb_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nand4bb_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand4bb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__o31ai_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__nor4_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__buf_12.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__buf_2.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__conb_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/sky130_fd_sc_hd__conb_1.mag.
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__conb_1.mag.
The discovered version will be used.
Diagnostic: Scale value is 0.005000
[INFO]: LEF Write Complete

View File

@ -12,7 +12,7 @@ The following types are not handled by extraction and will be treated as non-ele
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Using technology "sky130A", version 1.0.341-2-gde752ec
Reading LEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.lef.
Reading LEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/caravel_clocking.lef.
This action cannot be undone.
LEF read: Processed 240 lines.
LEF read: Processed 260 lines.
[INFO]: DONE GENERATING MAGLEF VIEW

View File

@ -41,75 +41,78 @@ LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ig
LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read: Processed 797 lines.
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def.
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def.
This action cannot be undone.
Processed 4 vias total.
Processed 734 subcell instances total.
Processed 1530 subcell instances total.
Processed 17 pins total.
Processed 2 special nets total.
Processed 330 nets total.
DEF read: Processed 7840 lines.
Processed 326 nets total.
DEF read: Processed 7796 lines.
Processing caravel_clocking
Extracting sky130_fd_sc_hd__fill_2 into sky130_fd_sc_hd__fill_2.ext:
Extracting sky130_ef_sc_hd__decap_12 into sky130_ef_sc_hd__decap_12.ext:
Extracting sky130_fd_sc_hd__decap_8 into sky130_fd_sc_hd__decap_8.ext:
Extracting sky130_fd_sc_hd__decap_6 into sky130_fd_sc_hd__decap_6.ext:
Extracting sky130_fd_sc_hd__decap_3 into sky130_fd_sc_hd__decap_3.ext:
Extracting sky130_fd_sc_hd__tapvpwrvgnd_1 into sky130_fd_sc_hd__tapvpwrvgnd_1.ext:
Extracting sky130_fd_sc_hd__dfstp_1 into sky130_fd_sc_hd__dfstp_1.ext:
Extracting sky130_fd_sc_hd__inv_2 into sky130_fd_sc_hd__inv_2.ext:
Extracting sky130_fd_sc_hd__decap_4 into sky130_fd_sc_hd__decap_4.ext:
Extracting sky130_fd_sc_hd__clkinv_2 into sky130_fd_sc_hd__clkinv_2.ext:
Extracting sky130_fd_sc_hd__xnor2_1 into sky130_fd_sc_hd__xnor2_1.ext:
Extracting sky130_fd_sc_hd__mux2_1 into sky130_fd_sc_hd__mux2_1.ext:
Extracting sky130_fd_sc_hd__fill_1 into sky130_fd_sc_hd__fill_1.ext:
Extracting sky130_fd_sc_hd__decap_4 into sky130_fd_sc_hd__decap_4.ext:
Extracting sky130_fd_sc_hd__o21ai_1 into sky130_fd_sc_hd__o21ai_1.ext:
Extracting sky130_fd_sc_hd__clkinv_2 into sky130_fd_sc_hd__clkinv_2.ext:
Extracting sky130_fd_sc_hd__diode_2 into sky130_fd_sc_hd__diode_2.ext:
Extracting sky130_fd_sc_hd__or3_1 into sky130_fd_sc_hd__or3_1.ext:
Extracting sky130_fd_sc_hd__nor3b_2 into sky130_fd_sc_hd__nor3b_2.ext:
Extracting sky130_fd_sc_hd__clkbuf_4 into sky130_fd_sc_hd__clkbuf_4.ext:
Extracting sky130_fd_sc_hd__decap_6 into sky130_fd_sc_hd__decap_6.ext:
Extracting sky130_fd_sc_hd__nand2_1 into sky130_fd_sc_hd__nand2_1.ext:
Extracting sky130_fd_sc_hd__o21bai_1 into sky130_fd_sc_hd__o21bai_1.ext:
Extracting sky130_fd_sc_hd__o31a_1 into sky130_fd_sc_hd__o31a_1.ext:
Extracting sky130_fd_sc_hd__nor3_2 into sky130_fd_sc_hd__nor3_2.ext:
Extracting sky130_fd_sc_hd__dfxtp_1 into sky130_fd_sc_hd__dfxtp_1.ext:
Extracting sky130_fd_sc_hd__clkbuf_1 into sky130_fd_sc_hd__clkbuf_1.ext:
Extracting sky130_fd_sc_hd__inv_4 into sky130_fd_sc_hd__inv_4.ext:
Extracting sky130_fd_sc_hd__nand3b_1 into sky130_fd_sc_hd__nand3b_1.ext:
Extracting sky130_fd_sc_hd__clkinv_4 into sky130_fd_sc_hd__clkinv_4.ext:
Extracting sky130_fd_sc_hd__a31oi_2 into sky130_fd_sc_hd__a31oi_2.ext:
Extracting sky130_fd_sc_hd__a21o_1 into sky130_fd_sc_hd__a21o_1.ext:
Extracting sky130_fd_sc_hd__o31ai_1 into sky130_fd_sc_hd__o31ai_1.ext:
Extracting sky130_fd_sc_hd__nor2_1 into sky130_fd_sc_hd__nor2_1.ext:
Extracting sky130_fd_sc_hd__o21a_1 into sky130_fd_sc_hd__o21a_1.ext:
Extracting sky130_fd_sc_hd__o2111ai_4 into sky130_fd_sc_hd__o2111ai_4.ext:
Extracting sky130_fd_sc_hd__dfrtn_1 into sky130_fd_sc_hd__dfrtn_1.ext:
Extracting sky130_fd_sc_hd__dfrtp_2 into sky130_fd_sc_hd__dfrtp_2.ext:
Extracting sky130_fd_sc_hd__dfstp_2 into sky130_fd_sc_hd__dfstp_2.ext:
Extracting sky130_fd_sc_hd__a41oi_1 into sky130_fd_sc_hd__a41oi_1.ext:
Extracting sky130_fd_sc_hd__nor3_1 into sky130_fd_sc_hd__nor3_1.ext:
Extracting sky130_fd_sc_hd__a31o_2 into sky130_fd_sc_hd__a31o_2.ext:
Extracting sky130_fd_sc_hd__and2_1 into sky130_fd_sc_hd__and2_1.ext:
Extracting sky130_fd_sc_hd__dfrtp_1 into sky130_fd_sc_hd__dfrtp_1.ext:
Extracting sky130_fd_sc_hd__or2_1 into sky130_fd_sc_hd__or2_1.ext:
Extracting sky130_fd_sc_hd__o2111a_1 into sky130_fd_sc_hd__o2111a_1.ext:
Extracting sky130_fd_sc_hd__clkbuf_16 into sky130_fd_sc_hd__clkbuf_16.ext:
Extracting sky130_fd_sc_hd__buf_4 into sky130_fd_sc_hd__buf_4.ext:
Extracting sky130_fd_sc_hd__o21a_4 into sky130_fd_sc_hd__o21a_4.ext:
Extracting sky130_fd_sc_hd__o22a_1 into sky130_fd_sc_hd__o22a_1.ext:
Extracting sky130_fd_sc_hd__a21oi_1 into sky130_fd_sc_hd__a21oi_1.ext:
Extracting sky130_fd_sc_hd__o2111ai_1 into sky130_fd_sc_hd__o2111ai_1.ext:
Extracting sky130_fd_sc_hd__nand4b_1 into sky130_fd_sc_hd__nand4b_1.ext:
Extracting sky130_fd_sc_hd__and2b_2 into sky130_fd_sc_hd__and2b_2.ext:
Extracting sky130_fd_sc_hd__nor4_1 into sky130_fd_sc_hd__nor4_1.ext:
Extracting sky130_fd_sc_hd__clkbuf_2 into sky130_fd_sc_hd__clkbuf_2.ext:
Extracting sky130_fd_sc_hd__nand2b_1 into sky130_fd_sc_hd__nand2b_1.ext:
Extracting sky130_fd_sc_hd__nand3_1 into sky130_fd_sc_hd__nand3_1.ext:
Extracting sky130_fd_sc_hd__nor3_1 into sky130_fd_sc_hd__nor3_1.ext:
Extracting sky130_fd_sc_hd__clkinv_4 into sky130_fd_sc_hd__clkinv_4.ext:
Extracting sky130_fd_sc_hd__inv_2 into sky130_fd_sc_hd__inv_2.ext:
Extracting sky130_fd_sc_hd__o21a_1 into sky130_fd_sc_hd__o21a_1.ext:
Extracting sky130_fd_sc_hd__nor3b_2 into sky130_fd_sc_hd__nor3b_2.ext:
Extracting sky130_fd_sc_hd__nor3_2 into sky130_fd_sc_hd__nor3_2.ext:
Extracting sky130_fd_sc_hd__dlymetal6s2s_1 into sky130_fd_sc_hd__dlymetal6s2s_1.ext:
Extracting sky130_fd_sc_hd__nor2_1 into sky130_fd_sc_hd__nor2_1.ext:
Extracting sky130_fd_sc_hd__a21o_1 into sky130_fd_sc_hd__a21o_1.ext:
Extracting sky130_fd_sc_hd__o2bb2ai_1 into sky130_fd_sc_hd__o2bb2ai_1.ext:
Extracting sky130_fd_sc_hd__nand2_1 into sky130_fd_sc_hd__nand2_1.ext:
Extracting sky130_fd_sc_hd__nor2_2 into sky130_fd_sc_hd__nor2_2.ext:
Extracting sky130_fd_sc_hd__o21a_2 into sky130_fd_sc_hd__o21a_2.ext:
Extracting sky130_fd_sc_hd__o21bai_1 into sky130_fd_sc_hd__o21bai_1.ext:
Extracting sky130_fd_sc_hd__clkbuf_1 into sky130_fd_sc_hd__clkbuf_1.ext:
Extracting sky130_fd_sc_hd__dfrtn_1 into sky130_fd_sc_hd__dfrtn_1.ext:
Extracting sky130_fd_sc_hd__nand3b_1 into sky130_fd_sc_hd__nand3b_1.ext:
Extracting sky130_fd_sc_hd__dfstp_1 into sky130_fd_sc_hd__dfstp_1.ext:
Extracting sky130_fd_sc_hd__o31a_1 into sky130_fd_sc_hd__o31a_1.ext:
Extracting sky130_fd_sc_hd__dfrtp_4 into sky130_fd_sc_hd__dfrtp_4.ext:
Extracting sky130_fd_sc_hd__inv_4 into sky130_fd_sc_hd__inv_4.ext:
Extracting sky130_fd_sc_hd__dfrtp_2 into sky130_fd_sc_hd__dfrtp_2.ext:
Extracting sky130_fd_sc_hd__a21oi_1 into sky130_fd_sc_hd__a21oi_1.ext:
Extracting sky130_fd_sc_hd__o2111ai_2 into sky130_fd_sc_hd__o2111ai_2.ext:
Extracting sky130_fd_sc_hd__o211ai_4 into sky130_fd_sc_hd__o211ai_4.ext:
Extracting sky130_fd_sc_hd__a2bb2o_1 into sky130_fd_sc_hd__a2bb2o_1.ext:
Extracting sky130_fd_sc_hd__dfstp_2 into sky130_fd_sc_hd__dfstp_2.ext:
Extracting sky130_fd_sc_hd__clkbuf_4 into sky130_fd_sc_hd__clkbuf_4.ext:
Extracting sky130_fd_sc_hd__a41oi_1 into sky130_fd_sc_hd__a41oi_1.ext:
Extracting sky130_fd_sc_hd__o2111ai_1 into sky130_fd_sc_hd__o2111ai_1.ext:
Extracting sky130_fd_sc_hd__dfxtp_1 into sky130_fd_sc_hd__dfxtp_1.ext:
Extracting sky130_fd_sc_hd__clkbuf_16 into sky130_fd_sc_hd__clkbuf_16.ext:
Extracting sky130_fd_sc_hd__a31o_1 into sky130_fd_sc_hd__a31o_1.ext:
Extracting sky130_fd_sc_hd__nand3_1 into sky130_fd_sc_hd__nand3_1.ext:
Extracting sky130_fd_sc_hd__buf_4 into sky130_fd_sc_hd__buf_4.ext:
Extracting sky130_fd_sc_hd__and2_1 into sky130_fd_sc_hd__and2_1.ext:
Extracting sky130_fd_sc_hd__o22a_1 into sky130_fd_sc_hd__o22a_1.ext:
Extracting sky130_fd_sc_hd__dfrtp_1 into sky130_fd_sc_hd__dfrtp_1.ext:
Extracting sky130_fd_sc_hd__o21ai_2 into sky130_fd_sc_hd__o21ai_2.ext:
Extracting sky130_fd_sc_hd__o31ai_2 into sky130_fd_sc_hd__o31ai_2.ext:
Extracting sky130_fd_sc_hd__clkbuf_2 into sky130_fd_sc_hd__clkbuf_2.ext:
Extracting sky130_fd_sc_hd__nand2b_2 into sky130_fd_sc_hd__nand2b_2.ext:
Extracting sky130_fd_sc_hd__nand4bb_1 into sky130_fd_sc_hd__nand4bb_1.ext:
Extracting sky130_fd_sc_hd__o31ai_1 into sky130_fd_sc_hd__o31ai_1.ext:
Extracting sky130_fd_sc_hd__nor4_1 into sky130_fd_sc_hd__nor4_1.ext:
Extracting sky130_fd_sc_hd__buf_12 into sky130_fd_sc_hd__buf_12.ext:
Extracting sky130_fd_sc_hd__buf_2 into sky130_fd_sc_hd__buf_2.ext:
Extracting sky130_fd_sc_hd__conb_1 into sky130_fd_sc_hd__conb_1.ext:
Extracting caravel_clocking into caravel_clocking.ext:
caravel_clocking: 130 errors
Total of 130 errors (check feedback entries).
caravel_clocking: 1032 errors
Total of 1032 errors (check feedback entries).
exttospice finished.

View File

@ -1,25 +1,25 @@
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
The LEF parser will ignore this statement.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef at line 930.
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef at line 930.
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
[INFO ODB-0128] Design: caravel_clocking
[INFO ODB-0130] Created 17 pins.
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
[INFO ODB-0132] Created 2 special nets and 2622 connections.
[INFO ODB-0133] Created 330 nets and 1176 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
[INFO ODB-0131] Created 1530 components and 6727 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5596 connections.
[INFO ODB-0133] Created 326 nets and 1130 connections.
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
Top-level design name: caravel_clocking
Found default power net 'VPWR'
Found default ground net 'VGND'
Found 1 power ports.
Found 1 ground ports.
Modified power connections of 734/734 cells.
Modified power connections of 1530/1530 cells.

View File

@ -1,8 +1,8 @@
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.odb
Setting global connections for newly added cells...
[WARNING] Did not save OpenROAD database!
Writing netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.nl.v...
Writing powered netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.pnl.v...
Writing netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/29-caravel_clocking.nl.v...
Writing powered netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/29-caravel_clocking.pnl.v...

View File

@ -2,390 +2,408 @@ Netgen 1.5.234 compiled on Sun Oct 9 10:24:01 UTC 2022
Warning: netgen command 'format' use fully-qualified name '::netgen::format'
Warning: netgen command 'global' use fully-qualified name '::netgen::global'
Generating JSON file result
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.spice
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.pnl.v
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/caravel_clocking.spice
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/29-caravel_clocking.pnl.v
Warning: A case-insensitive file has been read and so the verilog file must be treated case-insensitive to match.
Creating placeholder cell definition for module sky130_fd_sc_hd__mux2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__inv_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__inv_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__inv_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21a_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__o2111ai_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor3b_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__o2111a_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor2_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21a_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21ai_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__o211ai_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__a31o_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__a41oi_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21ai_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__a31oi_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand3b_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__or2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__a21oi_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand2b_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o2bb2ai_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21a_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor3_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__and2b_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__a31o_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand2b_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__and2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o31ai_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__xnor2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor3_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21a_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__a21o_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__or3_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o2111ai_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__a21oi_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand4b_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand2b_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21ai_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o2111ai_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand4bb_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand3_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__and2_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o22a_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nand3b_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__a2bb2o_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor4_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o31ai_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o21bai_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o31a_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__nor4_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__o2111ai_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_16.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfstp_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfrtp_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfxtp_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfrtn_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfrtp_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfstp_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfrtp_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__dfrtp_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__decap_3.
Creating placeholder cell definition for module sky130_fd_sc_hd__tapvpwrvgnd_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__buf_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__buf_12.
Creating placeholder cell definition for module sky130_fd_sc_hd__diode_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__buf_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__dlymetal6s2s_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__clkbuf_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__buf_2.
Creating placeholder cell definition for module sky130_fd_sc_hd__conb_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__decap_8.
Creating placeholder cell definition for module sky130_fd_sc_hd__fill_2.
Creating placeholder cell definition for module sky130_ef_sc_hd__decap_12.
Creating placeholder cell definition for module sky130_fd_sc_hd__decap_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__fill_1.
Creating placeholder cell definition for module sky130_fd_sc_hd__decap_4.
Creating placeholder cell definition for module sky130_fd_sc_hd__decap_6.
Reading setup file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl
Comparison output logged to file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff/32-caravel_clocking.lef.log
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff/32-caravel_clocking.lef.log" enabled
Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains no devices.
Comparison output logged to file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/signoff/32-caravel_clocking.lef.log
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/signoff/32-caravel_clocking.lef.log" enabled
Circuit sky130_fd_sc_hd__fill_1 contains no devices.
Circuit sky130_fd_sc_hd__a41oi_1 contains no devices.
Circuit sky130_fd_sc_hd__nand3b_1 contains no devices.
Circuit sky130_fd_sc_hd__dfstp_1 contains no devices.
Circuit sky130_fd_sc_hd__o21ai_1 contains no devices.
Circuit sky130_fd_sc_hd__o2111ai_4 contains no devices.
Circuit sky130_fd_sc_hd__or3_1 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_16 contains no devices.
Circuit sky130_fd_sc_hd__diode_2 contains no devices.
Circuit sky130_fd_sc_hd__fill_2 contains no devices.
Circuit sky130_fd_sc_hd__dfrtn_1 contains no devices.
Circuit sky130_fd_sc_hd__o2111a_1 contains no devices.
Circuit sky130_fd_sc_hd__o22a_1 contains no devices.
Circuit sky130_fd_sc_hd__o21a_4 contains no devices.
Circuit sky130_ef_sc_hd__decap_12 contains no devices.
Circuit sky130_fd_sc_hd__xnor2_1 contains no devices.
Circuit sky130_fd_sc_hd__clkinv_4 contains no devices.
Circuit sky130_fd_sc_hd__nor3b_2 contains no devices.
Circuit sky130_fd_sc_hd__nor2_1 contains no devices.
Circuit sky130_fd_sc_hd__clkinv_2 contains no devices.
Circuit sky130_fd_sc_hd__nand2_1 contains no devices.
Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains no devices.
Circuit sky130_fd_sc_hd__decap_4 contains no devices.
Circuit sky130_fd_sc_hd__buf_12 contains no devices.
Circuit sky130_fd_sc_hd__inv_2 contains no devices.
Circuit sky130_fd_sc_hd__and2_1 contains no devices.
Circuit sky130_fd_sc_hd__and2b_2 contains no devices.
Circuit sky130_fd_sc_hd__mux2_1 contains no devices.
Circuit sky130_fd_sc_hd__nor3_2 contains no devices.
Circuit sky130_fd_sc_hd__or2_1 contains no devices.
Circuit sky130_fd_sc_hd__inv_4 contains no devices.
Circuit sky130_fd_sc_hd__decap_3 contains no devices.
Circuit sky130_fd_sc_hd__a21o_1 contains no devices.
Circuit sky130_fd_sc_hd__dfrtp_1 contains no devices.
Circuit sky130_fd_sc_hd__o21bai_1 contains no devices.
Circuit sky130_fd_sc_hd__o21a_1 contains no devices.
Circuit sky130_fd_sc_hd__o31ai_1 contains no devices.
Circuit sky130_fd_sc_hd__dfxtp_1 contains no devices.
Circuit sky130_fd_sc_hd__nor2_1 contains no devices.
Circuit sky130_fd_sc_hd__nand2_1 contains no devices.
Circuit sky130_fd_sc_hd__decap_3 contains no devices.
Circuit sky130_fd_sc_hd__o21ai_1 contains no devices.
Circuit sky130_fd_sc_hd__fill_2 contains no devices.
Circuit sky130_fd_sc_hd__nor3b_2 contains no devices.
Circuit sky130_ef_sc_hd__decap_12 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_16 contains no devices.
Circuit sky130_fd_sc_hd__dfstp_2 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_2 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_4 contains no devices.
Circuit sky130_fd_sc_hd__o31a_1 contains no devices.
Circuit sky130_fd_sc_hd__nor4_1 contains no devices.
Circuit sky130_fd_sc_hd__decap_8 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_1 contains no devices.
Circuit sky130_fd_sc_hd__dfrtp_4 contains no devices.
Circuit sky130_fd_sc_hd__nand3_1 contains no devices.
Circuit sky130_fd_sc_hd__buf_4 contains no devices.
Circuit sky130_fd_sc_hd__nand2b_1 contains no devices.
Circuit sky130_fd_sc_hd__buf_2 contains no devices.
Circuit sky130_fd_sc_hd__nand4b_1 contains no devices.
Circuit sky130_fd_sc_hd__nor3_1 contains no devices.
Circuit sky130_fd_sc_hd__a31oi_2 contains no devices.
Circuit sky130_fd_sc_hd__a21oi_1 contains no devices.
Circuit sky130_fd_sc_hd__o2111ai_1 contains no devices.
Circuit sky130_fd_sc_hd__diode_2 contains no devices.
Circuit sky130_fd_sc_hd__decap_6 contains no devices.
Circuit sky130_fd_sc_hd__a31o_2 contains no devices.
Circuit sky130_fd_sc_hd__nand4bb_1 contains no devices.
Circuit sky130_fd_sc_hd__dfstp_1 contains no devices.
Circuit sky130_fd_sc_hd__mux2_1 contains no devices.
Circuit sky130_fd_sc_hd__o21a_1 contains no devices.
Circuit sky130_fd_sc_hd__dfrtp_2 contains no devices.
Circuit sky130_fd_sc_hd__o2bb2ai_1 contains no devices.
Circuit sky130_fd_sc_hd__inv_2 contains no devices.
Circuit sky130_fd_sc_hd__nor3_1 contains no devices.
Circuit sky130_fd_sc_hd__buf_12 contains no devices.
Circuit sky130_fd_sc_hd__a21oi_1 contains no devices.
Circuit sky130_fd_sc_hd__xnor2_1 contains no devices.
Circuit sky130_fd_sc_hd__o2111ai_2 contains no devices.
Circuit sky130_fd_sc_hd__o31ai_2 contains no devices.
Circuit sky130_fd_sc_hd__and2_1 contains no devices.
Circuit sky130_fd_sc_hd__a41oi_1 contains no devices.
Circuit sky130_fd_sc_hd__clkinv_4 contains no devices.
Circuit sky130_fd_sc_hd__nand2b_2 contains no devices.
Circuit sky130_fd_sc_hd__clkinv_2 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_2 contains no devices.
Circuit sky130_fd_sc_hd__dfrtn_1 contains no devices.
Circuit sky130_fd_sc_hd__inv_4 contains no devices.
Circuit sky130_fd_sc_hd__o2111ai_1 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_4 contains no devices.
Circuit sky130_fd_sc_hd__nand3_1 contains no devices.
Circuit sky130_fd_sc_hd__o31a_1 contains no devices.
Circuit sky130_fd_sc_hd__nor3_2 contains no devices.
Circuit sky130_fd_sc_hd__dlymetal6s2s_1 contains no devices.
Circuit sky130_fd_sc_hd__o21bai_1 contains no devices.
Circuit sky130_fd_sc_hd__dfrtp_4 contains no devices.
Circuit sky130_fd_sc_hd__clkbuf_1 contains no devices.
Circuit sky130_fd_sc_hd__buf_4 contains no devices.
Circuit sky130_fd_sc_hd__conb_1 contains no devices.
Circuit sky130_fd_sc_hd__dfxtp_1 contains no devices.
Circuit sky130_fd_sc_hd__nand3b_1 contains no devices.
Circuit sky130_fd_sc_hd__a31o_1 contains no devices.
Circuit sky130_fd_sc_hd__o22a_1 contains no devices.
Circuit sky130_fd_sc_hd__buf_2 contains no devices.
Circuit sky130_fd_sc_hd__o31ai_1 contains no devices.
Circuit sky130_fd_sc_hd__o211ai_4 contains no devices.
Circuit sky130_fd_sc_hd__o21ai_2 contains no devices.
Circuit sky130_fd_sc_hd__o21a_2 contains no devices.
Circuit sky130_fd_sc_hd__nor2_2 contains no devices.
Circuit sky130_fd_sc_hd__a21o_1 contains no devices.
Circuit sky130_fd_sc_hd__nor4_1 contains no devices.
Circuit sky130_fd_sc_hd__a2bb2o_1 contains no devices.
Contents of circuit 1: Circuit: 'caravel_clocking'
Circuit caravel_clocking contains 734 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 2
Class: sky130_fd_sc_hd__o2111ai_4 instances: 2
Class: sky130_fd_sc_hd__a31o_2 instances: 2
Circuit caravel_clocking contains 1530 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 1
Class: sky130_fd_sc_hd__o2111ai_2 instances: 1
Class: sky130_fd_sc_hd__a31o_1 instances: 2
Class: sky130_fd_sc_hd__a21o_1 instances: 2
Class: sky130_fd_sc_hd__clkbuf_16 instances: 22
Class: sky130_fd_sc_hd__dfxtp_1 instances: 7
Class: sky130_fd_sc_hd__o31ai_1 instances: 2
Class: sky130_fd_sc_hd__a31oi_2 instances: 2
Class: sky130_fd_sc_hd__o31ai_2 instances: 2
Class: sky130_fd_sc_hd__buf_2 instances: 1
Class: sky130_fd_sc_hd__buf_4 instances: 3
Class: sky130_fd_sc_hd__buf_4 instances: 10
Class: sky130_fd_sc_hd__a2bb2o_1 instances: 2
Class: sky130_fd_sc_hd__dfstp_1 instances: 17
Class: sky130_fd_sc_hd__dfstp_2 instances: 4
Class: sky130_ef_sc_hd__decap_12 instances: 9
Class: sky130_fd_sc_hd__dfrtp_1 instances: 19
Class: sky130_fd_sc_hd__dfrtp_2 instances: 1
Class: sky130_fd_sc_hd__dfrtp_4 instances: 1
Class: sky130_fd_sc_hd__inv_2 instances: 14
Class: sky130_ef_sc_hd__decap_12 instances: 80
Class: sky130_fd_sc_hd__dfrtp_1 instances: 17
Class: sky130_fd_sc_hd__dfrtp_2 instances: 2
Class: sky130_fd_sc_hd__dfrtp_4 instances: 2
Class: sky130_fd_sc_hd__inv_2 instances: 8
Class: sky130_fd_sc_hd__inv_4 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 8
Class: sky130_fd_sc_hd__clkbuf_2 instances: 2
Class: sky130_fd_sc_hd__clkbuf_4 instances: 11
Class: sky130_fd_sc_hd__or3_1 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 3
Class: sky130_fd_sc_hd__clkbuf_4 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 2
Class: sky130_fd_sc_hd__conb_1 instances: 1
Class: sky130_fd_sc_hd__and2b_2 instances: 2
Class: sky130_fd_sc_hd__nand4b_1 instances: 2
Class: sky130_fd_sc_hd__buf_12 instances: 1
Class: sky130_fd_sc_hd__clkinv_2 instances: 4
Class: sky130_fd_sc_hd__clkinv_4 instances: 7
Class: sky130_fd_sc_hd__decap_3 instances: 44
Class: sky130_fd_sc_hd__decap_4 instances: 8
Class: sky130_fd_sc_hd__decap_6 instances: 1
Class: sky130_fd_sc_hd__decap_8 instances: 10
Class: sky130_fd_sc_hd__or2_1 instances: 6
Class: sky130_fd_sc_hd__clkinv_4 instances: 5
Class: sky130_fd_sc_hd__decap_3 instances: 146
Class: sky130_fd_sc_hd__decap_4 instances: 120
Class: sky130_fd_sc_hd__decap_6 instances: 42
Class: sky130_fd_sc_hd__decap_8 instances: 72
Class: sky130_fd_sc_hd__nand2_1 instances: 10
Class: sky130_fd_sc_hd__nand3b_1 instances: 6
Class: sky130_fd_sc_hd__nand3b_1 instances: 4
Class: sky130_fd_sc_hd__mux2_1 instances: 52
Class: sky130_fd_sc_hd__nand4bb_1 instances: 2
Class: sky130_fd_sc_hd__dfrtn_1 instances: 8
Class: sky130_fd_sc_hd__and2_1 instances: 1
Class: sky130_fd_sc_hd__o2bb2ai_1 instances: 2
Class: sky130_fd_sc_hd__and2_1 instances: 4
Class: sky130_fd_sc_hd__nor4_1 instances: 2
Class: sky130_fd_sc_hd__o22a_1 instances: 2
Class: sky130_fd_sc_hd__xnor2_1 instances: 10
Class: sky130_fd_sc_hd__nor3b_2 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 4
Class: sky130_fd_sc_hd__nand2b_1 instances: 6
Class: sky130_fd_sc_hd__diode_2 instances: 93
Class: sky130_fd_sc_hd__o2111a_1 instances: 2
Class: sky130_fd_sc_hd__nor3_1 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 2
Class: sky130_fd_sc_hd__nand2b_1 instances: 14
Class: sky130_fd_sc_hd__nand2b_2 instances: 2
Class: sky130_fd_sc_hd__diode_2 instances: 61
Class: sky130_fd_sc_hd__dlymetal6s2s_1 instances: 1
Class: sky130_fd_sc_hd__nor3_1 instances: 6
Class: sky130_fd_sc_hd__nor3_2 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 6
Class: sky130_fd_sc_hd__o21a_1 instances: 4
Class: sky130_fd_sc_hd__o21a_4 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 2
Class: sky130_fd_sc_hd__o21a_1 instances: 5
Class: sky130_fd_sc_hd__o21a_2 instances: 1
Class: sky130_fd_sc_hd__a41oi_1 instances: 2
Class: sky130_fd_sc_hd__o21ai_1 instances: 19
Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 157
Class: sky130_fd_sc_hd__a21oi_1 instances: 2
Class: sky130_fd_sc_hd__fill_1 instances: 80
Class: sky130_fd_sc_hd__fill_2 instances: 14
Class: sky130_fd_sc_hd__nor2_1 instances: 12
Circuit contains 333 nets.
Class: sky130_fd_sc_hd__o21ai_1 instances: 18
Class: sky130_fd_sc_hd__o21ai_2 instances: 2
Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 262
Class: sky130_fd_sc_hd__a21oi_1 instances: 4
Class: sky130_fd_sc_hd__fill_1 instances: 282
Class: sky130_fd_sc_hd__fill_2 instances: 151
Class: sky130_fd_sc_hd__o211ai_4 instances: 2
Class: sky130_fd_sc_hd__nor2_1 instances: 13
Class: sky130_fd_sc_hd__nor2_2 instances: 2
Circuit contains 329 nets.
Contents of circuit 2: Circuit: 'caravel_clocking'
Circuit caravel_clocking contains 734 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 2
Class: sky130_fd_sc_hd__o2111ai_4 instances: 2
Class: sky130_fd_sc_hd__a31o_2 instances: 2
Circuit caravel_clocking contains 1530 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 1
Class: sky130_fd_sc_hd__o2111ai_2 instances: 1
Class: sky130_fd_sc_hd__a31o_1 instances: 2
Class: sky130_fd_sc_hd__a21o_1 instances: 2
Class: sky130_fd_sc_hd__clkbuf_16 instances: 22
Class: sky130_fd_sc_hd__dfxtp_1 instances: 7
Class: sky130_fd_sc_hd__o31ai_1 instances: 2
Class: sky130_fd_sc_hd__a31oi_2 instances: 2
Class: sky130_fd_sc_hd__o31ai_2 instances: 2
Class: sky130_fd_sc_hd__buf_2 instances: 1
Class: sky130_fd_sc_hd__buf_4 instances: 3
Class: sky130_fd_sc_hd__buf_4 instances: 10
Class: sky130_fd_sc_hd__a2bb2o_1 instances: 2
Class: sky130_fd_sc_hd__dfstp_1 instances: 17
Class: sky130_fd_sc_hd__dfstp_2 instances: 4
Class: sky130_ef_sc_hd__decap_12 instances: 9
Class: sky130_fd_sc_hd__dfrtp_1 instances: 19
Class: sky130_fd_sc_hd__dfrtp_2 instances: 1
Class: sky130_fd_sc_hd__dfrtp_4 instances: 1
Class: sky130_fd_sc_hd__inv_2 instances: 14
Class: sky130_ef_sc_hd__decap_12 instances: 80
Class: sky130_fd_sc_hd__dfrtp_1 instances: 17
Class: sky130_fd_sc_hd__dfrtp_2 instances: 2
Class: sky130_fd_sc_hd__dfrtp_4 instances: 2
Class: sky130_fd_sc_hd__inv_2 instances: 8
Class: sky130_fd_sc_hd__inv_4 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 8
Class: sky130_fd_sc_hd__clkbuf_2 instances: 2
Class: sky130_fd_sc_hd__clkbuf_4 instances: 11
Class: sky130_fd_sc_hd__or3_1 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 3
Class: sky130_fd_sc_hd__clkbuf_4 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 2
Class: sky130_fd_sc_hd__conb_1 instances: 1
Class: sky130_fd_sc_hd__and2b_2 instances: 2
Class: sky130_fd_sc_hd__nand4b_1 instances: 2
Class: sky130_fd_sc_hd__buf_12 instances: 1
Class: sky130_fd_sc_hd__clkinv_2 instances: 4
Class: sky130_fd_sc_hd__clkinv_4 instances: 7
Class: sky130_fd_sc_hd__decap_3 instances: 44
Class: sky130_fd_sc_hd__decap_4 instances: 8
Class: sky130_fd_sc_hd__decap_6 instances: 1
Class: sky130_fd_sc_hd__decap_8 instances: 10
Class: sky130_fd_sc_hd__or2_1 instances: 6
Class: sky130_fd_sc_hd__clkinv_4 instances: 5
Class: sky130_fd_sc_hd__decap_3 instances: 146
Class: sky130_fd_sc_hd__decap_4 instances: 120
Class: sky130_fd_sc_hd__decap_6 instances: 42
Class: sky130_fd_sc_hd__decap_8 instances: 72
Class: sky130_fd_sc_hd__nand2_1 instances: 10
Class: sky130_fd_sc_hd__nand3b_1 instances: 6
Class: sky130_fd_sc_hd__nand3b_1 instances: 4
Class: sky130_fd_sc_hd__mux2_1 instances: 52
Class: sky130_fd_sc_hd__nand4bb_1 instances: 2
Class: sky130_fd_sc_hd__dfrtn_1 instances: 8
Class: sky130_fd_sc_hd__and2_1 instances: 1
Class: sky130_fd_sc_hd__o2bb2ai_1 instances: 2
Class: sky130_fd_sc_hd__and2_1 instances: 4
Class: sky130_fd_sc_hd__nor4_1 instances: 2
Class: sky130_fd_sc_hd__o22a_1 instances: 2
Class: sky130_fd_sc_hd__xnor2_1 instances: 10
Class: sky130_fd_sc_hd__nor3b_2 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 4
Class: sky130_fd_sc_hd__nand2b_1 instances: 6
Class: sky130_fd_sc_hd__diode_2 instances: 93
Class: sky130_fd_sc_hd__o2111a_1 instances: 2
Class: sky130_fd_sc_hd__nor3_1 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 2
Class: sky130_fd_sc_hd__nand2b_1 instances: 14
Class: sky130_fd_sc_hd__nand2b_2 instances: 2
Class: sky130_fd_sc_hd__diode_2 instances: 61
Class: sky130_fd_sc_hd__dlymetal6s2s_1 instances: 1
Class: sky130_fd_sc_hd__nor3_1 instances: 6
Class: sky130_fd_sc_hd__nor3_2 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 6
Class: sky130_fd_sc_hd__o21a_1 instances: 4
Class: sky130_fd_sc_hd__o21a_4 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 2
Class: sky130_fd_sc_hd__o21a_1 instances: 5
Class: sky130_fd_sc_hd__o21a_2 instances: 1
Class: sky130_fd_sc_hd__a41oi_1 instances: 2
Class: sky130_fd_sc_hd__o21ai_1 instances: 19
Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 157
Class: sky130_fd_sc_hd__a21oi_1 instances: 2
Class: sky130_fd_sc_hd__fill_1 instances: 80
Class: sky130_fd_sc_hd__fill_2 instances: 14
Class: sky130_fd_sc_hd__nor2_1 instances: 12
Circuit contains 333 nets.
Class: sky130_fd_sc_hd__o21ai_1 instances: 18
Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 262
Class: sky130_fd_sc_hd__o21ai_2 instances: 2
Class: sky130_fd_sc_hd__a21oi_1 instances: 4
Class: sky130_fd_sc_hd__fill_1 instances: 282
Class: sky130_fd_sc_hd__fill_2 instances: 151
Class: sky130_fd_sc_hd__o211ai_4 instances: 2
Class: sky130_fd_sc_hd__nor2_1 instances: 13
Class: sky130_fd_sc_hd__nor2_2 instances: 2
Circuit contains 329 nets.
Circuit was modified by parallel/series device merging.
New circuit summary:
Contents of circuit 1: Circuit: 'caravel_clocking'
Circuit caravel_clocking contains 345 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 2
Class: sky130_fd_sc_hd__o2111ai_4 instances: 2
Class: sky130_fd_sc_hd__a31o_2 instances: 2
Circuit caravel_clocking contains 339 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 1
Class: sky130_fd_sc_hd__o2111ai_2 instances: 1
Class: sky130_fd_sc_hd__a31o_1 instances: 2
Class: sky130_fd_sc_hd__a21o_1 instances: 2
Class: sky130_fd_sc_hd__clkbuf_16 instances: 22
Class: sky130_fd_sc_hd__dfxtp_1 instances: 7
Class: sky130_fd_sc_hd__o31ai_1 instances: 2
Class: sky130_fd_sc_hd__a31oi_2 instances: 2
Class: sky130_fd_sc_hd__o31ai_2 instances: 2
Class: sky130_fd_sc_hd__buf_2 instances: 1
Class: sky130_fd_sc_hd__buf_4 instances: 3
Class: sky130_fd_sc_hd__buf_4 instances: 10
Class: sky130_fd_sc_hd__a2bb2o_1 instances: 2
Class: sky130_fd_sc_hd__dfstp_1 instances: 17
Class: sky130_fd_sc_hd__dfstp_2 instances: 4
Class: sky130_ef_sc_hd__decap_12 instances: 1
Class: sky130_fd_sc_hd__dfrtp_1 instances: 19
Class: sky130_fd_sc_hd__dfrtp_2 instances: 1
Class: sky130_fd_sc_hd__dfrtp_4 instances: 1
Class: sky130_fd_sc_hd__inv_2 instances: 14
Class: sky130_fd_sc_hd__dfrtp_1 instances: 17
Class: sky130_fd_sc_hd__dfrtp_2 instances: 2
Class: sky130_fd_sc_hd__dfrtp_4 instances: 2
Class: sky130_fd_sc_hd__inv_2 instances: 8
Class: sky130_fd_sc_hd__inv_4 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 8
Class: sky130_fd_sc_hd__clkbuf_2 instances: 2
Class: sky130_fd_sc_hd__clkbuf_4 instances: 11
Class: sky130_fd_sc_hd__or3_1 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 3
Class: sky130_fd_sc_hd__clkbuf_4 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 2
Class: sky130_fd_sc_hd__conb_1 instances: 1
Class: sky130_fd_sc_hd__and2b_2 instances: 2
Class: sky130_fd_sc_hd__nand4b_1 instances: 2
Class: sky130_fd_sc_hd__buf_12 instances: 1
Class: sky130_fd_sc_hd__clkinv_2 instances: 4
Class: sky130_fd_sc_hd__clkinv_4 instances: 7
Class: sky130_fd_sc_hd__clkinv_4 instances: 5
Class: sky130_fd_sc_hd__decap_3 instances: 1
Class: sky130_fd_sc_hd__decap_4 instances: 1
Class: sky130_fd_sc_hd__decap_6 instances: 1
Class: sky130_fd_sc_hd__decap_8 instances: 1
Class: sky130_fd_sc_hd__or2_1 instances: 6
Class: sky130_fd_sc_hd__nand2_1 instances: 10
Class: sky130_fd_sc_hd__nand3b_1 instances: 6
Class: sky130_fd_sc_hd__nand3b_1 instances: 4
Class: sky130_fd_sc_hd__mux2_1 instances: 52
Class: sky130_fd_sc_hd__nand4bb_1 instances: 2
Class: sky130_fd_sc_hd__dfrtn_1 instances: 8
Class: sky130_fd_sc_hd__and2_1 instances: 1
Class: sky130_fd_sc_hd__o2bb2ai_1 instances: 2
Class: sky130_fd_sc_hd__and2_1 instances: 4
Class: sky130_fd_sc_hd__nor4_1 instances: 2
Class: sky130_fd_sc_hd__o22a_1 instances: 2
Class: sky130_fd_sc_hd__xnor2_1 instances: 10
Class: sky130_fd_sc_hd__nor3b_2 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 4
Class: sky130_fd_sc_hd__nand2b_1 instances: 6
Class: sky130_fd_sc_hd__diode_2 instances: 19
Class: sky130_fd_sc_hd__o2111a_1 instances: 2
Class: sky130_fd_sc_hd__nor3_1 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 2
Class: sky130_fd_sc_hd__nand2b_1 instances: 14
Class: sky130_fd_sc_hd__nand2b_2 instances: 2
Class: sky130_fd_sc_hd__diode_2 instances: 17
Class: sky130_fd_sc_hd__dlymetal6s2s_1 instances: 1
Class: sky130_fd_sc_hd__nor3_1 instances: 6
Class: sky130_fd_sc_hd__nor3_2 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 6
Class: sky130_fd_sc_hd__o21a_1 instances: 4
Class: sky130_fd_sc_hd__o21a_4 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 2
Class: sky130_fd_sc_hd__o21a_1 instances: 5
Class: sky130_fd_sc_hd__o21a_2 instances: 1
Class: sky130_fd_sc_hd__a41oi_1 instances: 2
Class: sky130_fd_sc_hd__o21ai_1 instances: 19
Class: sky130_fd_sc_hd__o21ai_1 instances: 18
Class: sky130_fd_sc_hd__o21ai_2 instances: 2
Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 1
Class: sky130_fd_sc_hd__a21oi_1 instances: 2
Class: sky130_fd_sc_hd__a21oi_1 instances: 4
Class: sky130_fd_sc_hd__fill_1 instances: 1
Class: sky130_fd_sc_hd__fill_2 instances: 1
Class: sky130_fd_sc_hd__nor2_1 instances: 12
Circuit contains 333 nets.
Class: sky130_fd_sc_hd__o211ai_4 instances: 2
Class: sky130_fd_sc_hd__nor2_1 instances: 13
Class: sky130_fd_sc_hd__nor2_2 instances: 2
Circuit contains 329 nets.
Contents of circuit 2: Circuit: 'caravel_clocking'
Circuit caravel_clocking contains 345 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 2
Class: sky130_fd_sc_hd__o2111ai_4 instances: 2
Class: sky130_fd_sc_hd__a31o_2 instances: 2
Circuit caravel_clocking contains 339 device instances.
Class: sky130_fd_sc_hd__o2111ai_1 instances: 1
Class: sky130_fd_sc_hd__o2111ai_2 instances: 1
Class: sky130_fd_sc_hd__a31o_1 instances: 2
Class: sky130_fd_sc_hd__a21o_1 instances: 2
Class: sky130_fd_sc_hd__clkbuf_16 instances: 22
Class: sky130_fd_sc_hd__dfxtp_1 instances: 7
Class: sky130_fd_sc_hd__o31ai_1 instances: 2
Class: sky130_fd_sc_hd__a31oi_2 instances: 2
Class: sky130_fd_sc_hd__o31ai_2 instances: 2
Class: sky130_fd_sc_hd__buf_2 instances: 1
Class: sky130_fd_sc_hd__buf_4 instances: 3
Class: sky130_fd_sc_hd__buf_4 instances: 10
Class: sky130_fd_sc_hd__a2bb2o_1 instances: 2
Class: sky130_fd_sc_hd__dfstp_1 instances: 17
Class: sky130_fd_sc_hd__dfstp_2 instances: 4
Class: sky130_ef_sc_hd__decap_12 instances: 1
Class: sky130_fd_sc_hd__dfrtp_1 instances: 19
Class: sky130_fd_sc_hd__dfrtp_2 instances: 1
Class: sky130_fd_sc_hd__dfrtp_4 instances: 1
Class: sky130_fd_sc_hd__inv_2 instances: 14
Class: sky130_fd_sc_hd__dfrtp_1 instances: 17
Class: sky130_fd_sc_hd__dfrtp_2 instances: 2
Class: sky130_fd_sc_hd__dfrtp_4 instances: 2
Class: sky130_fd_sc_hd__inv_2 instances: 8
Class: sky130_fd_sc_hd__inv_4 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 9
Class: sky130_fd_sc_hd__clkbuf_1 instances: 8
Class: sky130_fd_sc_hd__clkbuf_2 instances: 2
Class: sky130_fd_sc_hd__clkbuf_4 instances: 11
Class: sky130_fd_sc_hd__or3_1 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 3
Class: sky130_fd_sc_hd__clkbuf_4 instances: 4
Class: sky130_fd_sc_hd__nand3_1 instances: 2
Class: sky130_fd_sc_hd__conb_1 instances: 1
Class: sky130_fd_sc_hd__and2b_2 instances: 2
Class: sky130_fd_sc_hd__nand4b_1 instances: 2
Class: sky130_fd_sc_hd__buf_12 instances: 1
Class: sky130_fd_sc_hd__clkinv_2 instances: 4
Class: sky130_fd_sc_hd__clkinv_4 instances: 7
Class: sky130_fd_sc_hd__clkinv_4 instances: 5
Class: sky130_fd_sc_hd__decap_3 instances: 1
Class: sky130_fd_sc_hd__decap_4 instances: 1
Class: sky130_fd_sc_hd__decap_6 instances: 1
Class: sky130_fd_sc_hd__decap_8 instances: 1
Class: sky130_fd_sc_hd__or2_1 instances: 6
Class: sky130_fd_sc_hd__nand2_1 instances: 10
Class: sky130_fd_sc_hd__nand3b_1 instances: 6
Class: sky130_fd_sc_hd__nand3b_1 instances: 4
Class: sky130_fd_sc_hd__mux2_1 instances: 52
Class: sky130_fd_sc_hd__nand4bb_1 instances: 2
Class: sky130_fd_sc_hd__dfrtn_1 instances: 8
Class: sky130_fd_sc_hd__and2_1 instances: 1
Class: sky130_fd_sc_hd__o2bb2ai_1 instances: 2
Class: sky130_fd_sc_hd__and2_1 instances: 4
Class: sky130_fd_sc_hd__nor4_1 instances: 2
Class: sky130_fd_sc_hd__o22a_1 instances: 2
Class: sky130_fd_sc_hd__xnor2_1 instances: 10
Class: sky130_fd_sc_hd__nor3b_2 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 4
Class: sky130_fd_sc_hd__nand2b_1 instances: 6
Class: sky130_fd_sc_hd__diode_2 instances: 19
Class: sky130_fd_sc_hd__o2111a_1 instances: 2
Class: sky130_fd_sc_hd__nor3_1 instances: 2
Class: sky130_fd_sc_hd__o21bai_1 instances: 2
Class: sky130_fd_sc_hd__nand2b_1 instances: 14
Class: sky130_fd_sc_hd__nand2b_2 instances: 2
Class: sky130_fd_sc_hd__diode_2 instances: 17
Class: sky130_fd_sc_hd__dlymetal6s2s_1 instances: 1
Class: sky130_fd_sc_hd__nor3_1 instances: 6
Class: sky130_fd_sc_hd__nor3_2 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 6
Class: sky130_fd_sc_hd__o21a_1 instances: 4
Class: sky130_fd_sc_hd__o21a_4 instances: 2
Class: sky130_fd_sc_hd__o31a_1 instances: 2
Class: sky130_fd_sc_hd__o21a_1 instances: 5
Class: sky130_fd_sc_hd__o21a_2 instances: 1
Class: sky130_fd_sc_hd__a41oi_1 instances: 2
Class: sky130_fd_sc_hd__o21ai_1 instances: 19
Class: sky130_fd_sc_hd__o21ai_1 instances: 18
Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances: 1
Class: sky130_fd_sc_hd__a21oi_1 instances: 2
Class: sky130_fd_sc_hd__o21ai_2 instances: 2
Class: sky130_fd_sc_hd__a21oi_1 instances: 4
Class: sky130_fd_sc_hd__fill_1 instances: 1
Class: sky130_fd_sc_hd__fill_2 instances: 1
Class: sky130_fd_sc_hd__nor2_1 instances: 12
Circuit contains 333 nets.
Class: sky130_fd_sc_hd__o211ai_4 instances: 2
Class: sky130_fd_sc_hd__nor2_1 instances: 13
Class: sky130_fd_sc_hd__nor2_2 instances: 2
Circuit contains 329 nets.
Circuit 1 contains 345 devices, Circuit 2 contains 345 devices.
Circuit 1 contains 333 nets, Circuit 2 contains 333 nets.
Circuit 1 contains 339 devices, Circuit 2 contains 339 devices.
Circuit 1 contains 329 nets, Circuit 2 contains 329 nets.
Final result:
Circuits match uniquely.
.
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff/32-caravel_clocking.lef.log" disabled
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/signoff/32-caravel_clocking.lef.log" disabled
LVS Done.

View File

@ -18,59 +18,62 @@ Library name: caravel_clocking
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_ef_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__dfstp_1".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__a31oi_2".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__dfrtn_1".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__dfstp_2".
Reading "sky130_fd_sc_hd__a41oi_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__dfrtp_1".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__o21a_4".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__nand4b_1".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__nand2b_1".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o2bb2ai_1".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__dfrtn_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__dfstp_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__dfrtp_4".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__o2111ai_2".
Reading "sky130_fd_sc_hd__o211ai_4".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__dfstp_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__a41oi_1".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__dfrtp_1".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__o31ai_2".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__nand2b_2".
Reading "sky130_fd_sc_hd__nand4bb_1".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__conb_1".
@ -82,6 +85,6 @@ Loading DRC CIF style.
No errors found.
[INFO]: COUNT: 0
[INFO]: Should be divided by 3 or 4
[INFO]: DRC Checking DONE (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/drc.rpt)
[INFO]: Saving mag view with DRC errors (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.drc.mag)
[INFO]: DRC Checking DONE (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/drc.rpt)
[INFO]: Saving mag view with DRC errors (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/caravel_clocking.drc.mag)
[INFO]: Saved

View File

@ -1,6 +1,6 @@
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.odb
[INFO ANT-0002] Found 0 net violations.
[INFO ANT-0001] Found 0 pin violations.

View File

@ -1,17 +1,17 @@
CVC: Circuit Validation Check Version 1.1.0
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.error.gz
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.debug.gz
CVC: Start: Fri Oct 14 12:17:17 2022
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt.error.gz
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt.debug.gz
CVC: Start: Tue Oct 18 12:56:39 2022
Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc
CVC_TOP = 'caravel_clocking'
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl'
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/caravel_clocking.cdl'
CVC_MODE = 'caravel_clocking'
CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models'
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.power'
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/caravel_clocking.power'
CVC_FUSE_FILE = ''
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt'
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt'
CVC_REPORT_TITLE = 'CVC $CVC_TOP'
CVC_CIRCUIT_ERROR_LIMIT = '100'
CVC_SEARCH_LIMIT = '100'
@ -45,10 +45,10 @@ End of parameters
CVC: Reading device model settings...
CVC: Reading power settings...
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/caravel_clocking.cdl
Cdl fixed data size 26808
Usage CDL: Time: 0 Memory: 7008 I/O: 8 Swap: 0
Cdl fixed data size 37306
Usage CDL: Time: 0 Memory: 7376 I/O: 8 Swap: 0
CVC: Counting and linking...
Fatal error:could not find subcircuit: XFILLER_0_14(sky130_ef_sc_hd__decap_12) in caravel_clocking
Fatal error:could not find subcircuit: XFILLER_0_79(sky130_ef_sc_hd__decap_12) in caravel_clocking

View File

@ -1,17 +1,17 @@
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.error.gz
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.debug.gz
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt.error.gz
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt.debug.gz
CVC: Circuit Validation Check Version 1.1.0
CVC: Start: Fri Oct 14 12:17:17 2022
CVC: Start: Tue Oct 18 12:56:39 2022
Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc
CVC_TOP = 'caravel_clocking'
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl'
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/caravel_clocking.cdl'
CVC_MODE = 'caravel_clocking'
CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models'
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.power'
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/caravel_clocking.power'
CVC_FUSE_FILE = ''
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt'
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/caravel_clocking.rpt'
CVC_REPORT_TITLE = 'CVC $CVC_TOP'
CVC_CIRCUIT_ERROR_LIMIT = '100'
CVC_SEARCH_LIMIT = '100'
@ -45,7 +45,7 @@ End of parameters
CVC: Reading device model settings...
CVC: Reading power settings...
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl
Cdl fixed data size 26808
Usage CDL: Time: 0 Memory: 7008 I/O: 8 Swap: 0
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/caravel_clocking.cdl
Cdl fixed data size 37306
Usage CDL: Time: 0 Memory: 7376 I/O: 8 Swap: 0
CVC: Counting and linking...

View File

@ -1,6 +1,6 @@
###############################################################################
# Created by write_sdc
# Fri Oct 14 12:15:45 2022
# Tue Oct 18 12:56:03 2022
###############################################################################
current_design caravel_clocking
###############################################################################
@ -18,7 +18,7 @@ create_clock -name pll_clk90 -period 6.6667 [get_ports {pll_clk90}]
set_clock_transition 0.1000 [get_clocks {pll_clk90}]
set_clock_uncertainty 0.2000 pll_clk90
set_propagated_clock [get_clocks {pll_clk90}]
create_generated_clock -name core_clk -source [get_pins {_210_/X}] -divide_by 1 [get_ports {core_clk}]
create_generated_clock -name core_clk -source [get_pins {_206_/X}] -divide_by 1 [get_ports {core_clk}]
set_clock_transition 0.1000 [get_clocks {core_clk}]
set_clock_uncertainty 0.2000 core_clk
set_propagated_clock [get_clocks {core_clk}]

View File

@ -42,12 +42,12 @@ set ::env(DESIGN_CONFIG) {/home/kareem_farid/caravel/openlane/caravel_clocking/c
set ::env(DESIGN_IS_CORE) {1}
set ::env(DESIGN_NAME) {caravel_clocking}
set ::env(DETAILED_ROUTER) {tritonroute}
set ::env(DIE_AREA) {0 0 100 60}
set ::env(DIE_AREA) {0 0 100 100}
set ::env(DIODE_CELL) {sky130_fd_sc_hd__diode_2}
set ::env(DIODE_CELL_PIN) {DIODE}
set ::env(DIODE_INSERTION_STRATEGY) {4}
set ::env(DIODE_PADDING) {0}
set ::env(DPL_CELL_PADDING) {0}
set ::env(DPL_CELL_PADDING) {2}
set ::env(DRC_EXCLUDE_CELL_LIST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells}
set ::env(DRC_EXCLUDE_CELL_LIST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells}
set ::env(DRT_OPT_ITERS) {64}
@ -85,7 +85,7 @@ set ::env(FP_PDN_CORE_RING_VWIDTH) {1.6}
set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) {1}
set ::env(FP_PDN_ENABLE_MACROS_GRID) {1}
set ::env(FP_PDN_ENABLE_RAILS) {1}
set ::env(FP_PDN_HOFFSET) {13.69}
set ::env(FP_PDN_HOFFSET) {5.73}
set ::env(FP_PDN_HORIZONTAL_HALO) {10}
set ::env(FP_PDN_HPITCH) {16.9}
set ::env(FP_PDN_HSPACING) {6.85}
@ -99,10 +99,11 @@ set ::env(FP_PDN_SKIPTRIM) {0}
set ::env(FP_PDN_SKIP_TRIM) {1}
set ::env(FP_PDN_UPPER_LAYER) {met5}
set ::env(FP_PDN_VERTICAL_HALO) {10}
set ::env(FP_PDN_VOFFSET) {15.4}
set ::env(FP_PDN_VOFFSET) {7.63}
set ::env(FP_PDN_VPITCH) {15.5}
set ::env(FP_PDN_VSPACING) {6.15}
set ::env(FP_PDN_VWIDTH) {1.6}
set ::env(FP_PIN_ORDER_CFG) {/home/kareem_farid/caravel/openlane/caravel_clocking/pin_order.cfg}
set ::env(FP_SIZING) {absolute}
set ::env(FP_TAPCELL_DIST) {6}
set ::env(FP_TAP_HORIZONTAL_HALO) {10}
@ -112,7 +113,7 @@ set ::env(FULL_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky1
set ::env(GDS_FILES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds}
set ::env(GDS_FILES_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds}
set ::env(GENERATE_FINAL_SUMMARY_REPORT) {1}
set ::env(GLB_CFG_FILE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/config.tcl}
set ::env(GLB_CFG_FILE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/config.tcl}
set ::env(GLB_OPTIMIZE_MIRRORING) {1}
set ::env(GLB_RESIZER_ALLOW_SETUP_VIOS) {0}
set ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50}
@ -128,7 +129,7 @@ set ::env(GND_PIN) {VGND}
set ::env(GPIO_PADS_LEF) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef }
set ::env(GPIO_PADS_LEF_CORE_SIDE) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef }
set ::env(GPIO_PADS_VERILOG) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/verilog/sky130_fd_io/sky130_ef_io.v}
set ::env(GPL_CELL_PADDING) {0}
set ::env(GPL_CELL_PADDING) {4}
set ::env(GRT_ADJUSTMENT) {0}
set ::env(GRT_ALLOW_CONGESTION) {0}
set ::env(GRT_ANT_ITERS) {3}
@ -153,7 +154,7 @@ set ::env(LIB_SLOWEST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A
set ::env(LIB_SLOWEST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib}
set ::env(LIB_SYNTH) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib}
set ::env(LIB_TYPICAL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib}
set ::env(LOGS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs}
set ::env(LOGS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs}
set ::env(LVS_CONNECT_BY_LABEL) {0}
set ::env(LVS_INSERT_POWER_PINS) {1}
set ::env(MACRO_BLOCKAGES_LAYER) {li1 met1 met2 met3 met4}
@ -205,7 +206,7 @@ set ::env(PL_RESIZER_TIE_SEPERATION) {0}
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) {1}
set ::env(PL_ROUTABILITY_DRIVEN) {1}
set ::env(PL_SKIP_INITIAL_PLACEMENT) {0}
set ::env(PL_TARGET_DENSITY) {0.9}
set ::env(PL_TARGET_DENSITY) {0.62}
set ::env(PL_TIME_DRIVEN) {1}
set ::env(PRIMARY_SIGNOFF_TOOL) {magic}
set ::env(PROCESS) {130}
@ -225,8 +226,8 @@ set ::env(RCX_MERGE_VIA_WIRE_RES) {1}
set ::env(RCX_RULES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre}
set ::env(RCX_RULES_MAX) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre}
set ::env(RCX_RULES_MIN) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre}
set ::env(REPORTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports}
set ::env(RESULTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results}
set ::env(REPORTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports}
set ::env(RESULTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results}
set ::env(RE_BUFFER_CELL) {sky130_fd_sc_hd__buf_4}
set ::env(RIGHT_MARGIN_MULT) {12}
set ::env(RIPPLE_CARRY_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/rca_map.v}
@ -237,7 +238,7 @@ set ::env(RSZ_USE_OLD_REMOVER) {1}
set ::env(RT_MAX_LAYER) {met5}
set ::env(RT_MIN_LAYER) {met1}
set ::env(RUN_CVC) {1}
set ::env(RUN_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15}
set ::env(RUN_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55}
set ::env(RUN_DRT) {1}
set ::env(RUN_IRDROP_REPORT) {1}
set ::env(RUN_KLAYOUT) {0}
@ -247,9 +248,9 @@ set ::env(RUN_LVS) {1}
set ::env(RUN_MAGIC) {1}
set ::env(RUN_MAGIC_DRC) {1}
set ::env(RUN_SPEF_EXTRACTION) {1}
set ::env(RUN_TAG) {22_10_14_05_15}
set ::env(RUN_TAG) {22_10_18_05_55}
set ::env(SPEF_EXTRACTOR) {openrcx}
set ::env(START_TIME) {2022.10.14_12.15.01}
set ::env(START_TIME) {2022.10.18_12.55.20}
set ::env(STA_REPORT_POWER) {1}
set ::env(STA_WRITE_LIB) {1}
set ::env(STD_CELL_GROUND_PINS) {VGND VNB}
@ -290,7 +291,7 @@ set ::env(TECH_LEF_MAX) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130
set ::env(TECH_LEF_MIN) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__min.tlef}
set ::env(TECH_LEF_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef}
set ::env(TERMINAL_OUTPUT) {/dev/null}
set ::env(TMP_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp}
set ::env(TMP_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp}
set ::env(TOP_MARGIN_MULT) {2}
set ::env(TRACKS_INFO_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info}
set ::env(TRISTATE_BUFFER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v}
@ -300,43 +301,43 @@ set ::env(VDD_PIN) {VPWR}
set ::env(VERILOG_FILES) { /home/kareem_farid/caravel/openlane/caravel_clocking/../../verilog/rtl/defines.v /home/kareem_farid/caravel/openlane/caravel_clocking/../../verilog/rtl/clock_div.v /home/kareem_farid/caravel/openlane/caravel_clocking/../../verilog/rtl/caravel_clocking.v}
set ::env(WIRE_RC_LAYER) {met1}
set ::env(YOSYS_REWRITE_VERILOG) {0}
set ::env(cts_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/cts}
set ::env(cts_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/cts}
set ::env(cts_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/cts}
set ::env(cts_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/cts}
set ::env(eco_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/eco}
set ::env(eco_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/eco}
set ::env(eco_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/eco}
set ::env(eco_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/eco}
set ::env(floorplan_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/floorplan}
set ::env(floorplan_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/floorplan}
set ::env(floorplan_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/floorplan}
set ::env(floorplan_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/floorplan}
set ::env(placement_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/placement}
set ::env(placement_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/placement}
set ::env(placement_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/placement}
set ::env(placement_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/placement}
set ::env(routing_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/routing}
set ::env(routing_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/routing}
set ::env(routing_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing}
set ::env(routing_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/routing}
set ::env(signoff_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff}
set ::env(signoff_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff}
set ::env(signoff_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff}
set ::env(signoff_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff}
set ::env(synthesis_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/synthesis}
set ::env(synthesis_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/synthesis}
set ::env(synthesis_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/synthesis}
set ::env(synthesis_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/synthesis}
set ::env(cts_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/cts}
set ::env(cts_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/cts}
set ::env(cts_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/cts}
set ::env(cts_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/cts}
set ::env(eco_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/eco}
set ::env(eco_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/eco}
set ::env(eco_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/eco}
set ::env(eco_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/eco}
set ::env(floorplan_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/floorplan}
set ::env(floorplan_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/floorplan}
set ::env(floorplan_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/floorplan}
set ::env(floorplan_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/floorplan}
set ::env(placement_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/placement}
set ::env(placement_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/placement}
set ::env(placement_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/placement}
set ::env(placement_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/placement}
set ::env(routing_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/routing}
set ::env(routing_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/routing}
set ::env(routing_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing}
set ::env(routing_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/routing}
set ::env(signoff_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/signoff}
set ::env(signoff_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff}
set ::env(signoff_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff}
set ::env(signoff_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff}
set ::env(synthesis_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/synthesis}
set ::env(synthesis_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/synthesis}
set ::env(synthesis_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/synthesis}
set ::env(synthesis_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/synthesis}
set ::env(SYNTH_MAX_TRAN) {0.75}
set ::env(CURRENT_INDEX) 35
set ::env(CURRENT_DEF) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
set ::env(CURRENT_GUIDE) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/routing/17-global.guide
set ::env(CURRENT_NETLIST) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.nl.v
set ::env(CURRENT_DEF) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.def
set ::env(CURRENT_GUIDE) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/routing/17-global.guide
set ::env(CURRENT_NETLIST) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/29-caravel_clocking.nl.v
set ::env(CURRENT_POWERED_NETLIST) {0}
set ::env(CURRENT_ODB) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
set ::env(CURRENT_ODB) /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.odb
set ::env(PDK_ROOT) {/home/kareem_farid/caravel/deps/openlane-new/pdk}
set ::env(ANTENNA_VIOLATOR_LIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/34-antenna_violators.rpt}
set ::env(ANTENNA_VIOLATOR_LIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/34-antenna_violators.rpt}
set ::env(BASE_SDC_FILE) {/home/kareem_farid/caravel/openlane/caravel_clocking/base.sdc}
set ::env(BASIC_PREP_COMPLETE) {1}
set ::env(BOTTOM_MARGIN_MULT) {1}
@ -358,8 +359,8 @@ set ::env(CLOCK_PORT) {ext_clk}
set ::env(CLOCK_TREE_SYNTH) {1}
set ::env(CLOCK_WIRE_RC_LAYER) {met5}
set ::env(CONFIGS) {general.tcl checkers.tcl synthesis.tcl floorplan.tcl cts.tcl placement.tcl routing.tcl extraction.tcl}
set ::env(CORE_AREA) {0.92 2.72 94.3 54.4}
set ::env(CORE_HEIGHT) {51.68}
set ::env(CORE_AREA) {0.92 2.72 94.3 92.48}
set ::env(CORE_HEIGHT) {89.76}
set ::env(CORE_WIDTH) {93.38}
set ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_2}
set ::env(CTS_CLK_MAX_WIRE_LENGTH) {0}
@ -375,18 +376,18 @@ set ::env(CTS_SQR_RES) {0.125}
set ::env(CTS_TARGET_SKEW) {200}
set ::env(CTS_TECH_DIR) {N/A}
set ::env(CTS_TOLERANCE) {100}
set ::env(CURRENT_DEF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.p.def}
set ::env(CURRENT_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/routing}
set ::env(CURRENT_GDS) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.gds}
set ::env(CURRENT_GUIDE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/routing/17-global.guide}
set ::env(CURRENT_DEF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/29-caravel_clocking.p.def}
set ::env(CURRENT_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/routing}
set ::env(CURRENT_GDS) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/caravel_clocking.gds}
set ::env(CURRENT_GUIDE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/routing/17-global.guide}
set ::env(CURRENT_INDEX) {35}
set ::env(CURRENT_LIB) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.lib}
set ::env(CURRENT_NETLIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.nl.v}
set ::env(CURRENT_ODB) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb}
set ::env(CURRENT_POWERED_NETLIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.pnl.v}
set ::env(CURRENT_SDC) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/13-caravel_clocking.sdc}
set ::env(CURRENT_SDF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.sdf}
set ::env(CURRENT_SPEF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.spef}
set ::env(CURRENT_LIB) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_nom/caravel_clocking.lib}
set ::env(CURRENT_NETLIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/29-caravel_clocking.nl.v}
set ::env(CURRENT_ODB) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/caravel_clocking.odb}
set ::env(CURRENT_POWERED_NETLIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff/29-caravel_clocking.pnl.v}
set ::env(CURRENT_SDC) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/13-caravel_clocking.sdc}
set ::env(CURRENT_SDF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_nom/caravel_clocking.sdf}
set ::env(CURRENT_SPEF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/process_corner_nom/caravel_clocking.spef}
set ::env(CVC_SCRIPTS_DIR) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc}
set ::env(DATA_WIRE_RC_LAYER) {met2}
set ::env(DECAP_CELL) {sky130_ef_sc_hd__decap_12 sky130_fd_sc_hd__decap_8 sky130_fd_sc_hd__decap_6 sky130_fd_sc_hd__decap_4 sky130_fd_sc_hd__decap_3}
@ -397,13 +398,13 @@ set ::env(DESIGN_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking}
set ::env(DESIGN_IS_CORE) {1}
set ::env(DESIGN_NAME) {caravel_clocking}
set ::env(DETAILED_ROUTER) {tritonroute}
set ::env(DIE_AREA) {0.0 0.0 100.0 60.0}
set ::env(DIE_AREA) {0.0 0.0 100.0 100.0}
set ::env(DIODE_CELL) {sky130_fd_sc_hd__diode_2}
set ::env(DIODE_CELL_PIN) {DIODE}
set ::env(DIODE_INSERTION_STRATEGY) {4}
set ::env(DIODE_PADDING) {0}
set ::env(DONT_USE_CELLS) {sky130_fd_sc_hd__a2111oi_0 sky130_fd_sc_hd__a21boi_0 sky130_fd_sc_hd__and2_0 sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__clkdlybuf4s15_1 sky130_fd_sc_hd__clkdlybuf4s18_1 sky130_fd_sc_hd__fa_4 sky130_fd_sc_hd__lpflow_bleeder_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_16 sky130_fd_sc_hd__lpflow_clkbufkapwr_2 sky130_fd_sc_hd__lpflow_clkbufkapwr_4 sky130_fd_sc_hd__lpflow_clkbufkapwr_8 sky130_fd_sc_hd__lpflow_clkinvkapwr_1 sky130_fd_sc_hd__lpflow_clkinvkapwr_16 sky130_fd_sc_hd__lpflow_clkinvkapwr_2 sky130_fd_sc_hd__lpflow_clkinvkapwr_4 sky130_fd_sc_hd__lpflow_clkinvkapwr_8 sky130_fd_sc_hd__lpflow_decapkapwr_12 sky130_fd_sc_hd__lpflow_decapkapwr_3 sky130_fd_sc_hd__lpflow_decapkapwr_4 sky130_fd_sc_hd__lpflow_decapkapwr_6 sky130_fd_sc_hd__lpflow_decapkapwr_8 sky130_fd_sc_hd__lpflow_inputiso0n_1 sky130_fd_sc_hd__lpflow_inputiso0p_1 sky130_fd_sc_hd__lpflow_inputiso1n_1 sky130_fd_sc_hd__lpflow_inputiso1p_1 sky130_fd_sc_hd__lpflow_inputisolatch_1 sky130_fd_sc_hd__lpflow_isobufsrc_1 sky130_fd_sc_hd__lpflow_isobufsrc_16 sky130_fd_sc_hd__lpflow_isobufsrc_2 sky130_fd_sc_hd__lpflow_isobufsrc_4 sky130_fd_sc_hd__lpflow_isobufsrc_8 sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 sky130_fd_sc_hd__mux4_4 sky130_fd_sc_hd__o21ai_0 sky130_fd_sc_hd__o311ai_0 sky130_fd_sc_hd__or2_0 sky130_fd_sc_hd__probe_p_8 sky130_fd_sc_hd__probec_p_8 sky130_fd_sc_hd__xor3_1 sky130_fd_sc_hd__xor3_2 sky130_fd_sc_hd__xor3_4 sky130_fd_sc_hd__xnor3_1 sky130_fd_sc_hd__xnor3_2 sky130_fd_sc_hd__xnor3_4 }
set ::env(DPL_CELL_PADDING) {0}
set ::env(DPL_CELL_PADDING) {2}
set ::env(DRC_EXCLUDE_CELL_LIST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells}
set ::env(DRC_EXCLUDE_CELL_LIST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells}
set ::env(DRT_OPT_ITERS) {64}
@ -411,7 +412,7 @@ set ::env(ECO_ENABLE) {0}
set ::env(ECO_FINISH) {0}
set ::env(ECO_ITER) {0}
set ::env(ECO_SKIP_PIN) {1}
set ::env(EXT_NETLIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.spice}
set ::env(EXT_NETLIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/caravel_clocking.spice}
set ::env(FAKEDIODE_CELL) {sky130_ef_sc_hd__fakediode_2}
set ::env(FILL_CELL) {sky130_fd_sc_hd__fill*}
set ::env(FILL_INSERTION) {1}
@ -442,7 +443,7 @@ set ::env(FP_PDN_CORE_RING_VWIDTH) {1.6}
set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) {1}
set ::env(FP_PDN_ENABLE_MACROS_GRID) {1}
set ::env(FP_PDN_ENABLE_RAILS) {1}
set ::env(FP_PDN_HOFFSET) {13.69}
set ::env(FP_PDN_HOFFSET) {5.73}
set ::env(FP_PDN_HORIZONTAL_HALO) {10}
set ::env(FP_PDN_HPITCH) {16.9}
set ::env(FP_PDN_HSPACING) {6.85}
@ -456,10 +457,11 @@ set ::env(FP_PDN_SKIPTRIM) {0}
set ::env(FP_PDN_SKIP_TRIM) {1}
set ::env(FP_PDN_UPPER_LAYER) {met5}
set ::env(FP_PDN_VERTICAL_HALO) {10}
set ::env(FP_PDN_VOFFSET) {15.4}
set ::env(FP_PDN_VOFFSET) {7.63}
set ::env(FP_PDN_VPITCH) {15.5}
set ::env(FP_PDN_VSPACING) {6.15}
set ::env(FP_PDN_VWIDTH) {1.6}
set ::env(FP_PIN_ORDER_CFG) {/home/kareem_farid/caravel/openlane/caravel_clocking/pin_order.cfg}
set ::env(FP_SIZING) {absolute}
set ::env(FP_TAPCELL_DIST) {6}
set ::env(FP_TAP_HORIZONTAL_HALO) {10}
@ -469,7 +471,7 @@ set ::env(FULL_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky1
set ::env(GDS_FILES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds}
set ::env(GDS_FILES_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds}
set ::env(GENERATE_FINAL_SUMMARY_REPORT) {1}
set ::env(GLB_CFG_FILE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/config.tcl}
set ::env(GLB_CFG_FILE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/config.tcl}
set ::env(GLB_OPTIMIZE_MIRRORING) {1}
set ::env(GLB_RESIZER_ALLOW_SETUP_VIOS) {0}
set ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) {50}
@ -487,7 +489,7 @@ set ::env(GND_PIN) {VGND}
set ::env(GPIO_PADS_LEF) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef }
set ::env(GPIO_PADS_LEF_CORE_SIDE) { /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef }
set ::env(GPIO_PADS_VERILOG) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/verilog/sky130_fd_io/sky130_ef_io.v}
set ::env(GPL_CELL_PADDING) {0}
set ::env(GPL_CELL_PADDING) {4}
set ::env(GRT_ADJUSTMENT) {0}
set ::env(GRT_ALLOW_CONGESTION) {0}
set ::env(GRT_ANT_ITERS) {3}
@ -499,7 +501,7 @@ set ::env(GRT_OVERFLOW_ITERS) {50}
set ::env(GRT_RESIZER_HOLD_SLACK_MARGIN) {0.25}
set ::env(GRT_RESIZER_TIMING_OPTIMIZATIONS) {1}
set ::env(HOME) {/}
set ::env(HOSTNAME) {b183fb3343af}
set ::env(HOSTNAME) {39e3bba3fda9}
set ::env(IO_PCT) {0.2}
set ::env(KLAYOUT_DRC_KLAYOUT_GDS) {0}
set ::env(KLAYOUT_DRC_TECH_SCRIPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/klayout/drc/sky130A_mr.drc}
@ -508,23 +510,23 @@ set ::env(KLAYOUT_TECH) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130
set ::env(KLAYOUT_XOR_GDS) {1}
set ::env(KLAYOUT_XOR_XML) {1}
set ::env(LANG) {en_US.UTF-8}
set ::env(LAST_TIMING_REPORT_TAG) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/26-rcx_sta}
set ::env(LAST_TIMING_REPORT_TAG) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/26-rcx_sta}
set ::env(LC_ALL) {en_US.UTF-8}
set ::env(LC_CTYPE) {en_US.UTF-8}
set ::env(LD_LIBRARY_PATH) {/build//lib:/build//lib/Linux-x86_64:}
set ::env(LEC_ENABLE) {0}
set ::env(LEFT_MARGIN_MULT) {2}
set ::env(LIB_CTS) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/cts/cts.lib}
set ::env(LIB_CTS) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/cts/cts.lib}
set ::env(LIB_FASTEST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib}
set ::env(LIB_SLOWEST) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib}
set ::env(LIB_SLOWEST_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib}
set ::env(LIB_SYNTH) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/synthesis/trimmed.lib}
set ::env(LIB_SYNTH) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/synthesis/trimmed.lib}
set ::env(LIB_SYNTH_COMPLETE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib}
set ::env(LIB_SYNTH_COMPLETE_NO_PG) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/synthesis/1-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib}
set ::env(LIB_SYNTH_MERGED) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/synthesis/merged.lib}
set ::env(LIB_SYNTH_NO_PG) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/synthesis/1-trimmed.no_pg.lib}
set ::env(LIB_SYNTH_COMPLETE_NO_PG) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/synthesis/1-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib}
set ::env(LIB_SYNTH_MERGED) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/synthesis/merged.lib}
set ::env(LIB_SYNTH_NO_PG) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/synthesis/1-trimmed.no_pg.lib}
set ::env(LIB_TYPICAL) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib}
set ::env(LOGS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs}
set ::env(LOGS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs}
set ::env(LVS_CONNECT_BY_LABEL) {0}
set ::env(LVS_INSERT_POWER_PINS) {1}
set ::env(MACRO_BLOCKAGES_LAYER) {li1 met1 met2 met3 met4}
@ -532,7 +534,7 @@ set ::env(MAGIC_CONVERT_DRC_TO_RDB) {1}
set ::env(MAGIC_DISABLE_HIER_GDS) {1}
set ::env(MAGIC_DRC_USE_GDS) {1}
set ::env(MAGIC_EXT_USE_GDS) {0}
set ::env(MAGIC_GDS) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.magic.gds}
set ::env(MAGIC_GDS) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff/caravel_clocking.magic.gds}
set ::env(MAGIC_GENERATE_GDS) {1}
set ::env(MAGIC_GENERATE_LEF) {1}
set ::env(MAGIC_GENERATE_MAGLEF) {1}
@ -545,16 +547,16 @@ set ::env(MAGIC_ZEROIZE_ORIGIN) {0}
set ::env(MAGTYPE) {maglef}
set ::env(MANPATH) {/build//share/man:}
set ::env(MAX_METAL_LAYER) {6}
set ::env(MC_SDF_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/sdf}
set ::env(MC_SPEF_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/spef}
set ::env(MERGED_LEF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef}
set ::env(MERGED_LEF_MAX) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.max.lef}
set ::env(MERGED_LEF_MIN) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.min.lef}
set ::env(MC_SDF_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/sdf}
set ::env(MC_SPEF_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing/mca/spef}
set ::env(MERGED_LEF) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.nom.lef}
set ::env(MERGED_LEF_MAX) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.max.lef}
set ::env(MERGED_LEF_MIN) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/merged.min.lef}
set ::env(MISMATCHES_OK) {1}
set ::env(NETGEN_SETUP_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl}
set ::env(NO_SYNTH_CELL_LIST) {/home/kareem_farid/caravel/openlane/caravel_clocking/no_synth.list}
set ::env(OPENLANE_ROOT) {/openlane}
set ::env(OPENLANE_RUN_TAG) {22_10_14_05_15}
set ::env(OPENLANE_RUN_TAG) {22_10_18_05_55}
set ::env(OPENLANE_VERBOSE) {0}
set ::env(OPENLANE_VERSION) {e3a5189a1b0fc4290686fcf2ae46cd6d7947cf9f}
set ::env(OPENROAD) {/build/}
@ -596,7 +598,7 @@ set ::env(PL_RESIZER_TIE_SEPERATION) {0}
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) {1}
set ::env(PL_ROUTABILITY_DRIVEN) {1}
set ::env(PL_SKIP_INITIAL_PLACEMENT) {0}
set ::env(PL_TARGET_DENSITY) {0.9}
set ::env(PL_TARGET_DENSITY) {0.62}
set ::env(PL_TIME_DRIVEN) {1}
set ::env(PRIMARY_SIGNOFF_TOOL) {magic}
set ::env(PROCESS) {130}
@ -617,21 +619,21 @@ set ::env(RCX_MERGE_VIA_WIRE_RES) {1}
set ::env(RCX_RULES) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre}
set ::env(RCX_RULES_MAX) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre}
set ::env(RCX_RULES_MIN) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre}
set ::env(RCX_SDC_FILE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/13-caravel_clocking.sdc}
set ::env(REPORTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports}
set ::env(RESULTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results}
set ::env(RCX_SDC_FILE) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/13-caravel_clocking.sdc}
set ::env(REPORTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports}
set ::env(RESULTS_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results}
set ::env(RE_BUFFER_CELL) {sky130_fd_sc_hd__buf_4}
set ::env(RIGHT_MARGIN_MULT) {12}
set ::env(RIPPLE_CARRY_ADDER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/rca_map.v}
set ::env(ROOT_CLK_BUFFER) {sky130_fd_sc_hd__clkbuf_16}
set ::env(ROUTING_CORES) {6}
set ::env(RSZ_DONT_TOUCH_RX) {core_clk|user_clk}
set ::env(RSZ_LIB) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/synthesis/resizer_sky130_fd_sc_hd__tt_025C_1v80.lib}
set ::env(RSZ_LIB) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/synthesis/resizer_sky130_fd_sc_hd__tt_025C_1v80.lib}
set ::env(RSZ_USE_OLD_REMOVER) {1}
set ::env(RT_MAX_LAYER) {met5}
set ::env(RT_MIN_LAYER) {met1}
set ::env(RUN_CVC) {1}
set ::env(RUN_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15}
set ::env(RUN_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55}
set ::env(RUN_DRT) {1}
set ::env(RUN_IRDROP_REPORT) {1}
set ::env(RUN_KLAYOUT) {0}
@ -642,11 +644,11 @@ set ::env(RUN_MAGIC) {1}
set ::env(RUN_MAGIC_DRC) {1}
set ::env(RUN_SPEF_EXTRACTION) {1}
set ::env(RUN_STANDALONE) {1}
set ::env(RUN_TAG) {22_10_14_05_15}
set ::env(RUN_TAG) {22_10_18_05_55}
set ::env(SCRIPTS_DIR) {/openlane/scripts}
set ::env(SHLVL) {1}
set ::env(SPEF_EXTRACTOR) {openrcx}
set ::env(START_TIME) {2022.10.14_12.15.01}
set ::env(START_TIME) {2022.10.18_12.55.20}
set ::env(STA_PRE_CTS) {0}
set ::env(STA_REPORT_POWER) {1}
set ::env(STA_WRITE_LIB) {1}
@ -693,10 +695,10 @@ set ::env(TECH_LEF_OPT) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130
set ::env(TECH_METAL_LAYERS) {li1 met1 met2 met3 met4 met5}
set ::env(TERM) {xterm}
set ::env(TERMINAL_OUTPUT) {/dev/null}
set ::env(TMP_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp}
set ::env(TMP_DIR) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp}
set ::env(TOP_MARGIN_MULT) {2}
set ::env(TRACKS_INFO_FILE) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info}
set ::env(TRACKS_INFO_FILE_PROCESSED) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/routing/config.tracks}
set ::env(TRACKS_INFO_FILE_PROCESSED) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/routing/config.tracks}
set ::env(TRISTATE_BUFFER_MAP) {/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v}
set ::env(USE_ARC_ANTENNA_CHECK) {1}
set ::env(USE_GPIO_PADS) {0}
@ -708,36 +710,36 @@ set ::env(VERILOG_FILES) { /home/kareem_farid/caravel/openlane/caravel_clocking/
set ::env(WIRE_RC_LAYER) {met1}
set ::env(YOSYS_REWRITE_VERILOG) {0}
set ::env(_) {/openlane/flow.tcl}
set ::env(cts_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/cts}
set ::env(cts_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/cts}
set ::env(cts_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/cts}
set ::env(cts_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/cts}
set ::env(drc_prefix) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/drc}
set ::env(eco_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/eco}
set ::env(eco_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/eco}
set ::env(eco_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/eco}
set ::env(eco_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/eco}
set ::env(floorplan_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/floorplan}
set ::env(floorplan_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/floorplan}
set ::env(floorplan_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/floorplan}
set ::env(floorplan_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/floorplan}
set ::env(fp_report_prefix) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/floorplan/3-initial_fp}
set ::env(placement_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/placement}
set ::env(placement_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/placement}
set ::env(placement_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/placement}
set ::env(placement_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/placement}
set ::env(routing_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/routing}
set ::env(routing_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/routing}
set ::env(routing_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing}
set ::env(routing_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/routing}
set ::env(signoff_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff}
set ::env(signoff_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff}
set ::env(signoff_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff}
set ::env(signoff_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff}
set ::env(synth_report_prefix) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/synthesis/1-synthesis}
set ::env(synthesis_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/synthesis}
set ::env(synthesis_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/synthesis}
set ::env(synthesis_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/synthesis}
set ::env(synthesis_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/synthesis}
set ::env(timer_end) {1665749837}
set ::env(timer_start) {1665749700}
set ::env(cts_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/cts}
set ::env(cts_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/cts}
set ::env(cts_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/cts}
set ::env(cts_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/cts}
set ::env(drc_prefix) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff/drc}
set ::env(eco_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/eco}
set ::env(eco_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/eco}
set ::env(eco_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/eco}
set ::env(eco_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/eco}
set ::env(floorplan_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/floorplan}
set ::env(floorplan_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/floorplan}
set ::env(floorplan_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/floorplan}
set ::env(floorplan_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/floorplan}
set ::env(fp_report_prefix) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/floorplan/3-initial_fp}
set ::env(placement_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/placement}
set ::env(placement_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/placement}
set ::env(placement_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/placement}
set ::env(placement_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/placement}
set ::env(routing_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/routing}
set ::env(routing_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/routing}
set ::env(routing_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/routing}
set ::env(routing_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/routing}
set ::env(signoff_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/signoff}
set ::env(signoff_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/signoff}
set ::env(signoff_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/signoff}
set ::env(signoff_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/signoff}
set ::env(synth_report_prefix) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/synthesis/1-synthesis}
set ::env(synthesis_logs) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/logs/synthesis}
set ::env(synthesis_reports) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/reports/synthesis}
set ::env(synthesis_results) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/results/synthesis}
set ::env(synthesis_tmpfiles) {/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_18_05_55/tmp/synthesis}
set ::env(timer_end) {1666097799}
set ::env(timer_start) {1666097720}

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff