mirror of https://github.com/efabless/caravel.git
Add mgmt_protect views and openlane files
This commit is contained in:
parent
e7af9a3aec
commit
3361c8787d
286608
def/mgmt_protect.def
286608
def/mgmt_protect.def
File diff suppressed because it is too large
Load Diff
Binary file not shown.
Binary file not shown.
1507
lef/mgmt_protect.lef
1507
lef/mgmt_protect.lef
File diff suppressed because it is too large
Load Diff
7528
lib/mgmt_protect.lib
7528
lib/mgmt_protect.lib
File diff suppressed because it is too large
Load Diff
796504
mag/mgmt_protect.mag
796504
mag/mgmt_protect.mag
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
|
@ -6,7 +6,7 @@ current_design mgmt_protect
|
|||
###############################################################################
|
||||
# Timing Constraints
|
||||
###############################################################################
|
||||
create_clock -name v_clk -period 10
|
||||
create_clock -name v_clk -period 5
|
||||
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk2}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_rstn}]
|
||||
|
@ -1635,4 +1635,4 @@ set_load -pin_load 0.2000 [get_ports {user_irq[0]}]
|
|||
###############################################################################
|
||||
# Design Rules
|
||||
###############################################################################
|
||||
set_max_transition 1.25 [current_design]
|
||||
set_max_transition 0.6 [current_design]
|
|
@ -25,6 +25,7 @@ set ::env(VERILOG_FILES) "$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
|
|||
$::env(CARAVEL_ROOT)/verilog/rtl/mgmt_protect.v"
|
||||
|
||||
set ::env(BASE_SDC_FILE) [glob $::env(DESIGN_DIR)/base.sdc]
|
||||
set ::env(RCX_SDC_FILE) [glob $::env(DESIGN_DIR)/rcx.sdc]
|
||||
|
||||
set ::env(RUN_KLAYOUT) 0
|
||||
|
||||
|
@ -83,6 +84,7 @@ set ::env(FP_PDN_SKIPTRIM) 0
|
|||
set ::env(PL_TARGET_DENSITY) 0.09
|
||||
set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1
|
||||
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
|
||||
set ::env(PL_RESIZER_MAX_WIRE_LENGTH) 320
|
||||
|
||||
set ::env(PL_RESIZER_MAX_SLEW_MARGIN) 25
|
||||
|
||||
|
@ -90,83 +92,83 @@ set ::env(PL_RESIZER_MAX_SLEW_MARGIN) 25
|
|||
set ::env(RT_MIN_LAYER) "met1"
|
||||
set ::env(RT_MAX_LAYER) "met4"
|
||||
set ::env(GRT_ADJUSTMENT) 0.05
|
||||
set ::env(GRT_OVERFLOW_ITERS) 250
|
||||
set ::env(GRT_OVERFLOW_ITERS) 280
|
||||
set ::env(GRT_ALLOW_CONGESTION) 1
|
||||
|
||||
set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 0
|
||||
|
||||
set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 1
|
||||
set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) 320
|
||||
|
||||
## prevent routing near the PDN to prevent DRCs at top-level
|
||||
set ::env(GRT_OBS) "met4 60.970 5.200 63.870 152.560, \
|
||||
met4 136.220 5.200 140.120 152.560, \
|
||||
met4 211.470 5.200 214.370 152.560, \
|
||||
met4 286.720 5.200 289.620 152.560, \
|
||||
met4 361.970 5.200 364.870 152.560, \
|
||||
met4 437.220 5.200 440.120 152.560, \
|
||||
met4 512.470 5.200 515.370 152.560, \
|
||||
met4 587.720 5.200 590.620 152.560, \
|
||||
met4 662.970 5.200 665.870 152.560, \
|
||||
met4 738.220 5.200 741.120 152.560, \
|
||||
met4 813.470 5.200 816.370 152.560, \
|
||||
met4 888.720 5.200 891.620 152.560, \
|
||||
met4 963.970 5.200 966.870 152.560, \
|
||||
met4 1039.220 5.200 1042.120 152.560, \
|
||||
met4 1114.470 5.200 1117.370 152.560, \
|
||||
met4 1189.720 5.200 1192.620 152.560, \
|
||||
met4 1264.970 5.200 1267.870 152.560, \
|
||||
met4 1340.220 5.200 1343.120 152.560, \
|
||||
met4 1415.470 5.200 1418.370 152.560, \
|
||||
met4 1490.720 5.200 1493.620 152.560, \
|
||||
met4 1565.970 5.200 1568.870 152.560, \
|
||||
met4 1641.220 5.200 1644.120 152.560, \
|
||||
met4 1716.470 5.200 1719.370 152.560, \
|
||||
met4 1791.720 5.200 1794.620 152.560, \
|
||||
met4 1866.970 5.200 1869.870 152.560 \
|
||||
met4 24.070 5.200 26.970 152.560, \
|
||||
met4 99.320 5.200 102.220 152.560, \
|
||||
met4 174.570 5.200 177.470 152.560, \
|
||||
met4 249.820 5.200 252.720 152.560, \
|
||||
met4 325.070 5.200 327.970 152.560, \
|
||||
met4 400.320 5.200 403.220 152.560, \
|
||||
met4 475.570 5.200 478.470 152.560, \
|
||||
met4 550.820 5.200 553.720 152.560, \
|
||||
met4 626.070 5.200 628.970 152.560, \
|
||||
met4 701.320 5.200 704.220 152.560, \
|
||||
met4 776.570 5.200 779.470 152.560, \
|
||||
met4 851.820 5.200 854.720 152.560, \
|
||||
met4 927.070 5.200 929.970 152.560, \
|
||||
met4 1002.320 5.200 1005.220 152.560, \
|
||||
met4 1077.570 5.200 1080.470 152.560, \
|
||||
met4 1152.820 5.200 1155.720 152.560, \
|
||||
met4 1228.070 5.200 1230.970 152.560, \
|
||||
met4 1303.320 5.200 1306.220 152.560, \
|
||||
met4 1378.570 5.200 1381.470 152.560, \
|
||||
met4 1453.820 5.200 1456.720 152.560, \
|
||||
met4 1529.070 5.200 1531.970 152.560, \
|
||||
met4 1604.320 5.200 1607.220 152.560, \
|
||||
met4 1679.570 5.200 1682.470 152.560, \
|
||||
met4 1754.820 5.200 1757.720 152.560, \
|
||||
met4 1830.070 5.200 1832.970 152.560, \
|
||||
met4 705.420 5.200 708.320 152.560, \
|
||||
met4 780.670 5.200 783.570 152.560, \
|
||||
met4 855.920 5.200 858.820 152.560, \
|
||||
met4 931.170 5.200 934.070 152.560, \
|
||||
met4 333.270 5.200 336.170 152.560, \
|
||||
met4 383.270 5.200 386.170 152.560, \
|
||||
met4 1278.070 5.200 1280.970 152.560, \
|
||||
met4 1353.320 5.200 1356.220 152.560, \
|
||||
met4 1282.070 5.200 1284.970 152.560, \
|
||||
met4 1357.320 5.200 1360.220 152.560, \
|
||||
met4 1314.970 5.200 1317.870 152.560, \
|
||||
met4 1390.220 5.200 1393.120 152.560, \
|
||||
met4 1318.970 5.200 1321.870 152.560, \
|
||||
met4 1394.220 5.200 1397.120 152.560, \
|
||||
met4 742.320 5.200 745.220 152.560, \
|
||||
met4 817.570 5.200 820.470 152.560, \
|
||||
met4 892.820 5.200 895.720 152.560, \
|
||||
met4 968.070 5.200 970.970 152.560, \
|
||||
met4 354.170 5.200 357.070 152.560, \
|
||||
met4 404.170 5.200 407.070 152.560"
|
||||
set ::env(GRT_OBS) "met4 60.970 0.000 63.870 160.000, \
|
||||
met4 136.220 0.000 140.120 160.000, \
|
||||
met4 211.470 0.000 214.370 160.000, \
|
||||
met4 286.720 0.000 289.620 160.000, \
|
||||
met4 361.970 0.000 364.870 160.000, \
|
||||
met4 437.220 0.000 440.120 160.000, \
|
||||
met4 512.470 0.000 515.370 160.000, \
|
||||
met4 587.720 0.000 590.620 160.000, \
|
||||
met4 662.970 0.000 665.870 160.000, \
|
||||
met4 738.220 0.000 741.120 160.000, \
|
||||
met4 813.470 0.000 816.370 160.000, \
|
||||
met4 888.720 0.000 891.620 160.000, \
|
||||
met4 963.970 0.000 966.870 160.000, \
|
||||
met4 1039.220 0.000 1042.120 160.000, \
|
||||
met4 1114.470 0.000 1117.370 160.000, \
|
||||
met4 1189.720 0.000 1192.620 160.000, \
|
||||
met4 1264.970 0.000 1267.870 160.000, \
|
||||
met4 1340.220 0.000 1343.120 160.000, \
|
||||
met4 1415.470 0.000 1418.370 160.000, \
|
||||
met4 1490.720 0.000 1493.620 160.000, \
|
||||
met4 1565.970 0.000 1568.870 160.000, \
|
||||
met4 1641.220 0.000 1644.120 160.000, \
|
||||
met4 1716.470 0.000 1719.370 160.000, \
|
||||
met4 1791.720 0.000 1794.620 160.000, \
|
||||
met4 1866.970 0.000 1869.870 160.000 \
|
||||
met4 24.070 0.000 26.970 160.000, \
|
||||
met4 99.320 0.000 102.220 160.000, \
|
||||
met4 174.570 0.000 177.470 160.000, \
|
||||
met4 249.820 0.000 252.720 160.000, \
|
||||
met4 325.070 0.000 327.970 160.000, \
|
||||
met4 400.320 0.000 403.220 160.000, \
|
||||
met4 475.570 0.000 478.470 160.000, \
|
||||
met4 550.820 0.000 553.720 160.000, \
|
||||
met4 626.070 0.000 628.970 160.000, \
|
||||
met4 701.320 0.000 704.220 160.000, \
|
||||
met4 776.570 0.000 779.470 160.000, \
|
||||
met4 851.820 0.000 854.720 160.000, \
|
||||
met4 927.070 0.000 929.970 160.000, \
|
||||
met4 1002.320 0.000 1005.220 160.000, \
|
||||
met4 1077.570 0.000 1080.470 160.000, \
|
||||
met4 1152.820 0.000 1155.720 160.000, \
|
||||
met4 1228.070 0.000 1230.970 160.000, \
|
||||
met4 1303.320 0.000 1306.220 160.000, \
|
||||
met4 1378.570 0.000 1381.470 160.000, \
|
||||
met4 1453.820 0.000 1456.720 160.000, \
|
||||
met4 1529.070 0.000 1531.970 160.000, \
|
||||
met4 1604.320 0.000 1607.220 160.000, \
|
||||
met4 1679.570 0.000 1682.470 160.000, \
|
||||
met4 1754.820 0.000 1757.720 160.000, \
|
||||
met4 1830.070 0.000 1832.970 160.000, \
|
||||
met4 705.420 0.000 708.320 160.000, \
|
||||
met4 780.670 0.000 783.570 160.000, \
|
||||
met4 855.920 0.000 858.820 160.000, \
|
||||
met4 931.170 0.000 934.070 160.000, \
|
||||
met4 333.270 0.000 336.170 160.000, \
|
||||
met4 383.270 0.000 386.170 160.000, \
|
||||
met4 1278.070 0.000 1280.970 160.000, \
|
||||
met4 1353.320 0.000 1356.220 160.000, \
|
||||
met4 1282.070 0.000 1284.970 160.000, \
|
||||
met4 1357.320 0.000 1360.220 160.000, \
|
||||
met4 1314.970 0.000 1317.870 160.000, \
|
||||
met4 1390.220 0.000 1393.120 160.000, \
|
||||
met4 1318.970 0.000 1321.870 160.000, \
|
||||
met4 1394.220 0.000 1397.120 160.000, \
|
||||
met4 742.320 0.000 745.220 160.000, \
|
||||
met4 817.570 0.000 820.470 160.000, \
|
||||
met4 892.820 0.000 895.720 160.000, \
|
||||
met4 968.070 0.000 970.970 160.000, \
|
||||
met4 354.170 0.000 357.070 160.000, \
|
||||
met4 404.170 0.000 407.070 160.000"
|
||||
|
||||
|
||||
## Internal Macros
|
||||
|
@ -196,7 +198,7 @@ set ::env(RSZ_DONT_TOUCH_RX) {la_data_out_core\[.*\]|mprj_ack_i_user|mprj_dat_i_
|
|||
|
||||
## Antenna
|
||||
set ::env(DIODE_INSERTION_STRATEGY) 3
|
||||
set ::env(GRT_ANT_ITERS) 15
|
||||
set ::env(GRT_MAX_DIODE_INS_ITERS) 15
|
||||
set ::env(GRT_ANT_ITERS) 50
|
||||
set ::env(GRT_MAX_DIODE_INS_ITERS) 50
|
||||
# set ::env(USE_ARC_ANTENNA_CHECK) 0
|
||||
# set ::env(PL_RESIZER_MAX_WIRE_LENGTH) 150
|
|
@ -1,9 +1,520 @@
|
|||
#BUS_SORT
|
||||
#S
|
||||
la_.*_mprj.*
|
||||
mprj_adr_o_core.*
|
||||
mprj_dat_i_core.*
|
||||
mprj_dat_o_core.*
|
||||
la_data_in_mprj\[0\]
|
||||
la_data_out_mprj\[0\]
|
||||
la_iena_mprj\[0\]
|
||||
la_oenb_mprj\[0\]
|
||||
la_data_in_mprj\[1\]
|
||||
la_data_out_mprj\[1\]
|
||||
la_iena_mprj\[1\]
|
||||
la_oenb_mprj\[1\]
|
||||
la_data_in_mprj\[2\]
|
||||
la_data_out_mprj\[2\]
|
||||
la_iena_mprj\[2\]
|
||||
la_oenb_mprj\[2\]
|
||||
la_data_in_mprj\[3\]
|
||||
la_data_out_mprj\[3\]
|
||||
la_iena_mprj\[3\]
|
||||
la_oenb_mprj\[3\]
|
||||
la_data_in_mprj\[4\]
|
||||
la_data_out_mprj\[4\]
|
||||
la_iena_mprj\[4\]
|
||||
la_oenb_mprj\[4\]
|
||||
la_data_in_mprj\[5\]
|
||||
la_data_out_mprj\[5\]
|
||||
la_iena_mprj\[5\]
|
||||
la_oenb_mprj\[5\]
|
||||
la_data_in_mprj\[6\]
|
||||
la_data_out_mprj\[6\]
|
||||
la_iena_mprj\[6\]
|
||||
la_oenb_mprj\[6\]
|
||||
la_data_in_mprj\[7\]
|
||||
la_data_out_mprj\[7\]
|
||||
la_iena_mprj\[7\]
|
||||
la_oenb_mprj\[7\]
|
||||
la_data_in_mprj\[8\]
|
||||
la_data_out_mprj\[8\]
|
||||
la_iena_mprj\[8\]
|
||||
la_oenb_mprj\[8\]
|
||||
la_data_in_mprj\[9\]
|
||||
la_data_out_mprj\[9\]
|
||||
la_iena_mprj\[9\]
|
||||
la_oenb_mprj\[9\]
|
||||
la_data_in_mprj\[10\]
|
||||
la_data_out_mprj\[10\]
|
||||
la_iena_mprj\[10\]
|
||||
la_oenb_mprj\[10\]
|
||||
la_data_in_mprj\[11\]
|
||||
la_data_out_mprj\[11\]
|
||||
la_iena_mprj\[11\]
|
||||
la_oenb_mprj\[11\]
|
||||
la_data_in_mprj\[12\]
|
||||
la_data_out_mprj\[12\]
|
||||
la_iena_mprj\[12\]
|
||||
la_oenb_mprj\[12\]
|
||||
la_data_in_mprj\[13\]
|
||||
la_data_out_mprj\[13\]
|
||||
la_iena_mprj\[13\]
|
||||
la_oenb_mprj\[13\]
|
||||
la_data_in_mprj\[14\]
|
||||
la_data_out_mprj\[14\]
|
||||
la_iena_mprj\[14\]
|
||||
la_oenb_mprj\[14\]
|
||||
la_data_in_mprj\[15\]
|
||||
la_data_out_mprj\[15\]
|
||||
la_iena_mprj\[15\]
|
||||
la_oenb_mprj\[15\]
|
||||
la_data_in_mprj\[16\]
|
||||
la_data_out_mprj\[16\]
|
||||
la_iena_mprj\[16\]
|
||||
la_oenb_mprj\[16\]
|
||||
la_data_in_mprj\[17\]
|
||||
la_data_out_mprj\[17\]
|
||||
la_iena_mprj\[17\]
|
||||
la_oenb_mprj\[17\]
|
||||
la_data_in_mprj\[18\]
|
||||
la_data_out_mprj\[18\]
|
||||
la_iena_mprj\[18\]
|
||||
la_oenb_mprj\[18\]
|
||||
la_data_in_mprj\[19\]
|
||||
la_data_out_mprj\[19\]
|
||||
la_iena_mprj\[19\]
|
||||
la_oenb_mprj\[19\]
|
||||
la_data_in_mprj\[20\]
|
||||
la_data_out_mprj\[20\]
|
||||
la_iena_mprj\[20\]
|
||||
la_oenb_mprj\[20\]
|
||||
la_data_in_mprj\[21\]
|
||||
la_data_out_mprj\[21\]
|
||||
la_iena_mprj\[21\]
|
||||
la_oenb_mprj\[21\]
|
||||
la_data_in_mprj\[22\]
|
||||
la_data_out_mprj\[22\]
|
||||
la_iena_mprj\[22\]
|
||||
la_oenb_mprj\[22\]
|
||||
la_data_in_mprj\[23\]
|
||||
la_data_out_mprj\[23\]
|
||||
la_iena_mprj\[23\]
|
||||
la_oenb_mprj\[23\]
|
||||
la_data_in_mprj\[24\]
|
||||
la_data_out_mprj\[24\]
|
||||
la_iena_mprj\[24\]
|
||||
la_oenb_mprj\[24\]
|
||||
la_data_in_mprj\[25\]
|
||||
la_data_out_mprj\[25\]
|
||||
la_iena_mprj\[25\]
|
||||
la_oenb_mprj\[25\]
|
||||
la_data_in_mprj\[26\]
|
||||
la_data_out_mprj\[26\]
|
||||
la_iena_mprj\[26\]
|
||||
la_oenb_mprj\[26\]
|
||||
la_data_in_mprj\[27\]
|
||||
la_data_out_mprj\[27\]
|
||||
la_iena_mprj\[27\]
|
||||
la_oenb_mprj\[27\]
|
||||
la_data_in_mprj\[28\]
|
||||
la_data_out_mprj\[28\]
|
||||
la_iena_mprj\[28\]
|
||||
la_oenb_mprj\[28\]
|
||||
la_data_in_mprj\[29\]
|
||||
la_data_out_mprj\[29\]
|
||||
la_iena_mprj\[29\]
|
||||
la_oenb_mprj\[29\]
|
||||
la_data_in_mprj\[30\]
|
||||
la_data_out_mprj\[30\]
|
||||
la_iena_mprj\[30\]
|
||||
la_oenb_mprj\[30\]
|
||||
la_data_in_mprj\[31\]
|
||||
la_data_out_mprj\[31\]
|
||||
la_iena_mprj\[31\]
|
||||
la_oenb_mprj\[31\]
|
||||
la_data_in_mprj\[32\]
|
||||
la_data_out_mprj\[32\]
|
||||
la_iena_mprj\[32\]
|
||||
la_oenb_mprj\[32\]
|
||||
la_data_in_mprj\[33\]
|
||||
la_data_out_mprj\[33\]
|
||||
la_iena_mprj\[33\]
|
||||
la_oenb_mprj\[33\]
|
||||
la_data_in_mprj\[34\]
|
||||
la_data_out_mprj\[34\]
|
||||
la_iena_mprj\[34\]
|
||||
la_oenb_mprj\[34\]
|
||||
la_data_in_mprj\[35\]
|
||||
la_data_out_mprj\[35\]
|
||||
la_iena_mprj\[35\]
|
||||
la_oenb_mprj\[35\]
|
||||
la_data_in_mprj\[36\]
|
||||
la_data_out_mprj\[36\]
|
||||
la_iena_mprj\[36\]
|
||||
la_oenb_mprj\[36\]
|
||||
la_data_in_mprj\[37\]
|
||||
la_data_out_mprj\[37\]
|
||||
la_iena_mprj\[37\]
|
||||
la_oenb_mprj\[37\]
|
||||
la_data_in_mprj\[38\]
|
||||
la_data_out_mprj\[38\]
|
||||
la_iena_mprj\[38\]
|
||||
la_oenb_mprj\[38\]
|
||||
la_data_in_mprj\[39\]
|
||||
la_data_out_mprj\[39\]
|
||||
la_iena_mprj\[39\]
|
||||
la_oenb_mprj\[39\]
|
||||
la_data_in_mprj\[40\]
|
||||
la_data_out_mprj\[40\]
|
||||
la_iena_mprj\[40\]
|
||||
la_oenb_mprj\[40\]
|
||||
la_data_in_mprj\[41\]
|
||||
la_data_out_mprj\[41\]
|
||||
la_iena_mprj\[41\]
|
||||
la_oenb_mprj\[41\]
|
||||
la_data_in_mprj\[42\]
|
||||
la_data_out_mprj\[42\]
|
||||
la_iena_mprj\[42\]
|
||||
la_oenb_mprj\[42\]
|
||||
la_data_in_mprj\[43\]
|
||||
la_data_out_mprj\[43\]
|
||||
la_iena_mprj\[43\]
|
||||
la_oenb_mprj\[43\]
|
||||
la_data_in_mprj\[44\]
|
||||
la_data_out_mprj\[44\]
|
||||
la_iena_mprj\[44\]
|
||||
la_oenb_mprj\[44\]
|
||||
la_data_in_mprj\[45\]
|
||||
la_data_out_mprj\[45\]
|
||||
la_iena_mprj\[45\]
|
||||
la_oenb_mprj\[45\]
|
||||
la_data_in_mprj\[46\]
|
||||
la_data_out_mprj\[46\]
|
||||
la_iena_mprj\[46\]
|
||||
la_oenb_mprj\[46\]
|
||||
la_data_in_mprj\[47\]
|
||||
la_data_out_mprj\[47\]
|
||||
la_iena_mprj\[47\]
|
||||
la_oenb_mprj\[47\]
|
||||
la_data_in_mprj\[48\]
|
||||
la_data_out_mprj\[48\]
|
||||
la_iena_mprj\[48\]
|
||||
la_oenb_mprj\[48\]
|
||||
la_data_in_mprj\[49\]
|
||||
la_data_out_mprj\[49\]
|
||||
la_iena_mprj\[49\]
|
||||
la_oenb_mprj\[49\]
|
||||
la_data_in_mprj\[50\]
|
||||
la_data_out_mprj\[50\]
|
||||
la_iena_mprj\[50\]
|
||||
la_oenb_mprj\[50\]
|
||||
la_data_in_mprj\[51\]
|
||||
la_data_out_mprj\[51\]
|
||||
la_iena_mprj\[51\]
|
||||
la_oenb_mprj\[51\]
|
||||
la_data_in_mprj\[52\]
|
||||
la_data_out_mprj\[52\]
|
||||
la_iena_mprj\[52\]
|
||||
la_oenb_mprj\[52\]
|
||||
la_data_in_mprj\[53\]
|
||||
la_data_out_mprj\[53\]
|
||||
la_iena_mprj\[53\]
|
||||
la_oenb_mprj\[53\]
|
||||
la_data_in_mprj\[54\]
|
||||
la_data_out_mprj\[54\]
|
||||
la_iena_mprj\[54\]
|
||||
la_oenb_mprj\[54\]
|
||||
la_data_in_mprj\[55\]
|
||||
la_data_out_mprj\[55\]
|
||||
la_iena_mprj\[55\]
|
||||
la_oenb_mprj\[55\]
|
||||
la_data_in_mprj\[56\]
|
||||
la_data_out_mprj\[56\]
|
||||
la_iena_mprj\[56\]
|
||||
la_oenb_mprj\[56\]
|
||||
la_data_in_mprj\[57\]
|
||||
la_data_out_mprj\[57\]
|
||||
la_iena_mprj\[57\]
|
||||
la_oenb_mprj\[57\]
|
||||
la_data_in_mprj\[58\]
|
||||
la_data_out_mprj\[58\]
|
||||
la_iena_mprj\[58\]
|
||||
la_oenb_mprj\[58\]
|
||||
la_data_in_mprj\[59\]
|
||||
la_data_out_mprj\[59\]
|
||||
la_iena_mprj\[59\]
|
||||
la_oenb_mprj\[59\]
|
||||
la_data_in_mprj\[60\]
|
||||
la_data_out_mprj\[60\]
|
||||
la_iena_mprj\[60\]
|
||||
la_oenb_mprj\[60\]
|
||||
la_data_in_mprj\[61\]
|
||||
la_data_out_mprj\[61\]
|
||||
la_iena_mprj\[61\]
|
||||
la_oenb_mprj\[61\]
|
||||
la_data_in_mprj\[62\]
|
||||
la_data_out_mprj\[62\]
|
||||
la_iena_mprj\[62\]
|
||||
la_oenb_mprj\[62\]
|
||||
la_data_in_mprj\[63\]
|
||||
la_data_out_mprj\[63\]
|
||||
la_iena_mprj\[63\]
|
||||
la_oenb_mprj\[63\]
|
||||
la_data_in_mprj\[64\]
|
||||
la_data_out_mprj\[64\]
|
||||
la_iena_mprj\[64\]
|
||||
la_oenb_mprj\[64\]
|
||||
la_data_in_mprj\[65\]
|
||||
la_data_out_mprj\[65\]
|
||||
la_iena_mprj\[65\]
|
||||
la_oenb_mprj\[65\]
|
||||
la_data_in_mprj\[66\]
|
||||
la_data_out_mprj\[66\]
|
||||
la_iena_mprj\[66\]
|
||||
la_oenb_mprj\[66\]
|
||||
la_data_in_mprj\[67\]
|
||||
la_data_out_mprj\[67\]
|
||||
la_iena_mprj\[67\]
|
||||
la_oenb_mprj\[67\]
|
||||
la_data_in_mprj\[68\]
|
||||
la_data_out_mprj\[68\]
|
||||
la_iena_mprj\[68\]
|
||||
la_oenb_mprj\[68\]
|
||||
la_data_in_mprj\[69\]
|
||||
la_data_out_mprj\[69\]
|
||||
la_iena_mprj\[69\]
|
||||
la_oenb_mprj\[69\]
|
||||
la_data_in_mprj\[70\]
|
||||
la_data_out_mprj\[70\]
|
||||
la_iena_mprj\[70\]
|
||||
la_oenb_mprj\[70\]
|
||||
caravel_clk
|
||||
caravel_rstn
|
||||
la_data_in_mprj\[71\]
|
||||
la_data_out_mprj\[71\]
|
||||
la_iena_mprj\[71\]
|
||||
la_oenb_mprj\[71\]
|
||||
la_data_in_mprj\[72\]
|
||||
la_data_out_mprj\[72\]
|
||||
la_iena_mprj\[72\]
|
||||
la_oenb_mprj\[72\]
|
||||
la_data_in_mprj\[73\]
|
||||
la_data_out_mprj\[73\]
|
||||
la_iena_mprj\[73\]
|
||||
la_oenb_mprj\[73\]
|
||||
la_data_in_mprj\[74\]
|
||||
la_data_out_mprj\[74\]
|
||||
la_iena_mprj\[74\]
|
||||
la_oenb_mprj\[74\]
|
||||
la_data_in_mprj\[75\]
|
||||
la_data_out_mprj\[75\]
|
||||
la_iena_mprj\[75\]
|
||||
la_oenb_mprj\[75\]
|
||||
la_data_in_mprj\[76\]
|
||||
la_data_out_mprj\[76\]
|
||||
la_iena_mprj\[76\]
|
||||
la_oenb_mprj\[76\]
|
||||
la_data_in_mprj\[77\]
|
||||
la_data_out_mprj\[77\]
|
||||
la_iena_mprj\[77\]
|
||||
la_oenb_mprj\[77\]
|
||||
la_data_in_mprj\[78\]
|
||||
la_data_out_mprj\[78\]
|
||||
la_iena_mprj\[78\]
|
||||
la_oenb_mprj\[78\]
|
||||
la_data_in_mprj\[79\]
|
||||
la_data_out_mprj\[79\]
|
||||
la_iena_mprj\[79\]
|
||||
la_oenb_mprj\[79\]
|
||||
la_data_in_mprj\[80\]
|
||||
la_data_out_mprj\[80\]
|
||||
la_iena_mprj\[80\]
|
||||
la_oenb_mprj\[80\]
|
||||
la_data_in_mprj\[81\]
|
||||
la_data_out_mprj\[81\]
|
||||
la_iena_mprj\[81\]
|
||||
la_oenb_mprj\[81\]
|
||||
la_data_in_mprj\[82\]
|
||||
la_data_out_mprj\[82\]
|
||||
la_iena_mprj\[82\]
|
||||
la_oenb_mprj\[82\]
|
||||
la_data_in_mprj\[83\]
|
||||
la_data_out_mprj\[83\]
|
||||
la_iena_mprj\[83\]
|
||||
la_oenb_mprj\[83\]
|
||||
la_data_in_mprj\[84\]
|
||||
la_data_out_mprj\[84\]
|
||||
la_iena_mprj\[84\]
|
||||
la_oenb_mprj\[84\]
|
||||
la_data_in_mprj\[85\]
|
||||
la_data_out_mprj\[85\]
|
||||
la_iena_mprj\[85\]
|
||||
la_oenb_mprj\[85\]
|
||||
la_data_in_mprj\[86\]
|
||||
la_data_out_mprj\[86\]
|
||||
la_iena_mprj\[86\]
|
||||
la_oenb_mprj\[86\]
|
||||
la_data_in_mprj\[87\]
|
||||
la_data_out_mprj\[87\]
|
||||
la_iena_mprj\[87\]
|
||||
la_oenb_mprj\[87\]
|
||||
la_data_in_mprj\[88\]
|
||||
la_data_out_mprj\[88\]
|
||||
la_iena_mprj\[88\]
|
||||
la_oenb_mprj\[88\]
|
||||
la_data_in_mprj\[89\]
|
||||
la_data_out_mprj\[89\]
|
||||
la_iena_mprj\[89\]
|
||||
la_oenb_mprj\[89\]
|
||||
la_data_in_mprj\[90\]
|
||||
la_data_out_mprj\[90\]
|
||||
la_iena_mprj\[90\]
|
||||
la_oenb_mprj\[90\]
|
||||
la_data_in_mprj\[91\]
|
||||
la_data_out_mprj\[91\]
|
||||
la_iena_mprj\[91\]
|
||||
la_oenb_mprj\[91\]
|
||||
la_data_in_mprj\[92\]
|
||||
la_data_out_mprj\[92\]
|
||||
la_iena_mprj\[92\]
|
||||
la_oenb_mprj\[92\]
|
||||
la_data_in_mprj\[93\]
|
||||
la_data_out_mprj\[93\]
|
||||
la_iena_mprj\[93\]
|
||||
la_oenb_mprj\[93\]
|
||||
la_data_in_mprj\[94\]
|
||||
la_data_out_mprj\[94\]
|
||||
la_iena_mprj\[94\]
|
||||
la_oenb_mprj\[94\]
|
||||
la_data_in_mprj\[95\]
|
||||
la_data_out_mprj\[95\]
|
||||
la_iena_mprj\[95\]
|
||||
la_oenb_mprj\[95\]
|
||||
la_data_in_mprj\[96\]
|
||||
la_data_out_mprj\[96\]
|
||||
la_iena_mprj\[96\]
|
||||
la_oenb_mprj\[96\]
|
||||
la_data_in_mprj\[97\]
|
||||
la_data_out_mprj\[97\]
|
||||
la_iena_mprj\[97\]
|
||||
la_oenb_mprj\[97\]
|
||||
la_data_in_mprj\[98\]
|
||||
la_data_out_mprj\[98\]
|
||||
la_iena_mprj\[98\]
|
||||
la_oenb_mprj\[98\]
|
||||
la_data_in_mprj\[99\]
|
||||
la_data_out_mprj\[99\]
|
||||
la_iena_mprj\[99\]
|
||||
la_oenb_mprj\[99\]
|
||||
la_data_in_mprj\[100\]
|
||||
la_data_out_mprj\[100\]
|
||||
la_iena_mprj\[100\]
|
||||
la_oenb_mprj\[100\]
|
||||
la_data_in_mprj\[101\]
|
||||
la_data_out_mprj\[101\]
|
||||
la_iena_mprj\[101\]
|
||||
la_oenb_mprj\[101\]
|
||||
la_data_in_mprj\[102\]
|
||||
la_data_out_mprj\[102\]
|
||||
la_iena_mprj\[102\]
|
||||
la_oenb_mprj\[102\]
|
||||
la_data_in_mprj\[103\]
|
||||
la_data_out_mprj\[103\]
|
||||
la_iena_mprj\[103\]
|
||||
la_oenb_mprj\[103\]
|
||||
la_data_in_mprj\[104\]
|
||||
la_data_out_mprj\[104\]
|
||||
la_iena_mprj\[104\]
|
||||
la_oenb_mprj\[104\]
|
||||
la_data_in_mprj\[105\]
|
||||
la_data_out_mprj\[105\]
|
||||
la_iena_mprj\[105\]
|
||||
la_oenb_mprj\[105\]
|
||||
la_data_in_mprj\[106\]
|
||||
la_data_out_mprj\[106\]
|
||||
la_iena_mprj\[106\]
|
||||
la_oenb_mprj\[106\]
|
||||
la_data_in_mprj\[107\]
|
||||
la_data_out_mprj\[107\]
|
||||
la_iena_mprj\[107\]
|
||||
la_oenb_mprj\[107\]
|
||||
la_data_in_mprj\[108\]
|
||||
la_data_out_mprj\[108\]
|
||||
la_iena_mprj\[108\]
|
||||
la_oenb_mprj\[108\]
|
||||
la_data_in_mprj\[109\]
|
||||
la_data_out_mprj\[109\]
|
||||
la_iena_mprj\[109\]
|
||||
la_oenb_mprj\[109\]
|
||||
la_data_in_mprj\[110\]
|
||||
la_data_out_mprj\[110\]
|
||||
la_iena_mprj\[110\]
|
||||
la_oenb_mprj\[110\]
|
||||
la_data_in_mprj\[111\]
|
||||
la_data_out_mprj\[111\]
|
||||
la_iena_mprj\[111\]
|
||||
la_oenb_mprj\[111\]
|
||||
la_data_in_mprj\[112\]
|
||||
la_data_out_mprj\[112\]
|
||||
la_iena_mprj\[112\]
|
||||
la_oenb_mprj\[112\]
|
||||
la_data_in_mprj\[113\]
|
||||
la_data_out_mprj\[113\]
|
||||
la_iena_mprj\[113\]
|
||||
la_oenb_mprj\[113\]
|
||||
la_data_in_mprj\[114\]
|
||||
la_data_out_mprj\[114\]
|
||||
la_iena_mprj\[114\]
|
||||
la_oenb_mprj\[114\]
|
||||
la_data_in_mprj\[115\]
|
||||
la_data_out_mprj\[115\]
|
||||
la_iena_mprj\[115\]
|
||||
la_oenb_mprj\[115\]
|
||||
la_data_in_mprj\[116\]
|
||||
la_data_out_mprj\[116\]
|
||||
la_iena_mprj\[116\]
|
||||
la_oenb_mprj\[116\]
|
||||
la_data_in_mprj\[117\]
|
||||
la_data_out_mprj\[117\]
|
||||
la_iena_mprj\[117\]
|
||||
la_oenb_mprj\[117\]
|
||||
la_data_in_mprj\[118\]
|
||||
la_data_out_mprj\[118\]
|
||||
la_iena_mprj\[118\]
|
||||
la_oenb_mprj\[118\]
|
||||
la_data_in_mprj\[119\]
|
||||
la_data_out_mprj\[119\]
|
||||
la_iena_mprj\[119\]
|
||||
la_oenb_mprj\[119\]
|
||||
la_data_in_mprj\[120\]
|
||||
la_data_out_mprj\[120\]
|
||||
la_iena_mprj\[120\]
|
||||
la_oenb_mprj\[120\]
|
||||
la_data_in_mprj\[121\]
|
||||
la_data_out_mprj\[121\]
|
||||
la_iena_mprj\[121\]
|
||||
la_oenb_mprj\[121\]
|
||||
la_data_in_mprj\[122\]
|
||||
la_data_out_mprj\[122\]
|
||||
la_iena_mprj\[122\]
|
||||
la_oenb_mprj\[122\]
|
||||
la_data_in_mprj\[123\]
|
||||
la_data_out_mprj\[123\]
|
||||
la_iena_mprj\[123\]
|
||||
la_oenb_mprj\[123\]
|
||||
la_data_in_mprj\[124\]
|
||||
la_data_out_mprj\[124\]
|
||||
la_iena_mprj\[124\]
|
||||
la_oenb_mprj\[124\]
|
||||
la_data_in_mprj\[125\]
|
||||
la_data_out_mprj\[125\]
|
||||
la_iena_mprj\[125\]
|
||||
la_oenb_mprj\[125\]
|
||||
la_data_in_mprj\[126\]
|
||||
la_data_out_mprj\[126\]
|
||||
la_iena_mprj\[126\]
|
||||
la_oenb_mprj\[126\]
|
||||
la_data_in_mprj\[127\]
|
||||
la_data_out_mprj\[127\]
|
||||
la_iena_mprj\[127\]
|
||||
la_oenb_mprj\[127\]
|
||||
mprj_.*_core.*
|
||||
mprj_iena_wb
|
||||
|
||||
#N
|
||||
|
@ -14,13 +525,8 @@ la_.*_core.*
|
|||
user_clock2
|
||||
|
||||
#E
|
||||
mprj_cyc_o_core
|
||||
mprj_stb_o_core
|
||||
mprj_we_o_core
|
||||
mprj_ack_i_core
|
||||
mprj_sel_o_core.*
|
||||
user_irq_core.*
|
||||
caravel_.*
|
||||
caravel_clk2
|
||||
.*powergood.*
|
||||
user_irq\[0\]
|
||||
user_irq\[1\]
|
||||
|
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
Loading…
Reference in New Issue