update housekeeping signoff sdc that was used to generate signoff results

This commit is contained in:
Passant 2022-11-06 08:01:31 -08:00
parent ccb6c0d484
commit 0572e883c2
1 changed files with 1 additions and 1 deletions

View File

@ -17,7 +17,7 @@ create_clock -name sck -period 100.0000 [get_ports {mgmt_gpio_in[4]}]
set_clock_transition 0.0100 [get_clocks {sck}] set_clock_transition 0.0100 [get_clocks {sck}]
set_clock_uncertainty 0.1000 sck set_clock_uncertainty 0.1000 sck
set_propagated_clock [get_clocks {sck}] set_propagated_clock [get_clocks {sck}]
create_generated_clock -name wbbd_sck -source [get_ports {wb_clk_i}] -divide_by 2 [get_pins {_7205_/Q}] create_generated_clock -name wbbd_sck -source [get_ports {wb_clk_i}] -divide_by 2 [get_pins {_7149_/Q}]
set_propagated_clock [get_clocks {wbbd_sck}] set_propagated_clock [get_clocks {wbbd_sck}]
set_clock_groups -name group1 -logically_exclusive \ set_clock_groups -name group1 -logically_exclusive \
-group [get_clocks {sck}]\ -group [get_clocks {sck}]\