diff --git a/SCRIPT/openfpga_shell_script/fix_heterogeneous_device_routeW_example_script.openfpga b/SCRIPT/openfpga_shell_script/fix_heterogeneous_device_routeW_example_script.openfpga index 84f443a..5f42078 100644 --- a/SCRIPT/openfpga_shell_script/fix_heterogeneous_device_routeW_example_script.openfpga +++ b/SCRIPT/openfpga_shell_script/fix_heterogeneous_device_routeW_example_script.openfpga @@ -1,6 +1,12 @@ -# Run VPR for the 'and' design -#--write_rr_graph example_rr_graph.xml -vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} --device ${OPENFPGA_VPR_DEVICE_LAYOUT} --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} --constant_net_method route +# This script is designed to generate fabric Verilog netlists +# with a fixed device layout +# It will only output netlists to be used by backend tools, +# i.e., Synopsys ICC2, including +# - Verilog netlists +# - fabric hierarchy description for ICC2's hierarchical flow +# - Timing/Design constraints + +vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} --device ${OPENFPGA_VPR_DEVICE_LAYOUT} --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} --constant_net_method route --absorb_buffer_luts off --clock_modeling ideal # Read OpenFPGA architecture definition read_openfpga_arch -f ${OPENFPGA_ARCH_FILE} diff --git a/SOFA_A/Makefile b/SOFA_A/Makefile new file mode 100644 index 0000000..58c5c72 --- /dev/null +++ b/SOFA_A/Makefile @@ -0,0 +1,51 @@ +########################################################################################## +########################################################################################## + +SHELL=bash +PYTHON_EXEC=python3.8 +RERUN = 0 +PROJ_NAME=SOFA_A +TB = top +OPTIONS = + +.SILENT: +.ONESHELL: + +.PHONY: runOpenFPGA + +generate_netlist: + echo "Generating OpenFPGA netlist" + source $${OPENFPGA_PATH}/openfpga.sh + cp ${PROJ_NAME}_task/config/task_generation.conf ${PROJ_NAME}_task/config/task.conf + rerun-task ${PROJ_NAME}_task + mkdir -p ${PROJ_NAME}_verilog + cp -r ${PROJ_NAME}_task/latest/*/*/*/SRC/* ${PROJ_NAME}_verilog + +runOpenFPGA: + SECONDS=0 + source config.sh + # ===================== Check Tools ===================== + which python3.8 > /dev/null + if [ $$? -eq 1 ]; then + echo "xxxxxxxx Python version 3.8 is required xxxxxxxx"; exit; + fi + + # =================== Clean Previous Run ================================= + rm -f $${OPENFPGA_PATH}/openfpga_flow/tasks/$${TASK_DIR_NAME} + (cd ./$${TASK_DIR_NAME}/config && rm -f task.conf && cp task_simulation.conf task.conf) + + # ===================== Generate Netlist ================================= + (currDir=$${PWD} && cd $$OPENFPGA_PATH && source openfpga.sh && cd $$currDir && + run-task $${TASK_DIR_NAME} --remove_run_dir all + run-task $${TASK_DIR_NAME} ${OPTIONS}) + + if [ $$? -eq 1 ]; then + echo "X X X X X X Failed to generate netlist X X X X X X"; exit; + fi + + duration=$$SECONDS + date > runOpenFPGA + echo "$$(($$duration / 60)) minutes and $$(($$duration % 60)) seconds elapsed." >> runOpenFPGA + +clean: + rm -rf runOpenFPGA \ No newline at end of file diff --git a/SOFA_A/README.md b/SOFA_A/README.md new file mode 100644 index 0000000..9f91320 --- /dev/null +++ b/SOFA_A/README.md @@ -0,0 +1,2 @@ +SOFA-A +====== \ No newline at end of file diff --git a/SOFA_A/SOFA_A_task/BENCHMARK b/SOFA_A/SOFA_A_task/BENCHMARK new file mode 120000 index 0000000..9fed94a --- /dev/null +++ b/SOFA_A/SOFA_A_task/BENCHMARK @@ -0,0 +1 @@ +../../BENCHMARK \ No newline at end of file diff --git a/SOFA_A/SOFA_A_task/arch/fabric_key.xml b/SOFA_A/SOFA_A_task/arch/fabric_key.xml new file mode 100644 index 0000000..665afd3 --- /dev/null +++ b/SOFA_A/SOFA_A_task/arch/fabric_key.xml @@ -0,0 +1,678 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/SOFA_A/SOFA_A_task/arch/openfpga_arch.xml b/SOFA_A/SOFA_A_task/arch/openfpga_arch.xml new file mode 100644 index 0000000..aa409a0 --- /dev/null +++ b/SOFA_A/SOFA_A_task/arch/openfpga_arch.xml @@ -0,0 +1,272 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + + + + 10e-12 5e-12 + + + 10e-12 5e-12 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/SOFA_A/SOFA_A_task/arch/vpr_arch.xml b/SOFA_A/SOFA_A_task/arch/vpr_arch.xml new file mode 100644 index 0000000..775c552 --- /dev/null +++ b/SOFA_A/SOFA_A_task/arch/vpr_arch.xml @@ -0,0 +1,676 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + io_top.outpad io_top.inpad + + + + + + + + + + + + + + io_right.outpad io_right.inpad + + + + + + + + + + + + + + io_bottom.outpad io_bottom.inpad + + + + + + + + + + + + + + io_left.outpad io_left.inpad + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + clb.clk clb.reset + clb.reg_in clb.sc_in clb.cin clb.O[7:0] clb.I0 clb.I0i clb.I1 clb.I1i clb.I2 clb.I2i clb.I3 clb.I3i + clb.O[15:8] clb.I4 clb.I4i clb.I5 clb.I5i clb.I6 clb.I6i clb.I7 clb.I7i + clb.reg_out clb.sc_out clb.cout + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1 1 + 1 + + + + 1 1 1 + 1 1 + + + + 1 1 1 1 1 + 1 1 1 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ${LUT3_DELAY} + ${LUT3_DELAY} + ${LUT3_DELAY} + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ${LUT4_DELAY} + ${LUT4_DELAY} + ${LUT4_DELAY} + ${LUT4_DELAY} + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/SOFA_A/SOFA_A_task/config/task_benchmarks.conf b/SOFA_A/SOFA_A_task/config/task_benchmarks.conf new file mode 100644 index 0000000..2d3bff6 --- /dev/null +++ b/SOFA_A/SOFA_A_task/config/task_benchmarks.conf @@ -0,0 +1,54 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 1*60 +fpga_flow=yosys_vpr +arch_variable_file=${PATH:TASK_DIR}/design_variables.yml + + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:TASK_DIR}/generate_testbench.openfpga +openfpga_arch_file=${PATH:TASK_DIR}/arch/openfpga_arch.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +external_fabric_key_file=${PATH:TASK_DIR}/arch/fabric_key.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=60 + +[ARCHITECTURES] +arch0=${PATH:TASK_DIR}/arch/vpr_arch.xml + +[BENCHMARKS] +bench0=${PATH:TASK_DIR}/BENCHMARK/and2/and2.v +bench1=${PATH:TASK_DIR}/BENCHMARK/and2_latch/and2_latch.v +bench2=${PATH:TASK_DIR}/BENCHMARK/bin2bcd/bin2bcd.v +bench3=${PATH:TASK_DIR}/BENCHMARK/counter/counter.v +bench4=${PATH:TASK_DIR}/BENCHMARK/routing_test/routing_test.v +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5=${PATH:TASK_DIR}/BENCHMARK/rs_decoder/rtl/rs_decoder.v +bench6=${PATH:TASK_DIR}/BENCHMARK/simon_bit_serial/rtl/*.v +bench7=${PATH:TASK_DIR}/BENCHMARK/and2_or2/and2_or2.v + +[SYNTHESIS_PARAM] +bench0_top = and2 +bench1_top = and2_latch +bench2_top = bin2bcd +bench3_top = counter +bench4_top = routing_test +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5_top = rs_decoder_top +bench6_top = top_module +bench7_top = and2_or2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +#end_flow_with_test= diff --git a/SOFA_A/SOFA_A_task/config/task_generation.conf b/SOFA_A/SOFA_A_task/config/task_generation.conf new file mode 100644 index 0000000..66d79ea --- /dev/null +++ b/SOFA_A/SOFA_A_task/config/task_generation.conf @@ -0,0 +1,39 @@ + # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=vpr_blif +arch_variable_file=${PATH:TASK_DIR}/design_variables.yml + + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:TASK_DIR}/generate_fabric.openfpga +openfpga_arch_file=${PATH:TASK_DIR}/arch/openfpga_arch.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +external_fabric_key_file=${PATH:TASK_DIR}/arch/fabric_key.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=60 + +[ARCHITECTURES] +arch0=${PATH:TASK_DIR}/arch/vpr_arch.xml + +[BENCHMARKS] +bench0=${PATH:TASK_DIR}/micro_benchmark/and.blif + +[SYNTHESIS_PARAM] +bench0_top = top +bench0_act = ${PATH:TASK_DIR}/micro_benchmark/and.act +bench0_verilog = ${PATH:TASK_DIR}/micro_benchmark/and.v + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/SOFA_A/SOFA_A_task/config/task_simulation.conf b/SOFA_A/SOFA_A_task/config/task_simulation.conf new file mode 100644 index 0000000..5145e73 --- /dev/null +++ b/SOFA_A/SOFA_A_task/config/task_simulation.conf @@ -0,0 +1,38 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 1*60 +fpga_flow=yosys_vpr +arch_variable_file=${PATH:TASK_DIR}/design_variables.yml + + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:TASK_DIR}/generate_testbench.openfpga +openfpga_arch_file=${PATH:TASK_DIR}/arch/openfpga_arch.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +external_fabric_key_file=${PATH:TASK_DIR}/arch/fabric_key.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=60 + +[ARCHITECTURES] +arch0=${PATH:TASK_DIR}/arch/vpr_arch.xml + +[BENCHMARKS] +bench0=${PATH:TASK_DIR}/BENCHMARK/counter/counter.v + +[SYNTHESIS_PARAM] +bench0_top = counter + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +#end_flow_with_test= diff --git a/SOFA_A/SOFA_A_task/design_variables.yml b/SOFA_A/SOFA_A_task/design_variables.yml new file mode 100644 index 0000000..4c4e441 --- /dev/null +++ b/SOFA_A/SOFA_A_task/design_variables.yml @@ -0,0 +1,26 @@ +L1_SB_MUX_DELAY: 1.44e-9 +L2_SB_MUX_DELAY: 1.44e-9 +L4_SB_MUX_DELAY: 1.44e-9 +CB_MUX_DELAY: 1.38e-9 +L1_WIRE_R: 100 +L1_WIRE_C: 1e-12 +L2_WIRE_R: 100 +L2_WIRE_C: 1e-12 +L4_WIRE_R: 100 +L4_WIRE_C: 1e-12 +INPAD_DELAY: 0.11e-9 +OUTPAD_DELAY: 0.11e-9 +FF_T_SETUP: 0.39e-9 +FF_T_CLK2Q: 0.43e-9 +LUT_OUT0_TO_FF_D_DELAY: 1.14e-9 +LUT_OUT1_TO_FF_D_DELAY: 0.56e-9 +LUT_OUT0_TO_FLE_OUT_DELAY: 0.89e-9 +FF0_Q_TO_FLE_OUT_DELAY: 0.88e-9 +LUT_OUT1_TO_FLE_OUT_DELAY: 0.78e-9 +FF1_Q_TO_FLE_OUT_DELAY: 0.89e-9 +LUT3_DELAY: 0.92e-9 +LUT3_OUT_TO_FLE_OUT_DELAY: 1.44e-9 +LUT4_DELAY: 1.21e-9 +LUT4_OUT_TO_FLE_OUT_DELAY: 1.46e-9 +REGIN_TO_FF0_DELAY: 1.12e-9 +FF0_TO_FF1_DELAY: 0.56e-9 diff --git a/SOFA_A/SOFA_A_task/generate_fabric.openfpga b/SOFA_A/SOFA_A_task/generate_fabric.openfpga new file mode 100644 index 0000000..a6a2cbc --- /dev/null +++ b/SOFA_A/SOFA_A_task/generate_fabric.openfpga @@ -0,0 +1,76 @@ +# Run VPR for the 'and' design +#--write_rr_graph example_rr_graph.xml +vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} --clock_modeling route + +# Read OpenFPGA architecture definition +read_openfpga_arch -f ${OPENFPGA_ARCH_FILE} + +# Read OpenFPGA simulation settings +read_openfpga_simulation_setting -f ${OPENFPGA_SIM_SETTING_FILE} + +# Annotate the OpenFPGA architecture to VPR data base +# to debug use --verbose options +link_openfpga_arch --activity_file ${ACTIVITY_FILE} --sort_gsb_chan_node_in_edges + +# Check and correct any naming conflicts in the BLIF netlist +check_netlist_naming_conflict --fix --report ./netlist_renaming.xml + +# Apply fix-up to clustering nets based on routing results +pb_pin_fixup --verbose + +# Apply fix-up to Look-Up Table truth tables based on packing results +lut_truth_table_fixup + +# Build the module graph +# - Enabled compression on routing architecture modules +# - Enable pin duplication on grid modules +build_fabric --compress_routing #--verbose + +# Write the fabric hierarchy of module graph to a file +# This is used by hierarchical PnR flows +write_fabric_hierarchy --file ./fabric_hierarchy.txt + +# Repack the netlist to physical pbs +# This must be done before bitstream generator and testbench generation +# Strongly recommend it is done after all the fix-up have been applied +repack #--verbose + +# Build the bitstream +# - Output the fabric-independent bitstream to a file +build_architecture_bitstream --verbose --write_file fabric_independent_bitstream.xml + +# Build fabric-dependent bitstream +build_fabric_bitstream --verbose + +# Write fabric-dependent bitstream +write_fabric_bitstream --file fabric_bitstream.bit --format plain_text + +# Write the Verilog netlist for FPGA fabric +# - Enable the use of explicit port mapping in Verilog netlist +write_fabric_verilog --file ./SRC --explicit_port_mapping --include_timing --print_user_defined_template --verbose + +# Write the Verilog testbench for FPGA fabric +# - We suggest the use of same output directory as fabric Verilog netlists +# - Must specify the reference benchmark file if you want to output any testbenches +# - Enable top-level testbench which is a full verification including programming circuit and core logic of FPGA +# - Enable pre-configured top-level testbench which is a fast verification skipping programming phase +# - Simulation ini file is optional and is needed only when you need to interface different HDL simulators using openfpga flow-run scripts +write_full_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --explicit_port_mapping --include_signal_init --bitstream fabric_bitstream.bit +write_preconfigured_fabric_wrapper --embed_bitstream iverilog --file ./SRC --explicit_port_mapping +write_preconfigured_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --explicit_port_mapping + +# Write the SDC files for PnR backend +# - Turn on every options here +write_pnr_sdc --file ./SDC + +# Write SDC to disable timing for configure ports +write_sdc_disable_timing_configure_ports --file ./SDC/disable_configure_ports.sdc + +# Write the SDC to run timing analysis for a mapped FPGA fabric +write_analysis_sdc --file ./SDC_analysis + +# Finish and exit OpenFPGA +exit + +# Note : +# To run verification at the end of the flow maintain source in ./SRC directory diff --git a/SOFA_A/SOFA_A_task/generate_testbench.openfpga b/SOFA_A/SOFA_A_task/generate_testbench.openfpga new file mode 100644 index 0000000..1dcf136 --- /dev/null +++ b/SOFA_A/SOFA_A_task/generate_testbench.openfpga @@ -0,0 +1,74 @@ +# This script is designed to generate Verilog testbenches +# with a fixed device layout +# It will only output netlists to be used by verification tools +# including +# - Verilog testbenches, used by ModelSim +# - SDC for a mapped FPGA fabric, used by Synopsys PrimeTime +# +#--write_rr_graph example_rr_graph.xml +vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} --clock_modeling ideal --device ${OPENFPGA_VPR_DEVICE_LAYOUT} --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} --absorb_buffer_luts off + +# Read OpenFPGA architecture definition +read_openfpga_arch -f ${OPENFPGA_ARCH_FILE} + +# Read OpenFPGA simulation settings +read_openfpga_simulation_setting -f ${OPENFPGA_SIM_SETTING_FILE} + +# Annotate the OpenFPGA architecture to VPR data base +# to debug use --verbose options +link_openfpga_arch --activity_file ${ACTIVITY_FILE} --sort_gsb_chan_node_in_edges + +# Check and correct any naming conflicts in the BLIF netlist +check_netlist_naming_conflict --fix --report ./netlist_renaming.xml + +# Apply fix-up to clustering nets based on routing results +pb_pin_fixup --verbose + +# Apply fix-up to Look-Up Table truth tables based on packing results +lut_truth_table_fixup + +# Build the module graph +# - Enabled compression on routing architecture modules +# - Enable pin duplication on grid modules +build_fabric --compress_routing --duplicate_grid_pin --load_fabric_key ${EXTERNAL_FABRIC_KEY_FILE} #--verbose + +# Repack the netlist to physical pbs +# This must be done before bitstream generator and testbench generation +# Strongly recommend it is done after all the fix-up have been applied +repack #--verbose + +# Build the bitstream +# - Output the fabric-independent bitstream to a file +build_architecture_bitstream --verbose --write_file arch_bitstream.xml + +# Build fabric-dependent bitstream +build_fabric_bitstream --verbose + +# Write fabric-dependent bitstream +write_fabric_bitstream --file fabric_bitstream.xml --format xml +write_fabric_bitstream --file fabric_bitstream.bit --format plain_text + +# Write the Verilog testbench for FPGA fabric +# - We suggest the use of same output directory as fabric Verilog netlists +# - Must specify the reference benchmark file if you want to output any testbenches +# - Enable top-level testbench which is a full verification including programming circuit and core logic of FPGA +# - Enable pre-configured top-level testbench which is a fast verification skipping programming phase +# - Simulation ini file is optional and is needed only when you need to interface different HDL simulators using openfpga flow-run scripts +write_verilog_testbench --file ./SRC \ + --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} \ + --print_top_testbench \ + --print_preconfig_top_testbench \ + --print_simulation_ini ./SimulationDeck/simulation_deck.ini \ + --explicit_port_mapping +# Exclude signal initialization since it does not help simulator converge +# due to the lack of reset pins for flip-flops +#--include_signal_init + +# Write the SDC to run timing analysis for a mapped FPGA fabric +write_analysis_sdc --file ./SDC_analysis + +# Finish and exit OpenFPGA +exit + +# Note : +# To run verification at the end of the flow maintain source in ./SRC directory diff --git a/SOFA_A/SOFA_A_task/micro_benchmark/and.act b/SOFA_A/SOFA_A_task/micro_benchmark/and.act new file mode 100644 index 0000000..0f77bc6 --- /dev/null +++ b/SOFA_A/SOFA_A_task/micro_benchmark/and.act @@ -0,0 +1,3 @@ +a 0.5 0.5 +b 0.5 0.5 +c 0.25 0.25 diff --git a/SOFA_A/SOFA_A_task/micro_benchmark/and.blif b/SOFA_A/SOFA_A_task/micro_benchmark/and.blif new file mode 100644 index 0000000..67d9787 --- /dev/null +++ b/SOFA_A/SOFA_A_task/micro_benchmark/and.blif @@ -0,0 +1,8 @@ +.model top +.inputs a b +.outputs c + +.names a b c +11 1 + +.end diff --git a/SOFA_A/SOFA_A_task/micro_benchmark/and.v b/SOFA_A/SOFA_A_task/micro_benchmark/and.v new file mode 100644 index 0000000..876f1c6 --- /dev/null +++ b/SOFA_A/SOFA_A_task/micro_benchmark/and.v @@ -0,0 +1,14 @@ +`timescale 1ns / 1ps + +module top( + a, + b, + c); + +input wire a; +input wire b; +output wire c; + +assign c = a & b; + +endmodule diff --git a/SOFA_A/SOFA_A_task/process_top_def.sh b/SOFA_A/SOFA_A_task/process_top_def.sh new file mode 100644 index 0000000..8ffe5bb --- /dev/null +++ b/SOFA_A/SOFA_A_task/process_top_def.sh @@ -0,0 +1,46 @@ +#!/bin/bash +cp user_project_wrapper_template.def user_project_wrapper_empty.def + +sed -i '/^SPECIALNETS/,/END SPECIALNETS/d' user_project_wrapper_empty.def +sed -i '/^VIAS/,/END VIAS/d' user_project_wrapper_empty.def +sed -i '/^ROW ROW/d' user_project_wrapper_empty.def +sed -i '/^TRACKS/d' user_project_wrapper_empty.def +sed -i 's/user_project_wrapper/fpga_top/' user_project_wrapper_empty.def + +VDD_LINES=$(grep "\- vdda\|vccd" user_project_wrapper_empty.def) +VSS_LINES=$(grep "\- vssa\|vssd" user_project_wrapper_empty.def) + +sed -i '/^ - v.*$/d' user_project_wrapper_empty.def + +X="2920000" +Y="3520000" + +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/\-.*\(FIXED.*\) ;/+ PORT + \1/g") +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/^.*met.*[0-9]\{6,\}.*//") +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/\(.*met5\).*) ( \([0-9]*\) \([0-9]*\) )/\1 ( -5000 -\3 ) ( 5000 \3 )/g") +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/\(.*met4\).*) ( \([0-9]*\) \([0-9]*\) )/\1 ( -\2 -5000 ) ( \2 5000 )/g") + +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/FIXED ( \([0-9]*\) \([0-9]*\)\(.*met5\)/FIXED ( 2920000 \2 \3/g") +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/FIXED ( \(-[0-9]*\) \([0-9]*\)\(.*met5\)/FIXED ( 0 \2 \3/g") +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/FIXED ( \([0-9]*\) \([0-9]*\) )\(.*met4\)/FIXED ( \1 3520000 ) \3/g") +VDD_LINES=$(echo "${VDD_LINES}" | sed "s/FIXED ( \([0-9]*\) \(-[0-9]*\) )\(.*met4\)/FIXED ( \1 0 ) \3/g") + + +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/\-.*\(FIXED.*\) ;/+ PORT + \1/g") +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/^.*met.*[0-9]\{6,\}.*//") +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/\(.*met5\).*) ( \([0-9]*\) \([0-9]*\) )/\1 ( -5000 -\3 ) ( 5000 \3 )/g") +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/\(.*met4\).*) ( \([0-9]*\) \([0-9]*\) )/\1 ( -\2 -5000 ) ( \2 5000 )/g") + +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/FIXED ( \([0-9]*\) \([0-9]*\)\(.*met5\)/FIXED ( 2920000 \2 \3/g") +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/FIXED ( \(-[0-9]*\) \([0-9]*\)\(.*met5\)/FIXED ( 0 \2 \3/g") +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/FIXED ( \([0-9]*\) \([0-9]*\) )\(.*met4\)/FIXED ( \1 3520000 ) \3/g") +VSS_LINES=$(echo "${VSS_LINES}" | sed "s/FIXED ( \([0-9]*\) \(-[0-9]*\) )\(.*met4\)/FIXED ( \1 0 ) \3/g") + +sed -i '/END PINS/d' user_project_wrapper_empty.def +sed -i '/END DESIGN/d' user_project_wrapper_empty.def +echo " - VDD + NET VDD + SPECIAL + DIRECTION INPUT + USE POWER" >> user_project_wrapper_empty.def +printf "${VDD_LINES} ;\n" >> user_project_wrapper_empty.def +echo "- VSS + NET VSS + SPECIAL + DIRECTION INPUT + USE GROUND" >> user_project_wrapper_empty.def +printf "${VSS_LINES} ;\n" >> user_project_wrapper_empty.def +echo "END PINS" >> user_project_wrapper_empty.def +echo "END DESIGN" >> user_project_wrapper_empty.def \ No newline at end of file diff --git a/SOFA_A/SOFA_A_task/sc_verilog/digital_io_hd.v b/SOFA_A/SOFA_A_task/sc_verilog/digital_io_hd.v new file mode 100644 index 0000000..46ac151 --- /dev/null +++ b/SOFA_A/SOFA_A_task/sc_verilog/digital_io_hd.v @@ -0,0 +1,55 @@ +`timescale 1ns/1ps + +// +// +// +// +// +// +// +// +// +// +// +// +// +// +// +// +// +// +// +// +// +module EMBEDDED_IO_HD ( + input SOC_IN, // + output SOC_OUT, // + output SOC_DIR, // + output FPGA_IN, // + input FPGA_OUT, // + input FPGA_DIR, // + input IO_ISOL_N // +); + + wire SOC_DIR_N; + + // + sky130_fd_sc_hd__or2b_4 ISOL_EN_GATE (.B_N(IO_ISOL_N), + .A(FPGA_DIR), + .X(SOC_DIR) + ); + + // + sky130_fd_sc_hd__inv_1 INV_SOC_DIR (.A(SOC_DIR), .Y(SOC_DIR_N)); + sky130_fd_sc_hd__ebufn_4 IN_PROTECT_GATE (.TE_B(SOC_DIR_N), + .A(SOC_IN), + .Z(FPGA_IN) + ); + + // + sky130_fd_sc_hd__ebufn_4 OUT_PROTECT_GATE (.TE_B(SOC_DIR), + .A(FPGA_OUT), + .Z(SOC_OUT) + ); + +endmodule \ No newline at end of file diff --git a/SOFA_A/SOFA_A_task/sc_verilog/fpga_top.v b/SOFA_A/SOFA_A_task/sc_verilog/fpga_top.v new file mode 100644 index 0000000..6227391 --- /dev/null +++ b/SOFA_A/SOFA_A_task/sc_verilog/fpga_top.v @@ -0,0 +1,483 @@ +/* + *------------------------------------------------------------- + * + * A wrapper for the FPGA IP to fit the I/O interface of Caravel SoC + * + * The wrapper is a technology mapped netlist where the mode-switch + * multiplexers are mapped to the Skywater 130nm + * High-Density (HD) standard cells + * + *------------------------------------------------------------- + */ + +module fpga_top ( + // + // + inout vdda1, // + inout vdda2, // + inout vssa1, // + inout vssa2, // + inout vccd1, // + inout vccd2, // + inout vssd1, // + inout vssd2, // + + // + input wb_clk_i, + input wb_rst_i, + input wbs_stb_i, + input wbs_cyc_i, + input wbs_we_i, + input [3:0] wbs_sel_i, + input [31:0] wbs_dat_i, + input [31:0] wbs_adr_i, + output wbs_ack_o, + output [31:0] wbs_dat_o, + + // + input [127:0] la_data_in, + output [127:0] la_data_out, + input [127:0] la_oen, + + // + input [37:0] io_in, + output [37:0] io_out, + output [37:0] io_oeb +); + + // + // + // + // + // + // + // + + // + wire prog_clk; + wire Test_en; + wire IO_ISOL_N; + wire clk; + wire [0:143] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; + wire [0:143] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; + wire [0:143] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; + wire ccff_head; + wire ccff_tail; + wire sc_head; + wire sc_tail; + wire pReset; + wire Reset; + + // + wire wb_la_switch; + wire wb_la_switch_b; + + // + // + // + sky130_fd_sc_hd__inv_8 WB_LA_SWITCH_INV (.A(wb_la_switch), .Y(wb_la_switch_b)); + + // + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[0] = io_in[24]; + assign io_out[24] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[0]; + assign io_oeb[24] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[0]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[1] = io_in[23]; + assign io_out[23] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[1]; + assign io_oeb[23] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[1]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[2] = io_in[22]; + assign io_out[22] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[2]; + assign io_oeb[22] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[2]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[3] = io_in[21]; + assign io_out[21] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[3]; + assign io_oeb[21] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[3]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[4] = io_in[20]; + assign io_out[20] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[4]; + assign io_oeb[20] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[4]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[5] = io_in[19]; + assign io_out[19] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[5]; + assign io_oeb[19] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[5]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[6] = io_in[18]; + assign io_out[18] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[6]; + assign io_oeb[18] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[6]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[7] = io_in[17]; + assign io_out[17] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[7]; + assign io_oeb[17] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[7]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[8] = io_in[16]; + assign io_out[16] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[8]; + assign io_oeb[16] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[8]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[9] = io_in[15]; + assign io_out[15] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[9]; + assign io_oeb[15] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[9]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[10] = io_in[14]; + assign io_out[14] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[10]; + assign io_oeb[14] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[10]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[11] = io_in[13]; + assign io_out[13] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[11]; + assign io_oeb[13] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[11]; + assign ccff_head = io_in[12]; + assign io_out[12] = 1'b0; + assign io_oeb[12] = 1'b1; + assign io_out[11] = sc_tail; + assign io_oeb[11] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[12] = io_in[10]; + assign io_out[10] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[12]; + assign io_oeb[10] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[12]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[13] = io_in[9]; + assign io_out[9] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[13]; + assign io_oeb[9] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[13]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[14] = io_in[8]; + assign io_out[8] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[14]; + assign io_oeb[8] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[14]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[15] = io_in[7]; + assign io_out[7] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[15]; + assign io_oeb[7] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[15]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[16] = io_in[6]; + assign io_out[6] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[16]; + assign io_oeb[6] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[16]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[17] = io_in[5]; + assign io_out[5] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[17]; + assign io_oeb[5] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[17]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[18] = io_in[4]; + assign io_out[4] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[18]; + assign io_oeb[4] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[18]; + assign pReset = io_in[3]; + assign io_out[3] = 1'b0; + assign io_oeb[3] = 1'b1; + assign Reset = io_in[2]; + assign io_out[2] = 1'b0; + assign io_oeb[2] = 1'b1; + assign IO_ISOL_N = io_in[1]; + assign io_out[1] = 1'b0; + assign io_oeb[1] = 1'b1; + assign Test_en = io_in[0]; + assign io_out[0] = 1'b0; + assign io_oeb[0] = 1'b1; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[19] = la_data_in[127]; + assign la_data_out[127] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[19]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[20] = la_data_in[126]; + assign la_data_out[126] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[20]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[21] = la_data_in[125]; + assign la_data_out[125] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[21]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[22] = la_data_in[124]; + assign la_data_out[124] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[22]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[23] = la_data_in[123]; + assign la_data_out[123] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[23]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[24] = la_data_in[122]; + assign la_data_out[122] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[24]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[25] = la_data_in[121]; + assign la_data_out[121] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[25]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[26] = la_data_in[120]; + assign la_data_out[120] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[26]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[27] = la_data_in[119]; + assign la_data_out[119] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[27]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[28] = la_data_in[118]; + assign la_data_out[118] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[28]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[29] = la_data_in[117]; + assign la_data_out[117] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[29]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[30] = la_data_in[116]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_30_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[30]), .Z(wbs_dat_o[0])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_30_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[30]), .Z(la_data_out[116])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[31] = la_data_in[115]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_31_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[31]), .Z(wbs_dat_o[1])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_31_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[31]), .Z(la_data_out[115])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[32] = la_data_in[114]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_32_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[32]), .Z(wbs_dat_o[2])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_32_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[32]), .Z(la_data_out[114])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[33] = la_data_in[113]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_33_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[33]), .Z(wbs_dat_o[3])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_33_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[33]), .Z(la_data_out[113])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[34] = la_data_in[112]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_34_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[34]), .Z(wbs_dat_o[4])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_34_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[34]), .Z(la_data_out[112])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[35] = la_data_in[111]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_35_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[35]), .Z(wbs_dat_o[5])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_35_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[35]), .Z(la_data_out[111])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[36] = la_data_in[110]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_36_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[36]), .Z(wbs_dat_o[6])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_36_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[36]), .Z(la_data_out[110])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[37] = la_data_in[109]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_37_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[37]), .Z(wbs_dat_o[7])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_37_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[37]), .Z(la_data_out[109])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[38] = la_data_in[108]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_38_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[38]), .Z(wbs_dat_o[8])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_38_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[38]), .Z(la_data_out[108])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[39] = la_data_in[107]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_39_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[39]), .Z(wbs_dat_o[9])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_39_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[39]), .Z(la_data_out[107])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[40] = la_data_in[106]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_40_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[40]), .Z(wbs_dat_o[10])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_40_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[40]), .Z(la_data_out[106])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[41] = la_data_in[105]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_41_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[41]), .Z(wbs_dat_o[11])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_41_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[41]), .Z(la_data_out[105])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[42] = la_data_in[104]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_42_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[42]), .Z(wbs_dat_o[12])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_42_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[42]), .Z(la_data_out[104])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[43] = la_data_in[103]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_43_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[43]), .Z(wbs_dat_o[13])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_43_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[43]), .Z(la_data_out[103])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[44] = la_data_in[102]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_44_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[44]), .Z(wbs_dat_o[14])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_44_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[44]), .Z(la_data_out[102])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[45] = la_data_in[101]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_45_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[45]), .Z(wbs_dat_o[15])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_45_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[45]), .Z(la_data_out[101])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[46] = la_data_in[100]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_46_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[46]), .Z(wbs_dat_o[16])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_46_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[46]), .Z(la_data_out[100])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[47] = la_data_in[99]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_47_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[47]), .Z(wbs_dat_o[17])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_47_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[47]), .Z(la_data_out[99])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[48] = la_data_in[98]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_48_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[48]), .Z(wbs_dat_o[18])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_48_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[48]), .Z(la_data_out[98])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[49] = la_data_in[97]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_49_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[49]), .Z(wbs_dat_o[19])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_49_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[49]), .Z(la_data_out[97])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[50] = la_data_in[96]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_50_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[50]), .Z(wbs_dat_o[20])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_50_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[50]), .Z(la_data_out[96])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[51] = la_data_in[95]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_51_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[51]), .Z(wbs_dat_o[21])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_51_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[51]), .Z(la_data_out[95])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[52] = la_data_in[94]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_52_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[52]), .Z(wbs_dat_o[22])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_52_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[52]), .Z(la_data_out[94])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[53] = la_data_in[93]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_53_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[53]), .Z(wbs_dat_o[23])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_53_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[53]), .Z(la_data_out[93])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[54] = la_data_in[92]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_54_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[54]), .Z(wbs_dat_o[24])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_54_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[54]), .Z(la_data_out[92])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[55] = la_data_in[91]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_55_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[55]), .Z(wbs_dat_o[25])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_55_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[55]), .Z(la_data_out[91])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[56] = la_data_in[90]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_56_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[56]), .Z(wbs_dat_o[26])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_56_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[56]), .Z(la_data_out[90])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[57] = la_data_in[89]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_57_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[57]), .Z(wbs_dat_o[27])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_57_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[57]), .Z(la_data_out[89])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[58] = la_data_in[88]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_58_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[58]), .Z(wbs_dat_o[28])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_58_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[58]), .Z(la_data_out[88])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[59] = la_data_in[87]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_59_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[59]), .Z(wbs_dat_o[29])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_59_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[59]), .Z(la_data_out[87])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[60] = la_data_in[86]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_60_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[60]), .Z(wbs_dat_o[30])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_60_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[60]), .Z(la_data_out[86])); + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[61] = la_data_in[85]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_61_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[61]), .Z(wbs_dat_o[31])); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_61_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[61]), .Z(la_data_out[85])); + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_62_MUX (.S(wb_la_switch), .A1(wbs_dat_i[0]), .A0(la_data_in[84]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[62])); + assign la_data_out[84] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[62]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_63_MUX (.S(wb_la_switch), .A1(wbs_dat_i[1]), .A0(la_data_in[83]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[63])); + assign la_data_out[83] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[63]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_64_MUX (.S(wb_la_switch), .A1(wbs_dat_i[2]), .A0(la_data_in[82]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[64])); + assign la_data_out[82] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[64]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_65_MUX (.S(wb_la_switch), .A1(wbs_dat_i[3]), .A0(la_data_in[81]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[65])); + assign la_data_out[81] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[65]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_66_MUX (.S(wb_la_switch), .A1(wbs_dat_i[4]), .A0(la_data_in[80]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[66])); + assign la_data_out[80] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[66]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_67_MUX (.S(wb_la_switch), .A1(wbs_dat_i[5]), .A0(la_data_in[79]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[67])); + assign la_data_out[79] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[67]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_68_MUX (.S(wb_la_switch), .A1(wbs_dat_i[6]), .A0(la_data_in[78]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[68])); + assign la_data_out[78] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[68]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_69_MUX (.S(wb_la_switch), .A1(wbs_dat_i[7]), .A0(la_data_in[77]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[69])); + assign la_data_out[77] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[69]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_70_MUX (.S(wb_la_switch), .A1(wbs_dat_i[8]), .A0(la_data_in[76]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[70])); + assign la_data_out[76] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[70]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_71_MUX (.S(wb_la_switch), .A1(wbs_dat_i[9]), .A0(la_data_in[75]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[71])); + assign la_data_out[75] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[71]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_72_MUX (.S(wb_la_switch), .A1(wbs_dat_i[10]), .A0(la_data_in[74]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[72])); + assign la_data_out[74] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[72]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_73_MUX (.S(wb_la_switch), .A1(wbs_dat_i[11]), .A0(la_data_in[73]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[73])); + assign la_data_out[73] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[73]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_74_MUX (.S(wb_la_switch), .A1(wbs_dat_i[12]), .A0(la_data_in[72]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[74])); + assign la_data_out[72] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[74]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_75_MUX (.S(wb_la_switch), .A1(wbs_dat_i[13]), .A0(la_data_in[71]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[75])); + assign la_data_out[71] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[75]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_76_MUX (.S(wb_la_switch), .A1(wbs_dat_i[14]), .A0(la_data_in[70]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[76])); + assign la_data_out[70] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[76]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_77_MUX (.S(wb_la_switch), .A1(wbs_dat_i[15]), .A0(la_data_in[69]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[77])); + assign la_data_out[69] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[77]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_78_MUX (.S(wb_la_switch), .A1(wbs_dat_i[16]), .A0(la_data_in[68]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[78])); + assign la_data_out[68] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[78]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_79_MUX (.S(wb_la_switch), .A1(wbs_dat_i[17]), .A0(la_data_in[67]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[79])); + assign la_data_out[67] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[79]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_80_MUX (.S(wb_la_switch), .A1(wbs_dat_i[18]), .A0(la_data_in[66]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[80])); + assign la_data_out[66] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[80]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_81_MUX (.S(wb_la_switch), .A1(wbs_dat_i[19]), .A0(la_data_in[65]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[81])); + assign la_data_out[65] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[81]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_82_MUX (.S(wb_la_switch), .A1(wbs_dat_i[20]), .A0(la_data_in[64]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[82])); + assign la_data_out[64] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[82]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_83_MUX (.S(wb_la_switch), .A1(wbs_dat_i[21]), .A0(la_data_in[63]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[83])); + assign la_data_out[63] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[83]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_84_MUX (.S(wb_la_switch), .A1(wbs_dat_i[22]), .A0(la_data_in[62]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[84])); + assign la_data_out[62] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[84]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_85_MUX (.S(wb_la_switch), .A1(wbs_dat_i[23]), .A0(la_data_in[61]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[85])); + assign la_data_out[61] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[85]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_86_MUX (.S(wb_la_switch), .A1(wbs_dat_i[24]), .A0(la_data_in[60]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[86])); + assign la_data_out[60] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[86]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_87_MUX (.S(wb_la_switch), .A1(wbs_dat_i[25]), .A0(la_data_in[59]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[87])); + assign la_data_out[59] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[87]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_88_MUX (.S(wb_la_switch), .A1(wbs_dat_i[26]), .A0(la_data_in[58]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[88])); + assign la_data_out[58] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[88]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_89_MUX (.S(wb_la_switch), .A1(wbs_dat_i[27]), .A0(la_data_in[57]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[89])); + assign la_data_out[57] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[89]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_90_MUX (.S(wb_la_switch), .A1(wbs_dat_i[28]), .A0(la_data_in[56]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[90])); + assign la_data_out[56] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[90]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_91_MUX (.S(wb_la_switch), .A1(wbs_dat_i[29]), .A0(la_data_in[55]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[91])); + assign la_data_out[55] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[91]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_92_MUX (.S(wb_la_switch), .A1(wbs_dat_i[30]), .A0(la_data_in[54]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[92])); + assign la_data_out[54] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[92]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_93_MUX (.S(wb_la_switch), .A1(wbs_dat_i[31]), .A0(la_data_in[53]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[93])); + assign la_data_out[53] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[93]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_94_MUX (.S(wb_la_switch), .A1(wbs_adr_i[0]), .A0(la_data_in[52]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[94])); + assign la_data_out[52] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[94]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_95_MUX (.S(wb_la_switch), .A1(wbs_adr_i[1]), .A0(la_data_in[51]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[95])); + assign la_data_out[51] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[95]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_96_MUX (.S(wb_la_switch), .A1(wbs_adr_i[2]), .A0(la_data_in[50]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[96])); + assign la_data_out[50] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[96]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_97_MUX (.S(wb_la_switch), .A1(wbs_adr_i[3]), .A0(la_data_in[49]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[97])); + assign la_data_out[49] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[97]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_98_MUX (.S(wb_la_switch), .A1(wbs_adr_i[4]), .A0(la_data_in[48]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[98])); + assign la_data_out[48] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[98]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_99_MUX (.S(wb_la_switch), .A1(wbs_adr_i[5]), .A0(la_data_in[47]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[99])); + assign la_data_out[47] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[99]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_100_MUX (.S(wb_la_switch), .A1(wbs_adr_i[6]), .A0(la_data_in[46]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[100])); + assign la_data_out[46] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[100]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_101_MUX (.S(wb_la_switch), .A1(wbs_adr_i[7]), .A0(la_data_in[45]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[101])); + assign la_data_out[45] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[101]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_102_MUX (.S(wb_la_switch), .A1(wbs_adr_i[8]), .A0(la_data_in[44]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[102])); + assign la_data_out[44] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[102]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_103_MUX (.S(wb_la_switch), .A1(wbs_adr_i[9]), .A0(la_data_in[43]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[103])); + assign la_data_out[43] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[103]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_104_MUX (.S(wb_la_switch), .A1(wbs_adr_i[10]), .A0(la_data_in[42]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[104])); + assign la_data_out[42] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[104]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_105_MUX (.S(wb_la_switch), .A1(wbs_adr_i[11]), .A0(la_data_in[41]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[105])); + assign la_data_out[41] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[105]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_106_MUX (.S(wb_la_switch), .A1(wbs_adr_i[12]), .A0(la_data_in[40]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[106])); + assign la_data_out[40] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[106]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_107_MUX (.S(wb_la_switch), .A1(wbs_adr_i[13]), .A0(la_data_in[39]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[107])); + assign la_data_out[39] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[107]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_108_MUX (.S(wb_la_switch), .A1(wbs_adr_i[14]), .A0(la_data_in[38]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[108])); + assign la_data_out[38] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[108]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_109_MUX (.S(wb_la_switch), .A1(wbs_adr_i[15]), .A0(la_data_in[37]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[109])); + assign la_data_out[37] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[109]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_110_MUX (.S(wb_la_switch), .A1(wbs_adr_i[16]), .A0(la_data_in[36]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[110])); + assign la_data_out[36] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[110]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_111_MUX (.S(wb_la_switch), .A1(wbs_adr_i[17]), .A0(la_data_in[35]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[111])); + assign la_data_out[35] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[111]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_112_MUX (.S(wb_la_switch), .A1(wbs_adr_i[18]), .A0(la_data_in[34]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[112])); + assign la_data_out[34] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[112]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_113_MUX (.S(wb_la_switch), .A1(wbs_adr_i[19]), .A0(la_data_in[33]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[113])); + assign la_data_out[33] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[113]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_114_MUX (.S(wb_la_switch), .A1(wbs_adr_i[20]), .A0(la_data_in[32]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[114])); + assign la_data_out[32] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[114]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_115_MUX (.S(wb_la_switch), .A1(wbs_adr_i[21]), .A0(la_data_in[31]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[115])); + assign la_data_out[31] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[115]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_116_MUX (.S(wb_la_switch), .A1(wbs_adr_i[22]), .A0(la_data_in[30]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[116])); + assign la_data_out[30] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[116]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_117_MUX (.S(wb_la_switch), .A1(wbs_adr_i[23]), .A0(la_data_in[29]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[117])); + assign la_data_out[29] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[117]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_118_MUX (.S(wb_la_switch), .A1(wbs_adr_i[24]), .A0(la_data_in[28]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[118])); + assign la_data_out[28] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[118]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_119_MUX (.S(wb_la_switch), .A1(wbs_adr_i[25]), .A0(la_data_in[27]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[119])); + assign la_data_out[27] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[119]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_120_MUX (.S(wb_la_switch), .A1(wbs_adr_i[26]), .A0(la_data_in[26]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[120])); + assign la_data_out[26] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[120]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_121_MUX (.S(wb_la_switch), .A1(wbs_adr_i[27]), .A0(la_data_in[25]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[121])); + assign la_data_out[25] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[121]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_122_MUX (.S(wb_la_switch), .A1(wbs_adr_i[28]), .A0(la_data_in[24]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[122])); + assign la_data_out[24] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[122]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_123_MUX (.S(wb_la_switch), .A1(wbs_adr_i[29]), .A0(la_data_in[23]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[123])); + assign la_data_out[23] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[123]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_124_MUX (.S(wb_la_switch), .A1(wbs_adr_i[30]), .A0(la_data_in[22]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[124])); + assign la_data_out[22] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[124]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_125_MUX (.S(wb_la_switch), .A1(wbs_adr_i[31]), .A0(la_data_in[21]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[125])); + assign la_data_out[21] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[125]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_126_MUX (.S(wb_la_switch), .A1(wbs_sel_i[0]), .A0(la_data_in[20]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[126])); + assign la_data_out[20] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[126]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_127_MUX (.S(wb_la_switch), .A1(wbs_sel_i[1]), .A0(la_data_in[19]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[127])); + assign la_data_out[19] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[127]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_128_MUX (.S(wb_la_switch), .A1(wbs_sel_i[2]), .A0(la_data_in[18]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[128])); + assign la_data_out[18] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[128]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_129_MUX (.S(wb_la_switch), .A1(wbs_sel_i[3]), .A0(la_data_in[17]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[129])); + assign la_data_out[17] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[129]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_130_MUX (.S(wb_la_switch), .A1(wbs_we_i), .A0(la_data_in[16]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[130])); + assign la_data_out[16] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[130]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_131_MUX (.S(wb_la_switch), .A1(wbs_stb_i), .A0(la_data_in[15]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[131])); + assign la_data_out[15] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[131]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_132_MUX (.S(wb_la_switch), .A1(wbs_cyc_i), .A0(la_data_in[14]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[132])); + assign la_data_out[14] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[132]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[133] = la_data_in[13]; + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_133_DEMUX_WB (.TE_B(wb_la_switch_b), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[133]), .Z(wbs_ack_o)); + sky130_fd_sc_hd__ebufn_4 FPGA2SOC_OUT_133_DEMUX_LA (.TE_B(wb_la_switch), .A(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[133]), .Z(la_data_out[13])); + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_134_MUX (.S(wb_la_switch), .A1(wb_rst_i), .A0(la_data_in[12]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[134])); + assign la_data_out[12] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[134]; + sky130_fd_sc_hd__mux2_1 FPGA2SOC_IN_135_MUX (.S(wb_la_switch), .A1(wb_clk_i), .A0(la_data_in[11]), .X(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[135])); + assign la_data_out[11] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[135]; + assign prog_clk = io_in[37]; + assign io_out[37] = 1'b0; + assign io_oeb[37] = 1'b1; + assign clk = io_in[36]; + assign io_out[36] = 1'b0; + assign io_oeb[36] = 1'b1; + assign io_out[35] = ccff_tail; + assign io_oeb[35] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[136] = io_in[34]; + assign io_out[34] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[136]; + assign io_oeb[34] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[136]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[137] = io_in[33]; + assign io_out[33] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[137]; + assign io_oeb[33] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[137]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[138] = io_in[32]; + assign io_out[32] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[138]; + assign io_oeb[32] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[138]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[139] = io_in[31]; + assign io_out[31] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[139]; + assign io_oeb[31] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[139]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[140] = io_in[30]; + assign io_out[30] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[140]; + assign io_oeb[30] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[140]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[141] = io_in[29]; + assign io_out[29] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[141]; + assign io_oeb[29] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[141]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[142] = io_in[28]; + assign io_out[28] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[142]; + assign io_oeb[28] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[142]; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[143] = io_in[27]; + assign io_out[27] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[143]; + assign io_oeb[27] = gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[143]; + assign sc_head = io_in[26]; + assign io_out[26] = 1'b0; + assign io_oeb[26] = 1'b1; + // + + // + // + assign wb_la_switch = io_in[25]; + assign io_out[25] = 1'b0; + assign io_oeb[25] = 1'b1; + + // + + fpga_core fpga_core_uut( + .prog_clk(prog_clk), + .Test_en(Test_en), + .clk(clk), + .IO_ISOL_N(IO_ISOL_N), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR), + .ccff_head(ccff_head), + .ccff_tail(ccff_tail), + .sc_head(sc_head), + .sc_tail(sc_tail), + .pReset(pReset), + .Reset(Reset) + ); + +endmodule diff --git a/SOFA_A/SOFA_A_task/sc_verilog/sky130_fd_sc_hd_wrapper .v b/SOFA_A/SOFA_A_task/sc_verilog/sky130_fd_sc_hd_wrapper .v new file mode 100644 index 0000000..1a884d1 --- /dev/null +++ b/SOFA_A/SOFA_A_task/sc_verilog/sky130_fd_sc_hd_wrapper .v @@ -0,0 +1,20 @@ +`timescale 1ns/1ps + +// +// +// +module sky130_fd_sc_hd__mux2_1_wrapper ( + input A0, + input A1, + input S, + output X +); + + sky130_fd_sc_hd__mux2_1 MUX2 (.A0(A0), + .A1(A1), + .S(S), + .X(X) + ); + +endmodule + diff --git a/SOFA_A/SOFA_A_task/user_project_wrapper_empty.def b/SOFA_A/SOFA_A_task/user_project_wrapper_empty.def new file mode 100644 index 0000000..ed261f0 --- /dev/null +++ b/SOFA_A/SOFA_A_task/user_project_wrapper_empty.def @@ -0,0 +1,1219 @@ +VERSION 5.8 ; +DIVIDERCHAR "/" ; +BUSBITCHARS "[]" ; +DESIGN fpga_top ; +UNITS DISTANCE MICRONS 1000 ; +DIEAREA ( 0 0 ) ( 2920000 3520000 ) ; +PINS 1240 ; + - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2844780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3079380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3313980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 264180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3483300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3195660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2908700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2621060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2334100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2046460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 498780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 1759500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 733380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 967980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1202580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1437180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1671780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1906380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2140980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 88060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2434060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2669340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2903940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3138540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3373140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3411220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3124260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2836620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2549660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2262020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1975060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 557260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1687420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1471860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1256300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1040740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 825180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 610300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 394740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 179180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 791860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1026460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1261060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1495660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1730260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1964860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2199460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 205020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2551700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2786300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3020900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3255500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3490100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 439620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3267740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2980100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2693140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2405500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2118540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1830900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 674220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1543940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1328380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1112820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 897260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 681700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 466140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 250580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 35700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 909500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1144100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1378700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1613300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1847900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2082500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2317100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 146540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2493220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2727820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2962420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3197020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3431620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 381140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3339820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3052180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2765220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2477580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2189940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1902980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 615740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1615340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1400460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1184900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 969340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 753780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 538220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 107100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 850340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1084940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1319540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1554140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1789420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2024020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2258620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - VDD + NET VDD + SPECIAL + DIRECTION INPUT + USE POWER + + PORT + FIXED ( 2885520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2705520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2525520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2345520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2165520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1985520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1805520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1625520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1445520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1265520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1085520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 905520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 725520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 545520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 365520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 185520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 5520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2885520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2705520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2525520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2345520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2165520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1985520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1805520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1625520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1445520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1265520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1085520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 905520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 725520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 545520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 365520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 185520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 5520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3430880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3430880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3250880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3250880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3070880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3070880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2890880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2890880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2710880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2710880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2530880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2530880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2350880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2350880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2170880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2170880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1990880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1990880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1810880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1810880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1630880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1630880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1450880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1450880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1270880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1270880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1090880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1090880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 910880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 910880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 730880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 730880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 550880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 550880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 370880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 370880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 190880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 190880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 10880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 10880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2903520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2723520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2543520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2363520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2183520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2003520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1823520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1643520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1463520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1283520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1103520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 923520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 743520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 563520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 383520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 203520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 23520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2903520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2723520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2543520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2363520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2183520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2003520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1823520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1643520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1463520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1283520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1103520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 923520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 743520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 563520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 383520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 203520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 23520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3448880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3448880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3268880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3268880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3088880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3088880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2908880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2908880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2728880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2728880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2548880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2548880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2368880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2368880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2188880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2188880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2008880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2008880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1828880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1828880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1648880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1648880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1468880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1468880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1288880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1288880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1108880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1108880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 928880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 928880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 748880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 748880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 568880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 568880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 388880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 388880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 208880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 208880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 28880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 28880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2741520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2561520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2381520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2201520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2021520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1841520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1661520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1481520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1301520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1121520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 941520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 761520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 581520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 401520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 221520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 41520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2741520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2561520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2381520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2201520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2021520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1841520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1661520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1481520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1301520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1121520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 941520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 761520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 581520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 401520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 221520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 41520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3466880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3466880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3286880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3286880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3106880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3106880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2926880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2926880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2746880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2746880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2566880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2566880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2386880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2386880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2206880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2206880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2026880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2026880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1846880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1846880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1666880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1666880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1486880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1486880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1306880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1306880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1126880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1126880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 946880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 946880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 766880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 766880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 586880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 586880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 406880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 406880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 226880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 226880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 46880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 46880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2759520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2579520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2399520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2219520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2039520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1859520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1679520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1499520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1319520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1139520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 959520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 779520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 599520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 419520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 239520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 59520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2759520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2579520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2399520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2219520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2039520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1859520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1679520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1499520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1319520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1139520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 959520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 779520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 599520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 419520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 239520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 59520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3484880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3484880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3304880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3304880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3124880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3124880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2944880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2944880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2764880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2764880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2584880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2584880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2404880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2404880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2224880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2224880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2044880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2044880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1864880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1864880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1684880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1684880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1504880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1504880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1324880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1324880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1144880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1144880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 964880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 964880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 784880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 784880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 604880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 604880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 424880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 424880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 244880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 244880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 64880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 64880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) ; + - VSS + NET VSS + SPECIAL + DIRECTION INPUT + USE GROUND + + PORT + FIXED ( 2795520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2615520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2435520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2255520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2075520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1895520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1715520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1535520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1355520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1175520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 995520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 815520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 635520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 455520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 275520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 95520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2795520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2615520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2435520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2255520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2075520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1895520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1715520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1535520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1355520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1175520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 995520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 815520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 635520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 455520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 275520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 95520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3340880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3340880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3160880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3160880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2980880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2980880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2800880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2800880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2620880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2620880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2440880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2440880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2260880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2260880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2080880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2080880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1900880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1900880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1720880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1720880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1540880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1540880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1360880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1360880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1180880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1180880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1000880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1000880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 820880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 820880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 640880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 640880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 460880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 460880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 280880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 280880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 100880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 100880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2813520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2633520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2453520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2273520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2093520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1913520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1733520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1553520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1373520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1193520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1013520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 833520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 653520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 473520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 293520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 113520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2813520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2633520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2453520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2273520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2093520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1913520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1733520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1553520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1373520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1193520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1013520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 833520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 653520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 473520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 293520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 113520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3358880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3358880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3178880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3178880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2998880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2998880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2818880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2818880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2638880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2638880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2458880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2458880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2278880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2278880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2098880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2098880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1918880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1918880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1738880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1738880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1558880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1558880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1378880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1378880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1198880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1198880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1018880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1018880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 838880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 838880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 658880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 658880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 478880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 478880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 298880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 298880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 118880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 118880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2831520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2651520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2471520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2291520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2111520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1931520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1751520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1571520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1391520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1211520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1031520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 851520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 671520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 491520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 311520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 131520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2831520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2651520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2471520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2291520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2111520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1931520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1751520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1571520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1391520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1211520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1031520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 851520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 671520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 491520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 311520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 131520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3376880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3376880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3196880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3196880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3016880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3016880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2836880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2836880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2656880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2656880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2476880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2476880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2296880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2296880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2116880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2116880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1936880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1936880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1756880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1756880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1576880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1576880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1396880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1396880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1216880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1216880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1036880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1036880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 856880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 856880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 676880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 676880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 496880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 496880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 316880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 316880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 136880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 136880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2849520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2669520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2489520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2309520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2129520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1949520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1769520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1589520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1409520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1229520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1049520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 869520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 689520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 509520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 329520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 149520 3520000 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2849520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2669520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2489520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2309520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2129520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1949520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1769520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1589520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1409520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1229520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 1049520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 869520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 689520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 509520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 329520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 149520 0 ) N + LAYER met4 ( -1500 -5000 ) ( 1500 5000 ) + + PORT + FIXED ( 2920000 3394880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3394880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3214880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3214880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 3034880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 3034880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2854880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2854880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2674880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2674880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2494880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2494880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2314880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2314880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 2134880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 2134880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1954880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1954880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1774880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1774880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1594880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1594880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1414880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1414880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1234880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1234880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 1054880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 1054880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 874880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 874880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 694880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 694880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 514880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 514880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 334880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 334880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 2920000 154880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) + + PORT + FIXED ( 0 154880 ) N + LAYER met5 ( -5000 -1500 ) ( 5000 1500 ) ; +END PINS +END DESIGN diff --git a/SOFA_A/SOFA_A_task/user_project_wrapper_template.def b/SOFA_A/SOFA_A_task/user_project_wrapper_template.def new file mode 100644 index 0000000..b17859e --- /dev/null +++ b/SOFA_A/SOFA_A_task/user_project_wrapper_template.def @@ -0,0 +1,3768 @@ +VERSION 5.8 ; +DIVIDERCHAR "/" ; +BUSBITCHARS "[]" ; +DESIGN user_project_wrapper ; +UNITS DISTANCE MICRONS 1000 ; +DIEAREA ( 0 0 ) ( 2920000 3520000 ) ; +ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ; +ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ; +TRACKS X 230 DO 6348 STEP 460 LAYER li1 ; +TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ; +TRACKS X 170 DO 8588 STEP 340 LAYER met1 ; +TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ; +TRACKS X 230 DO 6348 STEP 460 LAYER met2 ; +TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ; +TRACKS X 340 DO 4294 STEP 680 LAYER met3 ; +TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ; +TRACKS X 460 DO 3174 STEP 920 LAYER met4 ; +TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ; +TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ; +TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ; +VIAS 1 ; + - via4_3000x3000 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 1100 300 1100 310 + ROWCOL 2 1 ; +END VIAS +PINS 1240 ; + - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2844780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3079380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3313980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 264180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3483300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3195660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2908700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2621060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2334100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2046460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 498780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 1759500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 733380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 967980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1202580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1437180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1671780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1906380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2140980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 88060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2434060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2669340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2903940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3138540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3373140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3411220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3124260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2836620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2549660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2262020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1975060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 557260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1687420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1471860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1256300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1040740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 825180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 610300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 394740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 179180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 791860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1026460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1261060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1495660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1730260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1964860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2199460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 205020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2551700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2786300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3020900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3255500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3490100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 439620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3267740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2980100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2693140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2405500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2118540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1830900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 674220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1543940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1328380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1112820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 897260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 681700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 466140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 250580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 35700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 909500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1144100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1378700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1613300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1847900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2082500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2317100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 146540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2493220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2727820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2962420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3197020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3431620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 381140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3339820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3052180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2765220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2477580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2189940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1902980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 615740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1615340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1400460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1184900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 969340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 753780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 538220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 107100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 850340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1084940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1319540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1554140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1789420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2024020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2258620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ; + - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2928100 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -8480 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3522800 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 3430880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 3430880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 3250880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 3250880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 3070880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 3070880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2890880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2890880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2710880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2710880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2530880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2530880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2350880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2350880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2170880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2170880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1990880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1990880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1810880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1810880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1630880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1630880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1450880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1450880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1270880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1270880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1090880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1090880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 910880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 910880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 730880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 730880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 550880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 550880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 370880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 370880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 190880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 190880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 10880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 10880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2932700 1759840 ) N + LAYER met4 ( -1500 -1769060 ) ( 1500 1769060 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 3523250 ) N + LAYER met4 ( -1500 -5650 ) ( 1500 5650 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -13080 1759840 ) N + LAYER met4 ( -1500 -1769060 ) ( 1500 1769060 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 -3410 ) N + LAYER met4 ( -1500 -5810 ) ( 1500 5810 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3527400 ) N + LAYER met5 ( -1474390 -1500 ) ( 1474390 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 3340880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 3340880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 3160880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 3160880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2980880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2980880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2800880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2800880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2620880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2620880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2440880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2440880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2260880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2260880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 2080880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 2080880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1900880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1900880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1720880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1720880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1540880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1540880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1360880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1360880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1180880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1180880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 1000880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 1000880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 820880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 820880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 640880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 640880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 460880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 460880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 280880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 280880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925900 100880 ) N + LAYER met5 ( -8300 -1500 ) ( 8300 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6090 100880 ) N + LAYER met5 ( -8490 -1500 ) ( 8490 1500 ) ; + - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7720 ) N + LAYER met5 ( -1474390 -1500 ) ( 1474390 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2937300 1759840 ) N + LAYER met4 ( -1500 -1773660 ) ( 1500 1773660 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -17680 1759840 ) N + LAYER met4 ( -1500 -1773660 ) ( 1500 1773660 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3532000 ) N + LAYER met5 ( -1478990 -1500 ) ( 1478990 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 3448880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 3448880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 3268880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 3268880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 3088880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 3088880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2908880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2908880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2728880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2728880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2548880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2548880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2368880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2368880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2188880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2188880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2008880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2008880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1828880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1828880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1648880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1648880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1468880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1468880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1288880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1288880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1108880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1108880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 928880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 928880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 748880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 748880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 568880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 568880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 388880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 388880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 208880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 208880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 28880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 28880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12320 ) N + LAYER met5 ( -1478990 -1500 ) ( 1478990 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2941900 1759840 ) N + LAYER met4 ( -1500 -1778260 ) ( 1500 1778260 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 3527850 ) N + LAYER met4 ( -1500 -10250 ) ( 1500 10250 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -22280 1759840 ) N + LAYER met4 ( -1500 -1778260 ) ( 1500 1778260 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 -8010 ) N + LAYER met4 ( -1500 -10410 ) ( 1500 10410 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3536600 ) N + LAYER met5 ( -1483590 -1500 ) ( 1483590 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 3358880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 3358880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 3178880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 3178880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2998880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2998880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2818880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2818880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2638880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2638880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2458880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2458880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2278880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2278880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 2098880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 2098880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1918880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1918880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1738880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1738880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1558880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1558880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1378880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1378880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1198880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1198880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 1018880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 1018880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 838880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 838880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 658880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 658880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 478880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 478880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 298880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 298880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930500 118880 ) N + LAYER met5 ( -12900 -1500 ) ( 12900 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10690 118880 ) N + LAYER met5 ( -13090 -1500 ) ( 13090 1500 ) ; + - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -16920 ) N + LAYER met5 ( -1483590 -1500 ) ( 1483590 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2946500 1759840 ) N + LAYER met4 ( -1500 -1782860 ) ( 1500 1782860 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -26880 1759840 ) N + LAYER met4 ( -1500 -1782860 ) ( 1500 1782860 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3541200 ) N + LAYER met5 ( -1488190 -1500 ) ( 1488190 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 3466880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 3466880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 3286880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 3286880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 3106880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 3106880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2926880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2926880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2746880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2746880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2566880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2566880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2386880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2386880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2206880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2206880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2026880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2026880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1846880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1846880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1666880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1666880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1486880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1486880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1306880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1306880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1126880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1126880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 946880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 946880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 766880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 766880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 586880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 586880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 406880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 406880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 226880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 226880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 46880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 46880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21520 ) N + LAYER met5 ( -1488190 -1500 ) ( 1488190 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2951100 1759840 ) N + LAYER met4 ( -1500 -1787460 ) ( 1500 1787460 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 3532450 ) N + LAYER met4 ( -1500 -14850 ) ( 1500 14850 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -31480 1759840 ) N + LAYER met4 ( -1500 -1787460 ) ( 1500 1787460 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 -12610 ) N + LAYER met4 ( -1500 -15010 ) ( 1500 15010 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3545800 ) N + LAYER met5 ( -1492790 -1500 ) ( 1492790 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 3376880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 3376880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 3196880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 3196880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 3016880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 3016880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2836880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2836880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2656880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2656880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2476880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2476880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2296880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2296880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 2116880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 2116880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1936880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1936880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1756880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1756880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1576880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1576880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1396880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1396880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1216880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1216880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 1036880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 1036880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 856880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 856880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 676880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 676880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 496880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 496880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 316880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 316880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935100 136880 ) N + LAYER met5 ( -17500 -1500 ) ( 17500 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15290 136880 ) N + LAYER met5 ( -17690 -1500 ) ( 17690 1500 ) ; + - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26120 ) N + LAYER met5 ( -1492790 -1500 ) ( 1492790 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2955700 1759840 ) N + LAYER met4 ( -1500 -1792060 ) ( 1500 1792060 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -36080 1759840 ) N + LAYER met4 ( -1500 -1792060 ) ( 1500 1792060 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3550400 ) N + LAYER met5 ( -1497390 -1500 ) ( 1497390 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 3484880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 3484880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 3304880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 3304880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 3124880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 3124880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2944880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2944880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2764880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2764880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2584880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2584880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2404880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2404880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2224880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2224880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2044880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2044880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1864880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1864880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1684880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1684880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1504880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1504880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1324880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1324880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1144880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1144880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 964880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 964880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 784880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 784880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 604880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 604880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 424880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 424880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 244880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 244880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 64880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 64880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -30720 ) N + LAYER met5 ( -1497390 -1500 ) ( 1497390 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2960300 1759840 ) N + LAYER met4 ( -1500 -1796660 ) ( 1500 1796660 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 3537050 ) N + LAYER met4 ( -1500 -19450 ) ( 1500 19450 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -40680 1759840 ) N + LAYER met4 ( -1500 -1796660 ) ( 1500 1796660 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 -17210 ) N + LAYER met4 ( -1500 -19610 ) ( 1500 19610 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3555000 ) N + LAYER met5 ( -1501990 -1500 ) ( 1501990 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 3394880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 3394880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 3214880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 3214880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 3034880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 3034880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2854880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2854880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2674880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2674880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2494880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2494880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2314880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2314880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 2134880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 2134880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1954880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1954880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1774880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1774880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1594880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1594880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1414880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1414880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1234880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1234880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 1054880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 1054880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 874880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 874880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 694880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 694880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 514880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 514880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 334880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 334880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2939700 154880 ) N + LAYER met5 ( -22100 -1500 ) ( 22100 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -19890 154880 ) N + LAYER met5 ( -22290 -1500 ) ( 22290 1500 ) ; + - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -35320 ) N + LAYER met5 ( -1501990 -1500 ) ( 1501990 1500 ) ; +END PINS +SPECIALNETS 8 ; + - vccd1 ( PIN vccd1 ) + USE POWER + + ROUTED met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2885520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2525520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2345520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2165520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1985520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1625520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1445520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1265520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1085520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 725520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 545520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 365520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 185520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 3430880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 3430880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 3250880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 3250880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 3070880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 3070880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 2890880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 2890880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 2530880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 2530880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 2350880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 2350880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 2170880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 2170880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 1990880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 1990880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 1630880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 1630880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 1450880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 1450880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 1270880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 1270880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 1090880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 1090880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 730880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 730880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 550880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 550880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 370880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 370880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 190880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 190880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2885520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2525520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2345520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2165520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1985520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1625520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1445520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1265520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1085520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 725520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 545520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 365520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 185520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934200 3430880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 3430880 ) ( 2400 3430880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934200 3250880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 3250880 ) ( 2400 3250880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934200 3070880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 3070880 ) ( 2400 3070880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934200 2890880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2890880 ) ( 2400 2890880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934200 2710880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2710880 ) ( 2400 2710880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934200 2530880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2530880 ) ( 2400 2530880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934200 2350880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2350880 ) ( 2400 2350880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934200 2170880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2170880 ) ( 2400 2170880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934200 1990880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1990880 ) ( 2400 1990880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934200 1810880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1810880 ) ( 2400 1810880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934200 1630880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1630880 ) ( 2400 1630880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934200 1450880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1450880 ) ( 2400 1450880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934200 1270880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1270880 ) ( 2400 1270880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934200 1090880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1090880 ) ( 2400 1090880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934200 910880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 910880 ) ( 2400 910880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934200 730880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 730880 ) ( 2400 730880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934200 550880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 550880 ) ( 2400 550880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934200 370880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 370880 ) ( 2400 370880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934200 190880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 190880 ) ( 2400 190880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934200 10880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 10880 ) ( 2400 10880 ) + NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 ) + NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 ) + NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 ) + NEW met4 3000 + SHAPE STRIPE ( 2885520 -9220 ) ( 2885520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2705520 -9220 ) ( 2705520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2525520 -9220 ) ( 2525520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2345520 -9220 ) ( 2345520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2165520 -9220 ) ( 2165520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1985520 -9220 ) ( 1985520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1805520 -9220 ) ( 1805520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1625520 -9220 ) ( 1625520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1445520 -9220 ) ( 1445520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1265520 -9220 ) ( 1265520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1085520 -9220 ) ( 1085520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 905520 -9220 ) ( 905520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 725520 -9220 ) ( 725520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 545520 -9220 ) ( 545520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 365520 -9220 ) ( 365520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 185520 -9220 ) ( 185520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 5520 -9220 ) ( 5520 2400 ) ; + - vssd1 ( PIN vssd1 ) + USE GROUND + + ROUTED met4 0 + SHAPE STRIPE ( 2932700 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2795520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2615520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2435520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2255520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2075520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1895520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1715520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1535520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1355520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1175520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 995520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 815520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 635520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 455520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 275520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 95520 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 3527400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 3340880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 3340880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 3160880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 3160880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 2980880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 2980880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 2800880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 2800880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 2620880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 2620880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 2440880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 2440880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 2260880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 2260880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 2080880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 2080880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 1900880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 1900880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 1720880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 1720880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 1540880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 1540880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 1360880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 1360880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 1180880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 1180880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 1000880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 1000880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 820880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 820880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 640880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 640880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 460880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 460880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 280880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 280880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 100880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 100880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2932700 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2795520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2615520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2435520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2255520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2075520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1895520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1715520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1535520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1355520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1175520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 995520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 815520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 635520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 455520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 275520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 95520 -7720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -13080 -7720 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -14580 3527400 ) ( 2934200 3527400 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934200 3340880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 3340880 ) ( 2400 3340880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934200 3160880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 3160880 ) ( 2400 3160880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934200 2980880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2980880 ) ( 2400 2980880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934200 2800880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2800880 ) ( 2400 2800880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934200 2620880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2620880 ) ( 2400 2620880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934200 2440880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2440880 ) ( 2400 2440880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934200 2260880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2260880 ) ( 2400 2260880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934200 2080880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 2080880 ) ( 2400 2080880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934200 1900880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1900880 ) ( 2400 1900880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934200 1720880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1720880 ) ( 2400 1720880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934200 1540880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1540880 ) ( 2400 1540880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934200 1360880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1360880 ) ( 2400 1360880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934200 1180880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1180880 ) ( 2400 1180880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934200 1000880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 1000880 ) ( 2400 1000880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934200 820880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 820880 ) ( 2400 820880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934200 640880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 640880 ) ( 2400 640880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934200 460880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 460880 ) ( 2400 460880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934200 280880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 280880 ) ( 2400 280880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934200 100880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 100880 ) ( 2400 100880 ) + NEW met5 3000 + SHAPE STRIPE ( -14580 -7720 ) ( 2934200 -7720 ) + NEW met4 3000 + SHAPE STRIPE ( 2932700 -9220 ) ( 2932700 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( -13080 -9220 ) ( -13080 3528900 ) + NEW met4 3000 + SHAPE STRIPE ( 2795520 -9220 ) ( 2795520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2615520 -9220 ) ( 2615520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2435520 -9220 ) ( 2435520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2255520 -9220 ) ( 2255520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2075520 -9220 ) ( 2075520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1895520 -9220 ) ( 1895520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1715520 -9220 ) ( 1715520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1535520 -9220 ) ( 1535520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1355520 -9220 ) ( 1355520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1175520 -9220 ) ( 1175520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 995520 -9220 ) ( 995520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 815520 -9220 ) ( 815520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 635520 -9220 ) ( 635520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 455520 -9220 ) ( 455520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 275520 -9220 ) ( 275520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 95520 -9220 ) ( 95520 2400 ) ; + - vccd2 ( PIN vccd2 ) + USE POWER + + ROUTED met4 0 + SHAPE STRIPE ( 2937300 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2903520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2723520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2543520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2363520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2183520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2003520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1823520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1643520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1463520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1283520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1103520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 923520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 743520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 563520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 383520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 203520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 23520 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 3532000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 3448880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 3448880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 3268880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 3268880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 3088880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 3088880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 2908880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 2908880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 2728880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 2728880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 2548880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 2548880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 2368880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 2368880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 2188880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 2188880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 2008880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 2008880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 1828880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 1828880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 1648880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 1648880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 1468880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 1468880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 1288880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 1288880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 1108880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 1108880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 928880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 928880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 748880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 748880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 568880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 568880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 388880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 388880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 208880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 208880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 28880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 28880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2937300 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2903520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2723520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2543520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2363520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2183520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2003520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1823520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1643520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1463520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1283520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1103520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 923520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 743520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 563520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 383520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 203520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 23520 -12320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -17680 -12320 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -19180 3532000 ) ( 2938800 3532000 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943400 3448880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 3448880 ) ( 2400 3448880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943400 3268880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 3268880 ) ( 2400 3268880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943400 3088880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 3088880 ) ( 2400 3088880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943400 2908880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2908880 ) ( 2400 2908880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943400 2728880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2728880 ) ( 2400 2728880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943400 2548880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2548880 ) ( 2400 2548880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943400 2368880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2368880 ) ( 2400 2368880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943400 2188880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2188880 ) ( 2400 2188880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943400 2008880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2008880 ) ( 2400 2008880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943400 1828880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1828880 ) ( 2400 1828880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943400 1648880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1648880 ) ( 2400 1648880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943400 1468880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1468880 ) ( 2400 1468880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943400 1288880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1288880 ) ( 2400 1288880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943400 1108880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1108880 ) ( 2400 1108880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943400 928880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 928880 ) ( 2400 928880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943400 748880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 748880 ) ( 2400 748880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943400 568880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 568880 ) ( 2400 568880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943400 388880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 388880 ) ( 2400 388880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943400 208880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 208880 ) ( 2400 208880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943400 28880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 28880 ) ( 2400 28880 ) + NEW met5 3000 + SHAPE STRIPE ( -19180 -12320 ) ( 2938800 -12320 ) + NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2937300 -13820 ) ( 2937300 3533500 ) + NEW met4 3000 + SHAPE STRIPE ( -17680 -13820 ) ( -17680 3533500 ) + NEW met4 3000 + SHAPE STRIPE ( 2903520 -18420 ) ( 2903520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2723520 -18420 ) ( 2723520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2543520 -18420 ) ( 2543520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2363520 -18420 ) ( 2363520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2183520 -18420 ) ( 2183520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2003520 -18420 ) ( 2003520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1823520 -18420 ) ( 1823520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1643520 -18420 ) ( 1643520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1463520 -18420 ) ( 1463520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1283520 -18420 ) ( 1283520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1103520 -18420 ) ( 1103520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 923520 -18420 ) ( 923520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 743520 -18420 ) ( 743520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 563520 -18420 ) ( 563520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 383520 -18420 ) ( 383520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 203520 -18420 ) ( 203520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 23520 -18420 ) ( 23520 2400 ) ; + - vssd2 ( PIN vssd2 ) + USE GROUND + + ROUTED met4 0 + SHAPE STRIPE ( 2941900 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2813520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2633520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2453520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2273520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2093520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1913520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1733520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1553520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1373520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1193520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1013520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 833520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 653520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 473520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 293520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 113520 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 3536600 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 3358880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 3358880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 3178880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 3178880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 2998880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 2998880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 2818880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 2818880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 2638880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 2638880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 2458880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 2458880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 2278880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 2278880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 2098880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 2098880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 1918880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 1918880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 1738880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 1738880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 1558880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 1558880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 1378880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 1378880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 1198880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 1198880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 1018880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 1018880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 838880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 838880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 658880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 658880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 478880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 478880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 298880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 298880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 118880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 118880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2941900 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2813520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2633520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2453520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2273520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2093520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1913520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1733520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1553520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1373520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1193520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1013520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 833520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 653520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 473520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 293520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 113520 -16920 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -22280 -16920 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -23780 3536600 ) ( 2943400 3536600 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943400 3358880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 3358880 ) ( 2400 3358880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943400 3178880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 3178880 ) ( 2400 3178880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943400 2998880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2998880 ) ( 2400 2998880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943400 2818880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2818880 ) ( 2400 2818880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943400 2638880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2638880 ) ( 2400 2638880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943400 2458880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2458880 ) ( 2400 2458880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943400 2278880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2278880 ) ( 2400 2278880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943400 2098880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 2098880 ) ( 2400 2098880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943400 1918880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1918880 ) ( 2400 1918880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943400 1738880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1738880 ) ( 2400 1738880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943400 1558880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1558880 ) ( 2400 1558880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943400 1378880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1378880 ) ( 2400 1378880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943400 1198880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1198880 ) ( 2400 1198880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943400 1018880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 1018880 ) ( 2400 1018880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943400 838880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 838880 ) ( 2400 838880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943400 658880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 658880 ) ( 2400 658880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943400 478880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 478880 ) ( 2400 478880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943400 298880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 298880 ) ( 2400 298880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943400 118880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 118880 ) ( 2400 118880 ) + NEW met5 3000 + SHAPE STRIPE ( -23780 -16920 ) ( 2943400 -16920 ) + NEW met4 3000 + SHAPE STRIPE ( 2941900 -18420 ) ( 2941900 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( -22280 -18420 ) ( -22280 3538100 ) + NEW met4 3000 + SHAPE STRIPE ( 2813520 -18420 ) ( 2813520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2633520 -18420 ) ( 2633520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2453520 -18420 ) ( 2453520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2273520 -18420 ) ( 2273520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2093520 -18420 ) ( 2093520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1913520 -18420 ) ( 1913520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1733520 -18420 ) ( 1733520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1553520 -18420 ) ( 1553520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1373520 -18420 ) ( 1373520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1193520 -18420 ) ( 1193520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1013520 -18420 ) ( 1013520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 833520 -18420 ) ( 833520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 653520 -18420 ) ( 653520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 473520 -18420 ) ( 473520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 293520 -18420 ) ( 293520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 113520 -18420 ) ( 113520 2400 ) ; + - vdda1 ( PIN vdda1 ) + USE POWER + + ROUTED met4 0 + SHAPE STRIPE ( 2946500 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2741520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2561520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2381520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2201520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2021520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1841520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1661520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1481520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1301520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1121520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 941520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 761520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 581520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 401520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 221520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 41520 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 3541200 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 3466880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 3466880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 3286880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 3286880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 3106880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 3106880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 2926880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 2926880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 2746880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 2746880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 2566880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 2566880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 2386880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 2386880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 2206880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 2206880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 2026880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 2026880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 1846880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 1846880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 1666880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 1666880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 1486880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 1486880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 1306880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 1306880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 1126880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 1126880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 946880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 946880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 766880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 766880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 586880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 586880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 406880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 406880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 226880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 226880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 46880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 46880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2946500 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2741520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2561520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2381520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2201520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2021520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1841520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1661520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1481520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1301520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1121520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 941520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 761520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 581520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 401520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 221520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 41520 -21520 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -26880 -21520 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -28380 3541200 ) ( 2948000 3541200 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2952600 3466880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 3466880 ) ( 2400 3466880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2952600 3286880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 3286880 ) ( 2400 3286880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2952600 3106880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 3106880 ) ( 2400 3106880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2952600 2926880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2926880 ) ( 2400 2926880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2952600 2746880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2746880 ) ( 2400 2746880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2952600 2566880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2566880 ) ( 2400 2566880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2952600 2386880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2386880 ) ( 2400 2386880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2952600 2206880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2206880 ) ( 2400 2206880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2952600 2026880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2026880 ) ( 2400 2026880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2952600 1846880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1846880 ) ( 2400 1846880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2952600 1666880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1666880 ) ( 2400 1666880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2952600 1486880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1486880 ) ( 2400 1486880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2952600 1306880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1306880 ) ( 2400 1306880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2952600 1126880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1126880 ) ( 2400 1126880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2952600 946880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 946880 ) ( 2400 946880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2952600 766880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 766880 ) ( 2400 766880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2952600 586880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 586880 ) ( 2400 586880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2952600 406880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 406880 ) ( 2400 406880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2952600 226880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 226880 ) ( 2400 226880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2952600 46880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 46880 ) ( 2400 46880 ) + NEW met5 3000 + SHAPE STRIPE ( -28380 -21520 ) ( 2948000 -21520 ) + NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2946500 -23020 ) ( 2946500 3542700 ) + NEW met4 3000 + SHAPE STRIPE ( -26880 -23020 ) ( -26880 3542700 ) + NEW met4 3000 + SHAPE STRIPE ( 2741520 -27620 ) ( 2741520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2561520 -27620 ) ( 2561520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2381520 -27620 ) ( 2381520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2201520 -27620 ) ( 2201520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2021520 -27620 ) ( 2021520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1841520 -27620 ) ( 1841520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1661520 -27620 ) ( 1661520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1481520 -27620 ) ( 1481520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1301520 -27620 ) ( 1301520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1121520 -27620 ) ( 1121520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 941520 -27620 ) ( 941520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 761520 -27620 ) ( 761520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 581520 -27620 ) ( 581520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 401520 -27620 ) ( 401520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 221520 -27620 ) ( 221520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 41520 -27620 ) ( 41520 2400 ) ; + - vssa1 ( PIN vssa1 ) + USE GROUND + + ROUTED met4 0 + SHAPE STRIPE ( 2951100 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2831520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2651520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2471520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2291520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2111520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1931520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1751520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1571520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1391520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1211520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1031520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 851520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 671520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 491520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 311520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 131520 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 3545800 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 3376880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 3376880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 3196880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 3196880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 3016880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 3016880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 2836880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 2836880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 2656880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 2656880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 2476880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 2476880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 2296880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 2296880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 2116880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 2116880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 1936880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 1936880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 1756880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 1756880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 1576880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 1576880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 1396880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 1396880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 1216880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 1216880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 1036880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 1036880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 856880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 856880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 676880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 676880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 496880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 496880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 316880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 316880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 136880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 136880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2951100 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2831520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2651520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2471520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2291520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2111520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1931520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1751520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1571520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1391520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1211520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1031520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 851520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 671520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 491520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 311520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 131520 -26120 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -31480 -26120 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -32980 3545800 ) ( 2952600 3545800 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2952600 3376880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 3376880 ) ( 2400 3376880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2952600 3196880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 3196880 ) ( 2400 3196880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2952600 3016880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 3016880 ) ( 2400 3016880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2952600 2836880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2836880 ) ( 2400 2836880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2952600 2656880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2656880 ) ( 2400 2656880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2952600 2476880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2476880 ) ( 2400 2476880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2952600 2296880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2296880 ) ( 2400 2296880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2952600 2116880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 2116880 ) ( 2400 2116880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2952600 1936880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1936880 ) ( 2400 1936880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2952600 1756880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1756880 ) ( 2400 1756880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2952600 1576880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1576880 ) ( 2400 1576880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2952600 1396880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1396880 ) ( 2400 1396880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2952600 1216880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1216880 ) ( 2400 1216880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2952600 1036880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 1036880 ) ( 2400 1036880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2952600 856880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 856880 ) ( 2400 856880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2952600 676880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 676880 ) ( 2400 676880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2952600 496880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 496880 ) ( 2400 496880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2952600 316880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 316880 ) ( 2400 316880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2952600 136880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 136880 ) ( 2400 136880 ) + NEW met5 3000 + SHAPE STRIPE ( -32980 -26120 ) ( 2952600 -26120 ) + NEW met4 3000 + SHAPE STRIPE ( 2951100 -27620 ) ( 2951100 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( -31480 -27620 ) ( -31480 3547300 ) + NEW met4 3000 + SHAPE STRIPE ( 2831520 -27620 ) ( 2831520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2651520 -27620 ) ( 2651520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2471520 -27620 ) ( 2471520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2291520 -27620 ) ( 2291520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2111520 -27620 ) ( 2111520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1931520 -27620 ) ( 1931520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1751520 -27620 ) ( 1751520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1571520 -27620 ) ( 1571520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1391520 -27620 ) ( 1391520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1211520 -27620 ) ( 1211520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1031520 -27620 ) ( 1031520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 851520 -27620 ) ( 851520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 671520 -27620 ) ( 671520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 491520 -27620 ) ( 491520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 311520 -27620 ) ( 311520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 131520 -27620 ) ( 131520 2400 ) ; + - vdda2 ( PIN vdda2 ) + USE POWER + + ROUTED met4 0 + SHAPE STRIPE ( 2955700 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2759520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2579520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2399520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2219520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2039520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1859520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1679520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1499520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1319520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1139520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 959520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 779520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 599520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 419520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 239520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 59520 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 3550400 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 3484880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 3484880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 3304880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 3304880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 3124880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 3124880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 2944880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 2944880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 2764880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 2764880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 2584880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 2584880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 2404880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 2404880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 2224880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 2224880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 2044880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 2044880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 1864880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 1864880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 1684880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 1684880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 1504880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 1504880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 1324880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 1324880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 1144880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 1144880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 964880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 964880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 784880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 784880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 604880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 604880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 424880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 424880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 244880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 244880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 64880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 64880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2955700 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2759520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2579520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2399520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2219520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2039520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1859520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1679520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1499520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1319520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1139520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 959520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 779520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 599520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 419520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 239520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 59520 -30720 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -36080 -30720 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -37580 3550400 ) ( 2957200 3550400 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2961800 3484880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 3484880 ) ( 2400 3484880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2961800 3304880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 3304880 ) ( 2400 3304880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2961800 3124880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 3124880 ) ( 2400 3124880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2961800 2944880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2944880 ) ( 2400 2944880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2961800 2764880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2764880 ) ( 2400 2764880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2961800 2584880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2584880 ) ( 2400 2584880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2961800 2404880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2404880 ) ( 2400 2404880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2961800 2224880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2224880 ) ( 2400 2224880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2961800 2044880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2044880 ) ( 2400 2044880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2961800 1864880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1864880 ) ( 2400 1864880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2961800 1684880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1684880 ) ( 2400 1684880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2961800 1504880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1504880 ) ( 2400 1504880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2961800 1324880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1324880 ) ( 2400 1324880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2961800 1144880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1144880 ) ( 2400 1144880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2961800 964880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 964880 ) ( 2400 964880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2961800 784880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 784880 ) ( 2400 784880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2961800 604880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 604880 ) ( 2400 604880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2961800 424880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 424880 ) ( 2400 424880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2961800 244880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 244880 ) ( 2400 244880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2961800 64880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 64880 ) ( 2400 64880 ) + NEW met5 3000 + SHAPE STRIPE ( -37580 -30720 ) ( 2957200 -30720 ) + NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2955700 -32220 ) ( 2955700 3551900 ) + NEW met4 3000 + SHAPE STRIPE ( -36080 -32220 ) ( -36080 3551900 ) + NEW met4 3000 + SHAPE STRIPE ( 2759520 -36820 ) ( 2759520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2579520 -36820 ) ( 2579520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2399520 -36820 ) ( 2399520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2219520 -36820 ) ( 2219520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2039520 -36820 ) ( 2039520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1859520 -36820 ) ( 1859520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1679520 -36820 ) ( 1679520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1499520 -36820 ) ( 1499520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1319520 -36820 ) ( 1319520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1139520 -36820 ) ( 1139520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 959520 -36820 ) ( 959520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 779520 -36820 ) ( 779520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 599520 -36820 ) ( 599520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 419520 -36820 ) ( 419520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 239520 -36820 ) ( 239520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 59520 -36820 ) ( 59520 2400 ) ; + - vssa2 ( PIN vssa2 ) + USE GROUND + + ROUTED met4 0 + SHAPE STRIPE ( 2960300 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2849520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2669520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2489520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2309520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2129520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1949520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1769520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1589520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1409520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1229520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1049520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 869520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 689520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 509520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 329520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 149520 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 3555000 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 3394880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 3394880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 3214880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 3214880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 3034880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 3034880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 2854880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 2854880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 2674880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 2674880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 2494880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 2494880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 2314880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 2314880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 2134880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 2134880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 1954880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 1954880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 1774880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 1774880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 1594880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 1594880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 1414880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 1414880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 1234880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 1234880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 1054880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 1054880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 874880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 874880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 694880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 694880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 514880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 514880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 334880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 334880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 154880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 154880 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2960300 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2849520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2669520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2489520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2309520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 2129520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1949520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1769520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1589520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1409520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1229520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 1049520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 869520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 689520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 509520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 329520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( 149520 -35320 ) via4_3000x3000 + NEW met4 0 + SHAPE STRIPE ( -40680 -35320 ) via4_3000x3000 + NEW met5 3000 + SHAPE STRIPE ( -42180 3555000 ) ( 2961800 3555000 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2961800 3394880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 3394880 ) ( 2400 3394880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2961800 3214880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 3214880 ) ( 2400 3214880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2961800 3034880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 3034880 ) ( 2400 3034880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2961800 2854880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2854880 ) ( 2400 2854880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2961800 2674880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2674880 ) ( 2400 2674880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2961800 2494880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2494880 ) ( 2400 2494880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2961800 2314880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2314880 ) ( 2400 2314880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2961800 2134880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 2134880 ) ( 2400 2134880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2961800 1954880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1954880 ) ( 2400 1954880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2961800 1774880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1774880 ) ( 2400 1774880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2961800 1594880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1594880 ) ( 2400 1594880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2961800 1414880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1414880 ) ( 2400 1414880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2961800 1234880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1234880 ) ( 2400 1234880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2961800 1054880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 1054880 ) ( 2400 1054880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2961800 874880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 874880 ) ( 2400 874880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2961800 694880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 694880 ) ( 2400 694880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2961800 514880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 514880 ) ( 2400 514880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2961800 334880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 334880 ) ( 2400 334880 ) + NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2961800 154880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 154880 ) ( 2400 154880 ) + NEW met5 3000 + SHAPE STRIPE ( -42180 -35320 ) ( 2961800 -35320 ) + NEW met4 3000 + SHAPE STRIPE ( 2960300 -36820 ) ( 2960300 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( -40680 -36820 ) ( -40680 3556500 ) + NEW met4 3000 + SHAPE STRIPE ( 2849520 -36820 ) ( 2849520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2669520 -36820 ) ( 2669520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2489520 -36820 ) ( 2489520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2309520 -36820 ) ( 2309520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 2129520 -36820 ) ( 2129520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1949520 -36820 ) ( 1949520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1769520 -36820 ) ( 1769520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1589520 -36820 ) ( 1589520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1409520 -36820 ) ( 1409520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1229520 -36820 ) ( 1229520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 1049520 -36820 ) ( 1049520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 869520 -36820 ) ( 869520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 689520 -36820 ) ( 689520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 509520 -36820 ) ( 509520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 329520 -36820 ) ( 329520 2400 ) + NEW met4 3000 + SHAPE STRIPE ( 149520 -36820 ) ( 149520 2400 ) ; +END SPECIALNETS +END DESIGN diff --git a/SOFA_A/SOFA_A_verilog/fabric_netlists.v b/SOFA_A/SOFA_A_verilog/fabric_netlists.v new file mode 100644 index 0000000..9844a7c --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/fabric_netlists.v @@ -0,0 +1,64 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Fabric Netlist Summary +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ------ Include defines: preproc flags ----- +`include "./SRC/fpga_defines.v" + +// ------ Include user-defined netlists ----- +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_1.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/buf/sky130_fd_sc_hd__buf_2.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/buf/sky130_fd_sc_hd__buf_4.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/inv/sky130_fd_sc_hd__inv_2.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/or2/sky130_fd_sc_hd__or2_1.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/mux2/sky130_fd_sc_hd__mux2_1.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/sdfrtp/sky130_fd_sc_hd__sdfrtp_1.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/skywater/libraries/sky130_fd_sc_hd/latest/cells/dfrtp/sky130_fd_sc_hd__dfrtp_1.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/sc_verilog/digital_io_hd.v" +`include "/research/ece/lnis/Share/OpenFPGA_VTR/openfpga_flow/tasks/FPGA22_HIER_SKY_PNR/sc_verilog/sky130_fd_sc_hd_wrapper.v" +// ------ Include primitive module netlists ----- +`include "./SRC/sub_module/inv_buf_passgate.v" +`include "./SRC/sub_module/arch_encoder.v" +`include "./SRC/sub_module/local_encoder.v" +`include "./SRC/sub_module/mux_primitives.v" +`include "./SRC/sub_module/muxes.v" +`include "./SRC/sub_module/luts.v" +`include "./SRC/sub_module/wires.v" +`include "./SRC/sub_module/memories.v" +`include "./SRC/sub_module/shift_register_banks.v" + +// ------ Include logic block netlists ----- +`include "./SRC/lb/logical_tile_io_mode_physical__iopad.v" +`include "./SRC/lb/logical_tile_io_mode_io_.v" +`include "./SRC/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4.v" +`include "./SRC/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower.v" +`include "./SRC/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic.v" +`include "./SRC/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff.v" +`include "./SRC/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric.v" +`include "./SRC/lb/logical_tile_clb_mode_default__fle.v" +`include "./SRC/lb/logical_tile_clb_mode_clb_.v" +`include "./SRC/lb/grid_io_top_top.v" +`include "./SRC/lb/grid_io_right_right.v" +`include "./SRC/lb/grid_io_bottom_bottom.v" +`include "./SRC/lb/grid_io_left_left.v" +`include "./SRC/lb/grid_clb.v" + +// ------ Include routing module netlists ----- +`include "./SRC/routing/sb_0__0_.v" +`include "./SRC/routing/sb_0__1_.v" +`include "./SRC/routing/sb_1__0_.v" +`include "./SRC/routing/sb_1__1_.v" +`include "./SRC/routing/cbx_1__0_.v" +`include "./SRC/routing/cbx_1__1_.v" +`include "./SRC/routing/cby_0__1_.v" +`include "./SRC/routing/cby_1__1_.v" + +// ------ Include fabric top-level netlists ----- +`include "./SRC/fpga_top.v" + diff --git a/SOFA_A/SOFA_A_verilog/fpga_defines.v b/SOFA_A/SOFA_A_verilog/fpga_defines.v new file mode 100644 index 0000000..f21d55d --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/fpga_defines.v @@ -0,0 +1,12 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Preprocessing flags to enable/disable features in FPGA Verilog modules +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +`define ENABLE_TIMING 1 + diff --git a/SOFA_A/SOFA_A_verilog/fpga_top.v b/SOFA_A/SOFA_A_verilog/fpga_top.v new file mode 100644 index 0000000..cbdff84 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/fpga_top.v @@ -0,0 +1,580 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Top-level Verilog module for FPGA +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for fpga_top ----- +module fpga_top(clk, + Reset, + IO_ISOL_N, + pReset, + prog_clk, + Test_en, + gfpga_pad_EMBEDDED_IO_HD_SOC_IN, + gfpga_pad_EMBEDDED_IO_HD_SOC_OUT, + gfpga_pad_EMBEDDED_IO_HD_SOC_DIR, + ccff_head, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] clk; +//----- GLOBAL PORTS ----- +input [0:0] Reset; +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] Test_en; +//----- GPIN PORTS ----- +input [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; +//----- GPOUT PORTS ----- +output [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +//----- GPOUT PORTS ----- +output [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_8__pin_outpad_0_; +wire [0:0] cbx_1__0__0_ccff_tail; +wire [0:10] cbx_1__0__0_chanx_left_out; +wire [0:10] cbx_1__0__0_chanx_right_out; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0_1_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_1_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1_1_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_1_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2_1_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_1_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3_1_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_1_; +wire [0:0] cbx_1__1__0_ccff_tail; +wire [0:10] cbx_1__1__0_chanx_left_out; +wire [0:10] cbx_1__1__0_chanx_right_out; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_0__1__0_ccff_tail; +wire [0:10] cby_0__1__0_chany_bottom_out; +wire [0:10] cby_0__1__0_chany_top_out; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_1__1__0_ccff_tail; +wire [0:10] cby_1__1__0_chany_bottom_out; +wire [0:10] cby_1__1__0_chany_top_out; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4i_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4i_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5i_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5i_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6i_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6i_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7i_0_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7i_1_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_10_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_11_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_12_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_13_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_14_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_8_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_9_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_4_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_5_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_6_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_7_; +wire [0:0] grid_clb_1__1__undriven_bottom_width_0_height_0_subtile_0__pin_cout_0_; +wire [0:0] grid_clb_1__1__undriven_bottom_width_0_height_0_subtile_0__pin_reg_out_0_; +wire [0:0] grid_clb_1__1__undriven_bottom_width_0_height_0_subtile_0__pin_sc_out_0_; +wire [0:0] grid_clb_1__1__undriven_right_width_0_height_0_subtile_0__pin_O_15_; +wire [0:0] grid_clb_1__1__undriven_top_width_0_height_0_subtile_0__pin_cin_0_; +wire [0:0] grid_clb_1__1__undriven_top_width_0_height_0_subtile_0__pin_reg_in_0_; +wire [0:0] grid_clb_1__1__undriven_top_width_0_height_0_subtile_0__pin_sc_in_0_; +wire [0:0] grid_io_bottom_bottom_0_ccff_tail; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_bottom_bottom_0_top_width_0_height_0_subtile_8__pin_inpad_0_; +wire [0:0] grid_io_left_left_0_ccff_tail; +wire [0:0] grid_io_left_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_ccff_tail; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_right_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_top_top_0_ccff_tail; +wire [0:0] sb_0__0__0_ccff_tail; +wire [0:10] sb_0__0__0_chanx_right_out; +wire [0:10] sb_0__0__0_chany_top_out; +wire [0:0] sb_0__1__0_ccff_tail; +wire [0:10] sb_0__1__0_chanx_right_out; +wire [0:10] sb_0__1__0_chany_bottom_out; +wire [0:0] sb_1__0__0_ccff_tail; +wire [0:10] sb_1__0__0_chanx_left_out; +wire [0:10] sb_1__0__0_chany_top_out; +wire [0:0] sb_1__1__0_ccff_tail; +wire [0:10] sb_1__1__0_chanx_left_out; +wire [0:10] sb_1__1__0_chany_bottom_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + grid_io_top_top grid_io_top_top_1__2_ ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[0:7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[0:7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[0:7]), + .bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cbx_1__1__0_ccff_tail), + .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_top_top_0_ccff_tail)); + + grid_io_right_right grid_io_right_right_2__1_ ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[8:15]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[8:15]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[8:15]), + .left_width_0_height_0_subtile_0__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .left_width_0_height_0_subtile_1__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .left_width_0_height_0_subtile_2__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .left_width_0_height_0_subtile_3__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .left_width_0_height_0_subtile_4__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .left_width_0_height_0_subtile_5__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .left_width_0_height_0_subtile_6__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .left_width_0_height_0_subtile_7__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_bottom_bottom_0_ccff_tail), + .left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_), + .left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_), + .left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_), + .left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_), + .left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_), + .left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_), + .left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_), + .left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_right_right_0_ccff_tail)); + + grid_io_bottom_bottom grid_io_bottom_bottom_1__0_ ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[16:24]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[16:24]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[16:24]), + .top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .top_width_0_height_0_subtile_8__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_8__pin_outpad_0_), + .ccff_head(ccff_head), + .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), + .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), + .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), + .top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_), + .top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_), + .top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), + .top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), + .top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), + .top_width_0_height_0_subtile_8__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_8__pin_inpad_0_), + .ccff_tail(grid_io_bottom_bottom_0_ccff_tail)); + + grid_io_left_left grid_io_left_left_0__1_ ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[25]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[25]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[25]), + .right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(cby_0__1__0_ccff_tail), + .right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(grid_io_left_left_0_ccff_tail)); + + grid_clb grid_clb_1__1_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .top_width_0_height_0_subtile_0__pin_I0_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0_0_), + .top_width_0_height_0_subtile_0__pin_I0_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0_1_), + .top_width_0_height_0_subtile_0__pin_I0i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_0_), + .top_width_0_height_0_subtile_0__pin_I0i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_1_), + .top_width_0_height_0_subtile_0__pin_I1_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1_0_), + .top_width_0_height_0_subtile_0__pin_I1_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1_1_), + .top_width_0_height_0_subtile_0__pin_I1i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_0_), + .top_width_0_height_0_subtile_0__pin_I1i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_1_), + .top_width_0_height_0_subtile_0__pin_I2_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2_0_), + .top_width_0_height_0_subtile_0__pin_I2_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2_1_), + .top_width_0_height_0_subtile_0__pin_I2i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_0_), + .top_width_0_height_0_subtile_0__pin_I2i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_1_), + .top_width_0_height_0_subtile_0__pin_I3_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3_0_), + .top_width_0_height_0_subtile_0__pin_I3_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3_1_), + .top_width_0_height_0_subtile_0__pin_I3i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_0_), + .top_width_0_height_0_subtile_0__pin_I3i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_1_), + .top_width_0_height_0_subtile_0__pin_reg_in_0_(grid_clb_1__1__undriven_top_width_0_height_0_subtile_0__pin_reg_in_0_), + .top_width_0_height_0_subtile_0__pin_sc_in_0_(grid_clb_1__1__undriven_top_width_0_height_0_subtile_0__pin_sc_in_0_), + .top_width_0_height_0_subtile_0__pin_cin_0_(grid_clb_1__1__undriven_top_width_0_height_0_subtile_0__pin_cin_0_), + .right_width_0_height_0_subtile_0__pin_I4_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4_0_), + .right_width_0_height_0_subtile_0__pin_I4_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4_1_), + .right_width_0_height_0_subtile_0__pin_I4i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4i_0_), + .right_width_0_height_0_subtile_0__pin_I4i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4i_1_), + .right_width_0_height_0_subtile_0__pin_I5_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5_0_), + .right_width_0_height_0_subtile_0__pin_I5_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5_1_), + .right_width_0_height_0_subtile_0__pin_I5i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5i_0_), + .right_width_0_height_0_subtile_0__pin_I5i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5i_1_), + .right_width_0_height_0_subtile_0__pin_I6_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6_0_), + .right_width_0_height_0_subtile_0__pin_I6_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6_1_), + .right_width_0_height_0_subtile_0__pin_I6i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6i_0_), + .right_width_0_height_0_subtile_0__pin_I6i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6i_1_), + .right_width_0_height_0_subtile_0__pin_I7_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7_0_), + .right_width_0_height_0_subtile_0__pin_I7_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7_1_), + .right_width_0_height_0_subtile_0__pin_I7i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7i_0_), + .right_width_0_height_0_subtile_0__pin_I7i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7i_1_), + .left_width_0_height_0_subtile_0__pin_reset_0_(Reset), + .left_width_0_height_0_subtile_0__pin_clk_0_(clk), + .ccff_head(cby_1__1__0_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_0_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_0_), + .top_width_0_height_0_subtile_0__pin_O_1_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_1_), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), + .top_width_0_height_0_subtile_0__pin_O_3_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_3_), + .top_width_0_height_0_subtile_0__pin_O_4_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_4_), + .top_width_0_height_0_subtile_0__pin_O_5_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_5_), + .top_width_0_height_0_subtile_0__pin_O_6_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_6_), + .top_width_0_height_0_subtile_0__pin_O_7_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_7_), + .right_width_0_height_0_subtile_0__pin_O_8_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_8_), + .right_width_0_height_0_subtile_0__pin_O_9_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_9_), + .right_width_0_height_0_subtile_0__pin_O_10_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_10_), + .right_width_0_height_0_subtile_0__pin_O_11_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_11_), + .right_width_0_height_0_subtile_0__pin_O_12_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_12_), + .right_width_0_height_0_subtile_0__pin_O_13_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_13_), + .right_width_0_height_0_subtile_0__pin_O_14_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_14_), + .right_width_0_height_0_subtile_0__pin_O_15_(grid_clb_1__1__undriven_right_width_0_height_0_subtile_0__pin_O_15_), + .bottom_width_0_height_0_subtile_0__pin_reg_out_0_(grid_clb_1__1__undriven_bottom_width_0_height_0_subtile_0__pin_reg_out_0_), + .bottom_width_0_height_0_subtile_0__pin_sc_out_0_(grid_clb_1__1__undriven_bottom_width_0_height_0_subtile_0__pin_sc_out_0_), + .bottom_width_0_height_0_subtile_0__pin_cout_0_(grid_clb_1__1__undriven_bottom_width_0_height_0_subtile_0__pin_cout_0_), + .ccff_tail(ccff_tail)); + + sb_0__0_ sb_0__0_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chany_top_in(cby_0__1__0_chany_bottom_out[0:10]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_), + .chanx_right_in(cbx_1__0__0_chanx_left_out[0:10]), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_8__pin_inpad_0_), + .ccff_head(sb_0__1__0_ccff_tail), + .chany_top_out(sb_0__0__0_chany_top_out[0:10]), + .chanx_right_out(sb_0__0__0_chanx_right_out[0:10]), + .ccff_tail(sb_0__0__0_ccff_tail)); + + sb_0__1_ sb_0__1_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chanx_right_in(cbx_1__1__0_chanx_left_out[0:10]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_1_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_3_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_4_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_5_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_6_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_7_), + .chany_bottom_in(cby_0__1__0_chany_top_out[0:10]), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_head(grid_io_top_top_0_ccff_tail), + .chanx_right_out(sb_0__1__0_chanx_right_out[0:10]), + .chany_bottom_out(sb_0__1__0_chany_bottom_out[0:10]), + .ccff_tail(sb_0__1__0_ccff_tail)); + + sb_1__0_ sb_1__0_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__0_chany_bottom_out[0:10]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_8_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_8_), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_9_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_9_), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_10_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_10_), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_11_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_11_), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_12_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_12_), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_13_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_13_), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_14_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_14_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_), + .chanx_left_in(cbx_1__0__0_chanx_right_out[0:10]), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_(grid_io_bottom_bottom_0_top_width_0_height_0_subtile_8__pin_inpad_0_), + .ccff_head(grid_io_left_left_0_ccff_tail), + .chany_top_out(sb_1__0__0_chany_top_out[0:10]), + .chanx_left_out(sb_1__0__0_chanx_left_out[0:10]), + .ccff_tail(sb_1__0__0_ccff_tail)); + + sb_1__1_ sb_1__1_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chany_bottom_in(cby_1__1__0_chany_top_out[0:10]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_8_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_8_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_9_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_9_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_10_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_10_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_11_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_11_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_12_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_12_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_13_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_13_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_14_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_14_), + .chanx_left_in(cbx_1__1__0_chanx_right_out[0:10]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_1_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_3_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_4_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_5_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_6_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_7_), + .ccff_head(grid_io_right_right_0_ccff_tail), + .chany_bottom_out(sb_1__1__0_chany_bottom_out[0:10]), + .chanx_left_out(sb_1__1__0_chanx_left_out[0:10]), + .ccff_tail(sb_1__1__0_ccff_tail)); + + cbx_1__0_ cbx_1__0_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chanx_left_in(sb_0__0__0_chanx_right_out[0:10]), + .chanx_right_in(sb_1__0__0_chanx_left_out[0:10]), + .ccff_head(sb_1__0__0_ccff_tail), + .chanx_left_out(cbx_1__0__0_chanx_left_out[0:10]), + .chanx_right_out(cbx_1__0__0_chanx_right_out[0:10]), + .bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_8__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_8__pin_outpad_0_), + .ccff_tail(cbx_1__0__0_ccff_tail)); + + cbx_1__1_ cbx_1__1_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chanx_left_in(sb_0__1__0_chanx_right_out[0:10]), + .chanx_right_in(sb_1__1__0_chanx_left_out[0:10]), + .ccff_head(sb_1__1__0_ccff_tail), + .chanx_left_out(cbx_1__1__0_chanx_left_out[0:10]), + .chanx_right_out(cbx_1__1__0_chanx_right_out[0:10]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I0_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I0_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0_1_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_1_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I1_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I1_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1_1_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_1_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I2_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I2_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2_1_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_1_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I3_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I3_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3_1_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_1_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_1_), + .ccff_tail(cbx_1__1__0_ccff_tail)); + + cby_0__1_ cby_0__1_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chany_bottom_in(sb_0__0__0_chany_top_out[0:10]), + .chany_top_in(sb_0__1__0_chany_bottom_out[0:10]), + .ccff_head(sb_0__0__0_ccff_tail), + .chany_bottom_out(cby_0__1__0_chany_bottom_out[0:10]), + .chany_top_out(cby_0__1__0_chany_top_out[0:10]), + .left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_tail(cby_0__1__0_ccff_tail)); + + cby_1__1_ cby_1__1_ ( + .pReset(pReset), + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__0__0_chany_top_out[0:10]), + .chany_top_in(sb_1__1__0_chany_bottom_out[0:10]), + .ccff_head(cbx_1__0__0_ccff_tail), + .chany_bottom_out(cby_1__1__0_chany_bottom_out[0:10]), + .chany_top_out(cby_1__1__0_chany_top_out[0:10]), + .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I4_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I4_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I4i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4i_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I4i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I4i_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I5_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I5_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I5i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5i_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I5i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I5i_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I6_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I6_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I6i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6i_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I6i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I6i_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I7_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I7_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I7i_0_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7i_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I7i_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I7i_1_), + .ccff_tail(cby_1__1__0_ccff_tail)); + +endmodule +// ----- END Verilog module for fpga_top ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/SOFA_A/SOFA_A_verilog/lb/grid_clb.v b/SOFA_A/SOFA_A_verilog/lb/grid_clb.v new file mode 100644 index 0000000..a63af14 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/grid_clb.v @@ -0,0 +1,255 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: clb] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_clb ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_clb ----- +module grid_clb(pReset, + prog_clk, + Test_en, + top_width_0_height_0_subtile_0__pin_I0_0_, + top_width_0_height_0_subtile_0__pin_I0_1_, + top_width_0_height_0_subtile_0__pin_I0i_0_, + top_width_0_height_0_subtile_0__pin_I0i_1_, + top_width_0_height_0_subtile_0__pin_I1_0_, + top_width_0_height_0_subtile_0__pin_I1_1_, + top_width_0_height_0_subtile_0__pin_I1i_0_, + top_width_0_height_0_subtile_0__pin_I1i_1_, + top_width_0_height_0_subtile_0__pin_I2_0_, + top_width_0_height_0_subtile_0__pin_I2_1_, + top_width_0_height_0_subtile_0__pin_I2i_0_, + top_width_0_height_0_subtile_0__pin_I2i_1_, + top_width_0_height_0_subtile_0__pin_I3_0_, + top_width_0_height_0_subtile_0__pin_I3_1_, + top_width_0_height_0_subtile_0__pin_I3i_0_, + top_width_0_height_0_subtile_0__pin_I3i_1_, + top_width_0_height_0_subtile_0__pin_reg_in_0_, + top_width_0_height_0_subtile_0__pin_sc_in_0_, + top_width_0_height_0_subtile_0__pin_cin_0_, + right_width_0_height_0_subtile_0__pin_I4_0_, + right_width_0_height_0_subtile_0__pin_I4_1_, + right_width_0_height_0_subtile_0__pin_I4i_0_, + right_width_0_height_0_subtile_0__pin_I4i_1_, + right_width_0_height_0_subtile_0__pin_I5_0_, + right_width_0_height_0_subtile_0__pin_I5_1_, + right_width_0_height_0_subtile_0__pin_I5i_0_, + right_width_0_height_0_subtile_0__pin_I5i_1_, + right_width_0_height_0_subtile_0__pin_I6_0_, + right_width_0_height_0_subtile_0__pin_I6_1_, + right_width_0_height_0_subtile_0__pin_I6i_0_, + right_width_0_height_0_subtile_0__pin_I6i_1_, + right_width_0_height_0_subtile_0__pin_I7_0_, + right_width_0_height_0_subtile_0__pin_I7_1_, + right_width_0_height_0_subtile_0__pin_I7i_0_, + right_width_0_height_0_subtile_0__pin_I7i_1_, + left_width_0_height_0_subtile_0__pin_reset_0_, + left_width_0_height_0_subtile_0__pin_clk_0_, + ccff_head, + top_width_0_height_0_subtile_0__pin_O_0_, + top_width_0_height_0_subtile_0__pin_O_1_, + top_width_0_height_0_subtile_0__pin_O_2_, + top_width_0_height_0_subtile_0__pin_O_3_, + top_width_0_height_0_subtile_0__pin_O_4_, + top_width_0_height_0_subtile_0__pin_O_5_, + top_width_0_height_0_subtile_0__pin_O_6_, + top_width_0_height_0_subtile_0__pin_O_7_, + right_width_0_height_0_subtile_0__pin_O_8_, + right_width_0_height_0_subtile_0__pin_O_9_, + right_width_0_height_0_subtile_0__pin_O_10_, + right_width_0_height_0_subtile_0__pin_O_11_, + right_width_0_height_0_subtile_0__pin_O_12_, + right_width_0_height_0_subtile_0__pin_O_13_, + right_width_0_height_0_subtile_0__pin_O_14_, + right_width_0_height_0_subtile_0__pin_O_15_, + bottom_width_0_height_0_subtile_0__pin_reg_out_0_, + bottom_width_0_height_0_subtile_0__pin_sc_out_0_, + bottom_width_0_height_0_subtile_0__pin_cout_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] Test_en; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I0_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I0_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I0i_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I0i_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I1_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I1_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I1i_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I1i_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I2_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I2_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I2i_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I2i_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I3_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I3_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I3i_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I3i_1_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_reg_in_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_sc_in_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_cin_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I4_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I4_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I4i_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I4i_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I5_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I5_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I5i_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I5i_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I6_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I6_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I6i_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I6i_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I7_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I7_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I7i_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I7i_1_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_0__pin_reset_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_0__pin_clk_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_1_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_2_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_3_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_4_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_5_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_6_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_7_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_8_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_9_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_10_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_11_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_12_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_13_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_14_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_15_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_0__pin_reg_out_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_0__pin_sc_out_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_0__pin_cout_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_clb_ logical_tile_clb_mode_clb__0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .clb_I0({top_width_0_height_0_subtile_0__pin_I0_0_, top_width_0_height_0_subtile_0__pin_I0_1_}), + .clb_I0i({top_width_0_height_0_subtile_0__pin_I0i_0_, top_width_0_height_0_subtile_0__pin_I0i_1_}), + .clb_I1({top_width_0_height_0_subtile_0__pin_I1_0_, top_width_0_height_0_subtile_0__pin_I1_1_}), + .clb_I1i({top_width_0_height_0_subtile_0__pin_I1i_0_, top_width_0_height_0_subtile_0__pin_I1i_1_}), + .clb_I2({top_width_0_height_0_subtile_0__pin_I2_0_, top_width_0_height_0_subtile_0__pin_I2_1_}), + .clb_I2i({top_width_0_height_0_subtile_0__pin_I2i_0_, top_width_0_height_0_subtile_0__pin_I2i_1_}), + .clb_I3({top_width_0_height_0_subtile_0__pin_I3_0_, top_width_0_height_0_subtile_0__pin_I3_1_}), + .clb_I3i({top_width_0_height_0_subtile_0__pin_I3i_0_, top_width_0_height_0_subtile_0__pin_I3i_1_}), + .clb_I4({right_width_0_height_0_subtile_0__pin_I4_0_, right_width_0_height_0_subtile_0__pin_I4_1_}), + .clb_I4i({right_width_0_height_0_subtile_0__pin_I4i_0_, right_width_0_height_0_subtile_0__pin_I4i_1_}), + .clb_I5({right_width_0_height_0_subtile_0__pin_I5_0_, right_width_0_height_0_subtile_0__pin_I5_1_}), + .clb_I5i({right_width_0_height_0_subtile_0__pin_I5i_0_, right_width_0_height_0_subtile_0__pin_I5i_1_}), + .clb_I6({right_width_0_height_0_subtile_0__pin_I6_0_, right_width_0_height_0_subtile_0__pin_I6_1_}), + .clb_I6i({right_width_0_height_0_subtile_0__pin_I6i_0_, right_width_0_height_0_subtile_0__pin_I6i_1_}), + .clb_I7({right_width_0_height_0_subtile_0__pin_I7_0_, right_width_0_height_0_subtile_0__pin_I7_1_}), + .clb_I7i({right_width_0_height_0_subtile_0__pin_I7i_0_, right_width_0_height_0_subtile_0__pin_I7i_1_}), + .clb_reg_in(top_width_0_height_0_subtile_0__pin_reg_in_0_), + .clb_sc_in(top_width_0_height_0_subtile_0__pin_sc_in_0_), + .clb_cin(top_width_0_height_0_subtile_0__pin_cin_0_), + .clb_reset(left_width_0_height_0_subtile_0__pin_reset_0_), + .clb_clk(left_width_0_height_0_subtile_0__pin_clk_0_), + .ccff_head(ccff_head), + .clb_O({top_width_0_height_0_subtile_0__pin_O_0_, top_width_0_height_0_subtile_0__pin_O_1_, top_width_0_height_0_subtile_0__pin_O_2_, top_width_0_height_0_subtile_0__pin_O_3_, top_width_0_height_0_subtile_0__pin_O_4_, top_width_0_height_0_subtile_0__pin_O_5_, top_width_0_height_0_subtile_0__pin_O_6_, top_width_0_height_0_subtile_0__pin_O_7_, right_width_0_height_0_subtile_0__pin_O_8_, right_width_0_height_0_subtile_0__pin_O_9_, right_width_0_height_0_subtile_0__pin_O_10_, right_width_0_height_0_subtile_0__pin_O_11_, right_width_0_height_0_subtile_0__pin_O_12_, right_width_0_height_0_subtile_0__pin_O_13_, right_width_0_height_0_subtile_0__pin_O_14_, right_width_0_height_0_subtile_0__pin_O_15_}), + .clb_reg_out(bottom_width_0_height_0_subtile_0__pin_reg_out_0_), + .clb_sc_out(bottom_width_0_height_0_subtile_0__pin_sc_out_0_), + .clb_cout(bottom_width_0_height_0_subtile_0__pin_cout_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_clb ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_clb ----- + diff --git a/SOFA_A/SOFA_A_verilog/lb/grid_io_bottom_bottom.v b/SOFA_A/SOFA_A_verilog/lb/grid_io_bottom_bottom.v new file mode 100644 index 0000000..6b6cddb --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/grid_io_bottom_bottom.v @@ -0,0 +1,234 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io_bottom] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_bottom_bottom ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_bottom_bottom ----- +module grid_io_bottom_bottom(IO_ISOL_N, + pReset, + prog_clk, + gfpga_pad_EMBEDDED_IO_HD_SOC_IN, + gfpga_pad_EMBEDDED_IO_HD_SOC_OUT, + gfpga_pad_EMBEDDED_IO_HD_SOC_DIR, + top_width_0_height_0_subtile_0__pin_outpad_0_, + top_width_0_height_0_subtile_1__pin_outpad_0_, + top_width_0_height_0_subtile_2__pin_outpad_0_, + top_width_0_height_0_subtile_3__pin_outpad_0_, + top_width_0_height_0_subtile_4__pin_outpad_0_, + top_width_0_height_0_subtile_5__pin_outpad_0_, + top_width_0_height_0_subtile_6__pin_outpad_0_, + top_width_0_height_0_subtile_7__pin_outpad_0_, + top_width_0_height_0_subtile_8__pin_outpad_0_, + ccff_head, + top_width_0_height_0_subtile_0__pin_inpad_0_, + top_width_0_height_0_subtile_1__pin_inpad_0_, + top_width_0_height_0_subtile_2__pin_inpad_0_, + top_width_0_height_0_subtile_3__pin_inpad_0_, + top_width_0_height_0_subtile_4__pin_inpad_0_, + top_width_0_height_0_subtile_5__pin_inpad_0_, + top_width_0_height_0_subtile_6__pin_inpad_0_, + top_width_0_height_0_subtile_7__pin_inpad_0_, + top_width_0_height_0_subtile_8__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIN PORTS ----- +input [0:8] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; +//----- GPOUT PORTS ----- +output [0:8] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +//----- GPOUT PORTS ----- +output [0:8] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_1__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_2__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_3__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_4__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_5__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_6__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_7__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_8__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_8__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] logical_tile_io_mode_io__0_ccff_tail; +wire [0:0] logical_tile_io_mode_io__1_ccff_tail; +wire [0:0] logical_tile_io_mode_io__2_ccff_tail; +wire [0:0] logical_tile_io_mode_io__3_ccff_tail; +wire [0:0] logical_tile_io_mode_io__4_ccff_tail; +wire [0:0] logical_tile_io_mode_io__5_ccff_tail; +wire [0:0] logical_tile_io_mode_io__6_ccff_tail; +wire [0:0] logical_tile_io_mode_io__7_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[0]), + .io_outpad(top_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(top_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__0_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__1 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[1]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[1]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[1]), + .io_outpad(top_width_0_height_0_subtile_1__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__0_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_1__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__1_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__2 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[2]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[2]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[2]), + .io_outpad(top_width_0_height_0_subtile_2__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__1_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_2__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__2_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__3 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[3]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[3]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[3]), + .io_outpad(top_width_0_height_0_subtile_3__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__2_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_3__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__3_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__4 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[4]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[4]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[4]), + .io_outpad(top_width_0_height_0_subtile_4__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__3_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_4__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__4_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__5 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[5]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[5]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[5]), + .io_outpad(top_width_0_height_0_subtile_5__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__4_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_5__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__5_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__6 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[6]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[6]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[6]), + .io_outpad(top_width_0_height_0_subtile_6__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__5_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_6__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__6_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__7 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[7]), + .io_outpad(top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__6_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__7_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__8 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[8]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[8]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[8]), + .io_outpad(top_width_0_height_0_subtile_8__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__7_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_8__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_bottom_bottom ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_bottom_bottom ----- + diff --git a/SOFA_A/SOFA_A_verilog/lb/grid_io_left_left.v b/SOFA_A/SOFA_A_verilog/lb/grid_io_left_left.v new file mode 100644 index 0000000..b3a4161 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/grid_io_left_left.v @@ -0,0 +1,82 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io_left] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_left_left ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_left_left ----- +module grid_io_left_left(IO_ISOL_N, + pReset, + prog_clk, + gfpga_pad_EMBEDDED_IO_HD_SOC_IN, + gfpga_pad_EMBEDDED_IO_HD_SOC_OUT, + gfpga_pad_EMBEDDED_IO_HD_SOC_DIR, + right_width_0_height_0_subtile_0__pin_outpad_0_, + ccff_head, + right_width_0_height_0_subtile_0__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIN PORTS ----- +input [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; +//----- GPOUT PORTS ----- +output [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +//----- GPOUT PORTS ----- +output [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR), + .io_outpad(right_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(right_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_left_left ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_left_left ----- + diff --git a/SOFA_A/SOFA_A_verilog/lb/grid_io_right_right.v b/SOFA_A/SOFA_A_verilog/lb/grid_io_right_right.v new file mode 100644 index 0000000..5c5eb51 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/grid_io_right_right.v @@ -0,0 +1,215 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io_right] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_right_right ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_right_right ----- +module grid_io_right_right(IO_ISOL_N, + pReset, + prog_clk, + gfpga_pad_EMBEDDED_IO_HD_SOC_IN, + gfpga_pad_EMBEDDED_IO_HD_SOC_OUT, + gfpga_pad_EMBEDDED_IO_HD_SOC_DIR, + left_width_0_height_0_subtile_0__pin_outpad_0_, + left_width_0_height_0_subtile_1__pin_outpad_0_, + left_width_0_height_0_subtile_2__pin_outpad_0_, + left_width_0_height_0_subtile_3__pin_outpad_0_, + left_width_0_height_0_subtile_4__pin_outpad_0_, + left_width_0_height_0_subtile_5__pin_outpad_0_, + left_width_0_height_0_subtile_6__pin_outpad_0_, + left_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_head, + left_width_0_height_0_subtile_0__pin_inpad_0_, + left_width_0_height_0_subtile_1__pin_inpad_0_, + left_width_0_height_0_subtile_2__pin_inpad_0_, + left_width_0_height_0_subtile_3__pin_inpad_0_, + left_width_0_height_0_subtile_4__pin_inpad_0_, + left_width_0_height_0_subtile_5__pin_inpad_0_, + left_width_0_height_0_subtile_6__pin_inpad_0_, + left_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIN PORTS ----- +input [0:7] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; +//----- GPOUT PORTS ----- +output [0:7] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +//----- GPOUT PORTS ----- +output [0:7] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_1__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_2__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_3__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_4__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_5__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_6__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_7__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] logical_tile_io_mode_io__0_ccff_tail; +wire [0:0] logical_tile_io_mode_io__1_ccff_tail; +wire [0:0] logical_tile_io_mode_io__2_ccff_tail; +wire [0:0] logical_tile_io_mode_io__3_ccff_tail; +wire [0:0] logical_tile_io_mode_io__4_ccff_tail; +wire [0:0] logical_tile_io_mode_io__5_ccff_tail; +wire [0:0] logical_tile_io_mode_io__6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[0]), + .io_outpad(left_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(left_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__0_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__1 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[1]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[1]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[1]), + .io_outpad(left_width_0_height_0_subtile_1__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__0_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_1__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__1_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__2 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[2]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[2]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[2]), + .io_outpad(left_width_0_height_0_subtile_2__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__1_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_2__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__2_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__3 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[3]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[3]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[3]), + .io_outpad(left_width_0_height_0_subtile_3__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__2_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_3__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__3_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__4 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[4]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[4]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[4]), + .io_outpad(left_width_0_height_0_subtile_4__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__3_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_4__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__4_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__5 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[5]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[5]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[5]), + .io_outpad(left_width_0_height_0_subtile_5__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__4_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_5__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__5_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__6 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[6]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[6]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[6]), + .io_outpad(left_width_0_height_0_subtile_6__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__5_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_6__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__6_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__7 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[7]), + .io_outpad(left_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__6_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_right_right ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_right_right ----- + diff --git a/SOFA_A/SOFA_A_verilog/lb/grid_io_top_top.v b/SOFA_A/SOFA_A_verilog/lb/grid_io_top_top.v new file mode 100644 index 0000000..58809c0 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/grid_io_top_top.v @@ -0,0 +1,215 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io_top] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_top_top ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_top_top ----- +module grid_io_top_top(IO_ISOL_N, + pReset, + prog_clk, + gfpga_pad_EMBEDDED_IO_HD_SOC_IN, + gfpga_pad_EMBEDDED_IO_HD_SOC_OUT, + gfpga_pad_EMBEDDED_IO_HD_SOC_DIR, + bottom_width_0_height_0_subtile_0__pin_outpad_0_, + bottom_width_0_height_0_subtile_1__pin_outpad_0_, + bottom_width_0_height_0_subtile_2__pin_outpad_0_, + bottom_width_0_height_0_subtile_3__pin_outpad_0_, + bottom_width_0_height_0_subtile_4__pin_outpad_0_, + bottom_width_0_height_0_subtile_5__pin_outpad_0_, + bottom_width_0_height_0_subtile_6__pin_outpad_0_, + bottom_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_head, + bottom_width_0_height_0_subtile_0__pin_inpad_0_, + bottom_width_0_height_0_subtile_1__pin_inpad_0_, + bottom_width_0_height_0_subtile_2__pin_inpad_0_, + bottom_width_0_height_0_subtile_3__pin_inpad_0_, + bottom_width_0_height_0_subtile_4__pin_inpad_0_, + bottom_width_0_height_0_subtile_5__pin_inpad_0_, + bottom_width_0_height_0_subtile_6__pin_inpad_0_, + bottom_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIN PORTS ----- +input [0:7] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; +//----- GPOUT PORTS ----- +output [0:7] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +//----- GPOUT PORTS ----- +output [0:7] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_1__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_2__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_3__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_4__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_5__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_6__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_7__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] logical_tile_io_mode_io__0_ccff_tail; +wire [0:0] logical_tile_io_mode_io__1_ccff_tail; +wire [0:0] logical_tile_io_mode_io__2_ccff_tail; +wire [0:0] logical_tile_io_mode_io__3_ccff_tail; +wire [0:0] logical_tile_io_mode_io__4_ccff_tail; +wire [0:0] logical_tile_io_mode_io__5_ccff_tail; +wire [0:0] logical_tile_io_mode_io__6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[0]), + .io_outpad(bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__0_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__1 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[1]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[1]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[1]), + .io_outpad(bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__0_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__1_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__2 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[2]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[2]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[2]), + .io_outpad(bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__1_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__2_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__3 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[3]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[3]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[3]), + .io_outpad(bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__2_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__3_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__4 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[4]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[4]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[4]), + .io_outpad(bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__3_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__4_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__5 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[5]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[5]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[5]), + .io_outpad(bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__4_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__5_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__6 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[6]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[6]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[6]), + .io_outpad(bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__5_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__6_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__7 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[7]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[7]), + .io_outpad(bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__6_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_top_top ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_top_top ----- + diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_clb_.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_clb_.v new file mode 100644 index 0000000..877a47d --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_clb_.v @@ -0,0 +1,765 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: clb +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: clb ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_clb_ ----- +module logical_tile_clb_mode_clb_(pReset, + prog_clk, + Test_en, + clb_I0, + clb_I0i, + clb_I1, + clb_I1i, + clb_I2, + clb_I2i, + clb_I3, + clb_I3i, + clb_I4, + clb_I4i, + clb_I5, + clb_I5i, + clb_I6, + clb_I6i, + clb_I7, + clb_I7i, + clb_reg_in, + clb_sc_in, + clb_cin, + clb_reset, + clb_clk, + ccff_head, + clb_O, + clb_reg_out, + clb_sc_out, + clb_cout, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] Test_en; +//----- INPUT PORTS ----- +input [0:1] clb_I0; +//----- INPUT PORTS ----- +input [0:1] clb_I0i; +//----- INPUT PORTS ----- +input [0:1] clb_I1; +//----- INPUT PORTS ----- +input [0:1] clb_I1i; +//----- INPUT PORTS ----- +input [0:1] clb_I2; +//----- INPUT PORTS ----- +input [0:1] clb_I2i; +//----- INPUT PORTS ----- +input [0:1] clb_I3; +//----- INPUT PORTS ----- +input [0:1] clb_I3i; +//----- INPUT PORTS ----- +input [0:1] clb_I4; +//----- INPUT PORTS ----- +input [0:1] clb_I4i; +//----- INPUT PORTS ----- +input [0:1] clb_I5; +//----- INPUT PORTS ----- +input [0:1] clb_I5i; +//----- INPUT PORTS ----- +input [0:1] clb_I6; +//----- INPUT PORTS ----- +input [0:1] clb_I6i; +//----- INPUT PORTS ----- +input [0:1] clb_I7; +//----- INPUT PORTS ----- +input [0:1] clb_I7i; +//----- INPUT PORTS ----- +input [0:0] clb_reg_in; +//----- INPUT PORTS ----- +input [0:0] clb_sc_in; +//----- INPUT PORTS ----- +input [0:0] clb_cin; +//----- INPUT PORTS ----- +input [0:0] clb_reset; +//----- INPUT PORTS ----- +input [0:0] clb_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:15] clb_O; +//----- OUTPUT PORTS ----- +output [0:0] clb_reg_out; +//----- OUTPUT PORTS ----- +output [0:0] clb_sc_out; +//----- OUTPUT PORTS ----- +output [0:0] clb_cout; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:1] clb_I0; +wire [0:1] clb_I0i; +wire [0:1] clb_I1; +wire [0:1] clb_I1i; +wire [0:1] clb_I2; +wire [0:1] clb_I2i; +wire [0:1] clb_I3; +wire [0:1] clb_I3i; +wire [0:1] clb_I4; +wire [0:1] clb_I4i; +wire [0:1] clb_I5; +wire [0:1] clb_I5i; +wire [0:1] clb_I6; +wire [0:1] clb_I6i; +wire [0:1] clb_I7; +wire [0:1] clb_I7i; +wire [0:0] clb_reg_in; +wire [0:0] clb_sc_in; +wire [0:0] clb_cin; +wire [0:0] clb_reset; +wire [0:0] clb_clk; +wire [0:15] clb_O; +wire [0:0] clb_reg_out; +wire [0:0] clb_sc_out; +wire [0:0] clb_cout; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_19_out; +wire [0:0] direct_interc_20_out; +wire [0:0] direct_interc_21_out; +wire [0:0] direct_interc_22_out; +wire [0:0] direct_interc_23_out; +wire [0:0] direct_interc_24_out; +wire [0:0] direct_interc_25_out; +wire [0:0] direct_interc_26_out; +wire [0:0] direct_interc_27_out; +wire [0:0] direct_interc_28_out; +wire [0:0] direct_interc_29_out; +wire [0:0] direct_interc_30_out; +wire [0:0] direct_interc_31_out; +wire [0:0] direct_interc_32_out; +wire [0:0] direct_interc_33_out; +wire [0:0] direct_interc_34_out; +wire [0:0] direct_interc_35_out; +wire [0:0] direct_interc_36_out; +wire [0:0] direct_interc_37_out; +wire [0:0] direct_interc_38_out; +wire [0:0] direct_interc_39_out; +wire [0:0] direct_interc_40_out; +wire [0:0] direct_interc_41_out; +wire [0:0] direct_interc_42_out; +wire [0:0] direct_interc_43_out; +wire [0:0] direct_interc_44_out; +wire [0:0] direct_interc_45_out; +wire [0:0] direct_interc_46_out; +wire [0:0] direct_interc_47_out; +wire [0:0] direct_interc_48_out; +wire [0:0] direct_interc_49_out; +wire [0:0] direct_interc_50_out; +wire [0:0] direct_interc_51_out; +wire [0:0] direct_interc_52_out; +wire [0:0] direct_interc_53_out; +wire [0:0] direct_interc_54_out; +wire [0:0] direct_interc_55_out; +wire [0:0] direct_interc_56_out; +wire [0:0] direct_interc_57_out; +wire [0:0] direct_interc_58_out; +wire [0:0] direct_interc_59_out; +wire [0:0] direct_interc_60_out; +wire [0:0] direct_interc_61_out; +wire [0:0] direct_interc_62_out; +wire [0:0] direct_interc_63_out; +wire [0:0] direct_interc_64_out; +wire [0:0] direct_interc_65_out; +wire [0:0] direct_interc_66_out; +wire [0:0] direct_interc_67_out; +wire [0:0] direct_interc_68_out; +wire [0:0] direct_interc_69_out; +wire [0:0] direct_interc_70_out; +wire [0:0] direct_interc_71_out; +wire [0:0] direct_interc_72_out; +wire [0:0] direct_interc_73_out; +wire [0:0] direct_interc_74_out; +wire [0:0] direct_interc_75_out; +wire [0:0] direct_interc_76_out; +wire [0:0] direct_interc_77_out; +wire [0:0] direct_interc_78_out; +wire [0:0] direct_interc_79_out; +wire [0:0] direct_interc_80_out; +wire [0:0] direct_interc_81_out; +wire [0:0] direct_interc_82_out; +wire [0:0] direct_interc_83_out; +wire [0:0] direct_interc_84_out; +wire [0:0] direct_interc_85_out; +wire [0:0] direct_interc_86_out; +wire [0:0] direct_interc_87_out; +wire [0:0] direct_interc_88_out; +wire [0:0] direct_interc_89_out; +wire [0:0] direct_interc_90_out; +wire [0:0] logical_tile_clb_mode_default__fle_0_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_0_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_0_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_0_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_0_fle_sc_out; +wire [0:0] logical_tile_clb_mode_default__fle_1_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_1_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_1_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_1_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_1_fle_sc_out; +wire [0:0] logical_tile_clb_mode_default__fle_2_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_2_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_2_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_2_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_2_fle_sc_out; +wire [0:0] logical_tile_clb_mode_default__fle_3_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_3_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_3_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_3_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_3_fle_sc_out; +wire [0:0] logical_tile_clb_mode_default__fle_4_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_4_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_4_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_4_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_4_fle_sc_out; +wire [0:0] logical_tile_clb_mode_default__fle_5_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_5_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_5_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_5_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_5_fle_sc_out; +wire [0:0] logical_tile_clb_mode_default__fle_6_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_6_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_6_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_6_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_6_fle_sc_out; +wire [0:0] logical_tile_clb_mode_default__fle_7_fle_cout; +wire [0:1] logical_tile_clb_mode_default__fle_7_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_7_fle_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_7_fle_sc_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_19_out, direct_interc_20_out, direct_interc_21_out, direct_interc_22_out}), + .fle_reg_in(direct_interc_23_out), + .fle_sc_in(direct_interc_24_out), + .fle_cin(direct_interc_25_out), + .fle_reset(direct_interc_26_out), + .fle_clk(direct_interc_27_out), + .ccff_head(ccff_head), + .fle_out(logical_tile_clb_mode_default__fle_0_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_0_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_0_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_0_fle_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_0_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_28_out, direct_interc_29_out, direct_interc_30_out, direct_interc_31_out}), + .fle_reg_in(direct_interc_32_out), + .fle_sc_in(direct_interc_33_out), + .fle_cin(direct_interc_34_out), + .fle_reset(direct_interc_35_out), + .fle_clk(direct_interc_36_out), + .ccff_head(logical_tile_clb_mode_default__fle_0_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_1_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_1_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_1_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_1_fle_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_1_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_37_out, direct_interc_38_out, direct_interc_39_out, direct_interc_40_out}), + .fle_reg_in(direct_interc_41_out), + .fle_sc_in(direct_interc_42_out), + .fle_cin(direct_interc_43_out), + .fle_reset(direct_interc_44_out), + .fle_clk(direct_interc_45_out), + .ccff_head(logical_tile_clb_mode_default__fle_1_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_2_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_2_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_2_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_2_fle_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_2_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_46_out, direct_interc_47_out, direct_interc_48_out, direct_interc_49_out}), + .fle_reg_in(direct_interc_50_out), + .fle_sc_in(direct_interc_51_out), + .fle_cin(direct_interc_52_out), + .fle_reset(direct_interc_53_out), + .fle_clk(direct_interc_54_out), + .ccff_head(logical_tile_clb_mode_default__fle_2_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_3_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_3_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_3_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_3_fle_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_3_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_55_out, direct_interc_56_out, direct_interc_57_out, direct_interc_58_out}), + .fle_reg_in(direct_interc_59_out), + .fle_sc_in(direct_interc_60_out), + .fle_cin(direct_interc_61_out), + .fle_reset(direct_interc_62_out), + .fle_clk(direct_interc_63_out), + .ccff_head(logical_tile_clb_mode_default__fle_3_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_4_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_4_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_4_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_4_fle_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_4_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_64_out, direct_interc_65_out, direct_interc_66_out, direct_interc_67_out}), + .fle_reg_in(direct_interc_68_out), + .fle_sc_in(direct_interc_69_out), + .fle_cin(direct_interc_70_out), + .fle_reset(direct_interc_71_out), + .fle_clk(direct_interc_72_out), + .ccff_head(logical_tile_clb_mode_default__fle_4_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_5_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_5_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_5_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_5_fle_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_5_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_73_out, direct_interc_74_out, direct_interc_75_out, direct_interc_76_out}), + .fle_reg_in(direct_interc_77_out), + .fle_sc_in(direct_interc_78_out), + .fle_cin(direct_interc_79_out), + .fle_reset(direct_interc_80_out), + .fle_clk(direct_interc_81_out), + .ccff_head(logical_tile_clb_mode_default__fle_5_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_6_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_6_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_6_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_6_fle_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_6_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fle_in({direct_interc_82_out, direct_interc_83_out, direct_interc_84_out, direct_interc_85_out}), + .fle_reg_in(direct_interc_86_out), + .fle_sc_in(direct_interc_87_out), + .fle_cin(direct_interc_88_out), + .fle_reset(direct_interc_89_out), + .fle_clk(direct_interc_90_out), + .ccff_head(logical_tile_clb_mode_default__fle_6_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_7_fle_out[0:1]), + .fle_reg_out(logical_tile_clb_mode_default__fle_7_fle_reg_out), + .fle_sc_out(logical_tile_clb_mode_default__fle_7_fle_sc_out), + .fle_cout(logical_tile_clb_mode_default__fle_7_fle_cout), + .ccff_tail(ccff_tail)); + + direct_interc direct_interc_0_ ( + .in(logical_tile_clb_mode_default__fle_0_fle_out[1]), + .out(clb_O[0])); + + direct_interc direct_interc_1_ ( + .in(logical_tile_clb_mode_default__fle_0_fle_out[0]), + .out(clb_O[1])); + + direct_interc direct_interc_2_ ( + .in(logical_tile_clb_mode_default__fle_1_fle_out[1]), + .out(clb_O[2])); + + direct_interc direct_interc_3_ ( + .in(logical_tile_clb_mode_default__fle_1_fle_out[0]), + .out(clb_O[3])); + + direct_interc direct_interc_4_ ( + .in(logical_tile_clb_mode_default__fle_2_fle_out[1]), + .out(clb_O[4])); + + direct_interc direct_interc_5_ ( + .in(logical_tile_clb_mode_default__fle_2_fle_out[0]), + .out(clb_O[5])); + + direct_interc direct_interc_6_ ( + .in(logical_tile_clb_mode_default__fle_3_fle_out[1]), + .out(clb_O[6])); + + direct_interc direct_interc_7_ ( + .in(logical_tile_clb_mode_default__fle_3_fle_out[0]), + .out(clb_O[7])); + + direct_interc direct_interc_8_ ( + .in(logical_tile_clb_mode_default__fle_4_fle_out[1]), + .out(clb_O[8])); + + direct_interc direct_interc_9_ ( + .in(logical_tile_clb_mode_default__fle_4_fle_out[0]), + .out(clb_O[9])); + + direct_interc direct_interc_10_ ( + .in(logical_tile_clb_mode_default__fle_5_fle_out[1]), + .out(clb_O[10])); + + direct_interc direct_interc_11_ ( + .in(logical_tile_clb_mode_default__fle_5_fle_out[0]), + .out(clb_O[11])); + + direct_interc direct_interc_12_ ( + .in(logical_tile_clb_mode_default__fle_6_fle_out[1]), + .out(clb_O[12])); + + direct_interc direct_interc_13_ ( + .in(logical_tile_clb_mode_default__fle_6_fle_out[0]), + .out(clb_O[13])); + + direct_interc direct_interc_14_ ( + .in(logical_tile_clb_mode_default__fle_7_fle_out[1]), + .out(clb_O[14])); + + direct_interc direct_interc_15_ ( + .in(logical_tile_clb_mode_default__fle_7_fle_out[0]), + .out(clb_O[15])); + + direct_interc direct_interc_16_ ( + .in(logical_tile_clb_mode_default__fle_7_fle_reg_out), + .out(clb_reg_out)); + + direct_interc direct_interc_17_ ( + .in(logical_tile_clb_mode_default__fle_7_fle_sc_out), + .out(clb_sc_out)); + + direct_interc direct_interc_18_ ( + .in(logical_tile_clb_mode_default__fle_7_fle_cout), + .out(clb_cout)); + + direct_interc direct_interc_19_ ( + .in(clb_I0[0]), + .out(direct_interc_19_out)); + + direct_interc direct_interc_20_ ( + .in(clb_I0[1]), + .out(direct_interc_20_out)); + + direct_interc direct_interc_21_ ( + .in(clb_I0i[0]), + .out(direct_interc_21_out)); + + direct_interc direct_interc_22_ ( + .in(clb_I0i[1]), + .out(direct_interc_22_out)); + + direct_interc direct_interc_23_ ( + .in(clb_reg_in), + .out(direct_interc_23_out)); + + direct_interc direct_interc_24_ ( + .in(clb_sc_in), + .out(direct_interc_24_out)); + + direct_interc direct_interc_25_ ( + .in(clb_cin), + .out(direct_interc_25_out)); + + direct_interc direct_interc_26_ ( + .in(clb_reset), + .out(direct_interc_26_out)); + + direct_interc direct_interc_27_ ( + .in(clb_clk), + .out(direct_interc_27_out)); + + direct_interc direct_interc_28_ ( + .in(clb_I1[0]), + .out(direct_interc_28_out)); + + direct_interc direct_interc_29_ ( + .in(clb_I1[1]), + .out(direct_interc_29_out)); + + direct_interc direct_interc_30_ ( + .in(clb_I1i[0]), + .out(direct_interc_30_out)); + + direct_interc direct_interc_31_ ( + .in(clb_I1i[1]), + .out(direct_interc_31_out)); + + direct_interc direct_interc_32_ ( + .in(logical_tile_clb_mode_default__fle_0_fle_reg_out), + .out(direct_interc_32_out)); + + direct_interc direct_interc_33_ ( + .in(logical_tile_clb_mode_default__fle_0_fle_sc_out), + .out(direct_interc_33_out)); + + direct_interc direct_interc_34_ ( + .in(logical_tile_clb_mode_default__fle_0_fle_cout), + .out(direct_interc_34_out)); + + direct_interc direct_interc_35_ ( + .in(clb_reset), + .out(direct_interc_35_out)); + + direct_interc direct_interc_36_ ( + .in(clb_clk), + .out(direct_interc_36_out)); + + direct_interc direct_interc_37_ ( + .in(clb_I2[0]), + .out(direct_interc_37_out)); + + direct_interc direct_interc_38_ ( + .in(clb_I2[1]), + .out(direct_interc_38_out)); + + direct_interc direct_interc_39_ ( + .in(clb_I2i[0]), + .out(direct_interc_39_out)); + + direct_interc direct_interc_40_ ( + .in(clb_I2i[1]), + .out(direct_interc_40_out)); + + direct_interc direct_interc_41_ ( + .in(logical_tile_clb_mode_default__fle_1_fle_reg_out), + .out(direct_interc_41_out)); + + direct_interc direct_interc_42_ ( + .in(logical_tile_clb_mode_default__fle_1_fle_sc_out), + .out(direct_interc_42_out)); + + direct_interc direct_interc_43_ ( + .in(logical_tile_clb_mode_default__fle_1_fle_cout), + .out(direct_interc_43_out)); + + direct_interc direct_interc_44_ ( + .in(clb_reset), + .out(direct_interc_44_out)); + + direct_interc direct_interc_45_ ( + .in(clb_clk), + .out(direct_interc_45_out)); + + direct_interc direct_interc_46_ ( + .in(clb_I3[0]), + .out(direct_interc_46_out)); + + direct_interc direct_interc_47_ ( + .in(clb_I3[1]), + .out(direct_interc_47_out)); + + direct_interc direct_interc_48_ ( + .in(clb_I3i[0]), + .out(direct_interc_48_out)); + + direct_interc direct_interc_49_ ( + .in(clb_I3i[1]), + .out(direct_interc_49_out)); + + direct_interc direct_interc_50_ ( + .in(logical_tile_clb_mode_default__fle_2_fle_reg_out), + .out(direct_interc_50_out)); + + direct_interc direct_interc_51_ ( + .in(logical_tile_clb_mode_default__fle_2_fle_sc_out), + .out(direct_interc_51_out)); + + direct_interc direct_interc_52_ ( + .in(logical_tile_clb_mode_default__fle_2_fle_cout), + .out(direct_interc_52_out)); + + direct_interc direct_interc_53_ ( + .in(clb_reset), + .out(direct_interc_53_out)); + + direct_interc direct_interc_54_ ( + .in(clb_clk), + .out(direct_interc_54_out)); + + direct_interc direct_interc_55_ ( + .in(clb_I4[0]), + .out(direct_interc_55_out)); + + direct_interc direct_interc_56_ ( + .in(clb_I4[1]), + .out(direct_interc_56_out)); + + direct_interc direct_interc_57_ ( + .in(clb_I4i[0]), + .out(direct_interc_57_out)); + + direct_interc direct_interc_58_ ( + .in(clb_I4i[1]), + .out(direct_interc_58_out)); + + direct_interc direct_interc_59_ ( + .in(logical_tile_clb_mode_default__fle_3_fle_reg_out), + .out(direct_interc_59_out)); + + direct_interc direct_interc_60_ ( + .in(logical_tile_clb_mode_default__fle_3_fle_sc_out), + .out(direct_interc_60_out)); + + direct_interc direct_interc_61_ ( + .in(logical_tile_clb_mode_default__fle_3_fle_cout), + .out(direct_interc_61_out)); + + direct_interc direct_interc_62_ ( + .in(clb_reset), + .out(direct_interc_62_out)); + + direct_interc direct_interc_63_ ( + .in(clb_clk), + .out(direct_interc_63_out)); + + direct_interc direct_interc_64_ ( + .in(clb_I5[0]), + .out(direct_interc_64_out)); + + direct_interc direct_interc_65_ ( + .in(clb_I5[1]), + .out(direct_interc_65_out)); + + direct_interc direct_interc_66_ ( + .in(clb_I5i[0]), + .out(direct_interc_66_out)); + + direct_interc direct_interc_67_ ( + .in(clb_I5i[1]), + .out(direct_interc_67_out)); + + direct_interc direct_interc_68_ ( + .in(logical_tile_clb_mode_default__fle_4_fle_reg_out), + .out(direct_interc_68_out)); + + direct_interc direct_interc_69_ ( + .in(logical_tile_clb_mode_default__fle_4_fle_sc_out), + .out(direct_interc_69_out)); + + direct_interc direct_interc_70_ ( + .in(logical_tile_clb_mode_default__fle_4_fle_cout), + .out(direct_interc_70_out)); + + direct_interc direct_interc_71_ ( + .in(clb_reset), + .out(direct_interc_71_out)); + + direct_interc direct_interc_72_ ( + .in(clb_clk), + .out(direct_interc_72_out)); + + direct_interc direct_interc_73_ ( + .in(clb_I6[0]), + .out(direct_interc_73_out)); + + direct_interc direct_interc_74_ ( + .in(clb_I6[1]), + .out(direct_interc_74_out)); + + direct_interc direct_interc_75_ ( + .in(clb_I6i[0]), + .out(direct_interc_75_out)); + + direct_interc direct_interc_76_ ( + .in(clb_I6i[1]), + .out(direct_interc_76_out)); + + direct_interc direct_interc_77_ ( + .in(logical_tile_clb_mode_default__fle_5_fle_reg_out), + .out(direct_interc_77_out)); + + direct_interc direct_interc_78_ ( + .in(logical_tile_clb_mode_default__fle_5_fle_sc_out), + .out(direct_interc_78_out)); + + direct_interc direct_interc_79_ ( + .in(logical_tile_clb_mode_default__fle_5_fle_cout), + .out(direct_interc_79_out)); + + direct_interc direct_interc_80_ ( + .in(clb_reset), + .out(direct_interc_80_out)); + + direct_interc direct_interc_81_ ( + .in(clb_clk), + .out(direct_interc_81_out)); + + direct_interc direct_interc_82_ ( + .in(clb_I7[0]), + .out(direct_interc_82_out)); + + direct_interc direct_interc_83_ ( + .in(clb_I7[1]), + .out(direct_interc_83_out)); + + direct_interc direct_interc_84_ ( + .in(clb_I7i[0]), + .out(direct_interc_84_out)); + + direct_interc direct_interc_85_ ( + .in(clb_I7i[1]), + .out(direct_interc_85_out)); + + direct_interc direct_interc_86_ ( + .in(logical_tile_clb_mode_default__fle_6_fle_reg_out), + .out(direct_interc_86_out)); + + direct_interc direct_interc_87_ ( + .in(logical_tile_clb_mode_default__fle_6_fle_sc_out), + .out(direct_interc_87_out)); + + direct_interc direct_interc_88_ ( + .in(logical_tile_clb_mode_default__fle_6_fle_cout), + .out(direct_interc_88_out)); + + direct_interc direct_interc_89_ ( + .in(clb_reset), + .out(direct_interc_89_out)); + + direct_interc direct_interc_90_ ( + .in(clb_clk), + .out(direct_interc_90_out)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_clb_ ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: clb ----- diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle.v new file mode 100644 index 0000000..4ce80cd --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle.v @@ -0,0 +1,180 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: fle +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: fle ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle ----- +module logical_tile_clb_mode_default__fle(pReset, + prog_clk, + Test_en, + fle_in, + fle_reg_in, + fle_sc_in, + fle_cin, + fle_reset, + fle_clk, + ccff_head, + fle_out, + fle_reg_out, + fle_sc_out, + fle_cout, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] Test_en; +//----- INPUT PORTS ----- +input [0:3] fle_in; +//----- INPUT PORTS ----- +input [0:0] fle_reg_in; +//----- INPUT PORTS ----- +input [0:0] fle_sc_in; +//----- INPUT PORTS ----- +input [0:0] fle_cin; +//----- INPUT PORTS ----- +input [0:0] fle_reset; +//----- INPUT PORTS ----- +input [0:0] fle_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:1] fle_out; +//----- OUTPUT PORTS ----- +output [0:0] fle_reg_out; +//----- OUTPUT PORTS ----- +output [0:0] fle_sc_out; +//----- OUTPUT PORTS ----- +output [0:0] fle_cout; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:3] fle_in; +wire [0:0] fle_reg_in; +wire [0:0] fle_sc_in; +wire [0:0] fle_cin; +wire [0:0] fle_reset; +wire [0:0] fle_clk; +wire [0:1] fle_out; +wire [0:0] fle_reg_out; +wire [0:0] fle_sc_out; +wire [0:0] fle_cout; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_10_out; +wire [0:0] direct_interc_11_out; +wire [0:0] direct_interc_12_out; +wire [0:0] direct_interc_13_out; +wire [0:0] direct_interc_5_out; +wire [0:0] direct_interc_6_out; +wire [0:0] direct_interc_7_out; +wire [0:0] direct_interc_8_out; +wire [0:0] direct_interc_9_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_cout; +wire [0:1] logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_reg_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_sc_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_default__fle_mode_physical__fabric logical_tile_clb_mode_default__fle_mode_physical__fabric_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .Test_en(Test_en), + .fabric_in({direct_interc_5_out, direct_interc_6_out, direct_interc_7_out, direct_interc_8_out}), + .fabric_reg_in(direct_interc_9_out), + .fabric_sc_in(direct_interc_10_out), + .fabric_cin(direct_interc_11_out), + .fabric_reset(direct_interc_12_out), + .fabric_clk(direct_interc_13_out), + .ccff_head(ccff_head), + .fabric_out(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_out[0:1]), + .fabric_reg_out(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_reg_out), + .fabric_sc_out(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_sc_out), + .fabric_cout(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_cout), + .ccff_tail(ccff_tail)); + + direct_interc direct_interc_0_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_out[0]), + .out(fle_out[0])); + + direct_interc direct_interc_1_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_out[1]), + .out(fle_out[1])); + + direct_interc direct_interc_2_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_reg_out), + .out(fle_reg_out)); + + direct_interc direct_interc_3_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_sc_out), + .out(fle_sc_out)); + + direct_interc direct_interc_4_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_0_fabric_cout), + .out(fle_cout)); + + direct_interc direct_interc_5_ ( + .in(fle_in[0]), + .out(direct_interc_5_out)); + + direct_interc direct_interc_6_ ( + .in(fle_in[1]), + .out(direct_interc_6_out)); + + direct_interc direct_interc_7_ ( + .in(fle_in[2]), + .out(direct_interc_7_out)); + + direct_interc direct_interc_8_ ( + .in(fle_in[3]), + .out(direct_interc_8_out)); + + direct_interc direct_interc_9_ ( + .in(fle_reg_in), + .out(direct_interc_9_out)); + + direct_interc direct_interc_10_ ( + .in(fle_sc_in), + .out(direct_interc_10_out)); + + direct_interc direct_interc_11_ ( + .in(fle_cin), + .out(direct_interc_11_out)); + + direct_interc direct_interc_12_ ( + .in(fle_reset), + .out(direct_interc_12_out)); + + direct_interc direct_interc_13_ ( + .in(fle_clk), + .out(direct_interc_13_out)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: fle ----- diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric.v new file mode 100644 index 0000000..0b4ad40 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric.v @@ -0,0 +1,257 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: fabric +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: fabric ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric ----- +module logical_tile_clb_mode_default__fle_mode_physical__fabric(pReset, + prog_clk, + Test_en, + fabric_in, + fabric_reg_in, + fabric_sc_in, + fabric_cin, + fabric_reset, + fabric_clk, + ccff_head, + fabric_out, + fabric_reg_out, + fabric_sc_out, + fabric_cout, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] Test_en; +//----- INPUT PORTS ----- +input [0:3] fabric_in; +//----- INPUT PORTS ----- +input [0:0] fabric_reg_in; +//----- INPUT PORTS ----- +input [0:0] fabric_sc_in; +//----- INPUT PORTS ----- +input [0:0] fabric_cin; +//----- INPUT PORTS ----- +input [0:0] fabric_reset; +//----- INPUT PORTS ----- +input [0:0] fabric_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:1] fabric_out; +//----- OUTPUT PORTS ----- +output [0:0] fabric_reg_out; +//----- OUTPUT PORTS ----- +output [0:0] fabric_sc_out; +//----- OUTPUT PORTS ----- +output [0:0] fabric_cout; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:3] fabric_in; +wire [0:0] fabric_reg_in; +wire [0:0] fabric_sc_in; +wire [0:0] fabric_cin; +wire [0:0] fabric_reset; +wire [0:0] fabric_clk; +wire [0:1] fabric_out; +wire [0:0] fabric_reg_out; +wire [0:0] fabric_sc_out; +wire [0:0] fabric_cout; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_10_out; +wire [0:0] direct_interc_11_out; +wire [0:0] direct_interc_12_out; +wire [0:0] direct_interc_13_out; +wire [0:0] direct_interc_3_out; +wire [0:0] direct_interc_4_out; +wire [0:0] direct_interc_5_out; +wire [0:0] direct_interc_6_out; +wire [0:0] direct_interc_7_out; +wire [0:0] direct_interc_8_out; +wire [0:0] direct_interc_9_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0_ff_Q; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1_ff_Q; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_cout; +wire [0:1] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_out; +wire [0:1] mux_fabric_out_0_undriven_sram_inv; +wire [0:1] mux_fabric_out_1_undriven_sram_inv; +wire [0:1] mux_ff_0_D_0_undriven_sram_inv; +wire [0:1] mux_ff_1_D_0_undriven_sram_inv; +wire [0:1] mux_tree_size2_0_sram; +wire [0:1] mux_tree_size2_1_sram; +wire [0:0] mux_tree_size2_2_out; +wire [0:1] mux_tree_size2_2_sram; +wire [0:0] mux_tree_size2_3_out; +wire [0:1] mux_tree_size2_3_sram; +wire [0:0] mux_tree_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_size2_mem_2_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .frac_logic_in({direct_interc_3_out, direct_interc_4_out, direct_interc_5_out, direct_interc_6_out}), + .frac_logic_cin(direct_interc_7_out), + .ccff_head(ccff_head), + .frac_logic_out(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_out[0:1]), + .frac_logic_cout(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_cout), + .ccff_tail(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_ccff_tail)); + + logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0 ( + .Test_en(Test_en), + .ff_D(mux_tree_size2_2_out), + .ff_DI(direct_interc_8_out), + .ff_reset(direct_interc_9_out), + .ff_Q(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0_ff_Q), + .ff_clk(direct_interc_10_out)); + + logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1 ( + .Test_en(Test_en), + .ff_D(mux_tree_size2_3_out), + .ff_DI(direct_interc_11_out), + .ff_reset(direct_interc_12_out), + .ff_Q(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1_ff_Q), + .ff_clk(direct_interc_13_out)); + + mux_tree_size2 mux_fabric_out_0 ( + .in({logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0_ff_Q, logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_out[0]}), + .sram(mux_tree_size2_0_sram[0:1]), + .sram_inv(mux_fabric_out_0_undriven_sram_inv[0:1]), + .out(fabric_out[0])); + + mux_tree_size2 mux_fabric_out_1 ( + .in({logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1_ff_Q, logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_out[1]}), + .sram(mux_tree_size2_1_sram[0:1]), + .sram_inv(mux_fabric_out_1_undriven_sram_inv[0:1]), + .out(fabric_out[1])); + + mux_tree_size2 mux_ff_0_D_0 ( + .in({logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_out[0], fabric_reg_in}), + .sram(mux_tree_size2_2_sram[0:1]), + .sram_inv(mux_ff_0_D_0_undriven_sram_inv[0:1]), + .out(mux_tree_size2_2_out)); + + mux_tree_size2 mux_ff_1_D_0 ( + .in({logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_out[1], logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0_ff_Q}), + .sram(mux_tree_size2_3_sram[0:1]), + .sram_inv(mux_ff_1_D_0_undriven_sram_inv[0:1]), + .out(mux_tree_size2_3_out)); + + mux_tree_size2_mem mem_fabric_out_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_ccff_tail), + .ccff_tail(mux_tree_size2_mem_0_ccff_tail), + .mem_out(mux_tree_size2_0_sram[0:1])); + + mux_tree_size2_mem mem_fabric_out_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_size2_mem_1_ccff_tail), + .mem_out(mux_tree_size2_1_sram[0:1])); + + mux_tree_size2_mem mem_ff_0_D_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_size2_mem_2_ccff_tail), + .mem_out(mux_tree_size2_2_sram[0:1])); + + mux_tree_size2_mem mem_ff_1_D_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_size2_mem_2_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_size2_3_sram[0:1])); + + direct_interc direct_interc_0_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1_ff_Q), + .out(fabric_reg_out)); + + direct_interc direct_interc_1_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1_ff_Q), + .out(fabric_sc_out)); + + direct_interc direct_interc_2_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0_frac_logic_cout), + .out(fabric_cout)); + + direct_interc direct_interc_3_ ( + .in(fabric_in[0]), + .out(direct_interc_3_out)); + + direct_interc direct_interc_4_ ( + .in(fabric_in[1]), + .out(direct_interc_4_out)); + + direct_interc direct_interc_5_ ( + .in(fabric_in[2]), + .out(direct_interc_5_out)); + + direct_interc direct_interc_6_ ( + .in(fabric_in[3]), + .out(direct_interc_6_out)); + + direct_interc direct_interc_7_ ( + .in(fabric_cin), + .out(direct_interc_7_out)); + + direct_interc direct_interc_8_ ( + .in(fabric_sc_in), + .out(direct_interc_8_out)); + + direct_interc direct_interc_9_ ( + .in(fabric_reset), + .out(direct_interc_9_out)); + + direct_interc direct_interc_10_ ( + .in(fabric_clk), + .out(direct_interc_10_out)); + + direct_interc direct_interc_11_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0_ff_Q), + .out(direct_interc_11_out)); + + direct_interc direct_interc_12_ ( + .in(fabric_reset), + .out(direct_interc_12_out)); + + direct_interc direct_interc_13_ ( + .in(fabric_clk), + .out(direct_interc_13_out)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: fabric ----- diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff.v new file mode 100644 index 0000000..ab1ee5e --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff.v @@ -0,0 +1,68 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for primitive pb_type: ff +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff ----- +module logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff(Test_en, + ff_D, + ff_DI, + ff_reset, + ff_Q, + ff_clk); +//----- GLOBAL PORTS ----- +input [0:0] Test_en; +//----- INPUT PORTS ----- +input [0:0] ff_D; +//----- INPUT PORTS ----- +input [0:0] ff_DI; +//----- INPUT PORTS ----- +input [0:0] ff_reset; +//----- OUTPUT PORTS ----- +output [0:0] ff_Q; +//----- CLOCK PORTS ----- +input [0:0] ff_clk; + +//----- BEGIN wire-connection ports ----- +wire [0:0] ff_D; +wire [0:0] ff_DI; +wire [0:0] ff_reset; +wire [0:0] ff_Q; +wire [0:0] ff_clk; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__sdfrtp_1 sky130_fd_sc_hd__sdfrtp_1_0_ ( + .SCE(Test_en), + .D(ff_D), + .SCD(ff_DI), + .RESET_B(ff_reset), + .CLK(ff_clk), + .Q(ff_Q)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic.v new file mode 100644 index 0000000..79f3ddd --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic.v @@ -0,0 +1,158 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: frac_logic +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: frac_logic ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic ----- +module logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic(pReset, + prog_clk, + frac_logic_in, + frac_logic_cin, + ccff_head, + frac_logic_out, + frac_logic_cout, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:3] frac_logic_in; +//----- INPUT PORTS ----- +input [0:0] frac_logic_cin; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:1] frac_logic_out; +//----- OUTPUT PORTS ----- +output [0:0] frac_logic_cout; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:3] frac_logic_in; +wire [0:0] frac_logic_cin; +wire [0:1] frac_logic_out; +wire [0:0] frac_logic_cout; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_2_out; +wire [0:0] direct_interc_3_out; +wire [0:0] direct_interc_4_out; +wire [0:0] direct_interc_5_out; +wire [0:0] direct_interc_6_out; +wire [0:0] direct_interc_7_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower_0_carry_follower_cout; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_ccff_tail; +wire [0:1] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut2_out; +wire [0:1] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut3_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut4_out; +wire [0:1] mux_frac_logic_out_0_undriven_sram_inv; +wire [0:1] mux_frac_lut4_0_in_2_undriven_sram_inv; +wire [0:1] mux_tree_size2_0_sram; +wire [0:0] mux_tree_size2_1_out; +wire [0:1] mux_tree_size2_1_sram; +wire [0:0] mux_tree_size2_mem_0_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4 logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .frac_lut4_in({direct_interc_2_out, direct_interc_3_out, mux_tree_size2_1_out, direct_interc_4_out}), + .ccff_head(ccff_head), + .frac_lut4_lut2_out(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut2_out[0:1]), + .frac_lut4_lut3_out(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut3_out[0:1]), + .frac_lut4_lut4_out(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut4_out), + .ccff_tail(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_ccff_tail)); + + logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower_0 ( + .carry_follower_a(direct_interc_5_out), + .carry_follower_b(direct_interc_6_out), + .carry_follower_cin(direct_interc_7_out), + .carry_follower_cout(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower_0_carry_follower_cout)); + + mux_tree_size2 mux_frac_logic_out_0 ( + .in({logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut4_out, logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut3_out[0]}), + .sram(mux_tree_size2_0_sram[0:1]), + .sram_inv(mux_frac_logic_out_0_undriven_sram_inv[0:1]), + .out(frac_logic_out[0])); + + mux_tree_size2 mux_frac_lut4_0_in_2 ( + .in({frac_logic_cin, frac_logic_in[2]}), + .sram(mux_tree_size2_1_sram[0:1]), + .sram_inv(mux_frac_lut4_0_in_2_undriven_sram_inv[0:1]), + .out(mux_tree_size2_1_out)); + + mux_tree_size2_mem mem_frac_logic_out_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_ccff_tail), + .ccff_tail(mux_tree_size2_mem_0_ccff_tail), + .mem_out(mux_tree_size2_0_sram[0:1])); + + mux_tree_size2_mem mem_frac_lut4_0_in_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_size2_mem_0_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_size2_1_sram[0:1])); + + direct_interc direct_interc_0_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut3_out[1]), + .out(frac_logic_out[1])); + + direct_interc direct_interc_1_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower_0_carry_follower_cout), + .out(frac_logic_cout)); + + direct_interc direct_interc_2_ ( + .in(frac_logic_in[0]), + .out(direct_interc_2_out)); + + direct_interc direct_interc_3_ ( + .in(frac_logic_in[1]), + .out(direct_interc_3_out)); + + direct_interc direct_interc_4_ ( + .in(frac_logic_in[3]), + .out(direct_interc_4_out)); + + direct_interc direct_interc_5_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut2_out[1]), + .out(direct_interc_5_out)); + + direct_interc direct_interc_6_ ( + .in(frac_logic_cin), + .out(direct_interc_6_out)); + + direct_interc direct_interc_7_ ( + .in(logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0_frac_lut4_lut2_out[0]), + .out(direct_interc_7_out)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: frac_logic ----- diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower.v new file mode 100644 index 0000000..fc583fd --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower.v @@ -0,0 +1,59 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for primitive pb_type: carry_follower +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower ----- +module logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower(carry_follower_a, + carry_follower_b, + carry_follower_cin, + carry_follower_cout); +//----- INPUT PORTS ----- +input [0:0] carry_follower_a; +//----- INPUT PORTS ----- +input [0:0] carry_follower_b; +//----- INPUT PORTS ----- +input [0:0] carry_follower_cin; +//----- OUTPUT PORTS ----- +output [0:0] carry_follower_cout; + +//----- BEGIN wire-connection ports ----- +wire [0:0] carry_follower_a; +wire [0:0] carry_follower_b; +wire [0:0] carry_follower_cin; +wire [0:0] carry_follower_cout; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__mux2_1_wrapper sky130_fd_sc_hd__mux2_1_wrapper_0_ ( + .A0(carry_follower_a), + .A1(carry_follower_b), + .S(carry_follower_cin), + .X(carry_follower_cout)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__carry_follower ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4.v new file mode 100644 index 0000000..f3ad46f --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4.v @@ -0,0 +1,86 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for primitive pb_type: frac_lut4 +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4 ----- +module logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4(pReset, + prog_clk, + frac_lut4_in, + ccff_head, + frac_lut4_lut2_out, + frac_lut4_lut3_out, + frac_lut4_lut4_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:3] frac_lut4_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:1] frac_lut4_lut2_out; +//----- OUTPUT PORTS ----- +output [0:1] frac_lut4_lut3_out; +//----- OUTPUT PORTS ----- +output [0:0] frac_lut4_lut4_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:3] frac_lut4_in; +wire [0:1] frac_lut4_lut2_out; +wire [0:1] frac_lut4_lut3_out; +wire [0:0] frac_lut4_lut4_out; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] frac_lut4_0__undriven_mode_inv; +wire [0:15] frac_lut4_0__undriven_sram_inv; +wire [0:0] frac_lut4_0_mode; +wire [0:15] frac_lut4_0_sram; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + frac_lut4 frac_lut4_0_ ( + .in(frac_lut4_in[0:3]), + .sram(frac_lut4_0_sram[0:15]), + .sram_inv(frac_lut4_0__undriven_sram_inv[0:15]), + .mode(frac_lut4_0_mode), + .mode_inv(frac_lut4_0__undriven_mode_inv), + .lut2_out(frac_lut4_lut2_out[0:1]), + .lut3_out(frac_lut4_lut3_out[0:1]), + .lut4_out(frac_lut4_lut4_out)); + + frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(ccff_tail), + .mem_out({frac_lut4_0_sram[0:15], frac_lut4_0_mode})); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4 ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_io_mode_io_.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_io_mode_io_.v new file mode 100644 index 0000000..6636837 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_io_mode_io_.v @@ -0,0 +1,93 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: io +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: io ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_io_mode_io_ ----- +module logical_tile_io_mode_io_(IO_ISOL_N, + pReset, + prog_clk, + gfpga_pad_EMBEDDED_IO_HD_SOC_IN, + gfpga_pad_EMBEDDED_IO_HD_SOC_OUT, + gfpga_pad_EMBEDDED_IO_HD_SOC_DIR, + io_outpad, + ccff_head, + io_inpad, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIN PORTS ----- +input [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; +//----- GPOUT PORTS ----- +output [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +//----- GPOUT PORTS ----- +output [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] io_outpad; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] io_inpad; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:0] io_outpad; +wire [0:0] io_inpad; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_1_out; +wire [0:0] logical_tile_io_mode_physical__iopad_0_iopad_inpad; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_physical__iopad logical_tile_io_mode_physical__iopad_0 ( + .IO_ISOL_N(IO_ISOL_N), + .pReset(pReset), + .prog_clk(prog_clk), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR), + .iopad_outpad(direct_interc_1_out), + .ccff_head(ccff_head), + .iopad_inpad(logical_tile_io_mode_physical__iopad_0_iopad_inpad), + .ccff_tail(ccff_tail)); + + direct_interc direct_interc_0_ ( + .in(logical_tile_io_mode_physical__iopad_0_iopad_inpad), + .out(io_inpad)); + + direct_interc direct_interc_1_ ( + .in(io_outpad), + .out(direct_interc_1_out)); + +endmodule +// ----- END Verilog module for logical_tile_io_mode_io_ ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: io ----- diff --git a/SOFA_A/SOFA_A_verilog/lb/logical_tile_io_mode_physical__iopad.v b/SOFA_A/SOFA_A_verilog/lb/logical_tile_io_mode_physical__iopad.v new file mode 100644 index 0000000..493cdc4 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/lb/logical_tile_io_mode_physical__iopad.v @@ -0,0 +1,86 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for primitive pb_type: iopad +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_io_mode_physical__iopad ----- +module logical_tile_io_mode_physical__iopad(IO_ISOL_N, + pReset, + prog_clk, + gfpga_pad_EMBEDDED_IO_HD_SOC_IN, + gfpga_pad_EMBEDDED_IO_HD_SOC_OUT, + gfpga_pad_EMBEDDED_IO_HD_SOC_DIR, + iopad_outpad, + ccff_head, + iopad_inpad, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIN PORTS ----- +input [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; +//----- GPOUT PORTS ----- +output [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +//----- GPOUT PORTS ----- +output [0:0] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] iopad_outpad; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] iopad_inpad; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:0] iopad_outpad; +wire [0:0] iopad_inpad; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] EMBEDDED_IO_HD_0_en; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + EMBEDDED_IO_HD EMBEDDED_IO_HD_0_ ( + .IO_ISOL_N(IO_ISOL_N), + .SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN), + .SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT), + .SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR), + .FPGA_OUT(iopad_outpad), + .FPGA_DIR(EMBEDDED_IO_HD_0_en), + .FPGA_IN(iopad_inpad)); + + EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(ccff_tail), + .mem_out(EMBEDDED_IO_HD_0_en)); + +endmodule +// ----- END Verilog module for logical_tile_io_mode_physical__iopad ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/cbx_1__0_.v b/SOFA_A/SOFA_A_verilog/routing/cbx_1__0_.v new file mode 100644 index 0000000..a0e7791 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/cbx_1__0_.v @@ -0,0 +1,320 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[1][0] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cbx_1__0_ ----- +module cbx_1__0_(pReset, + prog_clk, + chanx_left_in, + chanx_right_in, + ccff_head, + chanx_left_out, + chanx_right_out, + bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_8__pin_outpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chanx_left_in; +//----- INPUT PORTS ----- +input [0:10] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:10] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_8__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_top_ipin_0_undriven_sram_inv; +wire [0:3] mux_top_ipin_1_undriven_sram_inv; +wire [0:3] mux_top_ipin_2_undriven_sram_inv; +wire [0:3] mux_top_ipin_3_undriven_sram_inv; +wire [0:3] mux_top_ipin_4_undriven_sram_inv; +wire [0:3] mux_top_ipin_5_undriven_sram_inv; +wire [0:3] mux_top_ipin_6_undriven_sram_inv; +wire [0:3] mux_top_ipin_7_undriven_sram_inv; +wire [0:3] mux_top_ipin_8_undriven_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_1_sram; +wire [0:3] mux_tree_tapbuf_size10_2_sram; +wire [0:3] mux_tree_tapbuf_size10_3_sram; +wire [0:3] mux_tree_tapbuf_size10_4_sram; +wire [0:3] mux_tree_tapbuf_size10_5_sram; +wire [0:3] mux_tree_tapbuf_size10_6_sram; +wire [0:3] mux_tree_tapbuf_size10_7_sram; +wire [0:3] mux_tree_tapbuf_size10_8_sram; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_7_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[0] = chanx_left_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[1] = chanx_left_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[2] = chanx_left_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[3] = chanx_left_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[4] = chanx_left_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[5] = chanx_left_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[6] = chanx_left_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[7] = chanx_left_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[8] = chanx_left_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[9] = chanx_left_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[10] = chanx_left_in[10]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[0] = chanx_right_in[0]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[1] = chanx_right_in[1]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[2] = chanx_right_in[2]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[3] = chanx_right_in[3]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[4] = chanx_right_in[4]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[5] = chanx_right_in[5]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[6] = chanx_right_in[6]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[7] = chanx_right_in[7]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[8] = chanx_right_in[8]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[9] = chanx_right_in[9]; +// ----- Local connection due to Wire 21 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[10] = chanx_right_in[10]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size10 mux_top_ipin_0 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[6], chanx_right_in[6], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_top_ipin_0_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_1 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[3], chanx_right_in[3], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size10_1_sram[0:3]), + .sram_inv(mux_top_ipin_1_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_2 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[3], chanx_right_in[3], chanx_left_in[4], chanx_right_in[4], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size10_2_sram[0:3]), + .sram_inv(mux_top_ipin_2_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_3 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[4], chanx_right_in[4], chanx_left_in[5], chanx_right_in[5], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size10_3_sram[0:3]), + .sram_inv(mux_top_ipin_3_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_4 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[5], chanx_right_in[5], chanx_left_in[6], chanx_right_in[6], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_4_sram[0:3]), + .sram_inv(mux_top_ipin_4_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_5 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[6], chanx_right_in[6], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size10_5_sram[0:3]), + .sram_inv(mux_top_ipin_5_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_6 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[3], chanx_right_in[3], chanx_left_in[7], chanx_right_in[7], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size10_6_sram[0:3]), + .sram_inv(mux_top_ipin_6_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_7 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[4], chanx_right_in[4], chanx_left_in[8], chanx_right_in[8], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size10_7_sram[0:3]), + .sram_inv(mux_top_ipin_7_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_8 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[5], chanx_right_in[5], chanx_left_in[9], chanx_right_in[9], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_8_sram[0:3]), + .sram_inv(mux_top_ipin_8_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_8__pin_outpad_0_)); + + mux_tree_tapbuf_size10_mem mem_top_ipin_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_1_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_2_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_3_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_4_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_5_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_6_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_7_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_8 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_7_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size10_8_sram[0:3])); + +endmodule +// ----- END Verilog module for cbx_1__0_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/cbx_1__1_.v b/SOFA_A/SOFA_A_verilog/routing/cbx_1__1_.v new file mode 100644 index 0000000..d320c6a --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/cbx_1__1_.v @@ -0,0 +1,605 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[1][1] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cbx_1__1_ ----- +module cbx_1__1_(pReset, + prog_clk, + chanx_left_in, + chanx_right_in, + ccff_head, + chanx_left_out, + chanx_right_out, + top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I0_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I0_1_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_1_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I1_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I1_1_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_1_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I2_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I2_1_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_1_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I3_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I3_1_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_1_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chanx_left_in; +//----- INPUT PORTS ----- +input [0:10] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:10] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I0_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I0_1_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_1_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I1_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I1_1_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_1_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I2_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I2_1_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_1_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I3_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I3_1_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_1_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_bottom_ipin_0_undriven_sram_inv; +wire [0:3] mux_bottom_ipin_1_undriven_sram_inv; +wire [0:3] mux_bottom_ipin_2_undriven_sram_inv; +wire [0:3] mux_bottom_ipin_3_undriven_sram_inv; +wire [0:3] mux_bottom_ipin_4_undriven_sram_inv; +wire [0:3] mux_bottom_ipin_5_undriven_sram_inv; +wire [0:3] mux_bottom_ipin_6_undriven_sram_inv; +wire [0:3] mux_bottom_ipin_7_undriven_sram_inv; +wire [0:3] mux_top_ipin_0_undriven_sram_inv; +wire [0:3] mux_top_ipin_10_undriven_sram_inv; +wire [0:2] mux_top_ipin_11_undriven_sram_inv; +wire [0:3] mux_top_ipin_12_undriven_sram_inv; +wire [0:2] mux_top_ipin_13_undriven_sram_inv; +wire [0:3] mux_top_ipin_14_undriven_sram_inv; +wire [0:2] mux_top_ipin_15_undriven_sram_inv; +wire [0:2] mux_top_ipin_1_undriven_sram_inv; +wire [0:3] mux_top_ipin_2_undriven_sram_inv; +wire [0:2] mux_top_ipin_3_undriven_sram_inv; +wire [0:3] mux_top_ipin_4_undriven_sram_inv; +wire [0:2] mux_top_ipin_5_undriven_sram_inv; +wire [0:3] mux_top_ipin_6_undriven_sram_inv; +wire [0:2] mux_top_ipin_7_undriven_sram_inv; +wire [0:3] mux_top_ipin_8_undriven_sram_inv; +wire [0:2] mux_top_ipin_9_undriven_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_10_sram; +wire [0:3] mux_tree_tapbuf_size10_11_sram; +wire [0:3] mux_tree_tapbuf_size10_12_sram; +wire [0:3] mux_tree_tapbuf_size10_13_sram; +wire [0:3] mux_tree_tapbuf_size10_14_sram; +wire [0:3] mux_tree_tapbuf_size10_15_sram; +wire [0:3] mux_tree_tapbuf_size10_1_sram; +wire [0:3] mux_tree_tapbuf_size10_2_sram; +wire [0:3] mux_tree_tapbuf_size10_3_sram; +wire [0:3] mux_tree_tapbuf_size10_4_sram; +wire [0:3] mux_tree_tapbuf_size10_5_sram; +wire [0:3] mux_tree_tapbuf_size10_6_sram; +wire [0:3] mux_tree_tapbuf_size10_7_sram; +wire [0:3] mux_tree_tapbuf_size10_8_sram; +wire [0:3] mux_tree_tapbuf_size10_9_sram; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_11_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_12_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_13_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_14_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_15_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_9_ccff_tail; +wire [0:2] mux_tree_tapbuf_size6_0_sram; +wire [0:2] mux_tree_tapbuf_size6_1_sram; +wire [0:2] mux_tree_tapbuf_size6_2_sram; +wire [0:2] mux_tree_tapbuf_size6_3_sram; +wire [0:2] mux_tree_tapbuf_size6_4_sram; +wire [0:2] mux_tree_tapbuf_size6_5_sram; +wire [0:2] mux_tree_tapbuf_size6_6_sram; +wire [0:2] mux_tree_tapbuf_size6_7_sram; +wire [0:0] mux_tree_tapbuf_size6_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[0] = chanx_left_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[1] = chanx_left_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[2] = chanx_left_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[3] = chanx_left_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[4] = chanx_left_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[5] = chanx_left_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[6] = chanx_left_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[7] = chanx_left_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[8] = chanx_left_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[9] = chanx_left_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[10] = chanx_left_in[10]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[0] = chanx_right_in[0]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[1] = chanx_right_in[1]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[2] = chanx_right_in[2]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[3] = chanx_right_in[3]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[4] = chanx_right_in[4]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[5] = chanx_right_in[5]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[6] = chanx_right_in[6]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[7] = chanx_right_in[7]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[8] = chanx_right_in[8]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[9] = chanx_right_in[9]; +// ----- Local connection due to Wire 21 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[10] = chanx_right_in[10]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size10 mux_bottom_ipin_0 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[6], chanx_right_in[6], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_bottom_ipin_0_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_bottom_ipin_1 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[3], chanx_right_in[3], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size10_1_sram[0:3]), + .sram_inv(mux_bottom_ipin_1_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_bottom_ipin_2 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[3], chanx_right_in[3], chanx_left_in[4], chanx_right_in[4], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size10_2_sram[0:3]), + .sram_inv(mux_bottom_ipin_2_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_bottom_ipin_3 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[4], chanx_right_in[4], chanx_left_in[5], chanx_right_in[5], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size10_3_sram[0:3]), + .sram_inv(mux_bottom_ipin_3_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_bottom_ipin_4 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[5], chanx_right_in[5], chanx_left_in[6], chanx_right_in[6], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_4_sram[0:3]), + .sram_inv(mux_bottom_ipin_4_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_bottom_ipin_5 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[6], chanx_right_in[6], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size10_5_sram[0:3]), + .sram_inv(mux_bottom_ipin_5_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_bottom_ipin_6 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[3], chanx_right_in[3], chanx_left_in[7], chanx_right_in[7], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size10_6_sram[0:3]), + .sram_inv(mux_bottom_ipin_6_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_bottom_ipin_7 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[4], chanx_right_in[4], chanx_left_in[8], chanx_right_in[8], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size10_7_sram[0:3]), + .sram_inv(mux_bottom_ipin_7_undriven_sram_inv[0:3]), + .out(top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_0 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[5], chanx_right_in[5], chanx_left_in[9], chanx_right_in[9], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_8_sram[0:3]), + .sram_inv(mux_top_ipin_0_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I0_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_2 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[3], chanx_right_in[3], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size10_9_sram[0:3]), + .sram_inv(mux_top_ipin_2_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_4 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[4], chanx_right_in[4], chanx_left_in[5], chanx_right_in[5], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size10_10_sram[0:3]), + .sram_inv(mux_top_ipin_4_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I1_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_6 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[6], chanx_right_in[6], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size10_11_sram[0:3]), + .sram_inv(mux_top_ipin_6_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_8 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[4], chanx_right_in[4], chanx_left_in[8], chanx_right_in[8], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size10_12_sram[0:3]), + .sram_inv(mux_top_ipin_8_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I2_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_10 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2], chanx_left_in[6], chanx_right_in[6], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_13_sram[0:3]), + .sram_inv(mux_top_ipin_10_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_12 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[3], chanx_right_in[3], chanx_left_in[4], chanx_right_in[4], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size10_14_sram[0:3]), + .sram_inv(mux_top_ipin_12_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I3_0_)); + + mux_tree_tapbuf_size10 mux_top_ipin_14 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[5], chanx_right_in[5], chanx_left_in[6], chanx_right_in[6], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size10_15_sram[0:3]), + .sram_inv(mux_top_ipin_14_undriven_sram_inv[0:3]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_0_)); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_1_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_2_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_3_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_4_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_5_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_6_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_ipin_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_7_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_8_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_9_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_10_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_11_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_11_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_8 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_12_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_12_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_10 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_13_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_13_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_12 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_14_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_14_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_top_ipin_14 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_15_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_15_sram[0:3])); + + mux_tree_tapbuf_size6 mux_top_ipin_1 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[2], chanx_right_in[2]}), + .sram(mux_tree_tapbuf_size6_0_sram[0:2]), + .sram_inv(mux_top_ipin_1_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I0_1_)); + + mux_tree_tapbuf_size6 mux_top_ipin_3 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[4], chanx_right_in[4]}), + .sram(mux_tree_tapbuf_size6_1_sram[0:2]), + .sram_inv(mux_top_ipin_3_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I0i_1_)); + + mux_tree_tapbuf_size6 mux_top_ipin_5 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[6], chanx_right_in[6]}), + .sram(mux_tree_tapbuf_size6_2_sram[0:2]), + .sram_inv(mux_top_ipin_5_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I1_1_)); + + mux_tree_tapbuf_size6 mux_top_ipin_7 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size6_3_sram[0:2]), + .sram_inv(mux_top_ipin_7_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I1i_1_)); + + mux_tree_tapbuf_size6 mux_top_ipin_9 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[10], chanx_right_in[10]}), + .sram(mux_tree_tapbuf_size6_4_sram[0:2]), + .sram_inv(mux_top_ipin_9_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I2_1_)); + + mux_tree_tapbuf_size6 mux_top_ipin_11 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[3], chanx_right_in[3]}), + .sram(mux_tree_tapbuf_size6_5_sram[0:2]), + .sram_inv(mux_top_ipin_11_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I2i_1_)); + + mux_tree_tapbuf_size6 mux_top_ipin_13 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size6_6_sram[0:2]), + .sram_inv(mux_top_ipin_13_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I3_1_)); + + mux_tree_tapbuf_size6 mux_top_ipin_15 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[1], chanx_right_in[1], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size6_7_sram[0:2]), + .sram_inv(mux_top_ipin_15_undriven_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I3i_1_)); + + mux_tree_tapbuf_size6_mem mem_top_ipin_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_0_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_top_ipin_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_1_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_top_ipin_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_10_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_2_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_top_ipin_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_11_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_3_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_top_ipin_9 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_12_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_4_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_top_ipin_11 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_13_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_5_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_top_ipin_13 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_14_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_6_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_top_ipin_15 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_15_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size6_7_sram[0:2])); + +endmodule +// ----- END Verilog module for cbx_1__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/cby_0__1_.v b/SOFA_A/SOFA_A_verilog/routing/cby_0__1_.v new file mode 100644 index 0000000..2d240ac --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/cby_0__1_.v @@ -0,0 +1,168 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[0][1] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cby_0__1_ ----- +module cby_0__1_(pReset, + prog_clk, + chany_bottom_in, + chany_top_in, + ccff_head, + chany_bottom_out, + chany_top_out, + left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:10] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:10] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_right_ipin_0_undriven_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_0_sram; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[0] = chany_bottom_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[1] = chany_bottom_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[4] = chany_bottom_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[5] = chany_bottom_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[6] = chany_bottom_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[8] = chany_bottom_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chany_bottom_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[10] = chany_bottom_in[10]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[0] = chany_top_in[0]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[1] = chany_top_in[1]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[2] = chany_top_in[2]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[3] = chany_top_in[3]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[4] = chany_top_in[4]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[5] = chany_top_in[5]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[6] = chany_top_in[6]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[7] = chany_top_in[7]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[8] = chany_top_in[8]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chany_top_in[9]; +// ----- Local connection due to Wire 21 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[10] = chany_top_in[10]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size10 mux_right_ipin_0 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2], chany_bottom_in[6], chany_top_in[6], chany_bottom_in[10], chany_top_in[10]}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_right_ipin_0_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size10_mem mem_right_ipin_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3])); + +endmodule +// ----- END Verilog module for cby_0__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/cby_1__1_.v b/SOFA_A/SOFA_A_verilog/routing/cby_1__1_.v new file mode 100644 index 0000000..3ae44b5 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/cby_1__1_.v @@ -0,0 +1,605 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[1][1] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cby_1__1_ ----- +module cby_1__1_(pReset, + prog_clk, + chany_bottom_in, + chany_top_in, + ccff_head, + chany_bottom_out, + chany_top_out, + right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I4_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I4_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I4i_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I4i_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I5_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I5_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I5i_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I5i_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I6_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I6_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I6i_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I6i_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I7_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I7_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I7i_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I7i_1_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:10] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:10] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I4_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I4_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I4i_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I4i_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I5_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I5_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I5i_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I5i_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I6_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I6_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I6i_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I6i_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I7_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I7_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I7i_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I7i_1_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_left_ipin_0_undriven_sram_inv; +wire [0:3] mux_left_ipin_1_undriven_sram_inv; +wire [0:3] mux_left_ipin_2_undriven_sram_inv; +wire [0:3] mux_left_ipin_3_undriven_sram_inv; +wire [0:3] mux_left_ipin_4_undriven_sram_inv; +wire [0:3] mux_left_ipin_5_undriven_sram_inv; +wire [0:3] mux_left_ipin_6_undriven_sram_inv; +wire [0:3] mux_left_ipin_7_undriven_sram_inv; +wire [0:3] mux_right_ipin_0_undriven_sram_inv; +wire [0:3] mux_right_ipin_10_undriven_sram_inv; +wire [0:2] mux_right_ipin_11_undriven_sram_inv; +wire [0:3] mux_right_ipin_12_undriven_sram_inv; +wire [0:2] mux_right_ipin_13_undriven_sram_inv; +wire [0:3] mux_right_ipin_14_undriven_sram_inv; +wire [0:2] mux_right_ipin_15_undriven_sram_inv; +wire [0:2] mux_right_ipin_1_undriven_sram_inv; +wire [0:3] mux_right_ipin_2_undriven_sram_inv; +wire [0:2] mux_right_ipin_3_undriven_sram_inv; +wire [0:3] mux_right_ipin_4_undriven_sram_inv; +wire [0:2] mux_right_ipin_5_undriven_sram_inv; +wire [0:3] mux_right_ipin_6_undriven_sram_inv; +wire [0:2] mux_right_ipin_7_undriven_sram_inv; +wire [0:3] mux_right_ipin_8_undriven_sram_inv; +wire [0:2] mux_right_ipin_9_undriven_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_10_sram; +wire [0:3] mux_tree_tapbuf_size10_11_sram; +wire [0:3] mux_tree_tapbuf_size10_12_sram; +wire [0:3] mux_tree_tapbuf_size10_13_sram; +wire [0:3] mux_tree_tapbuf_size10_14_sram; +wire [0:3] mux_tree_tapbuf_size10_15_sram; +wire [0:3] mux_tree_tapbuf_size10_1_sram; +wire [0:3] mux_tree_tapbuf_size10_2_sram; +wire [0:3] mux_tree_tapbuf_size10_3_sram; +wire [0:3] mux_tree_tapbuf_size10_4_sram; +wire [0:3] mux_tree_tapbuf_size10_5_sram; +wire [0:3] mux_tree_tapbuf_size10_6_sram; +wire [0:3] mux_tree_tapbuf_size10_7_sram; +wire [0:3] mux_tree_tapbuf_size10_8_sram; +wire [0:3] mux_tree_tapbuf_size10_9_sram; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_11_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_12_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_13_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_14_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_15_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_9_ccff_tail; +wire [0:2] mux_tree_tapbuf_size6_0_sram; +wire [0:2] mux_tree_tapbuf_size6_1_sram; +wire [0:2] mux_tree_tapbuf_size6_2_sram; +wire [0:2] mux_tree_tapbuf_size6_3_sram; +wire [0:2] mux_tree_tapbuf_size6_4_sram; +wire [0:2] mux_tree_tapbuf_size6_5_sram; +wire [0:2] mux_tree_tapbuf_size6_6_sram; +wire [0:2] mux_tree_tapbuf_size6_7_sram; +wire [0:0] mux_tree_tapbuf_size6_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size6_mem_6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[0] = chany_bottom_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[1] = chany_bottom_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[4] = chany_bottom_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[5] = chany_bottom_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[6] = chany_bottom_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[8] = chany_bottom_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chany_bottom_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[10] = chany_bottom_in[10]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[0] = chany_top_in[0]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[1] = chany_top_in[1]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[2] = chany_top_in[2]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[3] = chany_top_in[3]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[4] = chany_top_in[4]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[5] = chany_top_in[5]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[6] = chany_top_in[6]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[7] = chany_top_in[7]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[8] = chany_top_in[8]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chany_top_in[9]; +// ----- Local connection due to Wire 21 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[10] = chany_top_in[10]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size10 mux_left_ipin_0 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2], chany_bottom_in[6], chany_top_in[6], chany_bottom_in[10], chany_top_in[10]}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_left_ipin_0_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_left_ipin_1 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2], chany_bottom_in[3], chany_top_in[3], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size10_1_sram[0:3]), + .sram_inv(mux_left_ipin_1_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_left_ipin_2 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[3], chany_top_in[3], chany_bottom_in[4], chany_top_in[4], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size10_2_sram[0:3]), + .sram_inv(mux_left_ipin_2_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_left_ipin_3 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[4], chany_top_in[4], chany_bottom_in[5], chany_top_in[5], chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size10_3_sram[0:3]), + .sram_inv(mux_left_ipin_3_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_left_ipin_4 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[5], chany_top_in[5], chany_bottom_in[6], chany_top_in[6], chany_bottom_in[10], chany_top_in[10]}), + .sram(mux_tree_tapbuf_size10_4_sram[0:3]), + .sram_inv(mux_left_ipin_4_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_left_ipin_5 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2], chany_bottom_in[6], chany_top_in[6], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size10_5_sram[0:3]), + .sram_inv(mux_left_ipin_5_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_left_ipin_6 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[3], chany_top_in[3], chany_bottom_in[7], chany_top_in[7], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size10_6_sram[0:3]), + .sram_inv(mux_left_ipin_6_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_left_ipin_7 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[4], chany_top_in[4], chany_bottom_in[8], chany_top_in[8], chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size10_7_sram[0:3]), + .sram_inv(mux_left_ipin_7_undriven_sram_inv[0:3]), + .out(right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_0 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[5], chany_top_in[5], chany_bottom_in[9], chany_top_in[9], chany_bottom_in[10], chany_top_in[10]}), + .sram(mux_tree_tapbuf_size10_8_sram[0:3]), + .sram_inv(mux_right_ipin_0_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I4_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_2 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2], chany_bottom_in[3], chany_top_in[3], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size10_9_sram[0:3]), + .sram_inv(mux_right_ipin_2_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I4i_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_4 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[4], chany_top_in[4], chany_bottom_in[5], chany_top_in[5], chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size10_10_sram[0:3]), + .sram_inv(mux_right_ipin_4_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I5_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_6 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2], chany_bottom_in[6], chany_top_in[6], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size10_11_sram[0:3]), + .sram_inv(mux_right_ipin_6_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I5i_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_8 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[4], chany_top_in[4], chany_bottom_in[8], chany_top_in[8], chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size10_12_sram[0:3]), + .sram_inv(mux_right_ipin_8_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I6_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_10 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2], chany_bottom_in[6], chany_top_in[6], chany_bottom_in[10], chany_top_in[10]}), + .sram(mux_tree_tapbuf_size10_13_sram[0:3]), + .sram_inv(mux_right_ipin_10_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I6i_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_12 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[3], chany_top_in[3], chany_bottom_in[4], chany_top_in[4], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size10_14_sram[0:3]), + .sram_inv(mux_right_ipin_12_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I7_0_)); + + mux_tree_tapbuf_size10 mux_right_ipin_14 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[5], chany_top_in[5], chany_bottom_in[6], chany_top_in[6], chany_bottom_in[10], chany_top_in[10]}), + .sram(mux_tree_tapbuf_size10_15_sram[0:3]), + .sram_inv(mux_right_ipin_14_undriven_sram_inv[0:3]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I7i_0_)); + + mux_tree_tapbuf_size10_mem mem_left_ipin_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_ipin_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_1_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_ipin_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_2_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_ipin_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_3_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_ipin_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_4_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_ipin_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_5_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_ipin_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_6_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_ipin_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_7_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_8_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_9_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_10_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_11_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_11_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_8 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_12_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_12_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_10 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_13_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_13_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_12 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_14_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_14_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_ipin_14 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size6_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_15_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_15_sram[0:3])); + + mux_tree_tapbuf_size6 mux_right_ipin_1 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[2], chany_top_in[2]}), + .sram(mux_tree_tapbuf_size6_0_sram[0:2]), + .sram_inv(mux_right_ipin_1_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I4_1_)); + + mux_tree_tapbuf_size6 mux_right_ipin_3 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[4], chany_top_in[4]}), + .sram(mux_tree_tapbuf_size6_1_sram[0:2]), + .sram_inv(mux_right_ipin_3_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I4i_1_)); + + mux_tree_tapbuf_size6 mux_right_ipin_5 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[6], chany_top_in[6]}), + .sram(mux_tree_tapbuf_size6_2_sram[0:2]), + .sram_inv(mux_right_ipin_5_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I5_1_)); + + mux_tree_tapbuf_size6 mux_right_ipin_7 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size6_3_sram[0:2]), + .sram_inv(mux_right_ipin_7_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I5i_1_)); + + mux_tree_tapbuf_size6 mux_right_ipin_9 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[10], chany_top_in[10]}), + .sram(mux_tree_tapbuf_size6_4_sram[0:2]), + .sram_inv(mux_right_ipin_9_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I6_1_)); + + mux_tree_tapbuf_size6 mux_right_ipin_11 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[3], chany_top_in[3]}), + .sram(mux_tree_tapbuf_size6_5_sram[0:2]), + .sram_inv(mux_right_ipin_11_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I6i_1_)); + + mux_tree_tapbuf_size6 mux_right_ipin_13 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[5], chany_top_in[5]}), + .sram(mux_tree_tapbuf_size6_6_sram[0:2]), + .sram_inv(mux_right_ipin_13_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I7_1_)); + + mux_tree_tapbuf_size6 mux_right_ipin_15 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[1], chany_top_in[1], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size6_7_sram[0:2]), + .sram_inv(mux_right_ipin_15_undriven_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I7i_1_)); + + mux_tree_tapbuf_size6_mem mem_right_ipin_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_0_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_right_ipin_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_1_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_right_ipin_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_10_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_2_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_right_ipin_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_11_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_3_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_right_ipin_9 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_12_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_4_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_right_ipin_11 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_13_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_5_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_right_ipin_13 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_14_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size6_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size6_6_sram[0:2])); + + mux_tree_tapbuf_size6_mem mem_right_ipin_15 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_15_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size6_7_sram[0:2])); + +endmodule +// ----- END Verilog module for cby_1__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/sb_0__0_.v b/SOFA_A/SOFA_A_verilog/routing/sb_0__0_.v new file mode 100644 index 0000000..8cce511 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/sb_0__0_.v @@ -0,0 +1,346 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[0][0] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_0__0_ ----- +module sb_0__0_(pReset, + prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, + chanx_right_in, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_, + ccff_head, + chany_top_out, + chanx_right_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:10] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:10] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_right_track_0_undriven_sram_inv; +wire [0:1] mux_right_track_10_undriven_sram_inv; +wire [0:1] mux_right_track_12_undriven_sram_inv; +wire [0:1] mux_right_track_14_undriven_sram_inv; +wire [0:1] mux_right_track_16_undriven_sram_inv; +wire [0:1] mux_right_track_18_undriven_sram_inv; +wire [0:1] mux_right_track_20_undriven_sram_inv; +wire [0:3] mux_right_track_2_undriven_sram_inv; +wire [0:1] mux_right_track_4_undriven_sram_inv; +wire [0:1] mux_right_track_6_undriven_sram_inv; +wire [0:1] mux_right_track_8_undriven_sram_inv; +wire [0:1] mux_top_track_0_undriven_sram_inv; +wire [0:1] mux_top_track_2_undriven_sram_inv; +wire [0:1] mux_top_track_4_undriven_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_1_sram; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_1_ccff_tail; +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_10_sram; +wire [0:1] mux_tree_tapbuf_size2_11_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_8_sram; +wire [0:1] mux_tree_tapbuf_size2_9_sram; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[10] = chanx_right_in[0]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chanx_right_in[4]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[4] = chanx_right_in[5]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[5] = chanx_right_in[6]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[6] = chanx_right_in[7]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chanx_right_in[8]; +// ----- Local connection due to Wire 21 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[8] = chanx_right_in[9]; +// ----- Local connection due to Wire 22 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chanx_right_in[10]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size2 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, chanx_right_in[1]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_top_track_0_undriven_sram_inv[0:1]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size2 mux_top_track_2 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, chanx_right_in[2]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_top_track_2_undriven_sram_inv[0:1]), + .out(chany_top_out[1])); + + mux_tree_tapbuf_size2 mux_top_track_4 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, chanx_right_in[3]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_top_track_4_undriven_sram_inv[0:1]), + .out(chany_top_out[2])); + + mux_tree_tapbuf_size2 mux_right_track_4 ( + .in({chany_top_in[1], right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_right_track_4_undriven_sram_inv[0:1]), + .out(chanx_right_out[2])); + + mux_tree_tapbuf_size2 mux_right_track_6 ( + .in({chany_top_in[2], right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_right_track_6_undriven_sram_inv[0:1]), + .out(chanx_right_out[3])); + + mux_tree_tapbuf_size2 mux_right_track_8 ( + .in({chany_top_in[3], right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_right_track_8_undriven_sram_inv[0:1]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size2 mux_right_track_10 ( + .in({chany_top_in[4], right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_right_track_10_undriven_sram_inv[0:1]), + .out(chanx_right_out[5])); + + mux_tree_tapbuf_size2 mux_right_track_12 ( + .in({chany_top_in[5], right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_right_track_12_undriven_sram_inv[0:1]), + .out(chanx_right_out[6])); + + mux_tree_tapbuf_size2 mux_right_track_14 ( + .in({chany_top_in[6], right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_8_sram[0:1]), + .sram_inv(mux_right_track_14_undriven_sram_inv[0:1]), + .out(chanx_right_out[7])); + + mux_tree_tapbuf_size2 mux_right_track_16 ( + .in({chany_top_in[7], right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_9_sram[0:1]), + .sram_inv(mux_right_track_16_undriven_sram_inv[0:1]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size2 mux_right_track_18 ( + .in({chany_top_in[8], right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_10_sram[0:1]), + .sram_inv(mux_right_track_18_undriven_sram_inv[0:1]), + .out(chanx_right_out[9])); + + mux_tree_tapbuf_size2 mux_right_track_20 ( + .in({chany_top_in[9], right_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_11_sram[0:1]), + .sram_inv(mux_right_track_20_undriven_sram_inv[0:1]), + .out(chanx_right_out[10])); + + mux_tree_tapbuf_size2_mem mem_top_track_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_8 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_10 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_12 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_14 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_8_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_16 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_9_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_18 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_10_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_20 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_11_sram[0:1])); + + mux_tree_tapbuf_size10 mux_right_track_0 ( + .in({chany_top_in[10], right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_right_track_0_undriven_sram_inv[0:3]), + .out(chanx_right_out[0])); + + mux_tree_tapbuf_size10 mux_right_track_2 ( + .in({chany_top_in[0], right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size10_1_sram[0:3]), + .sram_inv(mux_right_track_2_undriven_sram_inv[0:3]), + .out(chanx_right_out[1])); + + mux_tree_tapbuf_size10_mem mem_right_track_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_right_track_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_1_sram[0:3])); + +endmodule +// ----- END Verilog module for sb_0__0_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/sb_0__1_.v b/SOFA_A/SOFA_A_verilog/routing/sb_0__1_.v new file mode 100644 index 0000000..987018d --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/sb_0__1_.v @@ -0,0 +1,367 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[0][1] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_0__1_ ----- +module sb_0__1_(pReset, + prog_clk, + chanx_right_in, + right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_, + chany_bottom_in, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, + ccff_head, + chanx_right_out, + chany_bottom_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_; +//----- INPUT PORTS ----- +input [0:10] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:10] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_bottom_track_1_undriven_sram_inv; +wire [0:1] mux_bottom_track_3_undriven_sram_inv; +wire [0:1] mux_bottom_track_5_undriven_sram_inv; +wire [0:4] mux_right_track_0_undriven_sram_inv; +wire [0:1] mux_right_track_10_undriven_sram_inv; +wire [0:1] mux_right_track_12_undriven_sram_inv; +wire [0:1] mux_right_track_14_undriven_sram_inv; +wire [0:1] mux_right_track_16_undriven_sram_inv; +wire [0:1] mux_right_track_18_undriven_sram_inv; +wire [0:1] mux_right_track_20_undriven_sram_inv; +wire [0:4] mux_right_track_2_undriven_sram_inv; +wire [0:1] mux_right_track_4_undriven_sram_inv; +wire [0:1] mux_right_track_6_undriven_sram_inv; +wire [0:1] mux_right_track_8_undriven_sram_inv; +wire [0:4] mux_tree_tapbuf_size17_0_sram; +wire [0:4] mux_tree_tapbuf_size17_1_sram; +wire [0:0] mux_tree_tapbuf_size17_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size17_mem_1_ccff_tail; +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:1] mux_tree_tapbuf_size3_0_sram; +wire [0:1] mux_tree_tapbuf_size3_1_sram; +wire [0:1] mux_tree_tapbuf_size3_2_sram; +wire [0:1] mux_tree_tapbuf_size3_3_sram; +wire [0:1] mux_tree_tapbuf_size3_4_sram; +wire [0:1] mux_tree_tapbuf_size3_5_sram; +wire [0:1] mux_tree_tapbuf_size3_6_sram; +wire [0:0] mux_tree_tapbuf_size3_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chanx_right_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[8] = chanx_right_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[7] = chanx_right_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[6] = chanx_right_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[5] = chanx_right_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[4] = chanx_right_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[3] = chanx_right_in[6]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[10] = chanx_right_in[10]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size17 mux_right_track_0 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_, chany_bottom_in[9]}), + .sram(mux_tree_tapbuf_size17_0_sram[0:4]), + .sram_inv(mux_right_track_0_undriven_sram_inv[0:4]), + .out(chanx_right_out[0])); + + mux_tree_tapbuf_size17 mux_right_track_2 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_, chany_bottom_in[8]}), + .sram(mux_tree_tapbuf_size17_1_sram[0:4]), + .sram_inv(mux_right_track_2_undriven_sram_inv[0:4]), + .out(chanx_right_out[1])); + + mux_tree_tapbuf_size17_mem mem_right_track_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size17_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size17_0_sram[0:4])); + + mux_tree_tapbuf_size17_mem mem_right_track_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size17_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size17_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size17_1_sram[0:4])); + + mux_tree_tapbuf_size3 mux_right_track_4 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_, chany_bottom_in[7]}), + .sram(mux_tree_tapbuf_size3_0_sram[0:1]), + .sram_inv(mux_right_track_4_undriven_sram_inv[0:1]), + .out(chanx_right_out[2])); + + mux_tree_tapbuf_size3 mux_right_track_6 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, chany_bottom_in[6]}), + .sram(mux_tree_tapbuf_size3_1_sram[0:1]), + .sram_inv(mux_right_track_6_undriven_sram_inv[0:1]), + .out(chanx_right_out[3])); + + mux_tree_tapbuf_size3 mux_right_track_8 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_, chany_bottom_in[5]}), + .sram(mux_tree_tapbuf_size3_2_sram[0:1]), + .sram_inv(mux_right_track_8_undriven_sram_inv[0:1]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size3 mux_right_track_10 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_, chany_bottom_in[4]}), + .sram(mux_tree_tapbuf_size3_3_sram[0:1]), + .sram_inv(mux_right_track_10_undriven_sram_inv[0:1]), + .out(chanx_right_out[5])); + + mux_tree_tapbuf_size3 mux_right_track_12 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_, chany_bottom_in[3]}), + .sram(mux_tree_tapbuf_size3_4_sram[0:1]), + .sram_inv(mux_right_track_12_undriven_sram_inv[0:1]), + .out(chanx_right_out[6])); + + mux_tree_tapbuf_size3 mux_right_track_14 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_, chany_bottom_in[2]}), + .sram(mux_tree_tapbuf_size3_5_sram[0:1]), + .sram_inv(mux_right_track_14_undriven_sram_inv[0:1]), + .out(chanx_right_out[7])); + + mux_tree_tapbuf_size3 mux_right_track_16 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_, chany_bottom_in[1]}), + .sram(mux_tree_tapbuf_size3_6_sram[0:1]), + .sram_inv(mux_right_track_16_undriven_sram_inv[0:1]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size3_mem mem_right_track_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size17_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_0_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_1_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_8 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_2_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_10 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_3_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_12 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_4_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_14 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_5_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_16 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_6_sram[0:1])); + + mux_tree_tapbuf_size2 mux_right_track_18 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, chany_bottom_in[0]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_right_track_18_undriven_sram_inv[0:1]), + .out(chanx_right_out[9])); + + mux_tree_tapbuf_size2 mux_right_track_20 ( + .in({right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_, chany_bottom_in[10]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_right_track_20_undriven_sram_inv[0:1]), + .out(chanx_right_out[10])); + + mux_tree_tapbuf_size2 mux_bottom_track_1 ( + .in({chanx_right_in[9], bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_bottom_track_1_undriven_sram_inv[0:1]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size2 mux_bottom_track_3 ( + .in({chanx_right_in[8], bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_bottom_track_3_undriven_sram_inv[0:1]), + .out(chany_bottom_out[1])); + + mux_tree_tapbuf_size2 mux_bottom_track_5 ( + .in({chanx_right_in[7], bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_bottom_track_5_undriven_sram_inv[0:1]), + .out(chany_bottom_out[2])); + + mux_tree_tapbuf_size2_mem mem_right_track_18 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_20 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1])); + +endmodule +// ----- END Verilog module for sb_0__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/sb_1__0_.v b/SOFA_A/SOFA_A_verilog/routing/sb_1__0_.v new file mode 100644 index 0000000..64c7f0c --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/sb_1__0_.v @@ -0,0 +1,484 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[1][0] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_1__0_ ----- +module sb_1__0_(pReset, + prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, + top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, + chanx_left_in, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_, + ccff_head, + chany_top_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_8_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_9_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_10_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_11_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_12_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_13_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_14_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:10] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:10] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_left_track_11_undriven_sram_inv; +wire [0:1] mux_left_track_13_undriven_sram_inv; +wire [0:1] mux_left_track_15_undriven_sram_inv; +wire [0:1] mux_left_track_17_undriven_sram_inv; +wire [0:1] mux_left_track_19_undriven_sram_inv; +wire [0:3] mux_left_track_1_undriven_sram_inv; +wire [0:1] mux_left_track_21_undriven_sram_inv; +wire [0:3] mux_left_track_3_undriven_sram_inv; +wire [0:1] mux_left_track_5_undriven_sram_inv; +wire [0:1] mux_left_track_7_undriven_sram_inv; +wire [0:1] mux_left_track_9_undriven_sram_inv; +wire [0:4] mux_top_track_0_undriven_sram_inv; +wire [0:1] mux_top_track_10_undriven_sram_inv; +wire [0:1] mux_top_track_12_undriven_sram_inv; +wire [0:1] mux_top_track_14_undriven_sram_inv; +wire [0:1] mux_top_track_16_undriven_sram_inv; +wire [0:1] mux_top_track_18_undriven_sram_inv; +wire [0:1] mux_top_track_20_undriven_sram_inv; +wire [0:4] mux_top_track_2_undriven_sram_inv; +wire [0:1] mux_top_track_4_undriven_sram_inv; +wire [0:1] mux_top_track_6_undriven_sram_inv; +wire [0:1] mux_top_track_8_undriven_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_1_sram; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_1_ccff_tail; +wire [0:4] mux_tree_tapbuf_size16_0_sram; +wire [0:4] mux_tree_tapbuf_size16_1_sram; +wire [0:0] mux_tree_tapbuf_size16_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size16_mem_1_ccff_tail; +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_10_sram; +wire [0:1] mux_tree_tapbuf_size2_11_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_8_sram; +wire [0:1] mux_tree_tapbuf_size2_9_sram; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_9_ccff_tail; +wire [0:1] mux_tree_tapbuf_size3_0_sram; +wire [0:1] mux_tree_tapbuf_size3_1_sram; +wire [0:1] mux_tree_tapbuf_size3_2_sram; +wire [0:1] mux_tree_tapbuf_size3_3_sram; +wire [0:1] mux_tree_tapbuf_size3_4_sram; +wire [0:1] mux_tree_tapbuf_size3_5_sram; +wire [0:0] mux_tree_tapbuf_size3_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_5_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size16 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[0]}), + .sram(mux_tree_tapbuf_size16_0_sram[0:4]), + .sram_inv(mux_top_track_0_undriven_sram_inv[0:4]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size16 mux_top_track_2 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, top_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[10]}), + .sram(mux_tree_tapbuf_size16_1_sram[0:4]), + .sram_inv(mux_top_track_2_undriven_sram_inv[0:4]), + .out(chany_top_out[1])); + + mux_tree_tapbuf_size16_mem mem_top_track_0 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size16_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size16_0_sram[0:4])); + + mux_tree_tapbuf_size16_mem mem_top_track_2 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size16_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size16_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size16_1_sram[0:4])); + + mux_tree_tapbuf_size3 mux_top_track_4 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size3_0_sram[0:1]), + .sram_inv(mux_top_track_4_undriven_sram_inv[0:1]), + .out(chany_top_out[2])); + + mux_tree_tapbuf_size3 mux_top_track_6 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size3_1_sram[0:1]), + .sram_inv(mux_top_track_6_undriven_sram_inv[0:1]), + .out(chany_top_out[3])); + + mux_tree_tapbuf_size3 mux_top_track_8 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size3_2_sram[0:1]), + .sram_inv(mux_top_track_8_undriven_sram_inv[0:1]), + .out(chany_top_out[4])); + + mux_tree_tapbuf_size3 mux_top_track_10 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size3_3_sram[0:1]), + .sram_inv(mux_top_track_10_undriven_sram_inv[0:1]), + .out(chany_top_out[5])); + + mux_tree_tapbuf_size3 mux_top_track_12 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size3_4_sram[0:1]), + .sram_inv(mux_top_track_12_undriven_sram_inv[0:1]), + .out(chany_top_out[6])); + + mux_tree_tapbuf_size3 mux_top_track_14 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[4]}), + .sram(mux_tree_tapbuf_size3_5_sram[0:1]), + .sram_inv(mux_top_track_14_undriven_sram_inv[0:1]), + .out(chany_top_out[7])); + + mux_tree_tapbuf_size3_mem mem_top_track_4 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size16_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_0_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_top_track_6 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_1_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_top_track_8 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_2_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_top_track_10 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_3_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_top_track_12 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_4_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_top_track_14 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_5_sram[0:1])); + + mux_tree_tapbuf_size2 mux_top_track_16 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, chanx_left_in[3]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_top_track_16_undriven_sram_inv[0:1]), + .out(chany_top_out[8])); + + mux_tree_tapbuf_size2 mux_top_track_18 ( + .in({top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, chanx_left_in[2]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_top_track_18_undriven_sram_inv[0:1]), + .out(chany_top_out[9])); + + mux_tree_tapbuf_size2 mux_top_track_20 ( + .in({top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, chanx_left_in[1]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_top_track_20_undriven_sram_inv[0:1]), + .out(chany_top_out[10])); + + mux_tree_tapbuf_size2 mux_left_track_5 ( + .in({chany_top_in[9], left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_left_track_5_undriven_sram_inv[0:1]), + .out(chanx_left_out[2])); + + mux_tree_tapbuf_size2 mux_left_track_7 ( + .in({chany_top_in[8], left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_left_track_7_undriven_sram_inv[0:1]), + .out(chanx_left_out[3])); + + mux_tree_tapbuf_size2 mux_left_track_9 ( + .in({chany_top_in[7], left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_left_track_9_undriven_sram_inv[0:1]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size2 mux_left_track_11 ( + .in({chany_top_in[6], left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_left_track_11_undriven_sram_inv[0:1]), + .out(chanx_left_out[5])); + + mux_tree_tapbuf_size2 mux_left_track_13 ( + .in({chany_top_in[5], left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_left_track_13_undriven_sram_inv[0:1]), + .out(chanx_left_out[6])); + + mux_tree_tapbuf_size2 mux_left_track_15 ( + .in({chany_top_in[4], left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_8_sram[0:1]), + .sram_inv(mux_left_track_15_undriven_sram_inv[0:1]), + .out(chanx_left_out[7])); + + mux_tree_tapbuf_size2 mux_left_track_17 ( + .in({chany_top_in[3], left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_9_sram[0:1]), + .sram_inv(mux_left_track_17_undriven_sram_inv[0:1]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size2 mux_left_track_19 ( + .in({chany_top_in[2], left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_10_sram[0:1]), + .sram_inv(mux_left_track_19_undriven_sram_inv[0:1]), + .out(chanx_left_out[9])); + + mux_tree_tapbuf_size2 mux_left_track_21 ( + .in({chany_top_in[1], left_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_11_sram[0:1]), + .sram_inv(mux_left_track_21_undriven_sram_inv[0:1]), + .out(chanx_left_out[10])); + + mux_tree_tapbuf_size2_mem mem_top_track_16 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_18 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_20 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_9 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_11 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_13 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_15 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_8_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_17 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_9_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_19 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_10_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_21 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_11_sram[0:1])); + + mux_tree_tapbuf_size10 mux_left_track_1 ( + .in({chany_top_in[0], left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_left_track_1_undriven_sram_inv[0:3]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size10 mux_left_track_3 ( + .in({chany_top_in[10], left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_8__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size10_1_sram[0:3]), + .sram_inv(mux_left_track_3_undriven_sram_inv[0:3]), + .out(chanx_left_out[1])); + + mux_tree_tapbuf_size10_mem mem_left_track_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_track_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_1_sram[0:3])); + +endmodule +// ----- END Verilog module for sb_1__0_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/routing/sb_1__1_.v b/SOFA_A/SOFA_A_verilog/routing/sb_1__1_.v new file mode 100644 index 0000000..6546aae --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/routing/sb_1__1_.v @@ -0,0 +1,505 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[1][1] +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_1__1_ ----- +module sb_1__1_(pReset, + prog_clk, + chany_bottom_in, + bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, + chanx_left_in, + left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_, + ccff_head, + chany_bottom_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:10] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_8_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_9_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_10_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_11_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_12_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_13_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_14_; +//----- INPUT PORTS ----- +input [0:10] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:10] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:10] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_bottom_track_11_undriven_sram_inv; +wire [0:1] mux_bottom_track_13_undriven_sram_inv; +wire [0:1] mux_bottom_track_15_undriven_sram_inv; +wire [0:1] mux_bottom_track_17_undriven_sram_inv; +wire [0:1] mux_bottom_track_19_undriven_sram_inv; +wire [0:4] mux_bottom_track_1_undriven_sram_inv; +wire [0:1] mux_bottom_track_21_undriven_sram_inv; +wire [0:4] mux_bottom_track_3_undriven_sram_inv; +wire [0:1] mux_bottom_track_5_undriven_sram_inv; +wire [0:1] mux_bottom_track_7_undriven_sram_inv; +wire [0:1] mux_bottom_track_9_undriven_sram_inv; +wire [0:1] mux_left_track_11_undriven_sram_inv; +wire [0:1] mux_left_track_13_undriven_sram_inv; +wire [0:1] mux_left_track_15_undriven_sram_inv; +wire [0:1] mux_left_track_17_undriven_sram_inv; +wire [0:1] mux_left_track_19_undriven_sram_inv; +wire [0:4] mux_left_track_1_undriven_sram_inv; +wire [0:1] mux_left_track_21_undriven_sram_inv; +wire [0:4] mux_left_track_3_undriven_sram_inv; +wire [0:1] mux_left_track_5_undriven_sram_inv; +wire [0:1] mux_left_track_7_undriven_sram_inv; +wire [0:1] mux_left_track_9_undriven_sram_inv; +wire [0:4] mux_tree_tapbuf_size16_0_sram; +wire [0:4] mux_tree_tapbuf_size16_1_sram; +wire [0:0] mux_tree_tapbuf_size16_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size16_mem_1_ccff_tail; +wire [0:4] mux_tree_tapbuf_size17_0_sram; +wire [0:4] mux_tree_tapbuf_size17_1_sram; +wire [0:0] mux_tree_tapbuf_size17_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size17_mem_1_ccff_tail; +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:1] mux_tree_tapbuf_size3_0_sram; +wire [0:1] mux_tree_tapbuf_size3_10_sram; +wire [0:1] mux_tree_tapbuf_size3_11_sram; +wire [0:1] mux_tree_tapbuf_size3_12_sram; +wire [0:1] mux_tree_tapbuf_size3_1_sram; +wire [0:1] mux_tree_tapbuf_size3_2_sram; +wire [0:1] mux_tree_tapbuf_size3_3_sram; +wire [0:1] mux_tree_tapbuf_size3_4_sram; +wire [0:1] mux_tree_tapbuf_size3_5_sram; +wire [0:1] mux_tree_tapbuf_size3_6_sram; +wire [0:1] mux_tree_tapbuf_size3_7_sram; +wire [0:1] mux_tree_tapbuf_size3_8_sram; +wire [0:1] mux_tree_tapbuf_size3_9_sram; +wire [0:0] mux_tree_tapbuf_size3_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_11_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_12_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size16 mux_bottom_track_1 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, chanx_left_in[1]}), + .sram(mux_tree_tapbuf_size16_0_sram[0:4]), + .sram_inv(mux_bottom_track_1_undriven_sram_inv[0:4]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size16 mux_bottom_track_3 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, chanx_left_in[2]}), + .sram(mux_tree_tapbuf_size16_1_sram[0:4]), + .sram_inv(mux_bottom_track_3_undriven_sram_inv[0:4]), + .out(chany_bottom_out[1])); + + mux_tree_tapbuf_size16_mem mem_bottom_track_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size16_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size16_0_sram[0:4])); + + mux_tree_tapbuf_size16_mem mem_bottom_track_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size16_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size16_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size16_1_sram[0:4])); + + mux_tree_tapbuf_size3 mux_bottom_track_5 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_9_, chanx_left_in[3]}), + .sram(mux_tree_tapbuf_size3_0_sram[0:1]), + .sram_inv(mux_bottom_track_5_undriven_sram_inv[0:1]), + .out(chany_bottom_out[2])); + + mux_tree_tapbuf_size3 mux_bottom_track_7 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_10_, chanx_left_in[4]}), + .sram(mux_tree_tapbuf_size3_1_sram[0:1]), + .sram_inv(mux_bottom_track_7_undriven_sram_inv[0:1]), + .out(chany_bottom_out[3])); + + mux_tree_tapbuf_size3 mux_bottom_track_9 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_11_, chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size3_2_sram[0:1]), + .sram_inv(mux_bottom_track_9_undriven_sram_inv[0:1]), + .out(chany_bottom_out[4])); + + mux_tree_tapbuf_size3 mux_bottom_track_11 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_12_, chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size3_3_sram[0:1]), + .sram_inv(mux_bottom_track_11_undriven_sram_inv[0:1]), + .out(chany_bottom_out[5])); + + mux_tree_tapbuf_size3 mux_bottom_track_13 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_13_, chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size3_4_sram[0:1]), + .sram_inv(mux_bottom_track_13_undriven_sram_inv[0:1]), + .out(chany_bottom_out[6])); + + mux_tree_tapbuf_size3 mux_bottom_track_15 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_14_, chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size3_5_sram[0:1]), + .sram_inv(mux_bottom_track_15_undriven_sram_inv[0:1]), + .out(chany_bottom_out[7])); + + mux_tree_tapbuf_size3 mux_left_track_5 ( + .in({chany_bottom_in[1], left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_}), + .sram(mux_tree_tapbuf_size3_6_sram[0:1]), + .sram_inv(mux_left_track_5_undriven_sram_inv[0:1]), + .out(chanx_left_out[2])); + + mux_tree_tapbuf_size3 mux_left_track_7 ( + .in({chany_bottom_in[2], left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_}), + .sram(mux_tree_tapbuf_size3_7_sram[0:1]), + .sram_inv(mux_left_track_7_undriven_sram_inv[0:1]), + .out(chanx_left_out[3])); + + mux_tree_tapbuf_size3 mux_left_track_9 ( + .in({chany_bottom_in[3], left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_}), + .sram(mux_tree_tapbuf_size3_8_sram[0:1]), + .sram_inv(mux_left_track_9_undriven_sram_inv[0:1]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size3 mux_left_track_11 ( + .in({chany_bottom_in[4], left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_}), + .sram(mux_tree_tapbuf_size3_9_sram[0:1]), + .sram_inv(mux_left_track_11_undriven_sram_inv[0:1]), + .out(chanx_left_out[5])); + + mux_tree_tapbuf_size3 mux_left_track_13 ( + .in({chany_bottom_in[5], left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_}), + .sram(mux_tree_tapbuf_size3_10_sram[0:1]), + .sram_inv(mux_left_track_13_undriven_sram_inv[0:1]), + .out(chanx_left_out[6])); + + mux_tree_tapbuf_size3 mux_left_track_15 ( + .in({chany_bottom_in[6], left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_}), + .sram(mux_tree_tapbuf_size3_11_sram[0:1]), + .sram_inv(mux_left_track_15_undriven_sram_inv[0:1]), + .out(chanx_left_out[7])); + + mux_tree_tapbuf_size3 mux_left_track_17 ( + .in({chany_bottom_in[7], left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_}), + .sram(mux_tree_tapbuf_size3_12_sram[0:1]), + .sram_inv(mux_left_track_17_undriven_sram_inv[0:1]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size16_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_0_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_1_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_9 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_2_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_11 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_3_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_13 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_4_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_15 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_5_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_5 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size17_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_6_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_7 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_7_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_9 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_8_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_11 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_9_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_13 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_10_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_15 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_10_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_11_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_11_sram[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_17 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_11_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_12_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_12_sram[0:1])); + + mux_tree_tapbuf_size2 mux_bottom_track_17 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_bottom_track_17_undriven_sram_inv[0:1]), + .out(chany_bottom_out[8])); + + mux_tree_tapbuf_size2 mux_bottom_track_19 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[10]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_bottom_track_19_undriven_sram_inv[0:1]), + .out(chany_bottom_out[9])); + + mux_tree_tapbuf_size2 mux_bottom_track_21 ( + .in({bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_8_, chanx_left_in[0]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_bottom_track_21_undriven_sram_inv[0:1]), + .out(chany_bottom_out[10])); + + mux_tree_tapbuf_size2 mux_left_track_19 ( + .in({chany_bottom_in[8], left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_left_track_19_undriven_sram_inv[0:1]), + .out(chanx_left_out[9])); + + mux_tree_tapbuf_size2 mux_left_track_21 ( + .in({chany_bottom_in[9], left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_left_track_21_undriven_sram_inv[0:1]), + .out(chanx_left_out[10])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_17 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_19 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_21 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_19 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_12_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_21 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1])); + + mux_tree_tapbuf_size17 mux_left_track_1 ( + .in({chany_bottom_in[10], left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_}), + .sram(mux_tree_tapbuf_size17_0_sram[0:4]), + .sram_inv(mux_left_track_1_undriven_sram_inv[0:4]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size17 mux_left_track_3 ( + .in({chany_bottom_in[0], left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_1_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_3_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_4_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_5_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_6_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_7_}), + .sram(mux_tree_tapbuf_size17_1_sram[0:4]), + .sram_inv(mux_left_track_3_undriven_sram_inv[0:4]), + .out(chanx_left_out[1])); + + mux_tree_tapbuf_size17_mem mem_left_track_1 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size17_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size17_0_sram[0:4])); + + mux_tree_tapbuf_size17_mem mem_left_track_3 ( + .pReset(pReset), + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size17_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size17_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size17_1_sram[0:4])); + +endmodule +// ----- END Verilog module for sb_1__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/arch_encoder.v b/SOFA_A/SOFA_A_verilog/sub_module/arch_encoder.v new file mode 100644 index 0000000..9d63aa9 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/arch_encoder.v @@ -0,0 +1,10 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Decoders for fabric configuration protocol +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/inv_buf_passgate.v b/SOFA_A/SOFA_A_verilog/sub_module/inv_buf_passgate.v new file mode 100644 index 0000000..745ccdf --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/inv_buf_passgate.v @@ -0,0 +1,54 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Essential gates +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for const0 ----- +module const0(const0); +//----- OUTPUT PORTS ----- +output [0:0] const0; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + assign const0[0] = 1'b0; +endmodule +// ----- END Verilog module for const0 ----- + +//----- Default net type ----- +`default_nettype none + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for const1 ----- +module const1(const1); +//----- OUTPUT PORTS ----- +output [0:0] const1; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + assign const1[0] = 1'b1; +endmodule +// ----- END Verilog module for const1 ----- + +//----- Default net type ----- +`default_nettype none + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/local_encoder.v b/SOFA_A/SOFA_A_verilog/sub_module/local_encoder.v new file mode 100644 index 0000000..6ccd8e0 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/local_encoder.v @@ -0,0 +1,10 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Local Decoders for Multiplexers +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/luts.v b/SOFA_A/SOFA_A_verilog/sub_module/luts.v new file mode 100644 index 0000000..5bd91cf --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/luts.v @@ -0,0 +1,119 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Look-Up Tables +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for frac_lut4 ----- +module frac_lut4(in, + sram, + sram_inv, + mode, + mode_inv, + lut2_out, + lut3_out, + lut4_out); +//----- INPUT PORTS ----- +input [0:3] in; +//----- INPUT PORTS ----- +input [0:15] sram; +//----- INPUT PORTS ----- +input [0:15] sram_inv; +//----- INPUT PORTS ----- +input [0:0] mode; +//----- INPUT PORTS ----- +input [0:0] mode_inv; +//----- OUTPUT PORTS ----- +output [0:1] lut2_out; +//----- OUTPUT PORTS ----- +output [0:1] lut3_out; +//----- OUTPUT PORTS ----- +output [0:0] lut4_out; + +//----- BEGIN wire-connection ports ----- +wire [0:3] in; +wire [0:1] lut2_out; +wire [0:1] lut3_out; +wire [0:0] lut4_out; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] sky130_fd_sc_hd__buf_2_0_X; +wire [0:0] sky130_fd_sc_hd__buf_2_1_X; +wire [0:0] sky130_fd_sc_hd__buf_2_2_X; +wire [0:0] sky130_fd_sc_hd__buf_2_3_X; +wire [0:0] sky130_fd_sc_hd__inv_1_0_Y; +wire [0:0] sky130_fd_sc_hd__inv_1_1_Y; +wire [0:0] sky130_fd_sc_hd__inv_1_2_Y; +wire [0:0] sky130_fd_sc_hd__inv_1_3_Y; +wire [0:0] sky130_fd_sc_hd__or2_1_0_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__or2_1 sky130_fd_sc_hd__or2_1_0_ ( + .A(mode), + .B(in[3]), + .X(sky130_fd_sc_hd__or2_1_0_X)); + + sky130_fd_sc_hd__inv_1 sky130_fd_sc_hd__inv_1_0_ ( + .A(in[0]), + .Y(sky130_fd_sc_hd__inv_1_0_Y)); + + sky130_fd_sc_hd__inv_1 sky130_fd_sc_hd__inv_1_1_ ( + .A(in[1]), + .Y(sky130_fd_sc_hd__inv_1_1_Y)); + + sky130_fd_sc_hd__inv_1 sky130_fd_sc_hd__inv_1_2_ ( + .A(in[2]), + .Y(sky130_fd_sc_hd__inv_1_2_Y)); + + sky130_fd_sc_hd__inv_1 sky130_fd_sc_hd__inv_1_3_ ( + .A(sky130_fd_sc_hd__or2_1_0_X), + .Y(sky130_fd_sc_hd__inv_1_3_Y)); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_0_ ( + .A(in[0]), + .X(sky130_fd_sc_hd__buf_2_0_X)); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_1_ ( + .A(in[1]), + .X(sky130_fd_sc_hd__buf_2_1_X)); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_2_ ( + .A(in[2]), + .X(sky130_fd_sc_hd__buf_2_2_X)); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_3_ ( + .A(sky130_fd_sc_hd__or2_1_0_X), + .X(sky130_fd_sc_hd__buf_2_3_X)); + + frac_lut4_mux frac_lut4_mux_0_ ( + .in(sram[0:15]), + .sram({sky130_fd_sc_hd__buf_2_0_X, sky130_fd_sc_hd__buf_2_1_X, sky130_fd_sc_hd__buf_2_2_X, sky130_fd_sc_hd__buf_2_3_X}), + .sram_inv({sky130_fd_sc_hd__inv_1_0_Y, sky130_fd_sc_hd__inv_1_1_Y, sky130_fd_sc_hd__inv_1_2_Y, sky130_fd_sc_hd__inv_1_3_Y}), + .lut2_out(lut2_out[0:1]), + .lut3_out(lut3_out[0:1]), + .lut4_out(lut4_out)); + +endmodule +// ----- END Verilog module for frac_lut4 ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/memories.v b/SOFA_A/SOFA_A_verilog/sub_module/memories.v new file mode 100644 index 0000000..25ab78f --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/memories.v @@ -0,0 +1,652 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Memories used in FPGA +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size10_mem ----- +module mux_tree_tapbuf_size10_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:3] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[3]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_2_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_3_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size10_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size6_mem ----- +module mux_tree_tapbuf_size6_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:2] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[2]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_2_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size6_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size2_mem ----- +module mux_tree_tapbuf_size2_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:1] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[1]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size2_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size17_mem ----- +module mux_tree_tapbuf_size17_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:4] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[4]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_2_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_3_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_4_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[3]), + .Q(mem_out[4])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size17_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size3_mem ----- +module mux_tree_tapbuf_size3_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:1] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[1]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size3_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size16_mem ----- +module mux_tree_tapbuf_size16_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:4] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[4]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_2_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_3_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_4_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[3]), + .Q(mem_out[4])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size16_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_size2_mem ----- +module mux_tree_size2_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:1] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[1]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + +endmodule +// ----- END Verilog module for mux_tree_size2_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem ----- +module frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:16] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[16]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out[0])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_1_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_2_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_3_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_4_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[3]), + .Q(mem_out[4])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_5_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[4]), + .Q(mem_out[5])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_6_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[5]), + .Q(mem_out[6])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_7_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[6]), + .Q(mem_out[7])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_8_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[7]), + .Q(mem_out[8])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_9_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[8]), + .Q(mem_out[9])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_10_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[9]), + .Q(mem_out[10])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_11_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[10]), + .Q(mem_out[11])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_12_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[11]), + .Q(mem_out[12])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_13_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[12]), + .Q(mem_out[13])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_14_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[13]), + .Q(mem_out[14])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_15_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[14]), + .Q(mem_out[15])); + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_16_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(mem_out[15]), + .Q(mem_out[16])); + +endmodule +// ----- END Verilog module for frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem ----- +module EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem(pReset, + prog_clk, + ccff_head, + ccff_tail, + mem_out); +//----- GLOBAL PORTS ----- +input [0:0] pReset; +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:0] mem_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[0]; +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__dfrtp_1 sky130_fd_sc_hd__dfrtp_1_0_ ( + .RESET_B(pReset), + .CLK(prog_clk), + .D(ccff_head), + .Q(mem_out)); + +endmodule +// ----- END Verilog module for EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/mux_primitives.v b/SOFA_A/SOFA_A_verilog/sub_module/mux_primitives.v new file mode 100644 index 0000000..554d4a6 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/mux_primitives.v @@ -0,0 +1,10 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Multiplexer primitives +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/muxes.v b/SOFA_A/SOFA_A_verilog/sub_module/muxes.v new file mode 100644 index 0000000..c8c9b1e --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/muxes.v @@ -0,0 +1,914 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Multiplexers +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size10 ----- +module mux_tree_tapbuf_size10(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:9] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] const1_0_const1; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_1_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_2_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_3_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_4_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_5_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_6_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_7_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_8_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_9_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + const1 const1_0_ ( + .const1(const1_0_const1)); + + sky130_fd_sc_hd__buf_4 sky130_fd_sc_hd__buf_4_0_ ( + .A(sky130_fd_sc_hd__mux2_1_9_X), + .X(out)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_1_ ( + .A1(in[2]), + .A0(in[3]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_1_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_2_ ( + .A1(in[4]), + .A0(in[5]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_2_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(sky130_fd_sc_hd__mux2_1_1_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_3_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_2_X), + .A0(in[6]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_4_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_2_ ( + .A1(in[7]), + .A0(in[8]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_5_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_3_ ( + .A1(in[9]), + .A0(const1_0_const1), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_6_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_3_X), + .A0(sky130_fd_sc_hd__mux2_1_4_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_7_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_5_X), + .A0(sky130_fd_sc_hd__mux2_1_6_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_8_X)); + + sky130_fd_sc_hd__mux2_1 mux_l4_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_7_X), + .A0(sky130_fd_sc_hd__mux2_1_8_X), + .S(sram[3]), + .X(sky130_fd_sc_hd__mux2_1_9_X)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size10 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size6 ----- +module mux_tree_tapbuf_size6(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:5] in; +//----- INPUT PORTS ----- +input [0:2] sram; +//----- INPUT PORTS ----- +input [0:2] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] const1_0_const1; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_1_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_2_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_3_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_4_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_5_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + const1 const1_0_ ( + .const1(const1_0_const1)); + + sky130_fd_sc_hd__buf_4 sky130_fd_sc_hd__buf_4_0_ ( + .A(sky130_fd_sc_hd__mux2_1_5_X), + .X(out)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_1_ ( + .A1(in[2]), + .A0(in[3]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_1_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_2_ ( + .A1(in[4]), + .A0(in[5]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_2_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(sky130_fd_sc_hd__mux2_1_1_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_3_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_2_X), + .A0(const1_0_const1), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_4_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_3_X), + .A0(sky130_fd_sc_hd__mux2_1_4_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_5_X)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size6 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size2 ----- +module mux_tree_tapbuf_size2(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:1] in; +//----- INPUT PORTS ----- +input [0:1] sram; +//----- INPUT PORTS ----- +input [0:1] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] const1_0_const1; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_1_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + const1 const1_0_ ( + .const1(const1_0_const1)); + + sky130_fd_sc_hd__buf_4 sky130_fd_sc_hd__buf_4_0_ ( + .A(sky130_fd_sc_hd__mux2_1_1_X), + .X(out)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(const1_0_const1), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_1_X)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size2 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size17 ----- +module mux_tree_tapbuf_size17(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:16] in; +//----- INPUT PORTS ----- +input [0:4] sram; +//----- INPUT PORTS ----- +input [0:4] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] const1_0_const1; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_10_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_11_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_12_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_13_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_14_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_15_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_16_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_1_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_2_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_3_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_4_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_5_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_6_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_7_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_8_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_9_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + const1 const1_0_ ( + .const1(const1_0_const1)); + + sky130_fd_sc_hd__buf_4 sky130_fd_sc_hd__buf_4_0_ ( + .A(sky130_fd_sc_hd__mux2_1_16_X), + .X(out)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_1_ ( + .A1(in[2]), + .A0(in[3]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_1_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(sky130_fd_sc_hd__mux2_1_1_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_2_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_1_ ( + .A1(in[4]), + .A0(in[5]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_3_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_2_ ( + .A1(in[6]), + .A0(in[7]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_4_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_3_ ( + .A1(in[8]), + .A0(in[9]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_5_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_4_ ( + .A1(in[10]), + .A0(in[11]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_6_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_5_ ( + .A1(in[12]), + .A0(in[13]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_7_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_6_ ( + .A1(in[14]), + .A0(in[15]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_8_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_7_ ( + .A1(in[16]), + .A0(const1_0_const1), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_9_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_2_X), + .A0(sky130_fd_sc_hd__mux2_1_3_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_10_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_4_X), + .A0(sky130_fd_sc_hd__mux2_1_5_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_11_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_2_ ( + .A1(sky130_fd_sc_hd__mux2_1_6_X), + .A0(sky130_fd_sc_hd__mux2_1_7_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_12_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_3_ ( + .A1(sky130_fd_sc_hd__mux2_1_8_X), + .A0(sky130_fd_sc_hd__mux2_1_9_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_13_X)); + + sky130_fd_sc_hd__mux2_1 mux_l4_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_10_X), + .A0(sky130_fd_sc_hd__mux2_1_11_X), + .S(sram[3]), + .X(sky130_fd_sc_hd__mux2_1_14_X)); + + sky130_fd_sc_hd__mux2_1 mux_l4_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_12_X), + .A0(sky130_fd_sc_hd__mux2_1_13_X), + .S(sram[3]), + .X(sky130_fd_sc_hd__mux2_1_15_X)); + + sky130_fd_sc_hd__mux2_1 mux_l5_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_14_X), + .A0(sky130_fd_sc_hd__mux2_1_15_X), + .S(sram[4]), + .X(sky130_fd_sc_hd__mux2_1_16_X)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size17 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size3 ----- +module mux_tree_tapbuf_size3(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:2] in; +//----- INPUT PORTS ----- +input [0:1] sram; +//----- INPUT PORTS ----- +input [0:1] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] const1_0_const1; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_1_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_2_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + const1 const1_0_ ( + .const1(const1_0_const1)); + + sky130_fd_sc_hd__buf_4 sky130_fd_sc_hd__buf_4_0_ ( + .A(sky130_fd_sc_hd__mux2_1_2_X), + .X(out)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_1_ ( + .A1(in[2]), + .A0(const1_0_const1), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_1_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(sky130_fd_sc_hd__mux2_1_1_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_2_X)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size3 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size16 ----- +module mux_tree_tapbuf_size16(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:15] in; +//----- INPUT PORTS ----- +input [0:4] sram; +//----- INPUT PORTS ----- +input [0:4] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] const1_0_const1; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_10_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_11_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_12_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_13_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_14_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_15_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_1_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_2_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_3_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_4_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_5_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_6_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_7_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_8_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_9_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + const1 const1_0_ ( + .const1(const1_0_const1)); + + sky130_fd_sc_hd__buf_4 sky130_fd_sc_hd__buf_4_0_ ( + .A(sky130_fd_sc_hd__mux2_1_15_X), + .X(out)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(in[2]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_1_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_1_ ( + .A1(in[3]), + .A0(in[4]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_2_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_2_ ( + .A1(in[5]), + .A0(in[6]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_3_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_3_ ( + .A1(in[7]), + .A0(in[8]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_4_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_4_ ( + .A1(in[9]), + .A0(in[10]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_5_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_5_ ( + .A1(in[11]), + .A0(in[12]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_6_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_6_ ( + .A1(in[13]), + .A0(in[14]), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_7_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_7_ ( + .A1(in[15]), + .A0(const1_0_const1), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_8_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_1_X), + .A0(sky130_fd_sc_hd__mux2_1_2_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_9_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_3_X), + .A0(sky130_fd_sc_hd__mux2_1_4_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_10_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_2_ ( + .A1(sky130_fd_sc_hd__mux2_1_5_X), + .A0(sky130_fd_sc_hd__mux2_1_6_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_11_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_3_ ( + .A1(sky130_fd_sc_hd__mux2_1_7_X), + .A0(sky130_fd_sc_hd__mux2_1_8_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_12_X)); + + sky130_fd_sc_hd__mux2_1 mux_l4_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_9_X), + .A0(sky130_fd_sc_hd__mux2_1_10_X), + .S(sram[3]), + .X(sky130_fd_sc_hd__mux2_1_13_X)); + + sky130_fd_sc_hd__mux2_1 mux_l4_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_11_X), + .A0(sky130_fd_sc_hd__mux2_1_12_X), + .S(sram[3]), + .X(sky130_fd_sc_hd__mux2_1_14_X)); + + sky130_fd_sc_hd__mux2_1 mux_l5_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_13_X), + .A0(sky130_fd_sc_hd__mux2_1_14_X), + .S(sram[4]), + .X(sky130_fd_sc_hd__mux2_1_15_X)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size16 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_size2 ----- +module mux_tree_size2(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:1] in; +//----- INPUT PORTS ----- +input [0:1] sram; +//----- INPUT PORTS ----- +input [0:1] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] const1_0_const1; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + const1 const1_0_ ( + .const1(const1_0_const1)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(const1_0_const1), + .S(sram[1]), + .X(out)); + +endmodule +// ----- END Verilog module for mux_tree_size2 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for frac_lut4_mux ----- +module frac_lut4_mux(in, + sram, + sram_inv, + lut2_out, + lut3_out, + lut4_out); +//----- INPUT PORTS ----- +input [0:15] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:1] lut2_out; +//----- OUTPUT PORTS ----- +output [0:1] lut3_out; +//----- OUTPUT PORTS ----- +output [0:0] lut4_out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] sky130_fd_sc_hd__buf_2_5_X; +wire [0:0] sky130_fd_sc_hd__buf_2_6_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_0_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_10_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_11_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_12_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_13_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_14_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_1_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_2_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_3_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_4_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_5_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_6_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_7_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_8_X; +wire [0:0] sky130_fd_sc_hd__mux2_1_9_X; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_0_ ( + .A(sky130_fd_sc_hd__mux2_1_10_X), + .X(lut2_out[0])); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_1_ ( + .A(sky130_fd_sc_hd__mux2_1_11_X), + .X(lut2_out[1])); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_2_ ( + .A(sky130_fd_sc_hd__mux2_1_12_X), + .X(lut3_out[0])); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_3_ ( + .A(sky130_fd_sc_hd__mux2_1_13_X), + .X(lut3_out[1])); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_4_ ( + .A(sky130_fd_sc_hd__mux2_1_14_X), + .X(lut4_out)); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_5_ ( + .A(sky130_fd_sc_hd__mux2_1_8_X), + .X(sky130_fd_sc_hd__buf_2_5_X)); + + sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_6_ ( + .A(sky130_fd_sc_hd__mux2_1_9_X), + .X(sky130_fd_sc_hd__buf_2_6_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_0_ ( + .A1(in[0]), + .A0(in[1]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_0_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_1_ ( + .A1(in[2]), + .A0(in[3]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_1_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_2_ ( + .A1(in[4]), + .A0(in[5]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_2_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_3_ ( + .A1(in[6]), + .A0(in[7]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_3_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_4_ ( + .A1(in[8]), + .A0(in[9]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_4_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_5_ ( + .A1(in[10]), + .A0(in[11]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_5_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_6_ ( + .A1(in[12]), + .A0(in[13]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_6_X)); + + sky130_fd_sc_hd__mux2_1 mux_l1_in_7_ ( + .A1(in[14]), + .A0(in[15]), + .S(sram[0]), + .X(sky130_fd_sc_hd__mux2_1_7_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_0_X), + .A0(sky130_fd_sc_hd__mux2_1_1_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_8_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_2_X), + .A0(sky130_fd_sc_hd__mux2_1_3_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_9_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_2_ ( + .A1(sky130_fd_sc_hd__mux2_1_4_X), + .A0(sky130_fd_sc_hd__mux2_1_5_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_10_X)); + + sky130_fd_sc_hd__mux2_1 mux_l2_in_3_ ( + .A1(sky130_fd_sc_hd__mux2_1_6_X), + .A0(sky130_fd_sc_hd__mux2_1_7_X), + .S(sram[1]), + .X(sky130_fd_sc_hd__mux2_1_11_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_0_ ( + .A1(sky130_fd_sc_hd__buf_2_5_X), + .A0(sky130_fd_sc_hd__buf_2_6_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_12_X)); + + sky130_fd_sc_hd__mux2_1 mux_l3_in_1_ ( + .A1(sky130_fd_sc_hd__mux2_1_10_X), + .A0(sky130_fd_sc_hd__mux2_1_11_X), + .S(sram[2]), + .X(sky130_fd_sc_hd__mux2_1_13_X)); + + sky130_fd_sc_hd__mux2_1 mux_l4_in_0_ ( + .A1(sky130_fd_sc_hd__mux2_1_12_X), + .A0(sky130_fd_sc_hd__mux2_1_13_X), + .S(sram[3]), + .X(sky130_fd_sc_hd__mux2_1_14_X)); + +endmodule +// ----- END Verilog module for frac_lut4_mux ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/shift_register_banks.v b/SOFA_A/SOFA_A_verilog/sub_module/shift_register_banks.v new file mode 100644 index 0000000..a5e1c7f --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/shift_register_banks.v @@ -0,0 +1,10 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Shift register banks used in FPGA +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/user_defined_templates.v b/SOFA_A/SOFA_A_verilog/sub_module/user_defined_templates.v new file mode 100644 index 0000000..3d0c382 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/user_defined_templates.v @@ -0,0 +1,358 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Template for user-defined Verilog modules +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- Template Verilog module for sky130_fd_sc_hd__inv_1 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__inv_1 ----- +module sky130_fd_sc_hd__inv_1(A, + Y); +//----- INPUT PORTS ----- +input [0:0] A; +//----- OUTPUT PORTS ----- +output [0:0] Y; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__inv_1 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__buf_2 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__buf_2 ----- +module sky130_fd_sc_hd__buf_2(A, + X); +//----- INPUT PORTS ----- +input [0:0] A; +//----- OUTPUT PORTS ----- +output [0:0] X; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__buf_2 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__buf_4 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__buf_4 ----- +module sky130_fd_sc_hd__buf_4(A, + X); +//----- INPUT PORTS ----- +input [0:0] A; +//----- OUTPUT PORTS ----- +output [0:0] X; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__buf_4 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__inv_2 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__inv_2 ----- +module sky130_fd_sc_hd__inv_2(A, + Y); +//----- INPUT PORTS ----- +input [0:0] A; +//----- OUTPUT PORTS ----- +output [0:0] Y; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__inv_2 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__or2_1 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__or2_1 ----- +module sky130_fd_sc_hd__or2_1(A, + B, + X); +//----- INPUT PORTS ----- +input [0:0] A; +//----- INPUT PORTS ----- +input [0:0] B; +//----- OUTPUT PORTS ----- +output [0:0] X; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__or2_1 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__mux2_1 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__mux2_1 ----- +module sky130_fd_sc_hd__mux2_1(A1, + A0, + S, + X); +//----- INPUT PORTS ----- +input [0:0] A1; +//----- INPUT PORTS ----- +input [0:0] A0; +//----- INPUT PORTS ----- +input [0:0] S; +//----- OUTPUT PORTS ----- +output [0:0] X; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__mux2_1 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__sdfrtp_1 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__sdfrtp_1 ----- +module sky130_fd_sc_hd__sdfrtp_1(SCE, + D, + SCD, + RESET_B, + CLK, + Q); +//----- GLOBAL PORTS ----- +input [0:0] SCE; +//----- INPUT PORTS ----- +input [0:0] D; +//----- INPUT PORTS ----- +input [0:0] SCD; +//----- INPUT PORTS ----- +input [0:0] RESET_B; +//----- INPUT PORTS ----- +input [0:0] CLK; +//----- OUTPUT PORTS ----- +output [0:0] Q; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__sdfrtp_1 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__dfrtp_1 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__dfrtp_1 ----- +module sky130_fd_sc_hd__dfrtp_1(RESET_B, + CLK, + D, + Q); +//----- GLOBAL PORTS ----- +input [0:0] RESET_B; +//----- GLOBAL PORTS ----- +input [0:0] CLK; +//----- INPUT PORTS ----- +input [0:0] D; +//----- OUTPUT PORTS ----- +output [0:0] Q; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__dfrtp_1 ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for EMBEDDED_IO_HD ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for EMBEDDED_IO_HD ----- +module EMBEDDED_IO_HD(IO_ISOL_N, + SOC_IN, + SOC_OUT, + SOC_DIR, + FPGA_OUT, + FPGA_DIR, + FPGA_IN); +//----- GLOBAL PORTS ----- +input [0:0] IO_ISOL_N; +//----- GPIN PORTS ----- +input [0:0] SOC_IN; +//----- GPOUT PORTS ----- +output [0:0] SOC_OUT; +//----- GPOUT PORTS ----- +output [0:0] SOC_DIR; +//----- INPUT PORTS ----- +input [0:0] FPGA_OUT; +//----- INPUT PORTS ----- +input [0:0] FPGA_DIR; +//----- OUTPUT PORTS ----- +output [0:0] FPGA_IN; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for EMBEDDED_IO_HD ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for sky130_fd_sc_hd__mux2_1_wrapper ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sky130_fd_sc_hd__mux2_1_wrapper ----- +module sky130_fd_sc_hd__mux2_1_wrapper(A0, + A1, + S, + X); +//----- INPUT PORTS ----- +input [0:0] A0; +//----- INPUT PORTS ----- +input [0:0] A1; +//----- INPUT PORTS ----- +input [0:0] S; +//----- OUTPUT PORTS ----- +output [0:0] X; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for sky130_fd_sc_hd__mux2_1_wrapper ----- + +//----- Default net type ----- +`default_nettype none + + diff --git a/SOFA_A/SOFA_A_verilog/sub_module/wires.v b/SOFA_A/SOFA_A_verilog/sub_module/wires.v new file mode 100644 index 0000000..9b0d08e --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/sub_module/wires.v @@ -0,0 +1,40 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Wires +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Verilog modules for regular wires ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for direct_interc ----- +module direct_interc(in, + out); +//----- INPUT PORTS ----- +input [0:0] in; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +wire [0:0] in; +wire [0:0] out; + assign out[0] = in[0]; +endmodule +// ----- END Verilog module for direct_interc ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- END Verilog modules for regular wires ----- diff --git a/SOFA_A/SOFA_A_verilog/top_autocheck_top_tb.v b/SOFA_A/SOFA_A_verilog/top_autocheck_top_tb.v new file mode 100644 index 0000000..55f1bb0 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/top_autocheck_top_tb.v @@ -0,0 +1,6608 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: FPGA Verilog full testbench for top-level netlist of design: top +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +module top_autocheck_top_tb; +// ----- Local wires for global ports of FPGA fabric ----- +wire [0:0] clk; +wire [0:0] Reset; +wire [0:0] IO_ISOL_N; +wire [0:0] pReset; +wire [0:0] prog_clk; +wire [0:0] Test_en; + +// ----- Local wires for I/Os of FPGA fabric ----- + +wire [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_IN; + +wire [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT; +wire [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR; + +reg [0:0] __config_done__; +wire [0:0] __prog_clock__; +reg [0:0] __prog_clock___reg__; +wire [0:0] __op_clock__; +reg [0:0] __op_clock___reg__; +reg [0:0] __prog_reset__; +reg [0:0] __prog_set_; +reg [0:0] __greset__; +reg [0:0] __gset__; +// ---- Configuration-chain head ----- +reg [0:0] ccff_head; +// ---- Configuration-chain tail ----- +wire [0:0] ccff_tail; +// ----- Shared inputs ------- + reg [0:0] a_shared_input; + reg [0:0] b_shared_input; + +// ----- FPGA fabric outputs ------- + wire [0:0] c_fpga; + +// ----- Benchmark outputs ------- + wire [0:0] c_benchmark; + +// ----- Output vectors checking flags ------- + reg [0:0] c_flag; + +// ----- Error counter: Deposit an error for config_done signal is not raised at the beginning ----- + integer nb_error= 1; +// ----- Number of clock cycles in configuration phase: 651 ----- +// ----- Begin configuration done signal generation ----- +initial + begin + __config_done__[0] = 1'b0; + end + +// ----- End configuration done signal generation ----- + +// ----- Begin raw programming clock signal generation ----- +initial + begin + __prog_clock___reg__[0] = 1'b0; + end +always + begin + #5 __prog_clock___reg__[0] = ~__prog_clock___reg__[0]; + end + +// ----- End raw programming clock signal generation ----- + +// ----- Actual programming clock is triggered only when __config_done__ and __prog_reset__ are disabled ----- + assign __prog_clock__[0] = __prog_clock___reg__[0] & (~__config_done__[0]) & (~__prog_reset__[0]); + +// ----- Begin raw operating clock signal generation ----- +initial + begin + __op_clock___reg__[0] = 1'b0; + end +always wait(~__greset__) + begin + #6.660000324 __op_clock___reg__[0] = ~__op_clock___reg__[0]; + end + +// ----- End raw operating clock signal generation ----- +// ----- Actual operating clock is triggered only when __config_done__ is enabled ----- + assign __op_clock__[0] = __op_clock___reg__[0] & __config_done__[0]; + +// ----- Begin programming reset signal generation ----- +initial + begin + __prog_reset__[0] = 1'b1; + #10 __prog_reset__[0] = 1'b0; + end + +// ----- End programming reset signal generation ----- + +// ----- Begin programming set signal generation ----- +initial + begin + __prog_set_[0] = 1'b1; + #10 __prog_set_[0] = 1'b0; + end + +// ----- End programming set signal generation ----- + +// ----- Begin operating reset signal generation ----- +// ----- Reset signal is enabled until the first clock cycle in operation phase ----- +initial + begin + __greset__[0] = 1'b1; + wait(__config_done__) + #13.32000065 __greset__[0] = 1'b1; + #26.6400013 __greset__[0] = 1'b0; + end + +// ----- End operating reset signal generation ----- +// ----- Begin operating set signal generation: always disabled ----- +initial + begin + __gset__[0] = 1'b0; + end + +// ----- End operating set signal generation: always disabled ----- + +// ----- Begin connecting global ports of FPGA fabric to stimuli ----- + assign prog_clk[0] = __prog_clock__[0]; + assign clk[0] = __op_clock__[0]; + assign pReset[0] = ~__prog_reset__[0]; + assign Reset[0] = ~__greset__[0]; + assign Test_en[0] = 1'b0; + assign IO_ISOL_N[0] = 1'b1; +// ----- End connecting global ports of FPGA fabric to stimuli ----- +// ----- FPGA top-level module to be capsulated ----- + fpga_top FPGA_DUT ( + .clk(clk[0]), + .Reset(Reset[0]), + .IO_ISOL_N(IO_ISOL_N[0]), + .pReset(pReset[0]), + .prog_clk(prog_clk[0]), + .Test_en(Test_en[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN[0:25]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[0:25]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR[0:25]), + .ccff_head(ccff_head[0]), + .ccff_tail(ccff_tail[0])); + +// ----- Link BLIF Benchmark I/Os to FPGA I/Os ----- +// ----- Blif Benchmark input a is mapped to FPGA IOPAD gfpga_pad_EMBEDDED_IO_HD_SOC_IN[18] ----- + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[18] = a_shared_input[0]; + +// ----- Blif Benchmark input b is mapped to FPGA IOPAD gfpga_pad_EMBEDDED_IO_HD_SOC_IN[3] ----- + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[3] = b_shared_input[0]; + +// ----- Blif Benchmark output c is mapped to FPGA IOPAD gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[24] ----- + assign c_fpga[0] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT[24]; + +// ----- Wire unused FPGA I/Os to constants ----- + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[0] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[1] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[2] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[4] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[5] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[6] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[7] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[8] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[9] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[10] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[11] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[12] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[13] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[14] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[15] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[16] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[17] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[19] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[20] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[21] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[22] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[23] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[24] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN[25] = 1'b0; + + +// ----- Reference Benchmark Instanication ------- + top REF_DUT( + .a(a_shared_input), + .b(b_shared_input), + .c(c_benchmark) + ); +// ----- End reference Benchmark Instanication ------- + +// ----- Begin bitstream loading during configuration phase ----- +`define BITSTREAM_LENGTH 650 +`define BITSTREAM_WIDTH 1 +// ----- Virtual memory to store the bitstream from external file ----- +reg [0:`BITSTREAM_WIDTH - 1] bit_mem[0:`BITSTREAM_LENGTH - 1]; +reg [$clog2(`BITSTREAM_LENGTH):0] bit_index; +// ----- Registers used for fast configuration logic ----- +reg [$clog2(`BITSTREAM_LENGTH):0] ibit; +reg [0:0] skip_bits; +// ----- Preload bitstream file to a virtual memory ----- +initial begin + $readmemb("fabric_bitstream.bit", bit_mem); +// ----- Configuration chain default input ----- + ccff_head[0] <= 1'b0; + bit_index <= 0; + skip_bits[0] <= 1'b0; + for (ibit = 0; ibit < `BITSTREAM_LENGTH + 1; ibit = ibit + 1) begin + if (1'b0 == bit_mem[ibit]) begin + if (1'b1 == skip_bits[0]) begin + bit_index <= bit_index + 1; + end + end else begin + skip_bits[0] <= 1'b0; + end + end +end +// ----- 'else if' condition is required by Modelsim to synthesis the Verilog correctly ----- +always @(negedge __prog_clock___reg__[0]) begin + if (bit_index >= `BITSTREAM_LENGTH) begin + __config_done__[0] <= 1'b1; + end else if (bit_index >= 0 && bit_index < `BITSTREAM_LENGTH) begin + ccff_head[0] <= bit_mem[bit_index]; + bit_index <= bit_index + 1; + end +end +// ----- End bitstream loading during configuration phase ----- + +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l1_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_0_.frac_lut4_mux_0_.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_logic_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mux_frac_lut4_0_in_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_fabric_out_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_0_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mux_ff_1_D_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_top_track_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_8.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_8.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_8.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_8.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_10.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_10.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_10.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_10.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_12.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_12.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_12.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_12.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_14.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_14.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_14.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_14.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_16.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_16.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_16.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_16.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_18.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_18.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_18.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_18.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_20.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_20.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_20.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_20.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__0_.mux_right_track_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_0.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_2.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_4.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_4.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_6.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_6.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_8.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_8.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_8.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_8.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_8.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_8.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_10.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_10.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_10.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_10.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_10.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_10.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_12.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_12.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_12.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_12.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_12.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_12.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_14.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_14.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_14.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_14.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_14.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_14.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_16.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_16.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_16.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_16.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_16.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_16.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_18.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_18.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_18.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_18.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_20.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_20.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_20.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_right_track_20.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_0__1_.mux_bottom_track_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_0.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_2.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_4.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_4.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_6.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_6.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_8.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_8.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_8.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_8.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_8.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_8.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_10.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_10.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_10.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_10.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_10.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_10.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_12.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_12.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_12.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_12.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_12.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_12.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_14.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_14.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_14.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_14.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_14.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_14.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_16.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_16.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_16.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_16.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_18.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_18.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_18.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_18.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_20.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_20.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_20.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_top_track_20.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_9.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_9.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_9.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_9.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_11.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_11.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_11.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_11.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_13.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_13.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_13.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_13.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_15.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_15.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_15.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_15.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_17.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_17.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_17.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_17.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_19.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_19.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_19.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_19.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_21.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_21.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_21.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_21.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_1.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__0_.mux_left_track_3.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_1.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_3.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_5.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_5.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_7.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_7.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_9.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_9.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_9.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_9.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_9.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_9.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_11.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_11.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_11.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_11.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_11.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_11.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_13.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_13.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_13.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_13.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_13.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_13.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_15.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_15.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_15.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_15.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_15.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_15.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_5.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_5.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_7.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_7.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_9.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_9.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_9.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_9.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_9.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_9.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_11.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_11.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_11.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_11.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_11.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_11.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_13.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_13.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_13.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_13.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_13.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_13.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_15.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_15.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_15.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_15.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_15.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_15.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_17.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_17.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_17.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_17.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_17.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_17.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_17.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_17.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_17.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_17.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_19.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_19.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_19.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_19.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_21.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_21.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_21.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_bottom_track_21.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_19.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_19.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_19.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_19.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_21.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_21.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_21.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_21.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_1.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_4_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_4_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_5_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_5_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_6_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_6_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_7_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l2_in_7_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l3_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l4_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l4_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l5_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.sb_1__1_.mux_left_track_3.mux_l5_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_1.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_3.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_4.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_5.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_6.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_7.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__0_.mux_top_ipin_8.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_1.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_3.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_4.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_5.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_6.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_bottom_ipin_7.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_4.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_6.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_8.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_10.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_12.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_14.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_5.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_7.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_9.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_11.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_13.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cbx_1__1_.mux_top_ipin_15.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_0__1_.mux_right_ipin_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_1.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_3.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_4.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_5.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_6.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_left_ipin_7.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_0.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_2.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_4.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_6.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_8.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_10.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_12.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_3_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l2_in_3_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l3_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l3_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l4_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_14.mux_l4_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_1.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_3.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_5.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_7.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_9.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_11.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_13.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l1_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l1_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l1_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l1_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l1_in_2_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l1_in_2_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l2_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l2_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l2_in_1_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l2_in_1_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ------ BEGIN driver initialization ----- + initial begin + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l3_in_0_.A1, $random % 2 ? 1'b1 : 1'b0); + $deposit(FPGA_DUT.cby_1__1_.mux_right_ipin_15.mux_l3_in_0_.A0, $random % 2 ? 1'b1 : 1'b0); + end +// ------ END driver initialization ----- +// ----- Begin reset signal generation ----- +// ----- Input Initialization ------- + initial begin + a_shared_input <= 1'b0; + b_shared_input <= 1'b0; + + c_flag[0] <= 1'b0; + end + +// ----- Input Stimulus ------- + always@(negedge __op_clock__[0]) begin + a_shared_input <= $random; + b_shared_input <= $random; + end + +// ----- Begin checking output vectors ------- +// ----- Skip the first falling edge of clock, it is for initialization ------- + reg [0:0] sim_start; + + always@(negedge __op_clock__[0]) begin + if (1'b1 == sim_start[0]) begin + sim_start[0] <= ~sim_start[0]; + end else +if (1'b1 == __config_done__) begin + if(!(c_fpga === c_benchmark) && !(c_benchmark === 1'bx)) begin + c_flag <= 1'b1; + end else begin + c_flag<= 1'b0; + end + end + end + + always@(posedge c_flag) begin + if(c_flag) begin + nb_error = nb_error + 1; + $display("Mismatch on c_fpga at time = %t", $realtime); + end + end + + +// ----- Configuration done must be raised in the end ------- + always@(posedge __config_done__[0]) begin + nb_error = nb_error - 1; + end + +// ----- Begin output waveform to VCD file------- + initial begin + $dumpfile("top_formal.vcd"); + $dumpvars(1, top_autocheck_top_tb); + end +// ----- END output waveform to VCD file ------- + +initial begin + sim_start[0] <= 1'b1; + $timeformat(-9, 2, "ns", 20); + $display("Simulation start"); +// ----- Can be changed by the user for his/her need ------- + #6584 + if(nb_error == 0) begin + $display("Simulation Succeed"); + end else begin + $display("Simulation Failed with %d error(s)", nb_error); + end + $finish; +end + +endmodule +// ----- END Verilog module for top_autocheck_top_tb ----- + +//----- Default net type ----- +`default_nettype none + diff --git a/SOFA_A/SOFA_A_verilog/top_formal_random_top_tb.v b/SOFA_A/SOFA_A_verilog/top_formal_random_top_tb.v new file mode 100644 index 0000000..5432170 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/top_formal_random_top_tb.v @@ -0,0 +1,127 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: FPGA Verilog Testbench for Formal Top-level netlist of Design: top +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +module top_top_formal_verification_random_tb; +// ----- Default clock port is added here since benchmark does not contain one ------- + reg [0:0] clk; + +// ----- Shared inputs ------- + reg [0:0] a; + reg [0:0] b; + +// ----- FPGA fabric outputs ------- + wire [0:0] c_gfpga; + +// ----- Benchmark outputs ------- + wire [0:0] c_bench; + +// ----- Output vectors checking flags ------- + reg [0:0] c_flag; + +// ----- Error counter ------- + integer nb_error= 0; + +// ----- FPGA fabric instanciation ------- + top_top_formal_verification FPGA_DUT( + .a(a), + .b(b), + .c(c_gfpga) + ); +// ----- End FPGA Fabric Instanication ------- + +// ----- Reference Benchmark Instanication ------- + top REF_DUT( + .a(a), + .b(b), + .c(c_bench) + ); +// ----- End reference Benchmark Instanication ------- + +// ----- Clock 'clk' Initialization ------- + initial begin + clk[0] <= 1'b0; + while(1) begin + #6.660000324 + clk[0] <= !clk[0]; + end + end + +// ----- Begin reset signal generation ----- +// ----- End reset signal generation ----- + +// ----- Input Initialization ------- + initial begin + a <= 1'b0; + b <= 1'b0; + + c_flag[0] <= 1'b0; + end + +// ----- Input Stimulus ------- + always@(negedge clk[0]) begin + a <= $random; + b <= $random; + end + +// ----- Begin checking output vectors ------- +// ----- Skip the first falling edge of clock, it is for initialization ------- + reg [0:0] sim_start; + + always@(negedge clk[0]) begin + if (1'b1 == sim_start[0]) begin + sim_start[0] <= ~sim_start[0]; + end else +begin + if(!(c_gfpga === c_bench) && !(c_bench === 1'bx)) begin + c_flag <= 1'b1; + end else begin + c_flag<= 1'b0; + end + end + end + + always@(posedge c_flag) begin + if(c_flag) begin + nb_error = nb_error + 1; + $display("Mismatch on c_gfpga at time = %t", $realtime); + end + end + + +// ----- Begin output waveform to VCD file------- + initial begin + $dumpfile("top_formal.vcd"); + $dumpvars(1, top_top_formal_verification_random_tb); + end +// ----- END output waveform to VCD file ------- + +initial begin + sim_start[0] <= 1'b1; + $timeformat(-9, 2, "ns", 20); + $display("Simulation start"); +// ----- Can be changed by the user for his/her need ------- + #26.6400013 + if(nb_error == 0) begin + $display("Simulation Succeed"); + end else begin + $display("Simulation Failed with %d error(s)", nb_error); + end + $finish; +end + +endmodule +// ----- END Verilog module for top_top_formal_verification_random_tb ----- + +//----- Default net type ----- +`default_nettype none + diff --git a/SOFA_A/SOFA_A_verilog/top_include_netlists.v b/SOFA_A/SOFA_A_verilog/top_include_netlists.v new file mode 100644 index 0000000..b3a9940 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/top_include_netlists.v @@ -0,0 +1,17 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Netlist Summary +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ------ Include fabric top-level netlists ----- +`include "./SRC/fabric_netlists.v" + +`include "top_output_verilog.v" + +`include "./SRC/top_top_formal_verification.v" +`include "./SRC/top_formal_random_top_tb.v" diff --git a/SOFA_A/SOFA_A_verilog/top_top_formal_verification.v b/SOFA_A/SOFA_A_verilog/top_top_formal_verification.v new file mode 100644 index 0000000..98a2b03 --- /dev/null +++ b/SOFA_A/SOFA_A_verilog/top_top_formal_verification.v @@ -0,0 +1,315 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog netlist for pre-configured FPGA fabric by design: top +// Author: Xifan TANG +// Organization: University of Utah +// Date: Sun Feb 19 10:53:27 2023 +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +module top_top_formal_verification ( +input [0:0] a, +input [0:0] b, +output [0:0] c); + +// ----- Local wires for FPGA fabric ----- +wire [0:0] clk_fm; +wire [0:0] Reset_fm; +wire [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm; +wire [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_OUT_fm; +wire [0:25] gfpga_pad_EMBEDDED_IO_HD_SOC_DIR_fm; +wire [0:0] ccff_head_fm; +wire [0:0] ccff_tail_fm; +wire [0:0] IO_ISOL_N_fm; +wire [0:0] pReset_fm; +wire [0:0] prog_clk_fm; +wire [0:0] Test_en_fm; + +// ----- FPGA top-level module to be capsulated ----- + fpga_top U0_formal_verification ( + .clk(clk_fm[0]), + .Reset(Reset_fm[0]), + .IO_ISOL_N(IO_ISOL_N_fm[0]), + .pReset(pReset_fm[0]), + .prog_clk(prog_clk_fm[0]), + .Test_en(Test_en_fm[0]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_IN(gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[0:25]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_OUT(gfpga_pad_EMBEDDED_IO_HD_SOC_OUT_fm[0:25]), + .gfpga_pad_EMBEDDED_IO_HD_SOC_DIR(gfpga_pad_EMBEDDED_IO_HD_SOC_DIR_fm[0:25]), + .ccff_head(ccff_head_fm[0]), + .ccff_tail(ccff_tail_fm[0])); + +// ----- Begin Connect Global ports of FPGA top module ----- + assign Test_en_fm[0] = 1'b0; + assign prog_clk_fm[0] = 1'b0; + assign pReset_fm[0] = 1'b1; + assign IO_ISOL_N_fm[0] = 1'b1; + assign clk_fm[0] = 1'b0; + assign Reset_fm[0] = 1'b1; +// ----- End Connect Global ports of FPGA top module ----- + +// ----- Link BLIF Benchmark I/Os to FPGA I/Os ----- +// ----- Blif Benchmark input a is mapped to FPGA IOPAD gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[18] ----- + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[18] = a[0]; + +// ----- Blif Benchmark input b is mapped to FPGA IOPAD gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[3] ----- + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[3] = b[0]; + +// ----- Blif Benchmark output c is mapped to FPGA IOPAD gfpga_pad_EMBEDDED_IO_HD_SOC_OUT_fm[24] ----- + assign c[0] = gfpga_pad_EMBEDDED_IO_HD_SOC_OUT_fm[24]; + +// ----- Wire unused FPGA I/Os to constants ----- + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[0] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[1] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[2] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[4] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[5] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[6] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[7] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[8] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[9] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[10] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[11] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[12] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[13] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[14] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[15] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[16] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[17] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[19] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[20] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[21] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[22] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[23] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[24] = 1'b0; + assign gfpga_pad_EMBEDDED_IO_HD_SOC_IN_fm[25] = 1'b0; + + +// ----- Begin load bitstream to configuration memories ----- +// ----- Begin assign bitstream to configuration memories ----- +initial begin + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = {17{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = {17{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = {17{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = {17{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = {17{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_4.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = {17{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_5.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = {17{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_6.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_0.frac_lut4_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0:16] = 17'b00000000110000001; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_logic_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0.mem_frac_lut4_0_in_2.mem_out[0:1] = 2'b01; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_fabric_out_1.mem_out[0:1] = 2'b01; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_0_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_7.logical_tile_clb_mode_default__fle_mode_physical__fabric_0.mem_ff_1_D_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_top_1__2_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_right_2__1_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_bottom_1__0_.logical_tile_io_mode_io__8.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b0; + force U0_formal_verification.grid_io_left_left_0__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.EMBEDDED_IO_HD_sky130_fd_sc_hd__dfrtp_1_mem.mem_out[0] = 1'b1; + force U0_formal_verification.sb_0__0_.mem_top_track_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_0.mem_out[0:3] = 4'b0011; + force U0_formal_verification.sb_0__0_.mem_right_track_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_18.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_20.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_0.mem_out[0:4] = {5{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_2.mem_out[0:4] = 5'b00111; + force U0_formal_verification.sb_0__1_.mem_right_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_18.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_20.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_0.mem_out[0:4] = 5'b01000; + force U0_formal_verification.sb_1__0_.mem_top_track_2.mem_out[0:4] = {5{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_18.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_20.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_21.mem_out[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_1.mem_out[0:4] = 5'b01000; + force U0_formal_verification.sb_1__1_.mem_bottom_track_3.mem_out[0:4] = 5'b00100; + force U0_formal_verification.sb_1__1_.mem_bottom_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_21.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_1.mem_out[0:4] = {5{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_3.mem_out[0:4] = {5{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_21.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_4.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_5.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_6.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_7.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_8.mem_out[0:3] = 4'b0100; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_4.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_5.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_6.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_7.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_4.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_6.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_9.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_10.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_11.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_12.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_13.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_14.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_15.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_4.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_5.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_6.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_7.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_4.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_6.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_9.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_10.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_11.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_12.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_13.mem_out[0:2] = 3'b011; + force U0_formal_verification.cby_1__1_.mem_right_ipin_14.mem_out[0:3] = {4{1'b1}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_15.mem_out[0:2] = {3{1'b0}}; +end +// ----- End assign bitstream to configuration memories ----- +// ----- End load bitstream to configuration memories ----- +endmodule +// ----- END Verilog module for top_top_formal_verification ----- + +//----- Default net type ----- +`default_nettype none + diff --git a/SOFA_A/config.sh b/SOFA_A/config.sh new file mode 100644 index 0000000..521023a --- /dev/null +++ b/SOFA_A/config.sh @@ -0,0 +1,51 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# = = = = = = = = = = = = = = Variables Sections = = = = = = = = = = = = = = = +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +export PROJ_NAME=FPGA1212_QLSOFA_HD # Project Name +export FPGA_SIZE_X=12 # Grid X Size +export FPGA_SIZE_Y=12 # Grid Y Size +# Design Style [hier/flat], mostly hier +export DESIGN_STYLE=hier +export TECHNOLOGY="skywater" + +# Complete Chip (fpga_top) or eFPGA (fpga_core) +export DESIGN_NAME=fpga_core + +# Pin Information Source Automatic or Sheet +export PIN_MAP=Automatic +export PIN_MAP_CSV_SPREADSHEET_LINK="" # Required only if PIN_MAP==Sheet + +# Core Dimension, requires if DESIGN_NAME=fpga_core +# if DESIGN_NAME=fpga_top its Optional if defined it overrides the +# Calculated DIE_DIMENSION +export DIE_DIMENSION=3200 + +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Derived Or Fixed Variables +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +export OPENFPGA_ENGINE_PATH=${OPENFPGA_PATH} +export TASK_DIR_NAME=${PROJ_NAME}_task +export VERILOG_PROJ_DIR=${PROJ_NAME}_Verilog +export SPY_HACK_FILE=${TASK_DIR_NAME}/spy_hack.txt +export POST_OPENFPGA_SCRIPT=./PostOpenFPGAScript.sh +export RESTRUCT_NETLIST=../utils/RestructureNetlistSkywater.py +export POST_GENERATION_SCRIPT=./generate_scandef_and_case_analysis.sh + +export TAPEOUT_DIRECTORY=/research/ece/lnis/USERS/DARPA_ERI/Tapeout/SOFA +export TAPEOUT_SCRIPT= +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Restructure Netlist Varaibles +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# export RESTRUCTURE_skipClockRestructure="" +# export RESTRUCTURE_Skeleton="" + +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# PNR RELATED FLOW +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +export INIT_DESIGN_INPUT="ASCII" + +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Extra variables availble during flow (suuffix FLOWVAR_) +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +export FLOWVAR_STANDARD_CELLS="sc_hd"